artiq/soc/targets/artiq_pipistrello.py

145 lines
5.7 KiB
Python
Raw Normal View History

from fractions import Fraction
2015-02-26 00:48:17 +08:00
from migen.fhdl.std import *
from migen.bank.description import *
from migen.bank import wbgen
2015-04-02 17:19:00 +08:00
from misoclib.com import gpio
2015-04-05 06:58:56 +08:00
from misoclib.soc import mem_decoder
from misoclib.mem.sdram.core.minicon import MiniconSettings
2015-02-26 00:48:17 +08:00
from targets.pipistrello import BaseSoC
from artiq.gateware.soc import AMPSoC
2015-06-20 05:30:17 +08:00
from artiq.gateware import rtio, nist_qc1
from artiq.gateware.rtio.phy import ttl_simple, dds
2015-02-26 00:48:17 +08:00
class _RTIOCRG(Module, AutoCSR):
def __init__(self, platform, clk_freq):
2015-04-02 18:22:18 +08:00
self._clock_sel = CSRStorage()
self.clock_domains.cd_rtio = ClockDomain(reset_less=True)
2015-02-26 00:48:17 +08:00
f = Fraction(125*1000*1000, clk_freq)
2015-02-26 00:48:17 +08:00
rtio_internal_clk = Signal()
self.specials += Instance("DCM_CLKGEN",
p_CLKFXDV_DIVIDE=2,
p_CLKFX_DIVIDE=f.denominator,
2015-02-26 00:48:17 +08:00
p_CLKFX_MD_MAX=1.6,
p_CLKFX_MULTIPLY=f.numerator,
p_CLKIN_PERIOD=1e9/clk_freq,
2015-02-26 00:48:17 +08:00
p_SPREAD_SPECTRUM="NONE",
p_STARTUP_WAIT="FALSE",
i_CLKIN=ClockSignal(),
o_CLKFX=rtio_internal_clk,
i_FREEZEDCM=0,
i_RST=ResetSignal())
rtio_external_clk = platform.request("dds_clock")
platform.add_period_constraint(rtio_external_clk, 8.0)
2015-02-26 00:48:17 +08:00
self.specials += Instance("BUFGMUX",
i_I0=rtio_internal_clk,
i_I1=rtio_external_clk,
2015-04-02 18:22:18 +08:00
i_S=self._clock_sel.storage,
2015-02-26 00:48:17 +08:00
o_O=self.cd_rtio.clk)
platform.add_platform_command("""
NET "{int_clk}" TNM_NET = "GRPint_clk";
NET "{ext_clk}" TNM_NET = "GRPext_clk";
NET "sys_clk" TNM_NET = "GRPsys_clk";
TIMESPEC "TSfix_ise1" = FROM "GRPint_clk" TO "GRPsys_clk" TIG;
TIMESPEC "TSfix_ise2" = FROM "GRPsys_clk" TO "GRPint_clk" TIG;
TIMESPEC "TSfix_ise3" = FROM "GRPext_clk" TO "GRPsys_clk" TIG;
TIMESPEC "TSfix_ise4" = FROM "GRPsys_clk" TO "GRPext_clk" TIG;
TIMESPEC "TSfix_ise5" = FROM "GRPext_clk" TO "GRPint_clk" TIG;
TIMESPEC "TSfix_ise6" = FROM "GRPint_clk" TO "GRPext_clk" TIG;
""", int_clk=rtio_internal_clk, ext_clk=rtio_external_clk)
2015-02-26 00:48:17 +08:00
class NIST_QC1(BaseSoC, AMPSoC):
2015-02-26 00:48:17 +08:00
csr_map = {
"rtio": None, # mapped on Wishbone instead
2015-06-02 17:41:40 +08:00
"rtio_crg": 13,
"kernel_cpu": 14,
2015-06-28 03:15:17 +08:00
"rtio_moninj": 15
2015-02-26 00:48:17 +08:00
}
csr_map.update(BaseSoC.csr_map)
mem_map = {
2015-04-12 05:32:43 +08:00
"rtio": 0x20000000, # (shadow @0xa0000000)
"mailbox": 0x70000000 # (shadow @0xf0000000)
}
2015-04-14 19:34:14 +08:00
mem_map.update(BaseSoC.mem_map)
2015-02-26 00:48:17 +08:00
def __init__(self, platform, cpu_type="or1k", **kwargs):
BaseSoC.__init__(self, platform,
cpu_type=cpu_type,
2015-06-18 23:49:52 +08:00
sdram_controller_settings=MiniconSettings(l2_size=64*1024),
with_timer=False, **kwargs)
AMPSoC.__init__(self)
2015-04-12 05:49:36 +08:00
platform.toolchain.ise_commands += """
trce -v 12 -fastpaths -tsi {build_name}.tsi -o {build_name}.twr {build_name}.ncd {build_name}.pcf
2015-04-12 05:49:36 +08:00
"""
platform.add_extension(nist_qc1.papilio_adapter_io)
2015-02-26 00:48:17 +08:00
self.submodules.leds = gpio.GPIOOut(Cat(
platform.request("user_led", 0),
platform.request("user_led", 1),
))
self.comb += [
platform.request("ttl_l_tx_en").eq(1),
platform.request("ttl_h_tx_en").eq(1)
]
2015-04-14 19:44:45 +08:00
# RTIO channels
rtio_channels = []
for i in range(2):
phy = ttl_simple.Inout(platform.request("pmt", i))
self.submodules += phy
2015-06-09 19:51:02 +08:00
rtio_channels.append(rtio.Channel.from_phy(phy, ififo_depth=512))
2015-04-14 19:44:45 +08:00
phy = ttl_simple.Inout(platform.request("xtrig", 0))
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy, ififo_depth=4))
2015-04-14 19:44:45 +08:00
for i in range(16):
phy = ttl_simple.Output(platform.request("ttl", i))
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy, ofifo_depth=256))
2015-04-14 19:44:45 +08:00
phy = ttl_simple.Output(platform.request("ext_led", 0))
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy, ofifo_depth=4))
2015-04-14 19:44:45 +08:00
for i in range(2, 5):
phy = ttl_simple.Output(platform.request("user_led", i))
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy, ofifo_depth=4))
2015-06-03 18:26:19 +08:00
self.add_constant("RTIO_TTL_COUNT", len(rtio_channels))
2015-04-14 19:44:45 +08:00
self.add_constant("RTIO_DDS_CHANNEL", len(rtio_channels))
2015-06-20 05:30:17 +08:00
self.add_constant("DDS_CHANNEL_COUNT", 8)
2015-06-29 03:37:27 +08:00
phy = dds.AD9858(platform.request("dds"), 8)
2015-04-14 19:44:45 +08:00
self.submodules += phy
2015-06-21 22:40:10 +08:00
rtio_channels.append(rtio.Channel.from_phy(phy,
ofifo_depth=512,
ififo_depth=4))
2015-04-14 19:44:45 +08:00
# RTIO core
self.submodules.rtio_crg = _RTIOCRG(platform, self.clk_freq)
2015-04-14 19:44:45 +08:00
self.submodules.rtio = rtio.RTIO(rtio_channels,
clk_freq=125000000)
self.add_constant("RTIO_FINE_TS_WIDTH", self.rtio.fine_ts_width)
2015-06-20 01:01:43 +08:00
self.add_constant("DDS_RTIO_CLK_RATIO", 8 >> self.rtio.fine_ts_width)
2015-06-28 03:15:17 +08:00
self.submodules.rtio_moninj = rtio.MonInj(rtio_channels)
2015-04-05 07:00:41 +08:00
# CPU connections
rtio_csrs = self.rtio.get_csrs()
2015-04-05 07:01:08 +08:00
self.submodules.rtiowb = wbgen.Bank(rtio_csrs)
2015-04-12 05:32:43 +08:00
self.kernel_cpu.add_wb_slave(mem_decoder(self.mem_map["rtio"]),
self.rtiowb.bus)
self.add_csr_region("rtio", self.mem_map["rtio"] | 0x80000000, 32,
2015-04-12 05:32:43 +08:00
rtio_csrs)
2015-04-05 07:01:08 +08:00
default_subtarget = NIST_QC1