artiq/artiq/gateware/targets/kc705.py

260 lines
10 KiB
Python
Raw Normal View History

2015-11-04 00:35:03 +08:00
#!/usr/bin/env python3
import argparse
import os
from migen import *
2015-07-27 20:12:17 +08:00
from migen.genlib.resetsync import AsyncResetSynchronizer
from migen.genlib.cdc import MultiReg
2015-11-04 00:35:03 +08:00
from migen.build.generic_platform import *
from migen.build.xilinx.vivado import XilinxVivadoToolchain
from migen.build.xilinx.ise import XilinxISEToolchain
2015-02-27 12:50:52 +08:00
2015-11-04 00:35:03 +08:00
from misoc.interconnect.csr import *
from misoc.interconnect import wishbone
from misoc.cores import gpio
from misoc.integration.soc_core import mem_decoder
from misoc.integration.builder import *
from misoc.targets.kc705 import MiniSoC, soc_kc705_args, soc_kc705_argdict
2015-02-27 12:50:52 +08:00
from artiq.gateware.soc import AMPSoC
2015-06-29 03:37:27 +08:00
from artiq.gateware import rtio, nist_qc1, nist_qc2
2015-07-27 20:12:17 +08:00
from artiq.gateware.rtio.phy import ttl_simple, ttl_serdes_7series, dds
2015-11-04 00:35:03 +08:00
from artiq.tools import artiq_dir
2015-02-27 12:50:52 +08:00
class _RTIOCRG(Module, AutoCSR):
def __init__(self, platform, rtio_internal_clk):
2015-04-02 18:22:18 +08:00
self._clock_sel = CSRStorage()
2015-07-27 20:12:17 +08:00
self._pll_reset = CSRStorage(reset=1)
self._pll_locked = CSRStatus()
self.clock_domains.cd_rtio = ClockDomain()
self.clock_domains.cd_rtiox4 = ClockDomain(reset_less=True)
2015-02-27 12:50:52 +08:00
# 10 MHz when using 125MHz input
self.clock_domains.cd_ext_clkout = ClockDomain(reset_less=True)
ext_clkout = platform.request("user_sma_gpio_p")
self.sync.ext_clkout += ext_clkout.eq(~ext_clkout)
2015-02-27 12:50:52 +08:00
rtio_external_clk = Signal()
user_sma_clock = platform.request("user_sma_clock")
platform.add_period_constraint(user_sma_clock.p, 8.0)
self.specials += Instance("IBUFDS",
i_I=user_sma_clock.p, i_IB=user_sma_clock.n,
o_O=rtio_external_clk)
2015-07-27 20:12:17 +08:00
pll_locked = Signal()
rtio_clk = Signal()
rtiox4_clk = Signal()
ext_clkout_clk = Signal()
2015-07-27 20:12:17 +08:00
self.specials += [
Instance("PLLE2_ADV",
p_STARTUP_WAIT="FALSE", o_LOCKED=pll_locked,
p_REF_JITTER1=0.01,
p_CLKIN1_PERIOD=8.0, p_CLKIN2_PERIOD=8.0,
i_CLKIN1=rtio_internal_clk, i_CLKIN2=rtio_external_clk,
# Warning: CLKINSEL=0 means CLKIN2 is selected
i_CLKINSEL=~self._clock_sel.storage,
# VCO @ 1GHz when using 125MHz input
2015-07-27 20:12:17 +08:00
p_CLKFBOUT_MULT=8, p_DIVCLK_DIVIDE=1,
i_CLKFBIN=self.cd_rtio.clk,
i_RST=self._pll_reset.storage,
o_CLKFBOUT=rtio_clk,
2015-07-27 20:31:37 +08:00
p_CLKOUT0_DIVIDE=2, p_CLKOUT0_PHASE=0.0,
o_CLKOUT0=rtiox4_clk,
p_CLKOUT1_DIVIDE=50, p_CLKOUT1_PHASE=0.0,
o_CLKOUT1=ext_clkout_clk),
2015-07-27 20:12:17 +08:00
Instance("BUFG", i_I=rtio_clk, o_O=self.cd_rtio.clk),
Instance("BUFG", i_I=rtiox4_clk, o_O=self.cd_rtiox4.clk),
Instance("BUFG", i_I=ext_clkout_clk, o_O=self.cd_ext_clkout.clk),
2015-07-27 20:12:17 +08:00
AsyncResetSynchronizer(self.cd_rtio, ~pll_locked),
MultiReg(pll_locked, self._pll_locked.status)
]
2015-02-27 12:50:52 +08:00
2015-06-29 03:37:27 +08:00
class _NIST_QCx(MiniSoC, AMPSoC):
2015-02-27 12:50:52 +08:00
csr_map = {
"rtio": None, # mapped on Wishbone instead
2015-06-02 17:41:40 +08:00
"rtio_crg": 13,
"kernel_cpu": 14,
2015-06-09 19:51:02 +08:00
"rtio_moninj": 15
2015-02-27 12:50:52 +08:00
}
2015-04-10 13:15:32 +08:00
csr_map.update(MiniSoC.csr_map)
mem_map = {
"rtio": 0x20000000, # (shadow @0xa0000000)
"mailbox": 0x70000000 # (shadow @0xf0000000)
}
mem_map.update(MiniSoC.mem_map)
2015-02-27 12:50:52 +08:00
2015-11-04 00:35:03 +08:00
def __init__(self, cpu_type="or1k", **kwargs):
MiniSoC.__init__(self,
cpu_type=cpu_type,
2015-11-04 00:35:03 +08:00
sdram_controller_type="minicon",
l2_size=128*1024,
with_timer=False, **kwargs)
AMPSoC.__init__(self)
2015-11-04 00:35:03 +08:00
2015-02-27 12:50:52 +08:00
self.submodules.leds = gpio.GPIOOut(Cat(
2015-11-04 00:35:03 +08:00
self.platform.request("user_led", 0),
self.platform.request("user_led", 1)))
2015-02-27 12:50:52 +08:00
2015-06-29 03:37:27 +08:00
def add_rtio(self, rtio_channels):
2015-07-27 20:12:17 +08:00
self.submodules.rtio_crg = _RTIOCRG(self.platform, self.crg.cd_sys.clk)
2015-07-27 10:57:15 +08:00
self.submodules.rtio = rtio.RTIO(rtio_channels)
2015-06-29 03:37:27 +08:00
self.add_constant("RTIO_FINE_TS_WIDTH", self.rtio.fine_ts_width)
2015-07-27 20:12:17 +08:00
assert self.rtio.fine_ts_width <= 3
2015-06-29 03:37:27 +08:00
self.add_constant("DDS_RTIO_CLK_RATIO", 8 >> self.rtio.fine_ts_width)
self.submodules.rtio_moninj = rtio.MonInj(rtio_channels)
if isinstance(self.platform.toolchain, XilinxVivadoToolchain):
self.platform.add_platform_command("""
create_clock -name rsys_clk -period 8.0 [get_nets {rsys_clk}]
create_clock -name rio_clk -period 8.0 [get_nets {rio_clk}]
set_false_path -from [get_clocks rsys_clk] -to [get_clocks rio_clk]
set_false_path -from [get_clocks rio_clk] -to [get_clocks rsys_clk]
""", rsys_clk=self.rtio.cd_rsys.clk, rio_clk=self.rtio.cd_rio.clk)
if isinstance(self.platform.toolchain, XilinxISEToolchain):
self.platform.add_platform_command("""
NET "sys_clk" TNM_NET = "GRPrsys_clk";
NET "{rio_clk}" TNM_NET = "GRPrio_clk";
TIMESPEC "TSfix_cdc1" = FROM "GRPrsys_clk" TO "GRPrio_clk" TIG;
TIMESPEC "TSfix_cdc2" = FROM "GRPrio_clk" TO "GRPrsys_clk" TIG;
""", rio_clk=self.rtio_crg.cd_rtio.clk)
2015-06-29 03:37:27 +08:00
rtio_csrs = self.rtio.get_csrs()
2015-11-04 00:35:03 +08:00
self.submodules.rtiowb = wishbone.CSRBank(rtio_csrs)
2015-06-29 03:37:27 +08:00
self.kernel_cpu.add_wb_slave(mem_decoder(self.mem_map["rtio"]),
self.rtiowb.bus)
self.add_csr_region("rtio", self.mem_map["rtio"] | 0x80000000, 32,
rtio_csrs)
class NIST_QC1(_NIST_QCx):
2015-11-04 00:35:03 +08:00
def __init__(self, cpu_type="or1k", **kwargs):
_NIST_QCx.__init__(self, cpu_type, **kwargs)
platform = self.platform
2015-06-29 03:37:27 +08:00
platform.add_extension(nist_qc1.fmc_adapter_io)
2015-02-27 12:50:52 +08:00
self.comb += [
platform.request("ttl_l_tx_en").eq(1),
platform.request("ttl_h_tx_en").eq(1)
]
2015-04-14 19:44:45 +08:00
rtio_channels = []
for i in range(2):
2015-07-27 20:12:17 +08:00
phy = ttl_serdes_7series.Inout_8X(platform.request("pmt", i))
2015-04-14 19:44:45 +08:00
self.submodules += phy
2015-06-09 19:51:02 +08:00
rtio_channels.append(rtio.Channel.from_phy(phy, ififo_depth=512))
2015-07-03 00:20:26 +08:00
for i in range(15):
2015-07-27 20:12:17 +08:00
phy = ttl_serdes_7series.Output_8X(platform.request("ttl", i))
2015-04-14 19:44:45 +08:00
self.submodules += phy
2015-06-09 19:51:02 +08:00
rtio_channels.append(rtio.Channel.from_phy(phy))
2015-04-14 19:44:45 +08:00
2015-08-18 15:20:42 +08:00
phy = ttl_simple.Inout(platform.request("user_sma_gpio_n"))
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy))
2015-04-14 19:44:45 +08:00
phy = ttl_simple.Output(platform.request("user_led", 2))
self.submodules += phy
2015-06-09 19:51:02 +08:00
rtio_channels.append(rtio.Channel.from_phy(phy))
self.add_constant("RTIO_REGULAR_TTL_COUNT", len(rtio_channels))
2015-04-14 19:44:45 +08:00
2015-07-03 00:20:26 +08:00
phy = ttl_simple.ClockGen(platform.request("ttl", 15))
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy))
self.add_constant("RTIO_DDS_CHANNEL", len(rtio_channels))
2015-06-20 05:30:17 +08:00
self.add_constant("DDS_CHANNEL_COUNT", 8)
2015-06-29 03:37:27 +08:00
self.add_constant("DDS_AD9858")
phy = dds.AD9858(platform.request("dds"), 8)
2015-04-14 19:44:45 +08:00
self.submodules += phy
2015-06-21 22:40:10 +08:00
rtio_channels.append(rtio.Channel.from_phy(phy,
ofifo_depth=512,
ififo_depth=4))
2015-06-29 03:37:27 +08:00
self.add_rtio(rtio_channels)
2015-04-14 19:44:45 +08:00
2015-04-02 16:53:57 +08:00
2015-06-29 03:37:27 +08:00
class NIST_QC2(_NIST_QCx):
def __init__(self, cpu_type="or1k", **kwargs):
_NIST_QCx.__init__(self, cpu_type, **kwargs)
2015-11-04 00:35:03 +08:00
platform = self.platform
2015-06-29 03:37:27 +08:00
platform.add_extension(nist_qc2.fmc_adapter_io)
2015-04-02 16:53:57 +08:00
2015-06-29 03:37:27 +08:00
rtio_channels = []
for i in range(16):
2015-07-03 00:20:26 +08:00
if i == 14:
# TTL14 is for the clock generator
2015-07-03 02:02:05 +08:00
continue
2015-06-29 03:37:27 +08:00
if i % 4 == 3:
2015-07-27 20:12:17 +08:00
phy = ttl_serdes_7series.Inout_8X(platform.request("ttl", i))
2015-06-29 03:37:27 +08:00
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy, ififo_depth=512))
else:
2015-07-27 20:12:17 +08:00
phy = ttl_serdes_7series.Output_8X(platform.request("ttl", i))
2015-06-29 03:37:27 +08:00
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy))
2015-08-18 15:20:42 +08:00
phy = ttl_simple.Inout(platform.request("user_sma_gpio_n"))
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy))
2015-06-29 03:37:27 +08:00
phy = ttl_simple.Output(platform.request("user_led", 2))
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy))
self.add_constant("RTIO_REGULAR_TTL_COUNT", len(rtio_channels))
2015-06-29 03:37:27 +08:00
2015-07-03 00:20:26 +08:00
phy = ttl_simple.ClockGen(platform.request("ttl", 14))
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy))
2015-06-29 03:37:27 +08:00
self.add_constant("RTIO_DDS_CHANNEL", len(rtio_channels))
self.add_constant("DDS_CHANNEL_COUNT", 11)
self.add_constant("DDS_AD9914")
self.add_constant("DDS_ONEHOT_SEL")
phy = dds.AD9914(platform.request("dds"), 11, onehot=True)
2015-06-29 03:37:27 +08:00
self.submodules += phy
rtio_channels.append(rtio.Channel.from_phy(phy,
ofifo_depth=512,
ififo_depth=4))
self.add_rtio(rtio_channels)
2015-04-02 16:53:57 +08:00
2015-04-14 19:44:45 +08:00
2015-11-04 00:35:03 +08:00
def main():
parser = argparse.ArgumentParser(
description="ARTIQ core device builder / KC705 "
"+ NIST Ions QC1/QC2 hardware adapters")
builder_args(parser)
soc_kc705_args(parser)
parser.add_argument("-H", "--hw-adapter", default="qc1",
help="hardware adapter type: qc1/qc2 "
"(default: %(default)s)")
args = parser.parse_args()
hw_adapter = args.hw_adapter.lower()
if hw_adapter == "qc1":
cls = NIST_QC1
elif hw_adapter == "qc2":
cls = NIST_QC2
else:
print("Invalid hardware adapter string (-H/--hw-adapter), "
"choose from qc1 or qc2")
sys.exit(1)
soc = cls(**soc_kc705_argdict(args))
builder = Builder(soc, **builder_argdict(args))
builder.add_software_package("liblwip", os.path.join(artiq_dir, "runtime",
"liblwip"))
builder.add_software_package("runtime", os.path.join(artiq_dir, "runtime"))
builder.build()
if __name__ == "__main__":
main()