forked from M-Labs/artiq
1
0
Fork 0
artiq/artiq/gateware
Sebastien Bourdeauducq 605292535c kasli: ignore OSERDESE2->ISERDESE2 timing path on DRTIO targets as well 2018-03-29 10:12:02 +08:00
..
amp refactor targets 2018-01-22 18:25:10 +08:00
drtio drtio: fix satellite minimum_coarse_timestamp clock domain (#947) 2018-03-13 00:20:57 +08:00
dsp dsp/fir: outputs reset_less (pipelined) 2018-03-13 17:11:50 +00:00
rtio ad53xx: port monitor, moninj dashboard, kc705 target 2018-03-24 16:04:02 +01:00
serwb serwb/phy: get 625Mbps linerate working, increase timeout 2018-01-09 18:54:52 +01:00
targets kasli: ignore OSERDESE2->ISERDESE2 timing path on DRTIO targets as well 2018-03-29 10:12:02 +08:00
test Revert "gateware: don't run tests if there is no migen." 2018-03-26 03:33:52 +00:00
__init__.py artiqlib -> artiq.gateware 2015-03-08 11:00:24 +01:00
ad9_dds.py ad9xxx -> ad9_dds 2017-01-04 11:34:52 +01:00
nist_clock.py gateware/nist_clock: increase DDS bus drive strength. Closes #468 2016-06-07 11:08:19 -04:00
nist_qc2.py qc2: swap SPI/TTL, all TTL lines are now In+Out compatible 2016-05-19 10:42:03 +08:00
remote_csr.py remote_csr: add sanity check of CSR CSV type column 2018-02-13 20:02:51 +08:00