web-legacy/milkymist-wiki/wiki/index.php?title=Development...

335 lines
25 KiB
HTML

<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="en" lang="en" dir="ltr">
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8" />
<meta http-equiv="Content-Style-Type" content="text/css" />
<meta name="generator" content="MediaWiki 1.14.0" />
<meta name="keywords" content="Development Environment,Flickernoise build instructions,RTEMS build instructions" />
<link rel="alternate" type="application/x-wiki" title="Edit" href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit" />
<link rel="edit" title="Edit" href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit" />
<link rel="shortcut icon" href="../favicon.ico" />
<link rel="search" type="application/opensearchdescription+xml" href="opensearch_desc.php" title="Milkymist Wiki (en)" />
<link title="Creative Commons" type="application/rdf+xml" href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=creativecommons" rel="meta" />
<link rel="copyright" href="http://www.milkymist.org/wiki/index.php?title=Copyright" />
<link rel="alternate" type="application/rss+xml" title="Milkymist Wiki RSS Feed" href="http://milkymist.org/wiki/index.php?title=Special:RecentChanges&amp;feed=rss" />
<link rel="alternate" type="application/atom+xml" title="Milkymist Wiki Atom Feed" href="http://milkymist.org/wiki/index.php?title=Special:RecentChanges&amp;feed=atom" />
<title>Development Environment - Milkymist Wiki</title>
<link rel="stylesheet" href="skins/common/shared.css%3F195.css" type="text/css" media="screen" />
<link rel="stylesheet" href="skins/common/commonPrint.css%3F195.css" type="text/css" media="print" />
<link rel="stylesheet" href="skins/monobook/main.css%3F195.css" type="text/css" media="screen" />
<!--[if lt IE 5.5000]><link rel="stylesheet" href="/wiki/skins/monobook/IE50Fixes.css?195" type="text/css" media="screen" /><![endif]-->
<!--[if IE 5.5000]><link rel="stylesheet" href="/wiki/skins/monobook/IE55Fixes.css?195" type="text/css" media="screen" /><![endif]-->
<!--[if IE 6]><link rel="stylesheet" href="/wiki/skins/monobook/IE60Fixes.css?195" type="text/css" media="screen" /><![endif]-->
<!--[if IE 7]><link rel="stylesheet" href="/wiki/skins/monobook/IE70Fixes.css?195" type="text/css" media="screen" /><![endif]-->
<link rel="stylesheet" href="http://milkymist.org/wiki/index.php?title=MediaWiki:Common.css&amp;usemsgcache=yes&amp;ctype=text%2Fcss&amp;smaxage=18000&amp;action=raw&amp;maxage=18000" type="text/css" />
<link rel="stylesheet" href="http://milkymist.org/wiki/index.php?title=MediaWiki:Print.css&amp;usemsgcache=yes&amp;ctype=text%2Fcss&amp;smaxage=18000&amp;action=raw&amp;maxage=18000" type="text/css" media="print" />
<link rel="stylesheet" href="http://milkymist.org/wiki/index.php?title=MediaWiki:Monobook.css&amp;usemsgcache=yes&amp;ctype=text%2Fcss&amp;smaxage=18000&amp;action=raw&amp;maxage=18000" type="text/css" />
<link rel="stylesheet" href="http://milkymist.org/wiki/index.php?title=-&amp;action=raw&amp;maxage=18000&amp;gen=css" type="text/css" />
<!--[if lt IE 7]><script type="text/javascript" src="/wiki/skins/common/IEFixes.js?195"></script>
<meta http-equiv="imagetoolbar" content="no" /><![endif]-->
<script type= "text/javascript">/*<![CDATA[*/
var skin = "monobook";
var stylepath = "/wiki/skins";
var wgArticlePath = "/wiki/index.php?title=$1";
var wgScriptPath = "/wiki";
var wgScript = "/wiki/index.php";
var wgVariantArticlePath = false;
var wgActionPaths = {};
var wgServer = "http://milkymist.org";
var wgCanonicalNamespace = "";
var wgCanonicalSpecialPageName = false;
var wgNamespaceNumber = 0;
var wgPageName = "Development_Environment";
var wgTitle = "Development Environment";
var wgAction = "view";
var wgArticleId = "67";
var wgIsArticle = true;
var wgUserName = null;
var wgUserGroups = null;
var wgUserLanguage = "en";
var wgContentLanguage = "en";
var wgBreakFrames = false;
var wgCurRevisionId = "1526";
var wgVersion = "1.14.0";
var wgEnableAPI = true;
var wgEnableWriteAPI = true;
var wgSeparatorTransformTable = ["", ""];
var wgDigitTransformTable = ["", ""];
var wgRestrictionEdit = [];
var wgRestrictionMove = [];
/*]]>*/</script>
<script type="text/javascript" src="skins/common/wikibits.js%3F195"><!-- wikibits js --></script>
<!-- Head Scripts -->
<script type="text/javascript" src="skins/common/ajax.js%3F195"></script>
<script type="text/javascript" src="http://milkymist.org/wiki/index.php?title=-&amp;action=raw&amp;gen=js&amp;useskin=monobook"><!-- site js --></script>
</head>
<body class="mediawiki ltr ns-0 ns-subject page-Development_Environment skin-monobook">
<div id="globalWrapper">
<div id="column-content">
<div id="content">
<a name="top" id="top"></a>
<h1 id="firstHeading" class="firstHeading">Development Environment</h1>
<div id="bodyContent">
<h3 id="siteSub">From Milkymist Wiki</h3>
<div id="contentSub"></div>
<div id="jump-to-nav">Jump to: <a href="index.php%3Ftitle=Development_Environment.html#column-one">navigation</a>, <a href="index.php%3Ftitle=Development_Environment.html#searchInput">search</a></div> <!-- start content -->
<p>MilkyMist consists of several components that need to be build using different tools. On this page you will find the instruction for setting up a development environment to build all of these components.
</p>
<table id="toc" class="toc" summary="Contents"><tr><td><div id="toctitle"><h2>Contents</h2></div>
<ul>
<li class="toclevel-1"><a href="index.php%3Ftitle=Development_Environment.html#Required_tools"><span class="tocnumber">1</span> <span class="toctext">Required tools</span></a>
<ul>
<li class="toclevel-2"><a href="index.php%3Ftitle=Development_Environment.html#LM32_Toolchain_and_Flickernoise"><span class="tocnumber">1.1</span> <span class="toctext">LM32 Toolchain and Flickernoise</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=Development_Environment.html#Download_locations"><span class="tocnumber">1.2</span> <span class="toctext">Download locations</span></a></li>
</ul>
</li>
<li class="toclevel-1"><a href="index.php%3Ftitle=Development_Environment.html#CentOS.2FRHEL"><span class="tocnumber">2</span> <span class="toctext">CentOS/RHEL</span></a>
<ul>
<li class="toclevel-2"><a href="index.php%3Ftitle=Development_Environment.html#Additional_packages_needed"><span class="tocnumber">2.1</span> <span class="toctext">Additional packages needed</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=Development_Environment.html#Steps"><span class="tocnumber">2.2</span> <span class="toctext">Steps</span></a></li>
</ul>
</li>
<li class="toclevel-1"><a href="index.php%3Ftitle=Development_Environment.html#Fedora"><span class="tocnumber">3</span> <span class="toctext">Fedora</span></a>
<ul>
<li class="toclevel-2"><a href="index.php%3Ftitle=Development_Environment.html#Fedora_12.2F13"><span class="tocnumber">3.1</span> <span class="toctext">Fedora 12/13</span></a>
<ul>
<li class="toclevel-3"><a href="index.php%3Ftitle=Development_Environment.html#Steps_2"><span class="tocnumber">3.1.1</span> <span class="toctext">Steps</span></a></li>
</ul>
</li>
<li class="toclevel-2"><a href="index.php%3Ftitle=Development_Environment.html#Fedora_14"><span class="tocnumber">3.2</span> <span class="toctext">Fedora 14</span></a>
<ul>
<li class="toclevel-3"><a href="index.php%3Ftitle=Development_Environment.html#Steps_3"><span class="tocnumber">3.2.1</span> <span class="toctext">Steps</span></a></li>
</ul>
</li>
</ul>
</li>
<li class="toclevel-1"><a href="index.php%3Ftitle=Development_Environment.html#Debian.2FUbuntu"><span class="tocnumber">4</span> <span class="toctext">Debian/Ubuntu</span></a></li>
</ul>
</td></tr></table><script type="text/javascript"> if (window.showTocToggle) { var tocShowText = "show"; var tocHideText = "hide"; showTocToggle(); } </script>
<a name="Required_tools" id="Required_tools"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=1" title="Edit section: Required tools">edit</a>]</span> <span class="mw-headline">Required tools</span></h2>
<p>You will need:
</p>
<ul><li> GNU Make,
</li><li> Bourne Again Shell (bash),
</li><li> Xilinx ISE for synthesizing the FPGA bitstream (WebPack is enough),
</li><li> LatticeMico32 toolchain for building the SoC software,
</li></ul>
<p>For the host-side tools:
</p>
<ul><li> native GCC toolchain,
</li><li> libGD,
</li></ul>
<p>For the demonstration firmware:
</p>
<ul><li> clang,
</li><li> gcc-avr,
</li><li> RE2C,
</li><li> Lemon parser generator,
</li><li> Scilab.
</li></ul>
<p><br />
</p>
<a name="LM32_Toolchain_and_Flickernoise" id="LM32_Toolchain_and_Flickernoise"></a><h3><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=2" title="Edit section: LM32 Toolchain and Flickernoise">edit</a>]</span> <span class="mw-headline"> LM32 Toolchain and Flickernoise </span></h3>
<p>For systems where a prebuilt package is not available see the <a href="index.php%3Ftitle=RTEMS_build_instructions.html" title="RTEMS build instructions">RTEMS_build_instructions</a> and <a href="index.php%3Ftitle=Flickernoise_build_instructions.html" title="Flickernoise build instructions">Flickernoise_build_instructions</a>
</p>
<a name="Download_locations" id="Download_locations"></a><h3><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=3" title="Edit section: Download locations">edit</a>]</span> <span class="mw-headline"> Download locations </span></h3>
<p>Xilinx ISE needs to be downloaded from the Xilinx website at <a href="http://www.xilinx.com/support/download/index.htm" class="external free" title="http://www.xilinx.com/support/download/index.htm" rel="nofollow">http://www.xilinx.com/support/download/index.htm</a>. After you have created a user account, you can continue to download the ISE Design Suite. For synthesizing the bitstream of Milkymist the ISE Webpack will suffice. You are advised to download the Single File Download Image (tar) using the Download Manager instead of the Web Install Client. The download is not very reliable without the Download Manager and you will probably have to retry several times if you don't use it.
</p><p>The LatticeMico32 toolchain is provided as a thirdparty component on the Milkymist website at <a href="http://milkymist.org/3rdparty/" class="external free" title="http://milkymist.org/3rdparty/" rel="nofollow">http://milkymist.org/3rdparty/</a>. The GCC 4.4 built is currently the recommended version.
</p><p>Although re2c (lexer) and lemon (parser generator) are quite well known, they are not provided by all the distributions as a standard component. When needed, it will be explained how to obtain and install it in the distribution specific instructions.
</p><p>Scilab is a numerical computational package used by the demonstration firmware. Several download options are provided on their website: <a href="http://www.scilab.org/products/scilab/download" class="external free" title="http://www.scilab.org/products/scilab/download" rel="nofollow">http://www.scilab.org/products/scilab/download</a>
</p>
<a name="CentOS.2FRHEL" id="CentOS.2FRHEL"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=4" title="Edit section: CentOS/RHEL">edit</a>]</span> <span class="mw-headline">CentOS/RHEL</span></h2>
<p>To build to bitstream and firmware on CentOS/RHEL it is advised to use the latest version available of the installation media and preferred to use a 32-bit version.
</p>
<a name="Additional_packages_needed" id="Additional_packages_needed"></a><h3><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=5" title="Edit section: Additional packages needed">edit</a>]</span> <span class="mw-headline"> Additional packages needed </span></h3>
<p>Enable the <a href="https://fedoraproject.org/wiki/EPEL" class="external text" title="https://fedoraproject.org/wiki/EPEL" rel="nofollow">EPEL repository</a> on CentOS/RHEL 5 using the command
</p>
<pre> su -c 'rpm -Uvh <a href="http://download.fedora.redhat.com/pub/epel/5/i386/epel-release-5-3.noarch.rpm'" class="external free" title="http://download.fedora.redhat.com/pub/epel/5/i386/epel-release-5-3.noarch.rpm'" rel="nofollow">http://download.fedora.redhat.com/pub/epel/5/i386/epel-release-5-3.noarch.rpm'</a>
</pre>
<p>Fedora Electronic Lab will be used as the basis of the system. This will provide packages for hardware design and simulation. Most of them aren't used in the process of building the software side of Milkymist, but could be used to participate in the overall hardware design.
</p><p>To use the LatticeMico32 toolchain on CentOS/RHEL you need to install a package that provides libmpfr and to build the demonstration firmware you need lemon. Since CentOS/RHEL and the EPEL repository do not provide these, we have created some packages that will provide the necessary libraries. Signed packages can be downloaded from <a href="http://gbraad.fedorapeople.org/files/el5/" class="external free" title="http://gbraad.fedorapeople.org/files/el5/" rel="nofollow">http://gbraad.fedorapeople.org/files/el5/</a> or <a href="http://files.gbraad.nl/milkymist/" class="external free" title="http://files.gbraad.nl/milkymist/" rel="nofollow">http://files.gbraad.nl/milkymist/</a>.
</p>
<a name="Steps" id="Steps"></a><h3><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=6" title="Edit section: Steps">edit</a>]</span> <span class="mw-headline"> Steps </span></h3>
<p>Install ISE Webpack in /opt/Xilinx/. Unpack and run ./xsetup
</p><p>Since CentOS/RHEL does not support the group install function, you will need to install the Fedora Electronic Lab as mentioned on <a href="https://fedorahosted.org/fedora-electronic-lab/wiki/FAQ#EPELRepository" class="external free" title="https://fedorahosted.org/fedora-electronic-lab/wiki/FAQ#EPELRepository" rel="nofollow">https://fedorahosted.org/fedora-electronic-lab/wiki/FAQ#EPELRepository</a>. It will form the basis of the rest of the system.
</p>
<pre> yum install alliance dfu-programmer dinotrace eclipse-cdt eclipse-subclipse electric emacs-verilog-mode \
eqntott espresso-ab geda-docs geda-examples geda-gattrib geda-gnetlist geda-gschem geda-gsymcheck geda-symbols \
geda-utils gerbv gnucap gplcver gpsim gputils gtkwave gtkwave irsim iverilog iverilog linsmith magic netgen \
ngspice pcb perl-Hardware-Verilog-Parser perl-Hardware-Vhdl-Parser perl-ModelSim-List perl-Perlilog perl-Verilog \
perl-Verilog-Readmem qucs qucs tkcvs tkgate toped vhd2vl vrq xcircuit freehdl octave-forge
</pre>
<p>To be able to build the host and demonstration firmware
</p>
<pre> yum install gd-devel gmp re2c
rpm -ivh mpfr-2.4.1-2.i386.rpm
rpm -ivh lemon-3.6.17-1.i386.rpm
cd /opt
tar xzvf scilab-5.2.0.bin.linux-i686.tar.gz
tar xjvf micotoolchain44.tar.bz2
</pre>
<p>and include these as paths to your .bash_profile
</p>
<pre> export PATH=/opt/scilab/bin:/opt/mico32/bin/:$PATH
</pre>
<p>To be able to get the source code from the repository you need Git.
</p>
<pre> yum install git gitk
</pre>
<p>To build the FPGA bitstream and demonstration firmware
</p>
<pre> . /opt/Xilinx/11.1/settings32.sh
cd ~/Projects/Milkymist
./build_bitstream
./build_bios
./build_demo
</pre>
<a name="Fedora" id="Fedora"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=7" title="Edit section: Fedora">edit</a>]</span> <span class="mw-headline"> Fedora </span></h2>
<a name="Fedora_12.2F13" id="Fedora_12.2F13"></a><h3><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=8" title="Edit section: Fedora 12/13">edit</a>]</span> <span class="mw-headline"> Fedora 12/13 </span></h3>
<a name="Steps_2" id="Steps_2"></a><h4><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=9" title="Edit section: Steps">edit</a>]</span> <span class="mw-headline"> Steps </span></h4>
<p>Install ISE Webpack in /opt/Xilinx. Unpack and run ./xsetup.
</p><p>disable or set SE-Linux to permissive. <a href="http://fedoraproject.org/wiki/SELinux/FAQ" class="external text" title="http://fedoraproject.org/wiki/SELinux/FAQ" rel="nofollow">Instructions</a>
</p>
<pre> setenforce 0
</pre>
<p>To install the basic system, you will need the Fedora Electronic Lab.
</p>
<pre> yum groupinstall "Electronic Lab"
</pre>
<p>To build the host and demonstration firmware
</p>
<pre> yum install gd-devel re2c lemon
cd /opt
tar xzvf scilab-5.2.0.bin.linux-i686.tar.gz
tar xjvf micotoolchain44.tar.bz2
</pre>
<p>and include these as paths to your .bash_profile
</p>
<pre> export PATH=/opt/scilab/bin:/opt/mico32/bin/:$PATH
</pre>
<p>To be able to get the source code from the repository you need Git.
</p>
<pre> yum install git gitk
</pre>
<p>To build the FPGA bitstream
</p>
<pre> . /opt/Xilinx/12.1/settings32.sh
cd ~/Projects/Milkymist
./build_bitstream
</pre>
<p>Due to the way the ISE settings control the LD_LIBRARY_PATH, you should preferably build the rest of the Milkymist components from a separate bash instance, else a build using the LatticeMico32 toolchain will fail.
</p>
<a name="Fedora_14" id="Fedora_14"></a><h3><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=10" title="Edit section: Fedora 14">edit</a>]</span> <span class="mw-headline"> Fedora 14 </span></h3>
<a name="Steps_3" id="Steps_3"></a><h4><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=11" title="Edit section: Steps">edit</a>]</span> <span class="mw-headline"> Steps </span></h4>
<p>To install the Milkymist development tools provided by Fedora:
</p>
<pre> yum groupinstall Milkymist
</pre>
<p>You will still need to install ISE to build the bitstream.
</p>
<a name="Debian.2FUbuntu" id="Debian.2FUbuntu"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit&amp;section=12" title="Edit section: Debian/Ubuntu">edit</a>]</span> <span class="mw-headline">Debian/Ubuntu</span></h2>
<p>To make your life easier, Debian packages are available for the LatticeMico32 GCC toolchain used for building Milkymist software, and for flterm, the RS232 software upload program.
</p><p><i><b>This could be outdate, please use and compile RTEMS toolchain instead</b></i>
</p><p>Add this line to your /etc/apt/sources.list:
</p>
<pre> deb <a href="http://www.milkymist.org/debian/" class="external free" title="http://www.milkymist.org/debian/" rel="nofollow">http://www.milkymist.org/debian/</a> ./
</pre>
<p>and install with:
</p>
<pre> apt-get update
apt-get install gcc-lm32 flterm
</pre>
<!--
NewPP limit report
Preprocessor node count: 13/1000000
Post-expand include size: 0/2097152 bytes
Template argument size: 0/2097152 bytes
Expensive parser function count: 0/100
-->
<!-- Saved in parser cache with key sbsql1-mw_:pcache:idhash:67-0!1!0!!en!2 and timestamp 20140823124211 -->
<div class="printfooter">
Retrieved from "<a href="index.php%3Ftitle=Development_Environment.html">http://milkymist.org/wiki/index.php?title=Development_Environment</a>"</div>
<!-- end content -->
<div class="visualClear"></div>
</div>
</div>
</div>
<div id="column-one">
<div id="p-cactions" class="portlet">
<h5>Views</h5>
<div class="pBody">
<ul>
<li id="ca-nstab-main" class="selected"><a href="index.php%3Ftitle=Development_Environment.html" title="View the content page [c]" accesskey="c">Page</a></li>
<li id="ca-talk" class="new"><a href="http://milkymist.org/wiki/index.php?title=Talk:Development_Environment&amp;action=edit&amp;redlink=1" title="Discussion about the content page [t]" accesskey="t">Discussion</a></li>
<li id="ca-edit"><a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=edit" title="You can edit this page.&#10;Please use the preview button before saving [e]" accesskey="e">Edit</a></li>
<li id="ca-history"><a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;action=history" title="Past versions of this page [h]" accesskey="h">History</a></li> </ul>
</div>
</div>
<div class="portlet" id="p-personal">
<h5>Personal tools</h5>
<div class="pBody">
<ul>
<li id="pt-login"><a href="http://milkymist.org/wiki/index.php?title=Special:UserLogin&amp;returnto=Development_Environment" title="You are encouraged to log in; however, it is not mandatory [o]" accesskey="o">Log in / create account</a></li>
</ul>
</div>
</div>
<div class="portlet" id="p-logo">
<a style="background-image: url(http://www.milkymist.org/wikilogo.png);" href="../wiki.html" title="Visit the main page [z]" accesskey="z"></a>
</div>
<script type="text/javascript"> if (window.isMSIE55) fixalpha(); </script>
<div class='generated-sidebar portlet' id='p-navigation'>
<h5>Navigation</h5>
<div class='pBody'>
<ul>
<li id="n-mainpage-description"><a href="../wiki.html">Main Page</a></li>
<li id="n-portal"><a href="http://milkymist.org/wiki/index.php?title=Milkymist_Wiki:Community_Portal" title="About the project, what you can do, where to find things">Community portal</a></li>
<li id="n-currentevents"><a href="http://milkymist.org/wiki/index.php?title=Milkymist_Wiki:Current_events" title="Find background information on current events">Current events</a></li>
<li id="n-recentchanges"><a href="http://milkymist.org/wiki/index.php?title=Special:RecentChanges" title="The list of recent changes in the wiki [r]" accesskey="r">Recent changes</a></li>
<li id="n-randompage"><a href="http://milkymist.org/wiki/index.php?title=Special:Random" title="Load a random page [x]" accesskey="x">Random page</a></li>
<li id="n-help"><a href="http://milkymist.org/wiki/index.php?title=Help:Contents" title="The place to find out">Help</a></li>
</ul>
</div>
</div>
<div id="p-search" class="portlet">
<h5><label for="searchInput">Search</label></h5>
<div id="searchBody" class="pBody">
<form action="http://milkymist.org/wiki/index.php?title=Special:Search" id="searchform"><div>
<input id="searchInput" name="search" type="text" title="Search Milkymist Wiki [f]" accesskey="f" value="" />
<input type='submit' name="go" class="searchButton" id="searchGoButton" value="Go" title="Go to a page with this exact name if exists" />&nbsp;
<input type='submit' name="fulltext" class="searchButton" id="mw-searchButton" value="Search" title="Search the pages for this text" />
</div></form>
</div>
</div>
<div class="portlet" id="p-tb">
<h5>Toolbox</h5>
<div class="pBody">
<ul>
<li id="t-whatlinkshere"><a href="http://milkymist.org/wiki/index.php?title=Special:WhatLinksHere/Development_Environment" title="List of all wiki pages that link here [j]" accesskey="j">What links here</a></li>
<li id="t-recentchangeslinked"><a href="http://milkymist.org/wiki/index.php?title=Special:RecentChangesLinked/Development_Environment" title="Recent changes in pages linked from this page [k]" accesskey="k">Related changes</a></li>
<li id="t-specialpages"><a href="http://milkymist.org/wiki/index.php?title=Special:SpecialPages" title="List of all special pages [q]" accesskey="q">Special pages</a></li>
<li id="t-print"><a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;printable=yes" title="Printable version of this page [p]" accesskey="p">Printable version</a></li> <li id="t-permalink"><a href="http://milkymist.org/wiki/index.php?title=Development_Environment&amp;oldid=1526" title="Permanent link to this version of the page">Permanent link</a></li> </ul>
</div>
</div>
</div><!-- end of the left (by default at least) column -->
<div class="visualClear"></div>
<div id="footer">
<div id="f-poweredbyico"><a href="http://www.mediawiki.org/"><img src="skins/common/images/poweredby_mediawiki_88x31.png" alt="Powered by MediaWiki" /></a></div>
<div id="f-copyrightico"><a href="http://www.milkymist.org/wiki/index.php?title=Copyright"><img src="skins/common/images/gnu-fdl.png" alt='GNU Free Documentation License 1.3 and CC-BY-SA 3.0 Unported' /></a></div>
<ul id="f-list">
<li id="lastmod"> This page was last modified on 13 July 2011, at 06:22.</li>
<li id="viewcount">This page has been accessed 9,332 times.</li>
<li id="copyright">Content is available under <a href="http://www.milkymist.org/wiki/index.php?title=Copyright" class="external " title="http://www.milkymist.org/wiki/index.php?title=Copyright">GNU Free Documentation License 1.3 and CC-BY-SA 3.0 Unported</a>.</li>
<li id="privacy"><a href="http://milkymist.org/wiki/index.php?title=Milkymist_Wiki:Privacy_policy" title="Milkymist Wiki:Privacy policy">Privacy policy</a></li>
<li id="about"><a href="http://milkymist.org/wiki/index.php?title=Milkymist_Wiki:About" title="Milkymist Wiki:About">About Milkymist Wiki</a></li>
<li id="disclaimer"><a href="http://milkymist.org/wiki/index.php?title=Milkymist_Wiki:General_disclaimer" title="Milkymist Wiki:General disclaimer">Disclaimers</a></li>
</ul>
</div>
</div>
<script type="text/javascript">if (window.runOnloadHook) runOnloadHook();</script>
<!-- Served in 0.185 secs. --></body></html>