web-legacy/milkymist-wiki/wiki/index.php?title=SoC_Roadmap...

457 lines
35 KiB
HTML

<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<html xmlns="http://www.w3.org/1999/xhtml" xml:lang="en" lang="en" dir="ltr">
<head>
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8" />
<meta http-equiv="Content-Style-Type" content="text/css" />
<meta name="generator" content="MediaWiki 1.14.0" />
<meta name="keywords" content="SoC Roadmap" />
<link rel="alternate" type="application/x-wiki" title="Edit" href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit" />
<link rel="edit" title="Edit" href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit" />
<link rel="shortcut icon" href="../favicon.ico" />
<link rel="search" type="application/opensearchdescription+xml" href="opensearch_desc.php" title="Milkymist Wiki (en)" />
<link title="Creative Commons" type="application/rdf+xml" href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=creativecommons" rel="meta" />
<link rel="copyright" href="http://www.milkymist.org/wiki/index.php?title=Copyright" />
<link rel="alternate" type="application/rss+xml" title="Milkymist Wiki RSS Feed" href="http://milkymist.org/wiki/index.php?title=Special:RecentChanges&amp;feed=rss" />
<link rel="alternate" type="application/atom+xml" title="Milkymist Wiki Atom Feed" href="http://milkymist.org/wiki/index.php?title=Special:RecentChanges&amp;feed=atom" />
<title>SoC Roadmap - Milkymist Wiki</title>
<link rel="stylesheet" href="skins/common/shared.css%3F195.css" type="text/css" media="screen" />
<link rel="stylesheet" href="skins/common/commonPrint.css%3F195.css" type="text/css" media="print" />
<link rel="stylesheet" href="skins/monobook/main.css%3F195.css" type="text/css" media="screen" />
<!--[if lt IE 5.5000]><link rel="stylesheet" href="/wiki/skins/monobook/IE50Fixes.css?195" type="text/css" media="screen" /><![endif]-->
<!--[if IE 5.5000]><link rel="stylesheet" href="/wiki/skins/monobook/IE55Fixes.css?195" type="text/css" media="screen" /><![endif]-->
<!--[if IE 6]><link rel="stylesheet" href="/wiki/skins/monobook/IE60Fixes.css?195" type="text/css" media="screen" /><![endif]-->
<!--[if IE 7]><link rel="stylesheet" href="/wiki/skins/monobook/IE70Fixes.css?195" type="text/css" media="screen" /><![endif]-->
<link rel="stylesheet" href="http://milkymist.org/wiki/index.php?title=MediaWiki:Common.css&amp;usemsgcache=yes&amp;ctype=text%2Fcss&amp;smaxage=18000&amp;action=raw&amp;maxage=18000" type="text/css" />
<link rel="stylesheet" href="http://milkymist.org/wiki/index.php?title=MediaWiki:Print.css&amp;usemsgcache=yes&amp;ctype=text%2Fcss&amp;smaxage=18000&amp;action=raw&amp;maxage=18000" type="text/css" media="print" />
<link rel="stylesheet" href="http://milkymist.org/wiki/index.php?title=MediaWiki:Monobook.css&amp;usemsgcache=yes&amp;ctype=text%2Fcss&amp;smaxage=18000&amp;action=raw&amp;maxage=18000" type="text/css" />
<link rel="stylesheet" href="http://milkymist.org/wiki/index.php?title=-&amp;action=raw&amp;maxage=18000&amp;gen=css" type="text/css" />
<!--[if lt IE 7]><script type="text/javascript" src="/wiki/skins/common/IEFixes.js?195"></script>
<meta http-equiv="imagetoolbar" content="no" /><![endif]-->
<script type= "text/javascript">/*<![CDATA[*/
var skin = "monobook";
var stylepath = "/wiki/skins";
var wgArticlePath = "/wiki/index.php?title=$1";
var wgScriptPath = "/wiki";
var wgScript = "/wiki/index.php";
var wgVariantArticlePath = false;
var wgActionPaths = {};
var wgServer = "http://milkymist.org";
var wgCanonicalNamespace = "";
var wgCanonicalSpecialPageName = false;
var wgNamespaceNumber = 0;
var wgPageName = "SoC_Roadmap";
var wgTitle = "SoC Roadmap";
var wgAction = "view";
var wgArticleId = "28";
var wgIsArticle = true;
var wgUserName = null;
var wgUserGroups = null;
var wgUserLanguage = "en";
var wgContentLanguage = "en";
var wgBreakFrames = false;
var wgCurRevisionId = "1822";
var wgVersion = "1.14.0";
var wgEnableAPI = true;
var wgEnableWriteAPI = true;
var wgSeparatorTransformTable = ["", ""];
var wgDigitTransformTable = ["", ""];
var wgRestrictionEdit = [];
var wgRestrictionMove = [];
/*]]>*/</script>
<script type="text/javascript" src="skins/common/wikibits.js%3F195"><!-- wikibits js --></script>
<!-- Head Scripts -->
<script type="text/javascript" src="skins/common/ajax.js%3F195"></script>
<script type="text/javascript" src="http://milkymist.org/wiki/index.php?title=-&amp;action=raw&amp;gen=js&amp;useskin=monobook"><!-- site js --></script>
</head>
<body class="mediawiki ltr ns-0 ns-subject page-SoC_Roadmap skin-monobook">
<div id="globalWrapper">
<div id="column-content">
<div id="content">
<a name="top" id="top"></a>
<h1 id="firstHeading" class="firstHeading">SoC Roadmap</h1>
<div id="bodyContent">
<h3 id="siteSub">From Milkymist Wiki</h3>
<div id="contentSub"></div>
<div id="jump-to-nav">Jump to: <a href="index.php%3Ftitle=SoC_Roadmap.html#column-one">navigation</a>, <a href="index.php%3Ftitle=SoC_Roadmap.html#searchInput">search</a></div> <!-- start content -->
<table id="toc" class="toc" summary="Contents"><tr><td><div id="toctitle"><h2>Contents</h2></div>
<ul>
<li class="toclevel-1"><a href="index.php%3Ftitle=SoC_Roadmap.html#Milkymist_SoC_0.x_series"><span class="tocnumber">1</span> <span class="toctext">Milkymist SoC 0.x series</span></a>
<ul>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.1_.28August_20th.2C_2009.29"><span class="tocnumber">1.1</span> <span class="toctext">0.1 (August 20th, 2009)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.1.1_.28August_24th.2C_2009.29"><span class="tocnumber">1.2</span> <span class="toctext">0.1.1 (August 24th, 2009)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.1.2_.28September_12th.2C_2009.29"><span class="tocnumber">1.3</span> <span class="toctext">0.1.2 (September 12th, 2009)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.1.3_.28November_14th.2C_2009.29"><span class="tocnumber">1.4</span> <span class="toctext">0.1.3 (November 14th, 2009)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.2_.28January_16th.2C_2010.29"><span class="tocnumber">1.5</span> <span class="toctext">0.2 (January 16th, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.2.1_.28January_31st.2C_2010.29"><span class="tocnumber">1.6</span> <span class="toctext">0.2.1 (January 31st, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.3_.28February_18th.2C_2010.29"><span class="tocnumber">1.7</span> <span class="toctext">0.3 (February 18th, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.4_.28March_8th.2C_2010.29"><span class="tocnumber">1.8</span> <span class="toctext">0.4 (March 8th, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.5_.28April_18th.2C_2010.29"><span class="tocnumber">1.9</span> <span class="toctext">0.5 (April 18th, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.5.1_.28June_10th.2C_2010.29"><span class="tocnumber">1.10</span> <span class="toctext">0.5.1 (June 10th, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.6_.28June_27th.2C_2010.29"><span class="tocnumber">1.11</span> <span class="toctext">0.6 (June 27th, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.7_.28July_23rd.2C_2010.29"><span class="tocnumber">1.12</span> <span class="toctext">0.7 (July 23rd, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.8_.28August_14th.2C_2010.29"><span class="tocnumber">1.13</span> <span class="toctext">0.8 (August 14th, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#0.9_.28October_3rd.2C_2010.29"><span class="tocnumber">1.14</span> <span class="toctext">0.9 (October 3rd, 2010)</span></a></li>
</ul>
</li>
<li class="toclevel-1"><a href="index.php%3Ftitle=SoC_Roadmap.html#Milkymist_SoC_1.x_series"><span class="tocnumber">2</span> <span class="toctext">Milkymist SoC 1.x series</span></a>
<ul>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#1.0RC1_.28November_18th.2C_2010.29"><span class="tocnumber">2.1</span> <span class="toctext">1.0RC1 (November 18th, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#1.0RC2_.28December_23rd.2C_2010.29"><span class="tocnumber">2.2</span> <span class="toctext">1.0RC2 (December 23rd, 2010)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#1.0RC3_.28April_6th.2C_2011.29"><span class="tocnumber">2.3</span> <span class="toctext">1.0RC3 (April 6th, 2011)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#1.0RC4_.28May_23rd.2C_2011.29"><span class="tocnumber">2.4</span> <span class="toctext">1.0RC4 (May 23rd, 2011)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#1.0_.28July_10th.2C_2011.29"><span class="tocnumber">2.5</span> <span class="toctext">1.0 (July 10th, 2011)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#1.0.1_.28November_13th.2C_2011.29"><span class="tocnumber">2.6</span> <span class="toctext">1.0.1 (November 13th, 2011)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#1.1_.28November_29th.2C_2011.29"><span class="tocnumber">2.7</span> <span class="toctext">1.1 (November 29th, 2011)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#1.2_.28March_1st.2C_2012.29"><span class="tocnumber">2.8</span> <span class="toctext">1.2 (March 1st, 2012)</span></a></li>
<li class="toclevel-2"><a href="index.php%3Ftitle=SoC_Roadmap.html#Other_ideas"><span class="tocnumber">2.9</span> <span class="toctext">Other ideas</span></a></li>
</ul>
</li>
<li class="toclevel-1"><a href="index.php%3Ftitle=SoC_Roadmap.html#Milkymist_SoC_2.x_series.3F"><span class="tocnumber">3</span> <span class="toctext">Milkymist SoC 2.x series?</span></a></li>
<li class="toclevel-1"><a href="index.php%3Ftitle=SoC_Roadmap.html#Milkymist_SoC_3.x_series.3F"><span class="tocnumber">4</span> <span class="toctext">Milkymist SoC 3.x series?</span></a></li>
</ul>
</td></tr></table><script type="text/javascript"> if (window.showTocToggle) { var tocShowText = "show"; var tocHideText = "hide"; showTocToggle(); } </script>
<a name="Milkymist_SoC_0.x_series" id="Milkymist_SoC_0.x_series"></a><h1><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=1" title="Edit section: Milkymist SoC 0.x series">edit</a>]</span> <span class="mw-headline"> Milkymist SoC 0.x series </span></h1>
<a name="0.1_.28August_20th.2C_2009.29" id="0.1_.28August_20th.2C_2009.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=2" title="Edit section: 0.1 (August 20th, 2009)">edit</a>]</span> <span class="mw-headline"> 0.1 (August 20th, 2009) </span></h2>
<ul><li> First release (pre-alpha)
</li><li> Can render a simplified version of "Geiss - Cosmic Dust 2"
</li><li> Xilinx ML401 support only
</li></ul>
<a name="0.1.1_.28August_24th.2C_2009.29" id="0.1.1_.28August_24th.2C_2009.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=3" title="Edit section: 0.1.1 (August 24th, 2009)">edit</a>]</span> <span class="mw-headline"> 0.1.1 (August 24th, 2009) </span></h2>
<ul><li> Support of a small SoC on the Avnet Spartan-3A board for educational purposes (<a href="http://www.tmplab.org/wiki/index.php/FPGA_Workshop" class="external free" title="http://www.tmplab.org/wiki/index.php/FPGA_Workshop" rel="nofollow">http://www.tmplab.org/wiki/index.php/FPGA_Workshop</a>)
</li><li> Minor bugfixes
</li></ul>
<a name="0.1.2_.28September_12th.2C_2009.29" id="0.1.2_.28September_12th.2C_2009.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=4" title="Edit section: 0.1.2 (September 12th, 2009)">edit</a>]</span> <span class="mw-headline"> 0.1.2 (September 12th, 2009) </span></h2>
<ul><li> BIOS boot screen
</li><li> Chroma key support in TMU
</li><li> Documentation for TMU improvements in 0.3
</li><li> Minor bugfixes
</li></ul>
<a name="0.1.3_.28November_14th.2C_2009.29" id="0.1.3_.28November_14th.2C_2009.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=5" title="Edit section: 0.1.3 (November 14th, 2009)">edit</a>]</span> <span class="mw-headline"> 0.1.3 (November 14th, 2009) </span></h2>
<ul><li> Directory reorganization
</li><li> GPLv3 license
</li><li> Preliminary support for external software emulation library
</li><li> Support for warm boot (from Takeshi)
</li><li> Support for PS/2 (from Takeshi)
</li><li> Edge-sensitive interrupts on all cores
</li><li> Documentation update
</li></ul>
<a name="0.2_.28January_16th.2C_2010.29" id="0.2_.28January_16th.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=6" title="Edit section: 0.2 (January 16th, 2010)">edit</a>]</span> <span class="mw-headline"> 0.2 (January 16th, 2010) </span></h2>
<ul><li> Support for Ethernet (using Opencores ethmac)
</li><li> PS/2 write support (Takeshi)
</li><li> Hard reset support
</li><li> Dropped support for the Avnet Spartan-3A board, now available as a fork
</li><li> flterm 1.1 (now has default load addresses)
</li><li> Upgraded to LatticeMico32 3.5
</li><li> Reduced drive strength for SystemACE
</li><li> New splash screen
</li></ul>
<a name="0.2.1_.28January_31st.2C_2010.29" id="0.2.1_.28January_31st.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=7" title="Edit section: 0.2.1 (January 31st, 2010)">edit</a>]</span> <span class="mw-headline"> 0.2.1 (January 31st, 2010) </span></h2>
<ul><li> Fixed DRAM write-to-read timing violation (Zeus)
</li><li> Improved DRAM read-to-write and write-to-write timing (Zeus)
</li><li> Cache coherent VGA framebuffer transactions
</li><li> Minor fixes and cleanups
</li></ul>
<a name="0.3_.28February_18th.2C_2010.29" id="0.3_.28February_18th.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=8" title="Edit section: 0.3 (February 18th, 2010)">edit</a>]</span> <span class="mw-headline"> 0.3 (February 18th, 2010) </span></h2>
<ul><li> Major TMU redesign (TMU2):
<ul><li> Improved performance (2-3 times faster)
</li><li> Rectangular rendering primitive
</li><li> Bilinear texture filtering
</li><li> Texture wrapping
</li><li> Subpixel texture resolution
</li><li> New vertex format
</li></ul>
</li><li> PFPU modified to support the new TMU vertex format
</li><li> Additional MilkDrop features in the demo firmware:
<ul><li> More wave modes
</li><li> Borders
</li><li> Motion vectors (experimental)
</li><li> Texture wrapping
</li><li> Random preset chooser
</li><li> Fine-grained decay
</li></ul>
</li></ul>
<a name="0.4_.28March_8th.2C_2010.29" id="0.4_.28March_8th.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=9" title="Edit section: 0.4 (March 8th, 2010)">edit</a>]</span> <span class="mw-headline"> 0.4 (March 8th, 2010) </span></h2>
<ul><li> New, light Ethernet MAC (Minimac)
</li><li> TFTP network boot from the BIOS
</li><li> Fixed TMU2 interpolation (bug reported by Jacky)
</li></ul>
<a name="0.5_.28April_18th.2C_2010.29" id="0.5_.28April_18th.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=10" title="Edit section: 0.5 (April 18th, 2010)">edit</a>]</span> <span class="mw-headline"> 0.5 (April 18th, 2010) </span></h2>
<ul><li> System capabilities register
</li><li> Memory performance monitoring (retrieves memory bandwidth utilization and average memory access time from the live system)
</li><li> New PFPU instructions:
<ul><li> QUAKE (Quake-III style inverse square root approximation)
</li><li> IF (conditional)
</li><li> TSIGN (sign manipulation)
</li></ul>
</li><li> Translucency (alpha) support in TMU
</li><li> Faster FastMemoryLink arbiter
</li><li> Fixed DRAM write-to-read (tWTR) timing violation on fully pipelined transfers
</li><li> New FPVM (Floating Point Virtual Machine) library for runtime compilation of PFPU programs
<ul><li> High-level API makes it easy to use the PFPU
</li><li> Supports addition, subtraction, multiplication, fast inverse square root, square root, division (experimental), modulo (experimental), integer/float conversions, comparisons (above/below/equal), conditional statements (if), absolute value, sine, cosine, integer part, min(), max()
</li></ul>
</li><li> New patch parser
</li><li> New renderer features
<ul><li> Configurable per-vertex equations
</li><li> Video echo
</li><li> Warp
</li><li> Scale (sx/sy)
</li><li> Q variables
</li></ul>
</li><li> New patches included
</li><li> irender command to input patch code on the serial console
</li><li> Build host tools using clang instead of GCC
</li><li> Software bugfixes
<ul><li> TFTP boot in QEMU
</li><li> Correct placement of motion vectors
</li><li> LCD user interface race conditions
</li><li> Renderer stop race conditions
</li></ul>
</li></ul>
<a name="0.5.1_.28June_10th.2C_2010.29" id="0.5.1_.28June_10th.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=11" title="Edit section: 0.5.1 (June 10th, 2010)">edit</a>]</span> <span class="mw-headline"> 0.5.1 (June 10th, 2010) </span></h2>
<ul><li> Complete thesis report in documentation
</li><li> 8kB-&gt;16kB texel cache
</li><li> TMU performance monitoring
</li><li> Fixed texel cache initialization bug
</li><li> Fixed handling of variables read before written in per-vertex equations
</li><li> All peripherals enabled by default (including Ethernet)
</li><li> New SDRAM initialization system, easy compatibility with 3rd party bootloaders
</li><li> Removed on-chip SRAM (no longer needed)
</li><li> Increased Minimac FIFO sizes to prevent xflows
</li><li> Faster line drawing code
</li><li> Now comes with 62 patches
</li></ul>
<a name="0.6_.28June_27th.2C_2010.29" id="0.6_.28June_27th.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=12" title="Edit section: 0.6 (June 27th, 2010)">edit</a>]</span> <span class="mw-headline"> 0.6 (June 27th, 2010) </span></h2>
<ul><li> Support for the Milkymist One
</li><li> OSD patch selection
</li><li> New asynchronous FIFO design
</li><li> Improved TMU2 rounding mode
</li><li> Improved decay handling
</li><li> 16kB-&gt;32kB texel cache
</li><li> 32kB L2 cache
</li><li> Smaller and direct mapped L1 cache
</li><li> Limit FPS to 25 instead of 30 to ensure smooth execution on more presets
</li><li> More patches
</li></ul>
<a name="0.7_.28July_23rd.2C_2010.29" id="0.7_.28July_23rd.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=13" title="Edit section: 0.7 (July 23rd, 2010)">edit</a>]</span> <span class="mw-headline"> 0.7 (July 23rd, 2010) </span></h2>
<ul><li> Support for video input (not used by the demo renderer)
</li><li> Support for MIDI (not used by the demo renderer)
</li><li> Support for VESA DDC (not used by the demo renderer)
</li><li> Support for RC5 infrared remote control (usable in OSD menu)
</li><li> Flash write support (contributed by Michael Walle)
</li><li> New memory map (contributed by Michael Walle)
</li><li> Experimental "softusb" USB core (no functional firmware yet)
</li><li> Increased Minimac FIFO sizes
</li><li> Improved netboot code
</li></ul>
<a name="0.8_.28August_14th.2C_2010.29" id="0.8_.28August_14th.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=14" title="Edit section: 0.8 (August 14th, 2010)">edit</a>]</span> <span class="mw-headline"> 0.8 (August 14th, 2010) </span></h2>
<ul><li> Support for DMX
</li><li> Support for memory card
</li><li> Support for Synplify synthesis
</li><li> Fixed a bug that prevented access to the upper 64M of SDRAM. The whole 128M are addressable now.
</li><li> Rewritten USB PHY
</li><li> All AVR Classic instructions in Navré, except conditional jumps on I/O registers
</li><li> Navré bugfixes
</li></ul>
<a name="0.9_.28October_3rd.2C_2010.29" id="0.9_.28October_3rd.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=15" title="Edit section: 0.9 (October 3rd, 2010)">edit</a>]</span> <span class="mw-headline"> 0.9 (October 3rd, 2010) </span></h2>
<ul><li> Extensive Navré core testing
</li><li> Navré bugfixes
</li><li> Wishbone burst support in L2 cache
</li><li> Crossbar Wishbone switch
</li><li> LM32 JTAG debugger support (Michael Walle)
</li><li> Support for USB input devices (mouse + keyboard)
</li><li> Text console in BIOS and demo firmware
</li><li> MIDI THRU support
</li><li> New memory map (Michael Walle)
</li><li> New flash map
</li><li> Standby and rescue bitstreams
</li><li> ICAP write support
</li><li> Fixed DDC EDID read, now works reliably with all monitors
</li><li> Reduced frequency to 80MHz
</li><li> Memory tester core
</li><li> Board revision readout
</li><li> Fixed SDRAM reset bug
</li><li> Code cleanups
</li></ul>
<a name="Milkymist_SoC_1.x_series" id="Milkymist_SoC_1.x_series"></a><h1><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=16" title="Edit section: Milkymist SoC 1.x series">edit</a>]</span> <span class="mw-headline"> Milkymist SoC 1.x series </span></h1>
<a name="1.0RC1_.28November_18th.2C_2010.29" id="1.0RC1_.28November_18th.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=17" title="Edit section: 1.0RC1 (November 18th, 2010)">edit</a>]</span> <span class="mw-headline"> 1.0RC1 (November 18th, 2010) </span></h2>
<ul><li> USB bugfixes
</li><li> MAC address readout
</li><li> SoC version readout
</li><li> Debugger bugfixes (Michael Walle)
</li><li> Documentation update
</li></ul>
<a name="1.0RC2_.28December_23rd.2C_2010.29" id="1.0RC2_.28December_23rd.2C_2010.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=18" title="Edit section: 1.0RC2 (December 23rd, 2010)">edit</a>]</span> <span class="mw-headline"> 1.0RC2 (December 23rd, 2010) </span></h2>
<ul><li> Fix makeraw extension detection
</li><li> Do not require LCK_cycle for standby bitstream
</li><li> TMU: additive drawing
</li><li> Generate byte-swapped raw bitstream images for Flickernoise flashing
</li><li> Non-essential cores disabled in standby bitstream to ease timing closure
</li></ul>
<a name="1.0RC3_.28April_6th.2C_2011.29" id="1.0RC3_.28April_6th.2C_2011.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=19" title="Edit section: 1.0RC3 (April 6th, 2011)">edit</a>]</span> <span class="mw-headline"> 1.0RC3 (April 6th, 2011) </span></h2>
<ul><li> Support video mode switching up to 1024x768
</li><li> Support WM9707 audio codec
</li><li> Support GDB serial debugging (Michael Walle)
</li><li> flterm GDB pass-through (Michael Walle)
</li><li> Full support for UrJTAG loading and flashing
</li><li> Support LZMA decompression of flash boot images
</li><li> GSI LM32 patches
</li><li> Re-enabled all cores in rescue mode (works with ISE 13.1)
</li><li> Support PCB revision 2 (RC3 run)
</li><li> Memory card bugfixes
</li></ul>
<a name="1.0RC4_.28May_23rd.2C_2011.29" id="1.0RC4_.28May_23rd.2C_2011.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=20" title="Edit section: 1.0RC4 (May 23rd, 2011)">edit</a>]</span> <span class="mw-headline"> 1.0RC4 (May 23rd, 2011) </span></h2>
<ul><li> New Ethernet MAC (minimac2)
</li><li> BIOS: report rescue mode to booted application
</li><li> BIOS: disable splash screen display with a short press of the power button
</li><li> GDB: fix max packet size reporting
</li><li> Standby: debounce keys, only power up on rising edge
</li></ul>
<a name="1.0_.28July_10th.2C_2011.29" id="1.0_.28July_10th.2C_2011.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=21" title="Edit section: 1.0 (July 10th, 2011)">edit</a>]</span> <span class="mw-headline"> 1.0 (July 10th, 2011) </span></h2>
<ul><li> Fixed RC5 sampling point
</li><li> Fixed BT656CAP IRQ timing
</li><li> Reset Ethernet PHY during BIOS startup
</li><li> gdbstub: disable break on entering debug ROM
</li></ul>
<a name="1.0.1_.28November_13th.2C_2011.29" id="1.0.1_.28November_13th.2C_2011.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=22" title="Edit section: 1.0.1 (November 13th, 2011)">edit</a>]</span> <span class="mw-headline"> 1.0.1 (November 13th, 2011) </span></h2>
<ul><li> Catch NULL pointers and generate bus errors
</li><li> flterm: log support (Xiangfu Liu)
</li><li> LM32: update to upstream 3.6 sources (Michael Walle)
</li><li> Enable ISE 13.2 BRAM silicon bug workaround (Xilinx AR 39999)
</li><li> gdbstub: save and restore IE/IM/IP (Michael Walle)
</li><li> gdbstub: fix off-by-one error (Michael Walle)
</li></ul>
<a name="1.1_.28November_29th.2C_2011.29" id="1.1_.28November_29th.2C_2011.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=23" title="Edit section: 1.1 (November 29th, 2011)">edit</a>]</span> <span class="mw-headline"> 1.1 (November 29th, 2011) </span></h2>
<ul><li> TMU prefetch
</li><li> New UART core (Michael Walle)
</li><li> USB firmware bugfixes (Werner Almesberger)
</li><li> USB DPLL fixes
</li><li> 8K softusb program memory
</li><li> Auto-on
</li><li> gdbstub: set correct uart speed (Michael Walle)
</li><li> SoC frequency readable from sysctl (Michael Walle)
</li><li> Writable gdbstub (Michael Walle)
</li><li> flterm: make kernel image optional (Michael Walle)
</li></ul>
<a name="1.2_.28March_1st.2C_2012.29" id="1.2_.28March_1st.2C_2012.29"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=24" title="Edit section: 1.2 (March 1st, 2012)">edit</a>]</span> <span class="mw-headline"> 1.2 (March 1st, 2012) </span></h2>
<ul><li> Experimental support for USB-MIDI devices (Werner Almesberger)
</li><li> New PFPU compiler (Werner Almesberger)
</li><li> Adjusted VGA timings for compatibility with more screens (Xiangfu Liu)
</li><li> Removed demo firmware
</li><li> Makefile cleanup (Werner Almesberger, Xiangfu Liu)
</li></ul>
<a name="Other_ideas" id="Other_ideas"></a><h2><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=25" title="Edit section: Other ideas">edit</a>]</span> <span class="mw-headline"> Other ideas </span></h2>
<ul><li> More precise VGA timings
</li><li> Support for video encoding and network streaming
</li><li> Support for scaled video output
</li><li> Support for color inversion in TMU
</li><li> Digital video output
</li></ul>
<a name="Milkymist_SoC_2.x_series.3F" id="Milkymist_SoC_2.x_series.3F"></a><h1><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=26" title="Edit section: Milkymist SoC 2.x series?">edit</a>]</span> <span class="mw-headline"> Milkymist SoC 2.x series? </span></h1>
<ul><li> PHT: Pluggable HDL Templates (automatically generates interconnect, arbiters, etc.)
</li><li> HPDMC2: out-of-order SDRAM controller with QoS and page hit optimization
</li><li> Split transaction FML2 bus
</li><li> Further resource-optimized CSR2 bus
</li><li> Separate DMA backbone
</li><li> Scatter-gather DMA controller
</li><li> Mico32 MMU
</li><li> 32bpp RGBA graphics everywhere
</li></ul>
<a name="Milkymist_SoC_3.x_series.3F" id="Milkymist_SoC_3.x_series.3F"></a><h1><span class="editsection">[<a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit&amp;section=27" title="Edit section: Milkymist SoC 3.x series?">edit</a>]</span> <span class="mw-headline"> Milkymist SoC 3.x series? </span></h1>
<ul><li> On-the-fly hardware compilation of shaders + partial reconfiguration
</li></ul>
<!--
NewPP limit report
Preprocessor node count: 28/1000000
Post-expand include size: 0/2097152 bytes
Template argument size: 0/2097152 bytes
Expensive parser function count: 0/100
-->
<!-- Saved in parser cache with key sbsql1-mw_:pcache:idhash:28-0!1!0!!en!2 and timestamp 20140823124209 -->
<div class="printfooter">
Retrieved from "<a href="index.php%3Ftitle=SoC_Roadmap.html">http://milkymist.org/wiki/index.php?title=SoC_Roadmap</a>"</div>
<!-- end content -->
<div class="visualClear"></div>
</div>
</div>
</div>
<div id="column-one">
<div id="p-cactions" class="portlet">
<h5>Views</h5>
<div class="pBody">
<ul>
<li id="ca-nstab-main" class="selected"><a href="index.php%3Ftitle=SoC_Roadmap.html" title="View the content page [c]" accesskey="c">Page</a></li>
<li id="ca-talk" class="new"><a href="http://milkymist.org/wiki/index.php?title=Talk:SoC_Roadmap&amp;action=edit&amp;redlink=1" title="Discussion about the content page [t]" accesskey="t">Discussion</a></li>
<li id="ca-edit"><a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=edit" title="You can edit this page.&#10;Please use the preview button before saving [e]" accesskey="e">Edit</a></li>
<li id="ca-history"><a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;action=history" title="Past versions of this page [h]" accesskey="h">History</a></li> </ul>
</div>
</div>
<div class="portlet" id="p-personal">
<h5>Personal tools</h5>
<div class="pBody">
<ul>
<li id="pt-login"><a href="http://milkymist.org/wiki/index.php?title=Special:UserLogin&amp;returnto=SoC_Roadmap" title="You are encouraged to log in; however, it is not mandatory [o]" accesskey="o">Log in / create account</a></li>
</ul>
</div>
</div>
<div class="portlet" id="p-logo">
<a style="background-image: url(http://www.milkymist.org/wikilogo.png);" href="../wiki.html" title="Visit the main page [z]" accesskey="z"></a>
</div>
<script type="text/javascript"> if (window.isMSIE55) fixalpha(); </script>
<div class='generated-sidebar portlet' id='p-navigation'>
<h5>Navigation</h5>
<div class='pBody'>
<ul>
<li id="n-mainpage-description"><a href="../wiki.html">Main Page</a></li>
<li id="n-portal"><a href="http://milkymist.org/wiki/index.php?title=Milkymist_Wiki:Community_Portal" title="About the project, what you can do, where to find things">Community portal</a></li>
<li id="n-currentevents"><a href="http://milkymist.org/wiki/index.php?title=Milkymist_Wiki:Current_events" title="Find background information on current events">Current events</a></li>
<li id="n-recentchanges"><a href="http://milkymist.org/wiki/index.php?title=Special:RecentChanges" title="The list of recent changes in the wiki [r]" accesskey="r">Recent changes</a></li>
<li id="n-randompage"><a href="http://milkymist.org/wiki/index.php?title=Special:Random" title="Load a random page [x]" accesskey="x">Random page</a></li>
<li id="n-help"><a href="http://milkymist.org/wiki/index.php?title=Help:Contents" title="The place to find out">Help</a></li>
</ul>
</div>
</div>
<div id="p-search" class="portlet">
<h5><label for="searchInput">Search</label></h5>
<div id="searchBody" class="pBody">
<form action="http://milkymist.org/wiki/index.php?title=Special:Search" id="searchform"><div>
<input id="searchInput" name="search" type="text" title="Search Milkymist Wiki [f]" accesskey="f" value="" />
<input type='submit' name="go" class="searchButton" id="searchGoButton" value="Go" title="Go to a page with this exact name if exists" />&nbsp;
<input type='submit' name="fulltext" class="searchButton" id="mw-searchButton" value="Search" title="Search the pages for this text" />
</div></form>
</div>
</div>
<div class="portlet" id="p-tb">
<h5>Toolbox</h5>
<div class="pBody">
<ul>
<li id="t-whatlinkshere"><a href="http://milkymist.org/wiki/index.php?title=Special:WhatLinksHere/SoC_Roadmap" title="List of all wiki pages that link here [j]" accesskey="j">What links here</a></li>
<li id="t-recentchangeslinked"><a href="http://milkymist.org/wiki/index.php?title=Special:RecentChangesLinked/SoC_Roadmap" title="Recent changes in pages linked from this page [k]" accesskey="k">Related changes</a></li>
<li id="t-specialpages"><a href="http://milkymist.org/wiki/index.php?title=Special:SpecialPages" title="List of all special pages [q]" accesskey="q">Special pages</a></li>
<li id="t-print"><a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;printable=yes" title="Printable version of this page [p]" accesskey="p">Printable version</a></li> <li id="t-permalink"><a href="http://milkymist.org/wiki/index.php?title=SoC_Roadmap&amp;oldid=1822" title="Permanent link to this version of the page">Permanent link</a></li> </ul>
</div>
</div>
</div><!-- end of the left (by default at least) column -->
<div class="visualClear"></div>
<div id="footer">
<div id="f-poweredbyico"><a href="http://www.mediawiki.org/"><img src="skins/common/images/poweredby_mediawiki_88x31.png" alt="Powered by MediaWiki" /></a></div>
<div id="f-copyrightico"><a href="http://www.milkymist.org/wiki/index.php?title=Copyright"><img src="skins/common/images/gnu-fdl.png" alt='GNU Free Documentation License 1.3 and CC-BY-SA 3.0 Unported' /></a></div>
<ul id="f-list">
<li id="lastmod"> This page was last modified on 1 March 2012, at 20:28.</li>
<li id="viewcount">This page has been accessed 53,365 times.</li>
<li id="copyright">Content is available under <a href="http://www.milkymist.org/wiki/index.php?title=Copyright" class="external " title="http://www.milkymist.org/wiki/index.php?title=Copyright">GNU Free Documentation License 1.3 and CC-BY-SA 3.0 Unported</a>.</li>
<li id="privacy"><a href="http://milkymist.org/wiki/index.php?title=Milkymist_Wiki:Privacy_policy" title="Milkymist Wiki:Privacy policy">Privacy policy</a></li>
<li id="about"><a href="http://milkymist.org/wiki/index.php?title=Milkymist_Wiki:About" title="Milkymist Wiki:About">About Milkymist Wiki</a></li>
<li id="disclaimer"><a href="http://milkymist.org/wiki/index.php?title=Milkymist_Wiki:General_disclaimer" title="Milkymist Wiki:General disclaimer">Disclaimers</a></li>
</ul>
</div>
</div>
<script type="text/javascript">if (window.runOnloadHook) runOnloadHook();</script>
<!-- Served in 0.198 secs. --></body></html>