Update README.md

pull/3/head
Donald Sebastian Leung 2020-08-17 12:05:39 +08:00
parent 73707afe78
commit d749b297cf
1 changed files with 4 additions and 4 deletions

View File

@ -8,12 +8,12 @@ A port of [riscv-formal](https://github.com/SymbioticEDA/riscv-formal) to nMigen
## Breakdown
_This section is currently a work in progress._
| Directory | Description |
| --- | --- |
| `insns` | Supported RISC-V instructions and ISAs |
| `cores` | Example cores to be integrated with riscv-formal-nmigen (WIP) |
| `rvfi` | RISC-V Formal Verification Framework (nMigen port) |
| `rvfi/insns` | Supported RISC-V instructions and ISAs |
| `rvfi/cores` | Example cores to be integrated with riscv-formal-nmigen (WIP) |
| `rvfi/cores/minerva` | The [Minerva](https://github.com/lambdaconcept/minerva) core |
## Build