riscv-formal-nmigen/insns/insn_jal.py

29 lines
1.2 KiB
Python
Raw Normal View History

2020-07-30 13:34:52 +08:00
from insn_UJ_type import *
2020-07-21 17:03:13 +08:00
2020-07-30 13:34:52 +08:00
class rvfi_insn_jal(rvfi_insn_UJ_type):
2020-07-21 17:03:13 +08:00
def __init__(self, RISCV_FORMAL_ILEN=32, RISCV_FORMAL_XLEN=32):
2020-07-30 13:34:52 +08:00
super(rvfi_insn_jal, self).__init__(RISCV_FORMAL_ILEN, RISCV_FORMAL_XLEN)
2020-07-21 17:03:13 +08:00
def ports(self):
2020-07-30 13:34:52 +08:00
return super(rvfi_insn_jal, self).ports()
2020-07-21 17:03:13 +08:00
def elaborate(self, platform):
2020-07-30 13:34:52 +08:00
m = super(rvfi_insn_jal, self).elaborate(platform)
2020-07-21 17:03:13 +08:00
# JAL instruction
next_pc = Signal(self.RISCV_FORMAL_XLEN)
2020-07-30 13:34:52 +08:00
m.d.comb += next_pc.eq(self.rvfi_pc_rdata + self.insn_imm)
m.d.comb += self.spec_valid.eq(self.rvfi_valid & (~self.insn_padding) & (self.insn_opcode == 0b1101111))
m.d.comb += self.spec_rd_addr.eq(self.insn_rd)
2020-07-21 17:03:13 +08:00
m.d.comb += self.spec_rd_wdata.eq(Mux(self.spec_rd_addr, self.rvfi_pc_rdata + 4, 0))
m.d.comb += self.spec_pc_wdata.eq(next_pc)
2020-07-30 13:34:52 +08:00
m.d.comb += self.spec_trap.eq(Mux(self.ialign16, next_pc[0] != 0, next_pc[:2] != 0) | ~self.misa_ok)
2020-07-21 17:03:13 +08:00
2020-07-30 16:16:06 +08:00
# default assignments
m.d.comb += self.spec_rs1_addr.eq(0)
m.d.comb += self.spec_rs2_addr.eq(0)
m.d.comb += self.spec_mem_addr.eq(0)
m.d.comb += self.spec_mem_rmask.eq(0)
m.d.comb += self.spec_mem_wmask.eq(0)
m.d.comb += self.spec_mem_wdata.eq(0)
2020-07-21 17:03:13 +08:00
return m