riscv-formal-nmigen/insns/insn_bne.py

23 lines
1.1 KiB
Python
Raw Normal View History

2020-07-30 14:14:24 +08:00
from insn_SB_type import *
2020-07-22 11:48:45 +08:00
2020-07-30 14:14:24 +08:00
class rvfi_insn_bne(rvfi_insn_SB_type):
2020-07-22 11:48:45 +08:00
def __init__(self, RISCV_FORMAL_ILEN=32, RISCV_FORMAL_XLEN=32):
2020-07-30 14:14:24 +08:00
super(rvfi_insn_bne, self).__init__(RISCV_FORMAL_ILEN, RISCV_FORMAL_XLEN)
2020-07-22 11:48:45 +08:00
def ports(self):
2020-07-30 14:14:24 +08:00
return super(rvfi_insn_bne, self).ports()
2020-07-22 11:48:45 +08:00
def elaborate(self, platform):
2020-07-30 14:14:24 +08:00
m = super(rvfi_insn_bne, self).elaborate(platform)
2020-07-22 11:48:45 +08:00
# BNE instruction
cond = Signal(1)
m.d.comb += cond.eq(self.rvfi_rs1_rdata != self.rvfi_rs2_rdata)
next_pc = Signal(self.RISCV_FORMAL_XLEN)
2020-07-30 14:14:24 +08:00
m.d.comb += next_pc.eq(Mux(cond, self.rvfi_pc_rdata + self.insn_imm, self.rvfi_pc_rdata + 4))
m.d.comb += self.spec_valid.eq(self.rvfi_valid & (~self.insn_padding) & (self.insn_funct3 == 0b001) & (self.insn_opcode == 0b1100011))
m.d.comb += self.spec_rs1_addr.eq(self.insn_rs1)
m.d.comb += self.spec_rs2_addr.eq(self.insn_rs2)
2020-07-22 11:48:45 +08:00
m.d.comb += self.spec_pc_wdata.eq(next_pc)
2020-07-30 14:14:24 +08:00
m.d.comb += self.spec_trap.eq(Mux(self.ialign16, next_pc[0] != 0, next_pc[:2] != 0) | ~self.misa_ok)
2020-07-22 11:48:45 +08:00
return m