artiq/soc/targets/artiq_kc705.py

116 lines
4.3 KiB
Python
Raw Normal View History

2015-02-27 12:50:52 +08:00
from migen.fhdl.std import *
from migen.bank.description import *
from migen.bank import wbgen
from mibuild.generic_platform import *
2015-04-02 17:19:00 +08:00
from misoclib.com import gpio
2015-04-02 16:53:57 +08:00
from misoclib.soc import mem_decoder
2015-04-10 13:15:32 +08:00
from targets.kc705 import MiniSoC
2015-02-27 12:50:52 +08:00
from artiq.gateware import amp, rtio, ad9858, nist_qc1
2015-02-27 12:50:52 +08:00
class _RTIOCRG(Module, AutoCSR):
def __init__(self, platform, rtio_internal_clk):
2015-04-02 18:22:18 +08:00
self._clock_sel = CSRStorage()
2015-02-27 12:50:52 +08:00
self.clock_domains.cd_rtio = ClockDomain()
rtio_external_clk = Signal()
user_sma_clock = platform.request("user_sma_clock")
platform.add_period_constraint(user_sma_clock.p, 8.0)
self.specials += Instance("IBUFDS",
i_I=user_sma_clock.p, i_IB=user_sma_clock.n,
o_O=rtio_external_clk)
self.specials += Instance("BUFGMUX",
i_I0=rtio_internal_clk,
i_I1=rtio_external_clk,
2015-04-02 18:22:18 +08:00
i_S=self._clock_sel.storage,
2015-02-27 12:50:52 +08:00
o_O=self.cd_rtio.clk)
2015-04-10 13:15:32 +08:00
class _Peripherals(MiniSoC):
2015-02-27 12:50:52 +08:00
csr_map = {
"rtio": None, # mapped on Wishbone instead
"rtiocrg": 13
}
2015-04-10 13:15:32 +08:00
csr_map.update(MiniSoC.csr_map)
mem_map = {
"rtio": 0x20000000, # (shadow @0xa0000000)
"dds": 0x50000000, # (shadow @0xd0000000)
}
mem_map.update(MiniSoC.mem_map)
2015-02-27 12:50:52 +08:00
2015-04-02 16:53:57 +08:00
def __init__(self, platform, cpu_type="or1k", **kwargs):
2015-04-10 13:15:32 +08:00
MiniSoC.__init__(self, platform,
2015-02-27 12:50:52 +08:00
cpu_type=cpu_type, **kwargs)
platform.add_extension(nist_qc1.fmc_adapter_io)
2015-02-27 12:50:52 +08:00
self.submodules.leds = gpio.GPIOOut(Cat(
platform.request("user_led", 0),
platform.request("user_led", 1)))
fud = Signal()
self.comb += [
platform.request("ttl_l_tx_en").eq(1),
platform.request("ttl_h_tx_en").eq(1)
]
rtio_ins = [platform.request("pmt") for i in range(2)]
2015-04-04 22:07:23 +08:00
rtio_outs = [platform.request("ttl", i) for i in range(16)]
rtio_outs.append(platform.request("user_led", 2))
self.add_constant("RTIO_FUD_CHANNEL", len(rtio_ins) + len(rtio_outs))
2015-04-04 22:07:23 +08:00
rtio_outs.append(fud)
2015-02-27 12:50:52 +08:00
self.submodules.rtiocrg = _RTIOCRG(platform, self.crg.pll_sys)
self.submodules.rtiophy = rtio.phy.SimplePHY(
rtio_ins + rtio_outs,
output_only_pads=set(rtio_outs))
self.submodules.rtio = rtio.RTIO(self.rtiophy,
clk_freq=125000000,
ififo_depth=512)
2015-04-02 16:53:57 +08:00
dds_pads = platform.request("dds")
self.submodules.dds = ad9858.AD9858(dds_pads)
self.comb += dds_pads.fud_n.eq(~fud)
class UP(_Peripherals):
2015-04-02 16:53:57 +08:00
def __init__(self, *args, **kwargs):
_Peripherals.__init__(self, *args, **kwargs)
2015-04-02 16:53:57 +08:00
2015-02-27 12:50:52 +08:00
rtio_csrs = self.rtio.get_csrs()
self.submodules.rtiowb = wbgen.Bank(rtio_csrs)
self.add_wb_slave(mem_decoder(self.mem_map["rtio"]), self.rtiowb.bus)
self.add_csr_region("rtio", self.mem_map["rtio"] + 0x80000000, 32, rtio_csrs)
2015-04-02 16:53:57 +08:00
self.add_wb_slave(mem_decoder(self.mem_map["dds"]), self.dds.bus)
self.add_memory_region("dds", self.mem_map["dds"] + 0x80000000, 64*4)
2015-04-02 16:53:57 +08:00
class AMP(_Peripherals):
2015-04-02 16:53:57 +08:00
csr_map = {
"kernel_cpu": 14
}
csr_map.update(_Peripherals.csr_map)
mem_map = {
"mailbox": 0x70000000 # (shadow @0xf0000000)
}
mem_map.update(_Peripherals.mem_map)
2015-04-02 16:53:57 +08:00
def __init__(self, platform, *args, **kwargs):
_Peripherals.__init__(self, platform, *args, **kwargs)
2015-04-02 16:53:57 +08:00
self.submodules.kernel_cpu = amp.KernelCPU(
platform, self.sdram.crossbar.get_master())
self.submodules.mailbox = amp.Mailbox()
self.add_wb_slave(mem_decoder(self.mem_map["mailbox"]), self.mailbox.i1)
self.kernel_cpu.add_wb_slave(mem_decoder(self.mem_map["mailbox"]), self.mailbox.i2)
2015-04-02 16:53:57 +08:00
rtio_csrs = self.rtio.get_csrs()
self.submodules.rtiowb = wbgen.Bank(rtio_csrs)
self.kernel_cpu.add_wb_slave(mem_decoder(self.mem_map["rtio"]), self.rtiowb.bus)
self.add_csr_region("rtio", self.mem_map["rtio"] + 0x80000000, 32, rtio_csrs)
2015-04-02 16:53:57 +08:00
self.kernel_cpu.add_wb_slave(mem_decoder(self.mem_map["dds"]), self.dds.bus)
self.add_memory_region("dds", self.mem_map["dds"] + 0x80000000, 64*4)
2015-02-27 12:50:52 +08:00
2015-04-11 17:16:25 +08:00
default_subtarget = AMP