HeavyX/examples
Sebastien Bourdeauducq d84b172245 helloworld_ecp5: add delays between messages
Otherwise the FTDI UART goes out of sync and corrupts data.
2019-04-30 15:52:41 +08:00
..
helloworld_ecp5.nix helloworld_ecp5: fix serial_tx location 2019-04-30 13:36:09 +08:00
helloworld_ecp5.py helloworld_ecp5: add delays between messages 2019-04-30 15:52:41 +08:00
helloworld_kintex7.nix cleanup 2019-04-26 17:43:31 +08:00
helloworld_kintex7.py use Elaboratable 2019-04-26 16:57:59 +08:00