use Elaboratable

pull/1/head
Sebastien Bourdeauducq 2019-04-26 16:57:29 +08:00
parent 347e858ece
commit a19f0784d0
4 changed files with 5 additions and 5 deletions

View File

@ -4,7 +4,7 @@ from nmigen.back import verilog
from heavycomps import uart
class Top:
class Top(Elaboratable):
def __init__(self, baudrate=115200):
self.baudrate = baudrate
self.clk156_p = Signal()

View File

@ -1,7 +1,7 @@
from nmigen import *
class RoundRobin:
class RoundRobin(Elaboratable):
def __init__(self, n):
self.n = n
self.request = Signal(n)

View File

@ -6,7 +6,7 @@ from nmigen.back.pysim import *
from heavycomps import uart
class Loopback:
class Loopback(Elaboratable):
def __init__(self, tuning_word=2**31):
self.tx = uart.RS232TX(tuning_word)
self.rx = uart.RS232RX(tuning_word)

View File

@ -2,7 +2,7 @@ from nmigen import *
from nmigen.lib.cdc import MultiReg
class RS232RX:
class RS232RX(Elaboratable):
def __init__(self, tuning_word):
self.rx = Signal()
self.data = Signal(8)
@ -58,7 +58,7 @@ class RS232RX:
return value
class RS232TX:
class RS232TX(Elaboratable):
def __init__(self, tuning_word):
self.tx = Signal(reset=1)
self.data = Signal(8)