forked from M-Labs/artiq
10 lines
453 B
Python
10 lines
453 B
Python
def fix_serdes_timing_path(platform):
|
|
# ignore timing of path from OSERDESE2 through the pad to ISERDESE2
|
|
platform.add_platform_command(
|
|
"set_false_path -quiet "
|
|
"-through [get_pins -filter {{REF_PIN_NAME == OQ || REF_PIN_NAME == TQ}} "
|
|
"-of [get_cells -filter {{REF_NAME == OSERDESE2}}]] "
|
|
"-to [get_pins -filter {{REF_PIN_NAME == D}} "
|
|
"-of [get_cells -filter {{REF_NAME == ISERDESE2}}]]"
|
|
)
|