diff --git a/artiq/coredevice/ttl.py b/artiq/coredevice/ttl.py index 644e55ff6..078dc4bb2 100644 --- a/artiq/coredevice/ttl.py +++ b/artiq/coredevice/ttl.py @@ -177,7 +177,7 @@ class TTLInOut: self._set_sensitivity(0) @kernel - def gate_both_mu(self, duration): + def gate_both(self, duration): """Register both rising and falling edge events for the specified duration (in seconds).""" self._set_sensitivity(3)