diff --git a/soc/targets/artiq_ppro.py b/soc/targets/artiq_ppro.py index cc80a220f..de7f28b5f 100644 --- a/soc/targets/artiq_ppro.py +++ b/soc/targets/artiq_ppro.py @@ -111,7 +111,7 @@ class ARTIQMiniSoC(BaseSoC): platform.request("ttl_h_tx_en").eq(1) ] rtio_ins = [platform.request("pmt") for i in range(2)] - rtio_outs = [platform.request("ttl", i) for i in range(6)] + [fud] + rtio_outs = [platform.request("ttl", i) for i in range(5)] + [fud] self.submodules.rtiocrg = _RTIOMiniCRG(platform) self.submodules.rtiophy = rtio.phy.SimplePHY(