From d5e267fadf8f2d33871ae273c5796282c7af6aa3 Mon Sep 17 00:00:00 2001 From: Fabian Schwartau Date: Wed, 19 Oct 2022 15:45:45 +0200 Subject: [PATCH] Fixed two too low delay values in Phaser init Signed-off-by: Fabian Schwartau --- artiq/coredevice/phaser.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/artiq/coredevice/phaser.py b/artiq/coredevice/phaser.py index 9fad1d964..162db8b23 100644 --- a/artiq/coredevice/phaser.py +++ b/artiq/coredevice/phaser.py @@ -275,7 +275,7 @@ class Phaser: for data in self.dac_mmap: self.dac_write(data >> 16, data) - delay(40*us) + delay(120*us) self.dac_sync() delay(40*us) @@ -662,7 +662,7 @@ class Phaser: .. note:: Synchronising the NCO clears the phase-accumulator """ config1f = self.dac_read(0x1f) - delay(.1*ms) + delay(.4*ms) self.dac_write(0x1f, config1f & ~int32(1 << 1)) self.dac_write(0x1f, config1f | (1 << 1))