Update the adapter design

- Change Project Names to better represent the type of laser diode compatible
- Add 3D models
- Add Optional Bias-T Modulation input option
- Redraw schematic symbol for the Kirdy header and laser mount properly
- Add mounting holes to secure the adapter
- Type 2 Variant' libraries are linked to Type 1 Variants' libraries with relative symbolic links
pull/1/head
linuswck 2023-11-15 17:37:25 +08:00
parent 03c940af89
commit 7d53ae4d32
82 changed files with 42343 additions and 200279 deletions

31
.gitignore vendored Normal file
View File

@ -0,0 +1,31 @@
# For PCBs designed using KiCad: https://www.kicad.org/
# Format documentation: https://kicad.org/help/file-formats/
# Temporary files
*.000
*.bak
*.bck
*.kicad_pcb-bak
*.kicad_sch-bak
*-backups
*.kicad_prl
*.sch-bak
*~
_autosave-*
*.tmp
*-save.pro
*-save.kicad_pcb
fp-info-cache
*auto_saved_files*
~*
__pycache__
# Netlist files (exported from Eeschema)
*.net
# Autorouter files (exported from Pcbnew)
*.dsn
*.ses
# Generated Production Files
production

File diff suppressed because it is too large Load Diff

View File

@ -1,390 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:03+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Copper,L4,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:03*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%TA.AperFunction,ComponentPad*%
%ADD10C,1.524000*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD11RoundRect,0.250000X-1.075000X0.375000X-1.075000X-0.375000X1.075000X-0.375000X1.075000X0.375000X0*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD12R,1.500000X5.080000*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD13RoundRect,0.250000X-0.450000X0.262500X-0.450000X-0.262500X0.450000X-0.262500X0.450000X0.262500X0*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD14R,3.000000X1.000000*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD15RoundRect,0.250000X-0.262500X-0.450000X0.262500X-0.450000X0.262500X0.450000X-0.262500X0.450000X0*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD16RoundRect,0.250000X0.250000X0.475000X-0.250000X0.475000X-0.250000X-0.475000X0.250000X-0.475000X0*%
%TD*%
%TA.AperFunction,ViaPad*%
%ADD17C,0.800000*%
%TD*%
%TA.AperFunction,Conductor*%
%ADD18C,0.292100*%
%TD*%
%TA.AperFunction,Conductor*%
%ADD19C,2.000000*%
%TD*%
G04 APERTURE END LIST*
D10*
%TO.P,J2,1,Pin_1*%
%TO.N,Net-(J2-Pad1)*%
X88265000Y-114300000D03*
%TO.P,J2,2,Pin_2*%
%TO.N,Net-(J2-Pad2)*%
X91440000Y-116840000D03*
%TO.P,J2,3,Pin_3*%
%TO.N,Net-(J3-Pad7)*%
X88265000Y-119380000D03*
%TO.P,J2,4,Pin_4*%
%TO.N,Net-(J3-Pad9)*%
X91440000Y-121920000D03*
%TO.P,J2,5,Pin_5*%
%TO.N,Net-(J2-Pad5)*%
X88265000Y-124333000D03*
%TO.P,J2,6,Pin_6*%
%TO.N,unconnected-(J2-Pad6)*%
X91440000Y-127000000D03*
%TO.P,J2,7,Pin_7*%
%TO.N,unconnected-(J2-Pad7)*%
X88265000Y-129540000D03*
%TD*%
%TO.P,J4,1,Pin_1*%
%TO.N,unconnected-(J4-Pad1)*%
X117475000Y-129540000D03*
%TO.P,J4,2,Pin_2*%
%TO.N,unconnected-(J4-Pad2)*%
X114300000Y-127000000D03*
%TO.P,J4,3,Pin_3*%
%TO.N,Net-(J4-Pad3)*%
X117475000Y-124460000D03*
%TO.P,J4,4,Pin_4*%
%TO.N,Net-(J4-Pad4)*%
X114300000Y-121920000D03*
%TO.P,J4,5,Pin_5*%
%TO.N,unconnected-(J4-Pad5)*%
X117475000Y-119507000D03*
%TO.P,J4,6,Pin_6*%
%TO.N,GND*%
X114300000Y-116840000D03*
%TO.P,J4,7,Pin_7*%
%TO.N,Net-(J1-Pad3)*%
X117475000Y-114300000D03*
%TD*%
D11*
%TO.P,L1,1,1*%
%TO.N,Net-(L1-Pad1)*%
X109728000Y-121028000D03*
%TO.P,L1,2,2*%
%TO.N,Net-(J4-Pad3)*%
X109728000Y-123828000D03*
%TD*%
D12*
%TO.P,J5,1,In*%
%TO.N,Net-(C1-Pad2)*%
X69850000Y-144637500D03*
%TO.P,J5,2,Ext*%
%TO.N,GND*%
X65600000Y-144637500D03*
X74100000Y-144637500D03*
%TD*%
D13*
%TO.P,R2,1*%
%TO.N,Net-(J4-Pad3)*%
X106680000Y-124055500D03*
%TO.P,R2,2*%
%TO.N,Net-(C1-Pad1)*%
X106680000Y-125880500D03*
%TD*%
D14*
%TO.P,J1,1,Pin_1*%
%TO.N,Net-(J2-Pad1)*%
X125710000Y-129540000D03*
%TO.P,J1,2,Pin_2*%
%TO.N,GND*%
X120670000Y-129540000D03*
%TO.P,J1,3,Pin_3*%
%TO.N,Net-(J1-Pad3)*%
X125710000Y-127000000D03*
%TO.P,J1,4,Pin_4*%
%TO.N,GND*%
X120670000Y-127000000D03*
%TO.P,J1,5,Pin_5*%
X125710000Y-124460000D03*
%TO.P,J1,6,Pin_6*%
X120670000Y-124460000D03*
%TO.P,J1,7,Pin_7*%
%TO.N,Net-(J2-Pad2)*%
X125710000Y-121920000D03*
%TO.P,J1,8,Pin_8*%
%TO.N,GND*%
X120670000Y-121920000D03*
%TO.P,J1,9,Pin_9*%
%TO.N,Net-(J2-Pad5)*%
X125710000Y-119380000D03*
%TO.P,J1,10,Pin_10*%
%TO.N,GND*%
X120670000Y-119380000D03*
%TD*%
%TO.P,J3,1,Pin_1*%
%TO.N,Net-(R1-Pad1)*%
X80030000Y-119380000D03*
%TO.P,J3,2,Pin_2*%
%TO.N,GND*%
X85070000Y-119380000D03*
%TO.P,J3,3,Pin_3*%
%TO.N,Net-(J4-Pad4)*%
X80030000Y-121920000D03*
%TO.P,J3,4,Pin_4*%
%TO.N,GND*%
X85070000Y-121920000D03*
%TO.P,J3,5,Pin_5*%
X80030000Y-124460000D03*
%TO.P,J3,6,Pin_6*%
X85070000Y-124460000D03*
%TO.P,J3,7,Pin_7*%
%TO.N,Net-(J3-Pad7)*%
X80030000Y-127000000D03*
%TO.P,J3,8,Pin_8*%
%TO.N,GND*%
X85070000Y-127000000D03*
%TO.P,J3,9,Pin_9*%
%TO.N,Net-(J3-Pad9)*%
X80030000Y-129540000D03*
%TO.P,J3,10,Pin_10*%
%TO.N,GND*%
X85070000Y-129540000D03*
%TD*%
D15*
%TO.P,R1,1*%
%TO.N,Net-(R1-Pad1)*%
X104497500Y-121158000D03*
%TO.P,R1,2*%
%TO.N,Net-(L1-Pad1)*%
X106322500Y-121158000D03*
%TD*%
D16*
%TO.P,C1,1*%
%TO.N,Net-(C1-Pad1)*%
X74102000Y-137160000D03*
%TO.P,C1,2*%
%TO.N,Net-(C1-Pad2)*%
X72202000Y-137160000D03*
%TD*%
D17*
%TO.N,GND*%
X74168000Y-146304000D03*
X74168000Y-142748000D03*
X74168000Y-144526000D03*
X65532000Y-146304000D03*
X65532000Y-144526000D03*
X65532000Y-142748000D03*
X84328000Y-127000000D03*
X85852000Y-129540000D03*
X84328000Y-129540000D03*
X85852000Y-127000000D03*
X85852000Y-124460000D03*
X84328000Y-124460000D03*
X85852000Y-121920000D03*
X84328000Y-121920000D03*
X85852000Y-119380000D03*
X84328000Y-119380000D03*
X80772000Y-124460000D03*
X79248000Y-124460000D03*
X126492000Y-124460000D03*
X124968000Y-124460000D03*
X121412000Y-129540000D03*
X119888000Y-129540000D03*
X121412000Y-127000000D03*
X119888000Y-127000000D03*
X121412000Y-124460000D03*
X119888000Y-124460000D03*
X121412000Y-121920000D03*
X119888000Y-121920000D03*
X121412000Y-119380000D03*
X119888000Y-119380000D03*
%TD*%
D18*
%TO.N,Net-(J3-Pad9)*%
X80030000Y-129540000D02*
X81534000Y-129540000D01*
X81534000Y-129540000D02*
X82804000Y-128270000D01*
X82804000Y-128270000D02*
X87122000Y-128270000D01*
X87122000Y-128270000D02*
X91440000Y-123952000D01*
X91440000Y-123952000D02*
X91440000Y-121920000D01*
D19*
%TO.N,Net-(L1-Pad1)*%
X109728000Y-121028000D02*
X107064000Y-121028000D01*
%TO.N,Net-(J4-Pad4)*%
X114300000Y-121920000D02*
X110490000Y-118110000D01*
X110490000Y-118110000D02*
X102616000Y-118110000D01*
X102616000Y-118110000D02*
X100584000Y-120142000D01*
X100584000Y-120142000D02*
X100584000Y-125984000D01*
X100584000Y-125984000D02*
X94402000Y-132166000D01*
X75438000Y-130302000D02*
X75438000Y-123444000D01*
X94402000Y-132166000D02*
X77302000Y-132166000D01*
X77302000Y-132166000D02*
X75438000Y-130302000D01*
X75438000Y-123444000D02*
X76962000Y-121920000D01*
X76962000Y-121920000D02*
X80030000Y-121920000D01*
D18*
%TO.N,Net-(J2-Pad5)*%
X125710000Y-119380000D02*
X122408000Y-116078000D01*
X89916000Y-115824000D02*
X89916000Y-120396000D01*
X122408000Y-116078000D02*
X116586000Y-116078000D01*
X116586000Y-116078000D02*
X115316000Y-114808000D01*
X90932000Y-114808000D02*
X89916000Y-115824000D01*
X115316000Y-114808000D02*
X90932000Y-114808000D01*
X89916000Y-120396000D02*
X88265000Y-122047000D01*
X88265000Y-122047000D02*
X88265000Y-124333000D01*
%TO.N,Net-(J3-Pad7)*%
X80030000Y-127000000D02*
X81534000Y-127000000D01*
X81534000Y-127000000D02*
X82550000Y-125984000D01*
X83647900Y-120650000D02*
X86995000Y-120650000D01*
X82550000Y-125984000D02*
X82550000Y-121747900D01*
X82550000Y-121747900D02*
X83647900Y-120650000D01*
X86995000Y-120650000D02*
X88265000Y-119380000D01*
D19*
%TO.N,Net-(R1-Pad1)*%
X80030000Y-119380000D02*
X74676000Y-119380000D01*
X74676000Y-119380000D02*
X72390000Y-121666000D01*
X72390000Y-121666000D02*
X72390000Y-131826000D01*
X72390000Y-131826000D02*
X75692000Y-135128000D01*
X75692000Y-135128000D02*
X96012000Y-135128000D01*
X96012000Y-135128000D02*
X104140000Y-127000000D01*
X104140000Y-127000000D02*
X104140000Y-121412000D01*
D18*
%TO.N,Net-(C1-Pad1)*%
X74102000Y-137160000D02*
X74356000Y-137414000D01*
X74356000Y-137414000D02*
X98806000Y-137414000D01*
X98806000Y-137414000D02*
X106680000Y-129540000D01*
X106680000Y-129540000D02*
X106680000Y-125880500D01*
%TO.N,Net-(C1-Pad2)*%
X69850000Y-144637500D02*
X69850000Y-138496000D01*
X69850000Y-138496000D02*
X71186000Y-137160000D01*
X71186000Y-137160000D02*
X72202000Y-137160000D01*
%TO.N,Net-(J2-Pad2)*%
X91440000Y-116840000D02*
X92456000Y-115824000D01*
X125710000Y-122194000D02*
X125710000Y-121920000D01*
X92456000Y-115824000D02*
X110998000Y-115824000D01*
X110998000Y-115824000D02*
X118364000Y-123190000D01*
X118364000Y-123190000D02*
X124714000Y-123190000D01*
X124714000Y-123190000D02*
X125710000Y-122194000D01*
D19*
%TO.N,Net-(J4-Pad3)*%
X109728000Y-123828000D02*
X110620000Y-123828000D01*
X110620000Y-123828000D02*
X111252000Y-124460000D01*
X111252000Y-124460000D02*
X117475000Y-124460000D01*
X109728000Y-123828000D02*
X106907500Y-123828000D01*
%TO.N,Net-(J2-Pad1)*%
X125710000Y-129540000D02*
X132588000Y-129540000D01*
X90805000Y-111760000D02*
X88265000Y-114300000D01*
X132588000Y-129540000D02*
X135128000Y-127000000D01*
X131572000Y-111760000D02*
X90805000Y-111760000D01*
X135128000Y-127000000D02*
X135128000Y-115316000D01*
X135128000Y-115316000D02*
X131572000Y-111760000D01*
%TO.N,Net-(J1-Pad3)*%
X132588000Y-116332000D02*
X130556000Y-114300000D01*
X132588000Y-125984000D02*
X132588000Y-116332000D01*
X125710000Y-127000000D02*
X131572000Y-127000000D01*
X130556000Y-114300000D02*
X117475000Y-114300000D01*
X131572000Y-127000000D02*
X132588000Y-125984000D01*
%TD*%
M02*

View File

@ -1,110 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:03+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Bot*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:03*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,1.524000*%
%ADD11RoundRect,0.250000X-1.075000X0.375000X-1.075000X-0.375000X1.075000X-0.375000X1.075000X0.375000X0*%
%ADD12R,1.500000X5.080000*%
%ADD13RoundRect,0.250000X-0.450000X0.262500X-0.450000X-0.262500X0.450000X-0.262500X0.450000X0.262500X0*%
%ADD14R,3.000000X1.000000*%
%ADD15RoundRect,0.250000X-0.262500X-0.450000X0.262500X-0.450000X0.262500X0.450000X-0.262500X0.450000X0*%
%ADD16RoundRect,0.250000X0.250000X0.475000X-0.250000X0.475000X-0.250000X-0.475000X0.250000X-0.475000X0*%
G04 APERTURE END LIST*
D10*
%TO.C,J2*%
X88265000Y-114300000D03*
X91440000Y-116840000D03*
X88265000Y-119380000D03*
X91440000Y-121920000D03*
X88265000Y-124333000D03*
X91440000Y-127000000D03*
X88265000Y-129540000D03*
%TD*%
%TO.C,J4*%
X117475000Y-129540000D03*
X114300000Y-127000000D03*
X117475000Y-124460000D03*
X114300000Y-121920000D03*
X117475000Y-119507000D03*
X114300000Y-116840000D03*
X117475000Y-114300000D03*
%TD*%
D11*
%TO.C,L1*%
X109728000Y-121028000D03*
X109728000Y-123828000D03*
%TD*%
D12*
%TO.C,J5*%
X69850000Y-144637500D03*
X65600000Y-144637500D03*
X74100000Y-144637500D03*
%TD*%
D13*
%TO.C,R2*%
X106680000Y-124055500D03*
X106680000Y-125880500D03*
%TD*%
D14*
%TO.C,J1*%
X125710000Y-129540000D03*
X120670000Y-129540000D03*
X125710000Y-127000000D03*
X120670000Y-127000000D03*
X125710000Y-124460000D03*
X120670000Y-124460000D03*
X125710000Y-121920000D03*
X120670000Y-121920000D03*
X125710000Y-119380000D03*
X120670000Y-119380000D03*
%TD*%
%TO.C,J3*%
X80030000Y-119380000D03*
X85070000Y-119380000D03*
X80030000Y-121920000D03*
X85070000Y-121920000D03*
X80030000Y-124460000D03*
X85070000Y-124460000D03*
X80030000Y-127000000D03*
X85070000Y-127000000D03*
X80030000Y-129540000D03*
X85070000Y-129540000D03*
%TD*%
D15*
%TO.C,R1*%
X104497500Y-121158000D03*
X106322500Y-121158000D03*
%TD*%
D16*
%TO.C,C1*%
X74102000Y-137160000D03*
X72202000Y-137160000D03*
%TD*%
M02*

View File

@ -1,90 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:03+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:03*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10RoundRect,0.250000X-1.075000X0.375000X-1.075000X-0.375000X1.075000X-0.375000X1.075000X0.375000X0*%
%ADD11R,1.500000X5.080000*%
%ADD12RoundRect,0.250000X-0.450000X0.262500X-0.450000X-0.262500X0.450000X-0.262500X0.450000X0.262500X0*%
%ADD13R,3.000000X1.000000*%
%ADD14RoundRect,0.250000X-0.262500X-0.450000X0.262500X-0.450000X0.262500X0.450000X-0.262500X0.450000X0*%
%ADD15RoundRect,0.250000X0.250000X0.475000X-0.250000X0.475000X-0.250000X-0.475000X0.250000X-0.475000X0*%
G04 APERTURE END LIST*
D10*
%TO.C,L1*%
X109728000Y-121028000D03*
X109728000Y-123828000D03*
%TD*%
D11*
%TO.C,J5*%
X69850000Y-144637500D03*
X65600000Y-144637500D03*
X74100000Y-144637500D03*
%TD*%
D12*
%TO.C,R2*%
X106680000Y-124055500D03*
X106680000Y-125880500D03*
%TD*%
D13*
%TO.C,J1*%
X125710000Y-129540000D03*
X120670000Y-129540000D03*
X125710000Y-127000000D03*
X120670000Y-127000000D03*
X125710000Y-124460000D03*
X120670000Y-124460000D03*
X125710000Y-121920000D03*
X120670000Y-121920000D03*
X125710000Y-119380000D03*
X120670000Y-119380000D03*
%TD*%
%TO.C,J3*%
X80030000Y-119380000D03*
X85070000Y-119380000D03*
X80030000Y-121920000D03*
X85070000Y-121920000D03*
X80030000Y-124460000D03*
X85070000Y-124460000D03*
X80030000Y-127000000D03*
X85070000Y-127000000D03*
X80030000Y-129540000D03*
X85070000Y-129540000D03*
%TD*%
D14*
%TO.C,R1*%
X104497500Y-121158000D03*
X106322500Y-121158000D03*
%TD*%
D15*
%TO.C,C1*%
X74102000Y-137160000D03*
X72202000Y-137160000D03*
%TD*%
M02*

View File

@ -1,203 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:03+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Legend,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:03*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,0.120000*%
%ADD11C,1.524000*%
%ADD12RoundRect,0.250000X-1.075000X0.375000X-1.075000X-0.375000X1.075000X-0.375000X1.075000X0.375000X0*%
%ADD13R,1.500000X5.080000*%
%ADD14RoundRect,0.250000X-0.450000X0.262500X-0.450000X-0.262500X0.450000X-0.262500X0.450000X0.262500X0*%
%ADD15R,3.000000X1.000000*%
%ADD16RoundRect,0.250000X-0.262500X-0.450000X0.262500X-0.450000X0.262500X0.450000X-0.262500X0.450000X0*%
%ADD17RoundRect,0.250000X0.250000X0.475000X-0.250000X0.475000X-0.250000X-0.475000X0.250000X-0.475000X0*%
G04 APERTURE END LIST*
D10*
%TO.C,L1*%
X108368000Y-121825936D02*
X108368000Y-123030064D01*
X111088000Y-121825936D02*
X111088000Y-123030064D01*
%TO.C,J5*%
X70100000Y-140927500D02*
X69850000Y-141427500D01*
X69850000Y-141427500D02*
X69600000Y-140927500D01*
X69600000Y-140927500D02*
X70100000Y-140927500D01*
%TO.C,R2*%
X105945000Y-124740936D02*
X105945000Y-125195064D01*
X107415000Y-124740936D02*
X107415000Y-125195064D01*
%TO.C,J1*%
X120590000Y-130870000D02*
X125790000Y-130870000D01*
X125790000Y-123700000D02*
X125790000Y-122680000D01*
X125790000Y-118620000D02*
X125790000Y-118050000D01*
X120590000Y-123700000D02*
X120590000Y-122680000D01*
X120590000Y-118620000D02*
X120590000Y-118050000D01*
X125790000Y-126240000D02*
X125790000Y-125220000D01*
X125790000Y-130300000D02*
X127150000Y-130300000D01*
X125790000Y-128780000D02*
X125790000Y-127760000D01*
X120590000Y-121160000D02*
X120590000Y-120140000D01*
X125790000Y-121160000D02*
X125790000Y-120140000D01*
X120590000Y-130870000D02*
X120590000Y-130300000D01*
X120590000Y-128780000D02*
X120590000Y-127760000D01*
X120590000Y-118050000D02*
X125790000Y-118050000D01*
X120590000Y-126240000D02*
X120590000Y-125220000D01*
X125790000Y-130870000D02*
X125790000Y-130300000D01*
%TO.C,J3*%
X85150000Y-125220000D02*
X85150000Y-126240000D01*
X85150000Y-130300000D02*
X85150000Y-130870000D01*
X79950000Y-118050000D02*
X79950000Y-118620000D01*
X79950000Y-127760000D02*
X79950000Y-128780000D01*
X85150000Y-118050000D02*
X85150000Y-118620000D01*
X79950000Y-130300000D02*
X79950000Y-130870000D01*
X79950000Y-118620000D02*
X78590000Y-118620000D01*
X79950000Y-120140000D02*
X79950000Y-121160000D01*
X85150000Y-122680000D02*
X85150000Y-123700000D01*
X79950000Y-122680000D02*
X79950000Y-123700000D01*
X85150000Y-118050000D02*
X79950000Y-118050000D01*
X85150000Y-120140000D02*
X85150000Y-121160000D01*
X85150000Y-127760000D02*
X85150000Y-128780000D01*
X85150000Y-130870000D02*
X79950000Y-130870000D01*
X79950000Y-125220000D02*
X79950000Y-126240000D01*
%TO.C,R1*%
X105182936Y-121893000D02*
X105637064Y-121893000D01*
X105182936Y-120423000D02*
X105637064Y-120423000D01*
%TO.C,C1*%
X73413252Y-136425000D02*
X72890748Y-136425000D01*
X73413252Y-137895000D02*
X72890748Y-137895000D01*
%TD*%
%LPC*%
D11*
%TO.C,J2*%
X88265000Y-114300000D03*
X91440000Y-116840000D03*
X88265000Y-119380000D03*
X91440000Y-121920000D03*
X88265000Y-124333000D03*
X91440000Y-127000000D03*
X88265000Y-129540000D03*
%TD*%
%TO.C,J4*%
X117475000Y-129540000D03*
X114300000Y-127000000D03*
X117475000Y-124460000D03*
X114300000Y-121920000D03*
X117475000Y-119507000D03*
X114300000Y-116840000D03*
X117475000Y-114300000D03*
%TD*%
D12*
%TO.C,L1*%
X109728000Y-121028000D03*
X109728000Y-123828000D03*
%TD*%
D13*
%TO.C,J5*%
X69850000Y-144637500D03*
X65600000Y-144637500D03*
X74100000Y-144637500D03*
%TD*%
D14*
%TO.C,R2*%
X106680000Y-124055500D03*
X106680000Y-125880500D03*
%TD*%
D15*
%TO.C,J1*%
X125710000Y-129540000D03*
X120670000Y-129540000D03*
X125710000Y-127000000D03*
X120670000Y-127000000D03*
X125710000Y-124460000D03*
X120670000Y-124460000D03*
X125710000Y-121920000D03*
X120670000Y-121920000D03*
X125710000Y-119380000D03*
X120670000Y-119380000D03*
%TD*%
%TO.C,J3*%
X80030000Y-119380000D03*
X85070000Y-119380000D03*
X80030000Y-121920000D03*
X85070000Y-121920000D03*
X80030000Y-124460000D03*
X85070000Y-124460000D03*
X80030000Y-127000000D03*
X85070000Y-127000000D03*
X80030000Y-129540000D03*
X85070000Y-129540000D03*
%TD*%
D16*
%TO.C,R1*%
X104497500Y-121158000D03*
X106322500Y-121158000D03*
%TD*%
D17*
%TO.C,C1*%
X74102000Y-137160000D03*
X72202000Y-137160000D03*
%TD*%
M02*

View File

@ -1,28 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:03+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Profile,NP*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:03*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,Profile*%
%ADD10C,0.100000*%
%TD*%
G04 APERTURE END LIST*
D10*
X60000000Y-100000000D02*
X60000000Y-147500000D01*
X137500000Y-147500000D02*
X137500000Y-102500000D01*
X137500000Y-100000000D02*
X60000000Y-100000000D01*
X60000000Y-147500000D02*
X137500000Y-147500000D01*
X137500000Y-102500000D02*
X137500000Y-100000000D01*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -1,61 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:03+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Top*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:03*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,1.524000*%
%ADD11R,1.500000X5.080000*%
G04 APERTURE END LIST*
D10*
%TO.C,J2*%
X88265000Y-114300000D03*
X91440000Y-116840000D03*
X88265000Y-119380000D03*
X91440000Y-121920000D03*
X88265000Y-124333000D03*
X91440000Y-127000000D03*
X88265000Y-129540000D03*
%TD*%
%TO.C,J4*%
X117475000Y-129540000D03*
X114300000Y-127000000D03*
X117475000Y-124460000D03*
X114300000Y-121920000D03*
X117475000Y-119507000D03*
X114300000Y-116840000D03*
X117475000Y-114300000D03*
%TD*%
D11*
%TO.C,J5*%
X65600000Y-144637500D03*
X74100000Y-144637500D03*
%TD*%
G36*
X112042121Y-100020002D02*
G01*
X112088614Y-100073658D01*
X112100000Y-100126000D01*
X112100000Y-147374000D01*
X112079998Y-147442121D01*
X112026342Y-147488614D01*
X111974000Y-147500000D01*
X93726000Y-147500000D01*
X93657879Y-147479998D01*
X93611386Y-147426342D01*
X93600000Y-147374000D01*
X93600000Y-100126000D01*
X93620002Y-100057879D01*
X93673658Y-100011386D01*
X93726000Y-100000000D01*
X111974000Y-100000000D01*
X112042121Y-100020002D01*
G37*
M02*

View File

@ -1,21 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:03+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:03*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,1.500000X5.080000*%
G04 APERTURE END LIST*
D10*
%TO.C,J5*%
X65600000Y-144637500D03*
X74100000Y-144637500D03*
%TD*%
M02*

View File

@ -1,243 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:03+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Legend,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:03*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.150000*%
%ADD11C,0.120000*%
%ADD12C,1.524000*%
%ADD13R,1.500000X5.080000*%
G04 APERTURE END LIST*
D10*
X69647380Y-126833333D02*
X68647380Y-126833333D01*
X69647380Y-126261904D02*
X69075952Y-126690476D01*
X68647380Y-126261904D02*
X69218809Y-126833333D01*
X69647380Y-125833333D02*
X68980714Y-125833333D01*
X68647380Y-125833333D02*
X68695000Y-125880952D01*
X68742619Y-125833333D01*
X68695000Y-125785714D01*
X68647380Y-125833333D01*
X68742619Y-125833333D01*
X69647380Y-125357142D02*
X68980714Y-125357142D01*
X69171190Y-125357142D02*
X69075952Y-125309523D01*
X69028333Y-125261904D01*
X68980714Y-125166666D01*
X68980714Y-125071428D01*
X69647380Y-124309523D02*
X68647380Y-124309523D01*
X69599761Y-124309523D02*
X69647380Y-124404761D01*
X69647380Y-124595238D01*
X69599761Y-124690476D01*
X69552142Y-124738095D01*
X69456904Y-124785714D01*
X69171190Y-124785714D01*
X69075952Y-124738095D01*
X69028333Y-124690476D01*
X68980714Y-124595238D01*
X68980714Y-124404761D01*
X69028333Y-124309523D01*
X68980714Y-123928571D02*
X69647380Y-123690476D01*
X68980714Y-123452380D02*
X69647380Y-123690476D01*
X69885476Y-123785714D01*
X69933095Y-123833333D01*
X69980714Y-123928571D01*
X69361666Y-122357142D02*
X69361666Y-121880952D01*
X69647380Y-122452380D02*
X68647380Y-122119047D01*
X69647380Y-121785714D01*
X69647380Y-121023809D02*
X68647380Y-121023809D01*
X69599761Y-121023809D02*
X69647380Y-121119047D01*
X69647380Y-121309523D01*
X69599761Y-121404761D01*
X69552142Y-121452380D01*
X69456904Y-121500000D01*
X69171190Y-121500000D01*
X69075952Y-121452380D01*
X69028333Y-121404761D01*
X68980714Y-121309523D01*
X68980714Y-121119047D01*
X69028333Y-121023809D01*
X69647380Y-120119047D02*
X69123571Y-120119047D01*
X69028333Y-120166666D01*
X68980714Y-120261904D01*
X68980714Y-120452380D01*
X69028333Y-120547619D01*
X69599761Y-120119047D02*
X69647380Y-120214285D01*
X69647380Y-120452380D01*
X69599761Y-120547619D01*
X69504523Y-120595238D01*
X69409285Y-120595238D01*
X69314047Y-120547619D01*
X69266428Y-120452380D01*
X69266428Y-120214285D01*
X69218809Y-120119047D01*
X68980714Y-119642857D02*
X69980714Y-119642857D01*
X69028333Y-119642857D02*
X68980714Y-119547619D01*
X68980714Y-119357142D01*
X69028333Y-119261904D01*
X69075952Y-119214285D01*
X69171190Y-119166666D01*
X69456904Y-119166666D01*
X69552142Y-119214285D01*
X69599761Y-119261904D01*
X69647380Y-119357142D01*
X69647380Y-119547619D01*
X69599761Y-119642857D01*
X68980714Y-118880952D02*
X68980714Y-118500000D01*
X68647380Y-118738095D02*
X69504523Y-118738095D01*
X69599761Y-118690476D01*
X69647380Y-118595238D01*
X69647380Y-118500000D01*
X69599761Y-117785714D02*
X69647380Y-117880952D01*
X69647380Y-118071428D01*
X69599761Y-118166666D01*
X69504523Y-118214285D01*
X69123571Y-118214285D01*
X69028333Y-118166666D01*
X68980714Y-118071428D01*
X68980714Y-117880952D01*
X69028333Y-117785714D01*
X69123571Y-117738095D01*
X69218809Y-117738095D01*
X69314047Y-118214285D01*
X69647380Y-117309523D02*
X68980714Y-117309523D01*
X69171190Y-117309523D02*
X69075952Y-117261904D01*
X69028333Y-117214285D01*
X68980714Y-117119047D01*
X68980714Y-117023809D01*
X70733571Y-122785714D02*
X70781190Y-122642857D01*
X70828809Y-122595238D01*
X70924047Y-122547619D01*
X71066904Y-122547619D01*
X71162142Y-122595238D01*
X71209761Y-122642857D01*
X71257380Y-122738095D01*
X71257380Y-123119047D01*
X70257380Y-123119047D01*
X70257380Y-122785714D01*
X70305000Y-122690476D01*
X70352619Y-122642857D01*
X70447857Y-122595238D01*
X70543095Y-122595238D01*
X70638333Y-122642857D01*
X70685952Y-122690476D01*
X70733571Y-122785714D01*
X70733571Y-123119047D01*
X71257380Y-121976190D02*
X71209761Y-122071428D01*
X71162142Y-122119047D01*
X71066904Y-122166666D01*
X70781190Y-122166666D01*
X70685952Y-122119047D01*
X70638333Y-122071428D01*
X70590714Y-121976190D01*
X70590714Y-121833333D01*
X70638333Y-121738095D01*
X70685952Y-121690476D01*
X70781190Y-121642857D01*
X71066904Y-121642857D01*
X71162142Y-121690476D01*
X71209761Y-121738095D01*
X71257380Y-121833333D01*
X71257380Y-121976190D01*
X71257380Y-121309523D02*
X70590714Y-120785714D01*
X70590714Y-121309523D02*
X71257380Y-120785714D01*
D11*
%TO.C,J2*%
X93599000Y-140970000D02*
X93599000Y-102870000D01*
X80899000Y-102870000D02*
X80899000Y-140970000D01*
X93599000Y-102870000D02*
X80899000Y-102870000D01*
X80899000Y-140970000D02*
X93599000Y-140970000D01*
%TO.C,J4*%
X112141000Y-102870000D02*
X112141000Y-140970000D01*
X112141000Y-140970000D02*
X124841000Y-140970000D01*
X124841000Y-140970000D02*
X124841000Y-102870000D01*
X124841000Y-102870000D02*
X112141000Y-102870000D01*
%TD*%
%LPC*%
D12*
%TO.C,J2*%
X88265000Y-114300000D03*
X91440000Y-116840000D03*
X88265000Y-119380000D03*
X91440000Y-121920000D03*
X88265000Y-124333000D03*
X91440000Y-127000000D03*
X88265000Y-129540000D03*
%TD*%
%TO.C,J4*%
X117475000Y-129540000D03*
X114300000Y-127000000D03*
X117475000Y-124460000D03*
X114300000Y-121920000D03*
X117475000Y-119507000D03*
X114300000Y-116840000D03*
X117475000Y-114300000D03*
%TD*%
D13*
%TO.C,J5*%
X65600000Y-144637500D03*
X74100000Y-144637500D03*
%TD*%
G36*
X112042121Y-100020002D02*
G01*
X112088614Y-100073658D01*
X112100000Y-100126000D01*
X112100000Y-147374000D01*
X112079998Y-147442121D01*
X112026342Y-147488614D01*
X111974000Y-147500000D01*
X93726000Y-147500000D01*
X93657879Y-147479998D01*
X93611386Y-147426342D01*
X93600000Y-147374000D01*
X93600000Y-100126000D01*
X93620002Y-100057879D01*
X93673658Y-100011386D01*
X93726000Y-100000000D01*
X111974000Y-100000000D01*
X112042121Y-100020002D01*
G37*
M02*

View File

@ -1,87 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:03+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Copper,L2,Inr*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:03*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,ComponentPad*%
%ADD10C,1.524000*%
%TD*%
%TA.AperFunction,ViaPad*%
%ADD11C,0.800000*%
%TD*%
G04 APERTURE END LIST*
D10*
%TO.N,Net-(J2-Pad1)*%
%TO.C,J2*%
X88265000Y-114300000D03*
%TO.N,Net-(J2-Pad2)*%
X91440000Y-116840000D03*
%TO.N,Net-(J3-Pad7)*%
X88265000Y-119380000D03*
%TO.N,Net-(J3-Pad9)*%
X91440000Y-121920000D03*
%TO.N,Net-(J2-Pad5)*%
X88265000Y-124333000D03*
%TO.N,unconnected-(J2-Pad6)*%
X91440000Y-127000000D03*
%TO.N,unconnected-(J2-Pad7)*%
X88265000Y-129540000D03*
%TD*%
%TO.N,unconnected-(J4-Pad1)*%
%TO.C,J4*%
X117475000Y-129540000D03*
%TO.N,unconnected-(J4-Pad2)*%
X114300000Y-127000000D03*
%TO.N,Net-(J4-Pad3)*%
X117475000Y-124460000D03*
%TO.N,Net-(J4-Pad4)*%
X114300000Y-121920000D03*
%TO.N,unconnected-(J4-Pad5)*%
X117475000Y-119507000D03*
%TO.N,GND*%
X114300000Y-116840000D03*
%TO.N,Net-(J1-Pad3)*%
X117475000Y-114300000D03*
%TD*%
D11*
%TO.N,GND*%
X74168000Y-146304000D03*
X74168000Y-142748000D03*
X74168000Y-144526000D03*
X65532000Y-146304000D03*
X65532000Y-144526000D03*
X65532000Y-142748000D03*
X84328000Y-127000000D03*
X85852000Y-129540000D03*
X84328000Y-129540000D03*
X85852000Y-127000000D03*
X85852000Y-124460000D03*
X84328000Y-124460000D03*
X85852000Y-121920000D03*
X84328000Y-121920000D03*
X85852000Y-119380000D03*
X84328000Y-119380000D03*
X80772000Y-124460000D03*
X79248000Y-124460000D03*
X126492000Y-124460000D03*
X124968000Y-124460000D03*
X121412000Y-129540000D03*
X119888000Y-129540000D03*
X121412000Y-127000000D03*
X119888000Y-127000000D03*
X121412000Y-124460000D03*
X119888000Y-124460000D03*
X121412000Y-121920000D03*
X119888000Y-121920000D03*
X121412000Y-119380000D03*
X119888000Y-119380000D03*
%TD*%
M02*

File diff suppressed because it is too large Load Diff

View File

@ -1,112 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:06+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Drillmap*%
%TF.FilePolarity,Positive*%
%FSLAX45Y45*%
G04 Gerber Fmt 4.5, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:06*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11C,0.200000*%
G04 APERTURE END LIST*
D10*
X6000000Y-10000000D02*
X6000000Y-14750000D01*
X13750000Y-14750000D02*
X13750000Y-10250000D01*
X13750000Y-10000000D02*
X6000000Y-10000000D01*
X6000000Y-14750000D02*
X13750000Y-14750000D01*
X13750000Y-10250000D02*
X13750000Y-10000000D01*
D11*
X6252619Y-15065476D02*
X6252619Y-14865476D01*
X6300238Y-14865476D01*
X6328809Y-14875000D01*
X6347857Y-14894048D01*
X6357381Y-14913095D01*
X6366905Y-14951190D01*
X6366905Y-14979762D01*
X6357381Y-15017857D01*
X6347857Y-15036905D01*
X6328809Y-15055952D01*
X6300238Y-15065476D01*
X6252619Y-15065476D01*
X6452619Y-15065476D02*
X6452619Y-14932143D01*
X6452619Y-14970238D02*
X6462143Y-14951190D01*
X6471667Y-14941667D01*
X6490714Y-14932143D01*
X6509762Y-14932143D01*
X6576428Y-15065476D02*
X6576428Y-14932143D01*
X6576428Y-14865476D02*
X6566905Y-14875000D01*
X6576428Y-14884524D01*
X6585952Y-14875000D01*
X6576428Y-14865476D01*
X6576428Y-14884524D01*
X6700238Y-15065476D02*
X6681190Y-15055952D01*
X6671667Y-15036905D01*
X6671667Y-14865476D01*
X6805000Y-15065476D02*
X6785952Y-15055952D01*
X6776428Y-15036905D01*
X6776428Y-14865476D01*
X7033571Y-15065476D02*
X7033571Y-14865476D01*
X7100238Y-15008333D01*
X7166905Y-14865476D01*
X7166905Y-15065476D01*
X7347857Y-15065476D02*
X7347857Y-14960714D01*
X7338333Y-14941667D01*
X7319286Y-14932143D01*
X7281190Y-14932143D01*
X7262143Y-14941667D01*
X7347857Y-15055952D02*
X7328809Y-15065476D01*
X7281190Y-15065476D01*
X7262143Y-15055952D01*
X7252619Y-15036905D01*
X7252619Y-15017857D01*
X7262143Y-14998809D01*
X7281190Y-14989286D01*
X7328809Y-14989286D01*
X7347857Y-14979762D01*
X7443095Y-14932143D02*
X7443095Y-15132143D01*
X7443095Y-14941667D02*
X7462143Y-14932143D01*
X7500238Y-14932143D01*
X7519286Y-14941667D01*
X7528809Y-14951190D01*
X7538333Y-14970238D01*
X7538333Y-15027381D01*
X7528809Y-15046428D01*
X7519286Y-15055952D01*
X7500238Y-15065476D01*
X7462143Y-15065476D01*
X7443095Y-15055952D01*
X7624048Y-15046428D02*
X7633571Y-15055952D01*
X7624048Y-15065476D01*
X7614524Y-15055952D01*
X7624048Y-15046428D01*
X7624048Y-15065476D01*
X7624048Y-14941667D02*
X7633571Y-14951190D01*
X7624048Y-14960714D01*
X7614524Y-14951190D01*
X7624048Y-14941667D01*
X7624048Y-14960714D01*
M02*

View File

@ -1,13 +0,0 @@
M48
; DRILL file {KiCad (6.0.9)} date Sunday, December 04, 2022 PM08:54:06
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2022-12-04T20:54:06+08:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.9)
; #@! TF.FileFunction,NonPlated,1,4,NPTH
FMAT,2
METRIC
%
G90
G05
T0
M30

View File

@ -1,943 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:54:06+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Drillmap*%
%TF.FilePolarity,Positive*%
%FSLAX45Y45*%
G04 Gerber Fmt 4.5, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:54:06*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11C,0.200000*%
%ADD12C,0.040000*%
%ADD13C,0.080000*%
G04 APERTURE END LIST*
D10*
X6000000Y-10000000D02*
X6000000Y-14750000D01*
X13750000Y-14750000D02*
X13750000Y-10250000D01*
X13750000Y-10000000D02*
X6000000Y-10000000D01*
X6000000Y-14750000D02*
X13750000Y-14750000D01*
X13750000Y-10250000D02*
X13750000Y-10000000D01*
D11*
D12*
X6533200Y-14254800D02*
X6573200Y-14294800D01*
X6573200Y-14254800D02*
X6533200Y-14294800D01*
X6533200Y-14432600D02*
X6573200Y-14472600D01*
X6573200Y-14432600D02*
X6533200Y-14472600D01*
X6533200Y-14610400D02*
X6573200Y-14650400D01*
X6573200Y-14610400D02*
X6533200Y-14650400D01*
X7396800Y-14254800D02*
X7436800Y-14294800D01*
X7436800Y-14254800D02*
X7396800Y-14294800D01*
X7396800Y-14432600D02*
X7436800Y-14472600D01*
X7436800Y-14432600D02*
X7396800Y-14472600D01*
X7396800Y-14610400D02*
X7436800Y-14650400D01*
X7436800Y-14610400D02*
X7396800Y-14650400D01*
X7904800Y-12426000D02*
X7944800Y-12466000D01*
X7944800Y-12426000D02*
X7904800Y-12466000D01*
X8057200Y-12426000D02*
X8097200Y-12466000D01*
X8097200Y-12426000D02*
X8057200Y-12466000D01*
X8412800Y-11918000D02*
X8452800Y-11958000D01*
X8452800Y-11918000D02*
X8412800Y-11958000D01*
X8412800Y-12172000D02*
X8452800Y-12212000D01*
X8452800Y-12172000D02*
X8412800Y-12212000D01*
X8412800Y-12426000D02*
X8452800Y-12466000D01*
X8452800Y-12426000D02*
X8412800Y-12466000D01*
X8412800Y-12680000D02*
X8452800Y-12720000D01*
X8452800Y-12680000D02*
X8412800Y-12720000D01*
X8412800Y-12934000D02*
X8452800Y-12974000D01*
X8452800Y-12934000D02*
X8412800Y-12974000D01*
X8565200Y-11918000D02*
X8605200Y-11958000D01*
X8605200Y-11918000D02*
X8565200Y-11958000D01*
X8565200Y-12172000D02*
X8605200Y-12212000D01*
X8605200Y-12172000D02*
X8565200Y-12212000D01*
X8565200Y-12426000D02*
X8605200Y-12466000D01*
X8605200Y-12426000D02*
X8565200Y-12466000D01*
X8565200Y-12680000D02*
X8605200Y-12720000D01*
X8605200Y-12680000D02*
X8565200Y-12720000D01*
X8565200Y-12934000D02*
X8605200Y-12974000D01*
X8605200Y-12934000D02*
X8565200Y-12974000D01*
X11968800Y-11918000D02*
X12008800Y-11958000D01*
X12008800Y-11918000D02*
X11968800Y-11958000D01*
X11968800Y-12172000D02*
X12008800Y-12212000D01*
X12008800Y-12172000D02*
X11968800Y-12212000D01*
X11968800Y-12426000D02*
X12008800Y-12466000D01*
X12008800Y-12426000D02*
X11968800Y-12466000D01*
X11968800Y-12680000D02*
X12008800Y-12720000D01*
X12008800Y-12680000D02*
X11968800Y-12720000D01*
X11968800Y-12934000D02*
X12008800Y-12974000D01*
X12008800Y-12934000D02*
X11968800Y-12974000D01*
X12121200Y-11918000D02*
X12161200Y-11958000D01*
X12161200Y-11918000D02*
X12121200Y-11958000D01*
X12121200Y-12172000D02*
X12161200Y-12212000D01*
X12161200Y-12172000D02*
X12121200Y-12212000D01*
X12121200Y-12426000D02*
X12161200Y-12466000D01*
X12161200Y-12426000D02*
X12121200Y-12466000D01*
X12121200Y-12680000D02*
X12161200Y-12720000D01*
X12161200Y-12680000D02*
X12121200Y-12720000D01*
X12121200Y-12934000D02*
X12161200Y-12974000D01*
X12161200Y-12934000D02*
X12121200Y-12974000D01*
X12476800Y-12426000D02*
X12516800Y-12466000D01*
X12516800Y-12426000D02*
X12476800Y-12466000D01*
X12629200Y-12426000D02*
X12669200Y-12466000D01*
X12669200Y-12426000D02*
X12629200Y-12466000D01*
D13*
X8866500Y-11430000D02*
G75*
G03*
X8866500Y-11430000I-40000J0D01*
G01*
X8866500Y-11938000D02*
G75*
G03*
X8866500Y-11938000I-40000J0D01*
G01*
X8866500Y-12433300D02*
G75*
G03*
X8866500Y-12433300I-40000J0D01*
G01*
X8866500Y-12954000D02*
G75*
G03*
X8866500Y-12954000I-40000J0D01*
G01*
X9184000Y-11684000D02*
G75*
G03*
X9184000Y-11684000I-40000J0D01*
G01*
X9184000Y-12192000D02*
G75*
G03*
X9184000Y-12192000I-40000J0D01*
G01*
X9184000Y-12700000D02*
G75*
G03*
X9184000Y-12700000I-40000J0D01*
G01*
X11470000Y-11684000D02*
G75*
G03*
X11470000Y-11684000I-40000J0D01*
G01*
X11470000Y-12192000D02*
G75*
G03*
X11470000Y-12192000I-40000J0D01*
G01*
X11470000Y-12700000D02*
G75*
G03*
X11470000Y-12700000I-40000J0D01*
G01*
X11787500Y-11430000D02*
G75*
G03*
X11787500Y-11430000I-40000J0D01*
G01*
X11787500Y-11950700D02*
G75*
G03*
X11787500Y-11950700I-40000J0D01*
G01*
X11787500Y-12446000D02*
G75*
G03*
X11787500Y-12446000I-40000J0D01*
G01*
X11787500Y-12954000D02*
G75*
G03*
X11787500Y-12954000I-40000J0D01*
G01*
D11*
X6252619Y-15065476D02*
X6252619Y-14865476D01*
X6300238Y-14865476D01*
X6328809Y-14875000D01*
X6347857Y-14894048D01*
X6357381Y-14913095D01*
X6366905Y-14951190D01*
X6366905Y-14979762D01*
X6357381Y-15017857D01*
X6347857Y-15036905D01*
X6328809Y-15055952D01*
X6300238Y-15065476D01*
X6252619Y-15065476D01*
X6452619Y-15065476D02*
X6452619Y-14932143D01*
X6452619Y-14970238D02*
X6462143Y-14951190D01*
X6471667Y-14941667D01*
X6490714Y-14932143D01*
X6509762Y-14932143D01*
X6576428Y-15065476D02*
X6576428Y-14932143D01*
X6576428Y-14865476D02*
X6566905Y-14875000D01*
X6576428Y-14884524D01*
X6585952Y-14875000D01*
X6576428Y-14865476D01*
X6576428Y-14884524D01*
X6700238Y-15065476D02*
X6681190Y-15055952D01*
X6671667Y-15036905D01*
X6671667Y-14865476D01*
X6805000Y-15065476D02*
X6785952Y-15055952D01*
X6776428Y-15036905D01*
X6776428Y-14865476D01*
X7033571Y-15065476D02*
X7033571Y-14865476D01*
X7100238Y-15008333D01*
X7166905Y-14865476D01*
X7166905Y-15065476D01*
X7347857Y-15065476D02*
X7347857Y-14960714D01*
X7338333Y-14941667D01*
X7319286Y-14932143D01*
X7281190Y-14932143D01*
X7262143Y-14941667D01*
X7347857Y-15055952D02*
X7328809Y-15065476D01*
X7281190Y-15065476D01*
X7262143Y-15055952D01*
X7252619Y-15036905D01*
X7252619Y-15017857D01*
X7262143Y-14998809D01*
X7281190Y-14989286D01*
X7328809Y-14989286D01*
X7347857Y-14979762D01*
X7443095Y-14932143D02*
X7443095Y-15132143D01*
X7443095Y-14941667D02*
X7462143Y-14932143D01*
X7500238Y-14932143D01*
X7519286Y-14941667D01*
X7528809Y-14951190D01*
X7538333Y-14970238D01*
X7538333Y-15027381D01*
X7528809Y-15046428D01*
X7519286Y-15055952D01*
X7500238Y-15065476D01*
X7462143Y-15065476D01*
X7443095Y-15055952D01*
X7624048Y-15046428D02*
X7633571Y-15055952D01*
X7624048Y-15065476D01*
X7614524Y-15055952D01*
X7624048Y-15046428D01*
X7624048Y-15065476D01*
X7624048Y-14941667D02*
X7633571Y-14951190D01*
X7624048Y-14960714D01*
X7614524Y-14951190D01*
X7624048Y-14941667D01*
X7624048Y-14960714D01*
D12*
X5955000Y-15375000D02*
X5995000Y-15415000D01*
X5995000Y-15375000D02*
X5955000Y-15415000D01*
D11*
X6290714Y-15285476D02*
X6309762Y-15285476D01*
X6328809Y-15295000D01*
X6338333Y-15304524D01*
X6347857Y-15323571D01*
X6357381Y-15361667D01*
X6357381Y-15409286D01*
X6347857Y-15447381D01*
X6338333Y-15466428D01*
X6328809Y-15475952D01*
X6309762Y-15485476D01*
X6290714Y-15485476D01*
X6271667Y-15475952D01*
X6262143Y-15466428D01*
X6252619Y-15447381D01*
X6243095Y-15409286D01*
X6243095Y-15361667D01*
X6252619Y-15323571D01*
X6262143Y-15304524D01*
X6271667Y-15295000D01*
X6290714Y-15285476D01*
X6443095Y-15466428D02*
X6452619Y-15475952D01*
X6443095Y-15485476D01*
X6433571Y-15475952D01*
X6443095Y-15466428D01*
X6443095Y-15485476D01*
X6624048Y-15352143D02*
X6624048Y-15485476D01*
X6576428Y-15275952D02*
X6528809Y-15418809D01*
X6652619Y-15418809D01*
X6766905Y-15285476D02*
X6785952Y-15285476D01*
X6805000Y-15295000D01*
X6814524Y-15304524D01*
X6824048Y-15323571D01*
X6833571Y-15361667D01*
X6833571Y-15409286D01*
X6824048Y-15447381D01*
X6814524Y-15466428D01*
X6805000Y-15475952D01*
X6785952Y-15485476D01*
X6766905Y-15485476D01*
X6747857Y-15475952D01*
X6738333Y-15466428D01*
X6728809Y-15447381D01*
X6719286Y-15409286D01*
X6719286Y-15361667D01*
X6728809Y-15323571D01*
X6738333Y-15304524D01*
X6747857Y-15295000D01*
X6766905Y-15285476D01*
X6957381Y-15285476D02*
X6976428Y-15285476D01*
X6995476Y-15295000D01*
X7005000Y-15304524D01*
X7014524Y-15323571D01*
X7024048Y-15361667D01*
X7024048Y-15409286D01*
X7014524Y-15447381D01*
X7005000Y-15466428D01*
X6995476Y-15475952D01*
X6976428Y-15485476D01*
X6957381Y-15485476D01*
X6938333Y-15475952D01*
X6928809Y-15466428D01*
X6919286Y-15447381D01*
X6909762Y-15409286D01*
X6909762Y-15361667D01*
X6919286Y-15323571D01*
X6928809Y-15304524D01*
X6938333Y-15295000D01*
X6957381Y-15285476D01*
X7109762Y-15485476D02*
X7109762Y-15352143D01*
X7109762Y-15371190D02*
X7119286Y-15361667D01*
X7138333Y-15352143D01*
X7166905Y-15352143D01*
X7185952Y-15361667D01*
X7195476Y-15380714D01*
X7195476Y-15485476D01*
X7195476Y-15380714D02*
X7205000Y-15361667D01*
X7224048Y-15352143D01*
X7252619Y-15352143D01*
X7271667Y-15361667D01*
X7281190Y-15380714D01*
X7281190Y-15485476D01*
X7376428Y-15485476D02*
X7376428Y-15352143D01*
X7376428Y-15371190D02*
X7385952Y-15361667D01*
X7405000Y-15352143D01*
X7433571Y-15352143D01*
X7452619Y-15361667D01*
X7462143Y-15380714D01*
X7462143Y-15485476D01*
X7462143Y-15380714D02*
X7471667Y-15361667D01*
X7490714Y-15352143D01*
X7519286Y-15352143D01*
X7538333Y-15361667D01*
X7547857Y-15380714D01*
X7547857Y-15485476D01*
X7938333Y-15275952D02*
X7766905Y-15533095D01*
X8195476Y-15285476D02*
X8214524Y-15285476D01*
X8233571Y-15295000D01*
X8243095Y-15304524D01*
X8252619Y-15323571D01*
X8262143Y-15361667D01*
X8262143Y-15409286D01*
X8252619Y-15447381D01*
X8243095Y-15466428D01*
X8233571Y-15475952D01*
X8214524Y-15485476D01*
X8195476Y-15485476D01*
X8176428Y-15475952D01*
X8166905Y-15466428D01*
X8157381Y-15447381D01*
X8147857Y-15409286D01*
X8147857Y-15361667D01*
X8157381Y-15323571D01*
X8166905Y-15304524D01*
X8176428Y-15295000D01*
X8195476Y-15285476D01*
X8347857Y-15466428D02*
X8357381Y-15475952D01*
X8347857Y-15485476D01*
X8338333Y-15475952D01*
X8347857Y-15466428D01*
X8347857Y-15485476D01*
X8481190Y-15285476D02*
X8500238Y-15285476D01*
X8519286Y-15295000D01*
X8528810Y-15304524D01*
X8538333Y-15323571D01*
X8547857Y-15361667D01*
X8547857Y-15409286D01*
X8538333Y-15447381D01*
X8528810Y-15466428D01*
X8519286Y-15475952D01*
X8500238Y-15485476D01*
X8481190Y-15485476D01*
X8462143Y-15475952D01*
X8452619Y-15466428D01*
X8443095Y-15447381D01*
X8433571Y-15409286D01*
X8433571Y-15361667D01*
X8443095Y-15323571D01*
X8452619Y-15304524D01*
X8462143Y-15295000D01*
X8481190Y-15285476D01*
X8738333Y-15485476D02*
X8624048Y-15485476D01*
X8681190Y-15485476D02*
X8681190Y-15285476D01*
X8662143Y-15314048D01*
X8643095Y-15333095D01*
X8624048Y-15342619D01*
X8919286Y-15285476D02*
X8824048Y-15285476D01*
X8814524Y-15380714D01*
X8824048Y-15371190D01*
X8843095Y-15361667D01*
X8890714Y-15361667D01*
X8909762Y-15371190D01*
X8919286Y-15380714D01*
X8928810Y-15399762D01*
X8928810Y-15447381D01*
X8919286Y-15466428D01*
X8909762Y-15475952D01*
X8890714Y-15485476D01*
X8843095Y-15485476D01*
X8824048Y-15475952D01*
X8814524Y-15466428D01*
X8995476Y-15285476D02*
X9128810Y-15285476D01*
X9043095Y-15485476D01*
X9195476Y-15285476D02*
X9195476Y-15323571D01*
X9271667Y-15285476D02*
X9271667Y-15323571D01*
X9566905Y-15561667D02*
X9557381Y-15552143D01*
X9538333Y-15523571D01*
X9528810Y-15504524D01*
X9519286Y-15475952D01*
X9509762Y-15428333D01*
X9509762Y-15390238D01*
X9519286Y-15342619D01*
X9528810Y-15314048D01*
X9538333Y-15295000D01*
X9557381Y-15266428D01*
X9566905Y-15256905D01*
X9624048Y-15285476D02*
X9747857Y-15285476D01*
X9681190Y-15361667D01*
X9709762Y-15361667D01*
X9728810Y-15371190D01*
X9738333Y-15380714D01*
X9747857Y-15399762D01*
X9747857Y-15447381D01*
X9738333Y-15466428D01*
X9728810Y-15475952D01*
X9709762Y-15485476D01*
X9652619Y-15485476D01*
X9633571Y-15475952D01*
X9624048Y-15466428D01*
X9871667Y-15285476D02*
X9890714Y-15285476D01*
X9909762Y-15295000D01*
X9919286Y-15304524D01*
X9928810Y-15323571D01*
X9938333Y-15361667D01*
X9938333Y-15409286D01*
X9928810Y-15447381D01*
X9919286Y-15466428D01*
X9909762Y-15475952D01*
X9890714Y-15485476D01*
X9871667Y-15485476D01*
X9852619Y-15475952D01*
X9843095Y-15466428D01*
X9833571Y-15447381D01*
X9824048Y-15409286D01*
X9824048Y-15361667D01*
X9833571Y-15323571D01*
X9843095Y-15304524D01*
X9852619Y-15295000D01*
X9871667Y-15285476D01*
X10176429Y-15485476D02*
X10176429Y-15285476D01*
X10262143Y-15485476D02*
X10262143Y-15380714D01*
X10252619Y-15361667D01*
X10233571Y-15352143D01*
X10205000Y-15352143D01*
X10185952Y-15361667D01*
X10176429Y-15371190D01*
X10385952Y-15485476D02*
X10366905Y-15475952D01*
X10357381Y-15466428D01*
X10347857Y-15447381D01*
X10347857Y-15390238D01*
X10357381Y-15371190D01*
X10366905Y-15361667D01*
X10385952Y-15352143D01*
X10414524Y-15352143D01*
X10433571Y-15361667D01*
X10443095Y-15371190D01*
X10452619Y-15390238D01*
X10452619Y-15447381D01*
X10443095Y-15466428D01*
X10433571Y-15475952D01*
X10414524Y-15485476D01*
X10385952Y-15485476D01*
X10566905Y-15485476D02*
X10547857Y-15475952D01*
X10538333Y-15456905D01*
X10538333Y-15285476D01*
X10719286Y-15475952D02*
X10700238Y-15485476D01*
X10662143Y-15485476D01*
X10643095Y-15475952D01*
X10633571Y-15456905D01*
X10633571Y-15380714D01*
X10643095Y-15361667D01*
X10662143Y-15352143D01*
X10700238Y-15352143D01*
X10719286Y-15361667D01*
X10728810Y-15380714D01*
X10728810Y-15399762D01*
X10633571Y-15418809D01*
X10805000Y-15475952D02*
X10824048Y-15485476D01*
X10862143Y-15485476D01*
X10881190Y-15475952D01*
X10890714Y-15456905D01*
X10890714Y-15447381D01*
X10881190Y-15428333D01*
X10862143Y-15418809D01*
X10833571Y-15418809D01*
X10814524Y-15409286D01*
X10805000Y-15390238D01*
X10805000Y-15380714D01*
X10814524Y-15361667D01*
X10833571Y-15352143D01*
X10862143Y-15352143D01*
X10881190Y-15361667D01*
X10957381Y-15561667D02*
X10966905Y-15552143D01*
X10985952Y-15523571D01*
X10995476Y-15504524D01*
X11005000Y-15475952D01*
X11014524Y-15428333D01*
X11014524Y-15390238D01*
X11005000Y-15342619D01*
X10995476Y-15314048D01*
X10985952Y-15295000D01*
X10966905Y-15266428D01*
X10957381Y-15256905D01*
D13*
X5995000Y-15659000D02*
G75*
G03*
X5995000Y-15659000I-40000J0D01*
G01*
D11*
X6290714Y-15549476D02*
X6309762Y-15549476D01*
X6328809Y-15559000D01*
X6338333Y-15568524D01*
X6347857Y-15587571D01*
X6357381Y-15625667D01*
X6357381Y-15673286D01*
X6347857Y-15711381D01*
X6338333Y-15730428D01*
X6328809Y-15739952D01*
X6309762Y-15749476D01*
X6290714Y-15749476D01*
X6271667Y-15739952D01*
X6262143Y-15730428D01*
X6252619Y-15711381D01*
X6243095Y-15673286D01*
X6243095Y-15625667D01*
X6252619Y-15587571D01*
X6262143Y-15568524D01*
X6271667Y-15559000D01*
X6290714Y-15549476D01*
X6443095Y-15730428D02*
X6452619Y-15739952D01*
X6443095Y-15749476D01*
X6433571Y-15739952D01*
X6443095Y-15730428D01*
X6443095Y-15749476D01*
X6566905Y-15635190D02*
X6547857Y-15625667D01*
X6538333Y-15616143D01*
X6528809Y-15597095D01*
X6528809Y-15587571D01*
X6538333Y-15568524D01*
X6547857Y-15559000D01*
X6566905Y-15549476D01*
X6605000Y-15549476D01*
X6624048Y-15559000D01*
X6633571Y-15568524D01*
X6643095Y-15587571D01*
X6643095Y-15597095D01*
X6633571Y-15616143D01*
X6624048Y-15625667D01*
X6605000Y-15635190D01*
X6566905Y-15635190D01*
X6547857Y-15644714D01*
X6538333Y-15654238D01*
X6528809Y-15673286D01*
X6528809Y-15711381D01*
X6538333Y-15730428D01*
X6547857Y-15739952D01*
X6566905Y-15749476D01*
X6605000Y-15749476D01*
X6624048Y-15739952D01*
X6633571Y-15730428D01*
X6643095Y-15711381D01*
X6643095Y-15673286D01*
X6633571Y-15654238D01*
X6624048Y-15644714D01*
X6605000Y-15635190D01*
X6766905Y-15549476D02*
X6785952Y-15549476D01*
X6805000Y-15559000D01*
X6814524Y-15568524D01*
X6824048Y-15587571D01*
X6833571Y-15625667D01*
X6833571Y-15673286D01*
X6824048Y-15711381D01*
X6814524Y-15730428D01*
X6805000Y-15739952D01*
X6785952Y-15749476D01*
X6766905Y-15749476D01*
X6747857Y-15739952D01*
X6738333Y-15730428D01*
X6728809Y-15711381D01*
X6719286Y-15673286D01*
X6719286Y-15625667D01*
X6728809Y-15587571D01*
X6738333Y-15568524D01*
X6747857Y-15559000D01*
X6766905Y-15549476D01*
X6957381Y-15549476D02*
X6976428Y-15549476D01*
X6995476Y-15559000D01*
X7005000Y-15568524D01*
X7014524Y-15587571D01*
X7024048Y-15625667D01*
X7024048Y-15673286D01*
X7014524Y-15711381D01*
X7005000Y-15730428D01*
X6995476Y-15739952D01*
X6976428Y-15749476D01*
X6957381Y-15749476D01*
X6938333Y-15739952D01*
X6928809Y-15730428D01*
X6919286Y-15711381D01*
X6909762Y-15673286D01*
X6909762Y-15625667D01*
X6919286Y-15587571D01*
X6928809Y-15568524D01*
X6938333Y-15559000D01*
X6957381Y-15549476D01*
X7109762Y-15749476D02*
X7109762Y-15616143D01*
X7109762Y-15635190D02*
X7119286Y-15625667D01*
X7138333Y-15616143D01*
X7166905Y-15616143D01*
X7185952Y-15625667D01*
X7195476Y-15644714D01*
X7195476Y-15749476D01*
X7195476Y-15644714D02*
X7205000Y-15625667D01*
X7224048Y-15616143D01*
X7252619Y-15616143D01*
X7271667Y-15625667D01*
X7281190Y-15644714D01*
X7281190Y-15749476D01*
X7376428Y-15749476D02*
X7376428Y-15616143D01*
X7376428Y-15635190D02*
X7385952Y-15625667D01*
X7405000Y-15616143D01*
X7433571Y-15616143D01*
X7452619Y-15625667D01*
X7462143Y-15644714D01*
X7462143Y-15749476D01*
X7462143Y-15644714D02*
X7471667Y-15625667D01*
X7490714Y-15616143D01*
X7519286Y-15616143D01*
X7538333Y-15625667D01*
X7547857Y-15644714D01*
X7547857Y-15749476D01*
X7938333Y-15539952D02*
X7766905Y-15797095D01*
X8195476Y-15549476D02*
X8214524Y-15549476D01*
X8233571Y-15559000D01*
X8243095Y-15568524D01*
X8252619Y-15587571D01*
X8262143Y-15625667D01*
X8262143Y-15673286D01*
X8252619Y-15711381D01*
X8243095Y-15730428D01*
X8233571Y-15739952D01*
X8214524Y-15749476D01*
X8195476Y-15749476D01*
X8176428Y-15739952D01*
X8166905Y-15730428D01*
X8157381Y-15711381D01*
X8147857Y-15673286D01*
X8147857Y-15625667D01*
X8157381Y-15587571D01*
X8166905Y-15568524D01*
X8176428Y-15559000D01*
X8195476Y-15549476D01*
X8347857Y-15730428D02*
X8357381Y-15739952D01*
X8347857Y-15749476D01*
X8338333Y-15739952D01*
X8347857Y-15730428D01*
X8347857Y-15749476D01*
X8481190Y-15549476D02*
X8500238Y-15549476D01*
X8519286Y-15559000D01*
X8528810Y-15568524D01*
X8538333Y-15587571D01*
X8547857Y-15625667D01*
X8547857Y-15673286D01*
X8538333Y-15711381D01*
X8528810Y-15730428D01*
X8519286Y-15739952D01*
X8500238Y-15749476D01*
X8481190Y-15749476D01*
X8462143Y-15739952D01*
X8452619Y-15730428D01*
X8443095Y-15711381D01*
X8433571Y-15673286D01*
X8433571Y-15625667D01*
X8443095Y-15587571D01*
X8452619Y-15568524D01*
X8462143Y-15559000D01*
X8481190Y-15549476D01*
X8614524Y-15549476D02*
X8738333Y-15549476D01*
X8671667Y-15625667D01*
X8700238Y-15625667D01*
X8719286Y-15635190D01*
X8728810Y-15644714D01*
X8738333Y-15663762D01*
X8738333Y-15711381D01*
X8728810Y-15730428D01*
X8719286Y-15739952D01*
X8700238Y-15749476D01*
X8643095Y-15749476D01*
X8624048Y-15739952D01*
X8614524Y-15730428D01*
X8928810Y-15749476D02*
X8814524Y-15749476D01*
X8871667Y-15749476D02*
X8871667Y-15549476D01*
X8852619Y-15578048D01*
X8833571Y-15597095D01*
X8814524Y-15606619D01*
X9109762Y-15549476D02*
X9014524Y-15549476D01*
X9005000Y-15644714D01*
X9014524Y-15635190D01*
X9033571Y-15625667D01*
X9081190Y-15625667D01*
X9100238Y-15635190D01*
X9109762Y-15644714D01*
X9119286Y-15663762D01*
X9119286Y-15711381D01*
X9109762Y-15730428D01*
X9100238Y-15739952D01*
X9081190Y-15749476D01*
X9033571Y-15749476D01*
X9014524Y-15739952D01*
X9005000Y-15730428D01*
X9195476Y-15549476D02*
X9195476Y-15587571D01*
X9271667Y-15549476D02*
X9271667Y-15587571D01*
X9566905Y-15825667D02*
X9557381Y-15816143D01*
X9538333Y-15787571D01*
X9528810Y-15768524D01*
X9519286Y-15739952D01*
X9509762Y-15692333D01*
X9509762Y-15654238D01*
X9519286Y-15606619D01*
X9528810Y-15578048D01*
X9538333Y-15559000D01*
X9557381Y-15530428D01*
X9566905Y-15520905D01*
X9747857Y-15749476D02*
X9633571Y-15749476D01*
X9690714Y-15749476D02*
X9690714Y-15549476D01*
X9671667Y-15578048D01*
X9652619Y-15597095D01*
X9633571Y-15606619D01*
X9919286Y-15616143D02*
X9919286Y-15749476D01*
X9871667Y-15539952D02*
X9824048Y-15682809D01*
X9947857Y-15682809D01*
X10176429Y-15749476D02*
X10176429Y-15549476D01*
X10262143Y-15749476D02*
X10262143Y-15644714D01*
X10252619Y-15625667D01*
X10233571Y-15616143D01*
X10205000Y-15616143D01*
X10185952Y-15625667D01*
X10176429Y-15635190D01*
X10385952Y-15749476D02*
X10366905Y-15739952D01*
X10357381Y-15730428D01*
X10347857Y-15711381D01*
X10347857Y-15654238D01*
X10357381Y-15635190D01*
X10366905Y-15625667D01*
X10385952Y-15616143D01*
X10414524Y-15616143D01*
X10433571Y-15625667D01*
X10443095Y-15635190D01*
X10452619Y-15654238D01*
X10452619Y-15711381D01*
X10443095Y-15730428D01*
X10433571Y-15739952D01*
X10414524Y-15749476D01*
X10385952Y-15749476D01*
X10566905Y-15749476D02*
X10547857Y-15739952D01*
X10538333Y-15720905D01*
X10538333Y-15549476D01*
X10719286Y-15739952D02*
X10700238Y-15749476D01*
X10662143Y-15749476D01*
X10643095Y-15739952D01*
X10633571Y-15720905D01*
X10633571Y-15644714D01*
X10643095Y-15625667D01*
X10662143Y-15616143D01*
X10700238Y-15616143D01*
X10719286Y-15625667D01*
X10728810Y-15644714D01*
X10728810Y-15663762D01*
X10633571Y-15682809D01*
X10805000Y-15739952D02*
X10824048Y-15749476D01*
X10862143Y-15749476D01*
X10881190Y-15739952D01*
X10890714Y-15720905D01*
X10890714Y-15711381D01*
X10881190Y-15692333D01*
X10862143Y-15682809D01*
X10833571Y-15682809D01*
X10814524Y-15673286D01*
X10805000Y-15654238D01*
X10805000Y-15644714D01*
X10814524Y-15625667D01*
X10833571Y-15616143D01*
X10862143Y-15616143D01*
X10881190Y-15625667D01*
X10957381Y-15825667D02*
X10966905Y-15816143D01*
X10985952Y-15787571D01*
X10995476Y-15768524D01*
X11005000Y-15739952D01*
X11014524Y-15692333D01*
X11014524Y-15654238D01*
X11005000Y-15606619D01*
X10995476Y-15578048D01*
X10985952Y-15559000D01*
X10966905Y-15530428D01*
X10957381Y-15520905D01*
M02*

View File

@ -1,63 +0,0 @@
M48
; DRILL file {KiCad (6.0.9)} date Sunday, December 04, 2022 PM08:54:06
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2022-12-04T20:54:06+08:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.9)
; #@! TF.FileFunction,Plated,1,4,PTH
FMAT,2
METRIC
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T1C0.400
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T2C0.800
%
G90
G05
T1
X65.532Y-142.748
X65.532Y-144.526
X65.532Y-146.304
X74.168Y-142.748
X74.168Y-144.526
X74.168Y-146.304
X79.248Y-124.46
X80.772Y-124.46
X84.328Y-119.38
X84.328Y-121.92
X84.328Y-124.46
X84.328Y-127.0
X84.328Y-129.54
X85.852Y-119.38
X85.852Y-121.92
X85.852Y-124.46
X85.852Y-127.0
X85.852Y-129.54
X119.888Y-119.38
X119.888Y-121.92
X119.888Y-124.46
X119.888Y-127.0
X119.888Y-129.54
X121.412Y-119.38
X121.412Y-121.92
X121.412Y-124.46
X121.412Y-127.0
X121.412Y-129.54
X124.968Y-124.46
X126.492Y-124.46
T2
X88.265Y-114.3
X88.265Y-119.38
X88.265Y-124.333
X88.265Y-129.54
X91.44Y-116.84
X91.44Y-121.92
X91.44Y-127.0
X114.3Y-116.84
X114.3Y-121.92
X114.3Y-127.0
X117.475Y-114.3
X117.475Y-119.507
X117.475Y-124.46
X117.475Y-129.54
T0
M30

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -1,75 +0,0 @@
{
"board": {
"active_layer": 0,
"active_layer_preset": "All Layers",
"auto_track_width": true,
"hidden_nets": [],
"high_contrast_mode": 0,
"net_color_mode": 1,
"opacity": {
"pads": 1.0,
"tracks": 1.0,
"vias": 1.0,
"zones": 0.6
},
"ratsnest_display_mode": 0,
"selection_filter": {
"dimensions": true,
"footprints": true,
"graphics": true,
"keepouts": true,
"lockedItems": true,
"otherItems": true,
"pads": true,
"text": true,
"tracks": true,
"vias": true,
"zones": true
},
"visible_items": [
0,
1,
2,
3,
4,
5,
8,
9,
10,
11,
12,
13,
14,
15,
16,
17,
18,
19,
20,
21,
22,
23,
24,
25,
26,
27,
28,
29,
30,
32,
33,
34,
35,
36
],
"visible_layers": "fffffff_ffffffff",
"zone_display_mode": 0
},
"meta": {
"filename": "kirdyShield.kicad_prl",
"version": 3
},
"project": {
"files": []
}
}

File diff suppressed because it is too large Load Diff

View File

@ -1,28 +0,0 @@
(footprint "socket left" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 0)
(attr through_hole)
(fp_text reference "REF**" (at 7.62 10.16 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 1f629ab9-ea73-4761-9fa0-824cd3f43b84)
)
(fp_text value "socket left" (at 7.62 11.66 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 186cd92f-6c69-47dc-b402-2915cecdf5f5)
)
(fp_text user "${REFERENCE}" (at 7.62 13.16 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 0bfa8775-e49a-41d3-9820-11458c957f17)
)
(fp_line (start 4.699 -11.43) (end -8.001 -11.43) (layer "F.SilkS") (width 0.12) (tstamp 021fe337-7f41-466d-8ca2-4c83cea50bdd))
(fp_line (start -8.001 26.67) (end 4.699 26.67) (layer "F.SilkS") (width 0.12) (tstamp 059ee285-25d3-4723-9d43-1a89dcc96e92))
(fp_line (start 4.699 26.67) (end 4.699 -11.43) (layer "F.SilkS") (width 0.12) (tstamp 6239c0b5-02c8-4695-82ff-4442a7409353))
(fp_line (start -8.001 -11.43) (end -8.001 26.67) (layer "F.SilkS") (width 0.12) (tstamp 6d2ca805-6cf0-46ae-a6ce-95b68a2075ad))
(pad "1" thru_hole circle (at -0.635 0) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp 79b32832-c4dd-4a74-b242-0ca0e9f1fada))
(pad "2" thru_hole circle (at 2.54 2.54) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp 63cf594c-ed68-406d-94e6-bdd6c5453163))
(pad "3" thru_hole circle (at -0.635 5.08) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp c29cdea1-d77b-4035-a418-21c9944ce528))
(pad "4" thru_hole circle (at 2.54 7.62) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp a79c058f-1b76-4879-bceb-8777c471c7e9))
(pad "5" thru_hole circle (at -0.635 10.033) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp c8ef5a41-5720-4825-918f-c739ac5ed9e0))
(pad "6" thru_hole circle (at 2.54 12.7) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp e8c7056e-a886-4f60-b7f7-b15b00878eaa))
(pad "7" thru_hole circle (at -0.635 15.24) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp 7d4a8e37-bee9-4432-a652-1d9689493268))
)

View File

@ -1 +0,0 @@
/home/topquark12/pcb/kirdyShield/_autosave-kirdyShield.kicad_sch

File diff suppressed because it is too large Load Diff

View File

@ -1,331 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:29+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Copper,L4,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:29*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%TA.AperFunction,SMDPad,CuDef*%
%ADD10R,3.000000X1.000000*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD11RoundRect,0.250000X-0.450000X0.262500X-0.450000X-0.262500X0.450000X-0.262500X0.450000X0.262500X0*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD12RoundRect,0.250000X-0.262500X-0.450000X0.262500X-0.450000X0.262500X0.450000X-0.262500X0.450000X0*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD13RoundRect,0.250000X-0.375000X-1.075000X0.375000X-1.075000X0.375000X1.075000X-0.375000X1.075000X0*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD14R,1.500000X5.080000*%
%TD*%
%TA.AperFunction,ComponentPad*%
%ADD15C,1.524000*%
%TD*%
%TA.AperFunction,SMDPad,CuDef*%
%ADD16RoundRect,0.250000X0.250000X0.475000X-0.250000X0.475000X-0.250000X-0.475000X0.250000X-0.475000X0*%
%TD*%
%TA.AperFunction,ViaPad*%
%ADD17C,0.800000*%
%TD*%
%TA.AperFunction,Conductor*%
%ADD18C,2.000000*%
%TD*%
%TA.AperFunction,Conductor*%
%ADD19C,0.292100*%
%TD*%
G04 APERTURE END LIST*
D10*
%TO.P,J3,1,Pin_1*%
%TO.N,Net-(J3-Pad1)*%
X80030000Y-119380000D03*
%TO.P,J3,2,Pin_2*%
%TO.N,GND*%
X85070000Y-119380000D03*
%TO.P,J3,3,Pin_3*%
%TO.N,Net-(J2-Pad3)*%
X80030000Y-121920000D03*
%TO.P,J3,4,Pin_4*%
%TO.N,GND*%
X85070000Y-121920000D03*
%TO.P,J3,5,Pin_5*%
X80030000Y-124460000D03*
%TO.P,J3,6,Pin_6*%
X85070000Y-124460000D03*
%TO.P,J3,7,Pin_7*%
%TO.N,Net-(J2-Pad5)*%
X80030000Y-127000000D03*
%TO.P,J3,8,Pin_8*%
%TO.N,GND*%
X85070000Y-127000000D03*
%TO.P,J3,9,Pin_9*%
%TO.N,Net-(J2-Pad4)*%
X80030000Y-129540000D03*
%TO.P,J3,10,Pin_10*%
%TO.N,GND*%
X85070000Y-129540000D03*
%TD*%
%TO.P,J1,1,Pin_1*%
%TO.N,Net-(J1-Pad1)*%
X125710000Y-129540000D03*
%TO.P,J1,2,Pin_2*%
%TO.N,GND*%
X120670000Y-129540000D03*
%TO.P,J1,3,Pin_3*%
%TO.N,Net-(J1-Pad3)*%
X125710000Y-127000000D03*
%TO.P,J1,4,Pin_4*%
%TO.N,GND*%
X120670000Y-127000000D03*
%TO.P,J1,5,Pin_5*%
X125710000Y-124460000D03*
%TO.P,J1,6,Pin_6*%
X120670000Y-124460000D03*
%TO.P,J1,7,Pin_7*%
%TO.N,Net-(J1-Pad7)*%
X125710000Y-121920000D03*
%TO.P,J1,8,Pin_8*%
%TO.N,GND*%
X120670000Y-121920000D03*
%TO.P,J1,9,Pin_9*%
%TO.N,Net-(J1-Pad9)*%
X125710000Y-119380000D03*
%TO.P,J1,10,Pin_10*%
%TO.N,GND*%
X120670000Y-119380000D03*
%TD*%
D11*
%TO.P,R2,1*%
%TO.N,Net-(J4-Pad2)*%
X114300000Y-129897500D03*
%TO.P,R2,2*%
%TO.N,Net-(C1-Pad1)*%
X114300000Y-131722500D03*
%TD*%
D12*
%TO.P,R1,1*%
%TO.N,Net-(J3-Pad1)*%
X103227500Y-121920000D03*
%TO.P,R1,2*%
%TO.N,Net-(L1-Pad1)*%
X105052500Y-121920000D03*
%TD*%
D13*
%TO.P,L1,1,1*%
%TO.N,Net-(L1-Pad1)*%
X107820000Y-121920000D03*
%TO.P,L1,2,2*%
%TO.N,Net-(L1-Pad2)*%
X110620000Y-121920000D03*
%TD*%
D14*
%TO.P,J5,1,In*%
%TO.N,Net-(C1-Pad2)*%
X69850000Y-144637500D03*
%TO.P,J5,2,Ext*%
%TO.N,GND*%
X74100000Y-144637500D03*
X65600000Y-144637500D03*
%TD*%
D15*
%TO.P,J4,7,Pin_7*%
%TO.N,unconnected-(J4-Pad7)*%
X117475000Y-114300000D03*
%TO.P,J4,6,Pin_6*%
%TO.N,unconnected-(J4-Pad6)*%
X114300000Y-116840000D03*
%TO.P,J4,5,Pin_5*%
%TO.N,unconnected-(J4-Pad5)*%
X117475000Y-119507000D03*
%TO.P,J4,4,Pin_4*%
%TO.N,Net-(L1-Pad2)*%
X114300000Y-121920000D03*
%TO.P,J4,3,Pin_3*%
%TO.N,Net-(J2-Pad3)*%
X117475000Y-124460000D03*
%TO.P,J4,2,Pin_2*%
%TO.N,Net-(J4-Pad2)*%
X114300000Y-127000000D03*
%TO.P,J4,1,Pin_1*%
%TO.N,unconnected-(J4-Pad1)*%
X117475000Y-129540000D03*
%TD*%
%TO.P,J2,7,Pin_7*%
%TO.N,Net-(J1-Pad1)*%
X88265000Y-129540000D03*
%TO.P,J2,6,Pin_6*%
%TO.N,Net-(J1-Pad3)*%
X91440000Y-127000000D03*
%TO.P,J2,5,Pin_5*%
%TO.N,Net-(J2-Pad5)*%
X88265000Y-124333000D03*
%TO.P,J2,4,Pin_4*%
%TO.N,Net-(J2-Pad4)*%
X91440000Y-121920000D03*
%TO.P,J2,3,Pin_3*%
%TO.N,Net-(J2-Pad3)*%
X88265000Y-119380000D03*
%TO.P,J2,2,Pin_2*%
%TO.N,Net-(J1-Pad7)*%
X91440000Y-116840000D03*
%TO.P,J2,1,Pin_1*%
%TO.N,Net-(J1-Pad9)*%
X88265000Y-114300000D03*
%TD*%
D16*
%TO.P,C1,1*%
%TO.N,Net-(C1-Pad1)*%
X74610000Y-133350000D03*
%TO.P,C1,2*%
%TO.N,Net-(C1-Pad2)*%
X72710000Y-133350000D03*
%TD*%
D17*
%TO.N,Net-(J2-Pad3)*%
X80772000Y-121920000D03*
X79248000Y-121920000D03*
%TO.N,Net-(J1-Pad1)*%
X126492000Y-129540000D03*
X124968000Y-129540000D03*
%TO.N,Net-(J1-Pad3)*%
X126492000Y-127000000D03*
X124968000Y-127000000D03*
%TO.N,GND*%
X74168000Y-142748000D03*
X74168000Y-144780000D03*
X74168000Y-146812000D03*
X65532000Y-146812000D03*
X65532000Y-144780000D03*
X65532000Y-142748000D03*
X80772000Y-124460000D03*
X79248000Y-124460000D03*
X85852000Y-119380000D03*
X84328000Y-119380000D03*
X85852000Y-124460000D03*
X84328000Y-124460000D03*
X85852000Y-127000000D03*
X84328000Y-127000000D03*
X85852000Y-129540000D03*
X84328000Y-129540000D03*
X126492000Y-124460000D03*
X124968000Y-124460000D03*
X119888000Y-129540000D03*
X119888000Y-127000000D03*
X121412000Y-124460000D03*
X119888000Y-124460000D03*
X121412000Y-121920000D03*
X119888000Y-121920000D03*
X121412000Y-119380000D03*
X119888000Y-119380000D03*
%TD*%
D18*
%TO.N,Net-(L1-Pad2)*%
X111252000Y-121920000D02*
X114300000Y-121920000D01*
%TO.N,Net-(L1-Pad1)*%
X105664000Y-121920000D02*
X107188000Y-121920000D01*
%TO.N,Net-(J3-Pad1)*%
X80030000Y-119380000D02*
X80030000Y-119106000D01*
X80030000Y-119106000D02*
X82296000Y-116840000D01*
X82296000Y-116840000D02*
X88499688Y-116840000D01*
X88499688Y-116840000D02*
X91039688Y-119380000D01*
X91039688Y-119380000D02*
X98044000Y-119380000D01*
X98044000Y-119380000D02*
X100584000Y-121920000D01*
X100584000Y-121920000D02*
X102616000Y-121920000D01*
D19*
%TO.N,Net-(J1-Pad7)*%
X91440000Y-116840000D02*
X91440000Y-113233200D01*
X91440000Y-113233200D02*
X93472000Y-111201200D01*
X93472000Y-111201200D02*
X121259600Y-111201200D01*
X121259600Y-111201200D02*
X123190000Y-113131600D01*
X123190000Y-120142000D02*
X124968000Y-121920000D01*
X123190000Y-113131600D02*
X123190000Y-120142000D01*
X124968000Y-121920000D02*
X125710000Y-121920000D01*
%TO.N,Net-(J1-Pad9)*%
X125710000Y-119380000D02*
X125710000Y-110876400D01*
X125710000Y-110876400D02*
X124104400Y-109270800D01*
X124104400Y-109270800D02*
X90627200Y-109270800D01*
X90627200Y-109270800D02*
X88265000Y-111633000D01*
X88265000Y-111633000D02*
X88265000Y-114300000D01*
%TO.N,Net-(C1-Pad2)*%
X69850000Y-144637500D02*
X69850000Y-134620000D01*
X69850000Y-134620000D02*
X71120000Y-133350000D01*
X71120000Y-133350000D02*
X72710000Y-133350000D01*
%TO.N,Net-(J2-Pad4)*%
X80030000Y-129540000D02*
X81280000Y-129540000D01*
X81280000Y-129540000D02*
X82550000Y-128270000D01*
X82550000Y-128270000D02*
X87122000Y-128270000D01*
X87122000Y-128270000D02*
X91440000Y-123952000D01*
X91440000Y-123952000D02*
X91440000Y-121920000D01*
%TO.N,Net-(J2-Pad5)*%
X80030000Y-127000000D02*
X81280000Y-127000000D01*
X81280000Y-127000000D02*
X82550000Y-125730000D01*
X82550000Y-125730000D02*
X86868000Y-125730000D01*
X86868000Y-125730000D02*
X88265000Y-124333000D01*
%TO.N,Net-(J4-Pad2)*%
X114300000Y-129897500D02*
X114300000Y-127000000D01*
%TO.N,Net-(C1-Pad1)*%
X74610000Y-133350000D02*
X112672500Y-133350000D01*
X112672500Y-133350000D02*
X114300000Y-131722500D01*
%TD*%
M02*

View File

@ -1,110 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:29+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Bot*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:29*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10R,3.000000X1.000000*%
%ADD11RoundRect,0.250000X-0.450000X0.262500X-0.450000X-0.262500X0.450000X-0.262500X0.450000X0.262500X0*%
%ADD12RoundRect,0.250000X-0.262500X-0.450000X0.262500X-0.450000X0.262500X0.450000X-0.262500X0.450000X0*%
%ADD13RoundRect,0.250000X-0.375000X-1.075000X0.375000X-1.075000X0.375000X1.075000X-0.375000X1.075000X0*%
%ADD14R,1.500000X5.080000*%
%ADD15C,1.524000*%
%ADD16RoundRect,0.250000X0.250000X0.475000X-0.250000X0.475000X-0.250000X-0.475000X0.250000X-0.475000X0*%
G04 APERTURE END LIST*
D10*
%TO.C,J3*%
X80030000Y-119380000D03*
X85070000Y-119380000D03*
X80030000Y-121920000D03*
X85070000Y-121920000D03*
X80030000Y-124460000D03*
X85070000Y-124460000D03*
X80030000Y-127000000D03*
X85070000Y-127000000D03*
X80030000Y-129540000D03*
X85070000Y-129540000D03*
%TD*%
%TO.C,J1*%
X125710000Y-129540000D03*
X120670000Y-129540000D03*
X125710000Y-127000000D03*
X120670000Y-127000000D03*
X125710000Y-124460000D03*
X120670000Y-124460000D03*
X125710000Y-121920000D03*
X120670000Y-121920000D03*
X125710000Y-119380000D03*
X120670000Y-119380000D03*
%TD*%
D11*
%TO.C,R2*%
X114300000Y-129897500D03*
X114300000Y-131722500D03*
%TD*%
D12*
%TO.C,R1*%
X103227500Y-121920000D03*
X105052500Y-121920000D03*
%TD*%
D13*
%TO.C,L1*%
X107820000Y-121920000D03*
X110620000Y-121920000D03*
%TD*%
D14*
%TO.C,J5*%
X69850000Y-144637500D03*
X74100000Y-144637500D03*
X65600000Y-144637500D03*
%TD*%
D15*
%TO.C,J4*%
X117475000Y-114300000D03*
X114300000Y-116840000D03*
X117475000Y-119507000D03*
X114300000Y-121920000D03*
X117475000Y-124460000D03*
X114300000Y-127000000D03*
X117475000Y-129540000D03*
%TD*%
%TO.C,J2*%
X88265000Y-129540000D03*
X91440000Y-127000000D03*
X88265000Y-124333000D03*
X91440000Y-121920000D03*
X88265000Y-119380000D03*
X91440000Y-116840000D03*
X88265000Y-114300000D03*
%TD*%
D16*
%TO.C,C1*%
X74610000Y-133350000D03*
X72710000Y-133350000D03*
%TD*%
M02*

View File

@ -1,90 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:29+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:29*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10R,3.000000X1.000000*%
%ADD11RoundRect,0.250000X-0.450000X0.262500X-0.450000X-0.262500X0.450000X-0.262500X0.450000X0.262500X0*%
%ADD12RoundRect,0.250000X-0.262500X-0.450000X0.262500X-0.450000X0.262500X0.450000X-0.262500X0.450000X0*%
%ADD13RoundRect,0.250000X-0.375000X-1.075000X0.375000X-1.075000X0.375000X1.075000X-0.375000X1.075000X0*%
%ADD14R,1.500000X5.080000*%
%ADD15RoundRect,0.250000X0.250000X0.475000X-0.250000X0.475000X-0.250000X-0.475000X0.250000X-0.475000X0*%
G04 APERTURE END LIST*
D10*
%TO.C,J3*%
X80030000Y-119380000D03*
X85070000Y-119380000D03*
X80030000Y-121920000D03*
X85070000Y-121920000D03*
X80030000Y-124460000D03*
X85070000Y-124460000D03*
X80030000Y-127000000D03*
X85070000Y-127000000D03*
X80030000Y-129540000D03*
X85070000Y-129540000D03*
%TD*%
%TO.C,J1*%
X125710000Y-129540000D03*
X120670000Y-129540000D03*
X125710000Y-127000000D03*
X120670000Y-127000000D03*
X125710000Y-124460000D03*
X120670000Y-124460000D03*
X125710000Y-121920000D03*
X120670000Y-121920000D03*
X125710000Y-119380000D03*
X120670000Y-119380000D03*
%TD*%
D11*
%TO.C,R2*%
X114300000Y-129897500D03*
X114300000Y-131722500D03*
%TD*%
D12*
%TO.C,R1*%
X103227500Y-121920000D03*
X105052500Y-121920000D03*
%TD*%
D13*
%TO.C,L1*%
X107820000Y-121920000D03*
X110620000Y-121920000D03*
%TD*%
D14*
%TO.C,J5*%
X69850000Y-144637500D03*
X74100000Y-144637500D03*
X65600000Y-144637500D03*
%TD*%
D15*
%TO.C,C1*%
X74610000Y-133350000D03*
X72710000Y-133350000D03*
%TD*%
M02*

View File

@ -1,203 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:29+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Legend,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:29*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,0.120000*%
%ADD11R,3.000000X1.000000*%
%ADD12RoundRect,0.250000X-0.450000X0.262500X-0.450000X-0.262500X0.450000X-0.262500X0.450000X0.262500X0*%
%ADD13RoundRect,0.250000X-0.262500X-0.450000X0.262500X-0.450000X0.262500X0.450000X-0.262500X0.450000X0*%
%ADD14RoundRect,0.250000X-0.375000X-1.075000X0.375000X-1.075000X0.375000X1.075000X-0.375000X1.075000X0*%
%ADD15R,1.500000X5.080000*%
%ADD16C,1.524000*%
%ADD17RoundRect,0.250000X0.250000X0.475000X-0.250000X0.475000X-0.250000X-0.475000X0.250000X-0.475000X0*%
G04 APERTURE END LIST*
D10*
%TO.C,J3*%
X79950000Y-125220000D02*
X79950000Y-126240000D01*
X79950000Y-122680000D02*
X79950000Y-123700000D01*
X85150000Y-130870000D02*
X79950000Y-130870000D01*
X85150000Y-122680000D02*
X85150000Y-123700000D01*
X85150000Y-118050000D02*
X79950000Y-118050000D01*
X79950000Y-118620000D02*
X78590000Y-118620000D01*
X79950000Y-120140000D02*
X79950000Y-121160000D01*
X85150000Y-120140000D02*
X85150000Y-121160000D01*
X79950000Y-130300000D02*
X79950000Y-130870000D01*
X85150000Y-125220000D02*
X85150000Y-126240000D01*
X79950000Y-118050000D02*
X79950000Y-118620000D01*
X85150000Y-127760000D02*
X85150000Y-128780000D01*
X85150000Y-118050000D02*
X85150000Y-118620000D01*
X85150000Y-130300000D02*
X85150000Y-130870000D01*
X79950000Y-127760000D02*
X79950000Y-128780000D01*
%TO.C,J1*%
X125790000Y-123700000D02*
X125790000Y-122680000D01*
X125790000Y-126240000D02*
X125790000Y-125220000D01*
X120590000Y-118050000D02*
X125790000Y-118050000D01*
X120590000Y-126240000D02*
X120590000Y-125220000D01*
X120590000Y-130870000D02*
X125790000Y-130870000D01*
X125790000Y-130300000D02*
X127150000Y-130300000D01*
X125790000Y-128780000D02*
X125790000Y-127760000D01*
X120590000Y-128780000D02*
X120590000Y-127760000D01*
X125790000Y-118620000D02*
X125790000Y-118050000D01*
X120590000Y-123700000D02*
X120590000Y-122680000D01*
X125790000Y-130870000D02*
X125790000Y-130300000D01*
X120590000Y-121160000D02*
X120590000Y-120140000D01*
X120590000Y-130870000D02*
X120590000Y-130300000D01*
X120590000Y-118620000D02*
X120590000Y-118050000D01*
X125790000Y-121160000D02*
X125790000Y-120140000D01*
%TO.C,R2*%
X113565000Y-130582936D02*
X113565000Y-131037064D01*
X115035000Y-130582936D02*
X115035000Y-131037064D01*
%TO.C,R1*%
X103912936Y-122655000D02*
X104367064Y-122655000D01*
X103912936Y-121185000D02*
X104367064Y-121185000D01*
%TO.C,L1*%
X108617936Y-120560000D02*
X109822064Y-120560000D01*
X108617936Y-123280000D02*
X109822064Y-123280000D01*
%TO.C,J5*%
X69850000Y-141427500D02*
X69600000Y-140927500D01*
X69600000Y-140927500D02*
X70100000Y-140927500D01*
X70100000Y-140927500D02*
X69850000Y-141427500D01*
%TO.C,C1*%
X73921252Y-134085000D02*
X73398748Y-134085000D01*
X73921252Y-132615000D02*
X73398748Y-132615000D01*
%TD*%
%LPC*%
D11*
%TO.C,J3*%
X80030000Y-119380000D03*
X85070000Y-119380000D03*
X80030000Y-121920000D03*
X85070000Y-121920000D03*
X80030000Y-124460000D03*
X85070000Y-124460000D03*
X80030000Y-127000000D03*
X85070000Y-127000000D03*
X80030000Y-129540000D03*
X85070000Y-129540000D03*
%TD*%
%TO.C,J1*%
X125710000Y-129540000D03*
X120670000Y-129540000D03*
X125710000Y-127000000D03*
X120670000Y-127000000D03*
X125710000Y-124460000D03*
X120670000Y-124460000D03*
X125710000Y-121920000D03*
X120670000Y-121920000D03*
X125710000Y-119380000D03*
X120670000Y-119380000D03*
%TD*%
D12*
%TO.C,R2*%
X114300000Y-129897500D03*
X114300000Y-131722500D03*
%TD*%
D13*
%TO.C,R1*%
X103227500Y-121920000D03*
X105052500Y-121920000D03*
%TD*%
D14*
%TO.C,L1*%
X107820000Y-121920000D03*
X110620000Y-121920000D03*
%TD*%
D15*
%TO.C,J5*%
X69850000Y-144637500D03*
X74100000Y-144637500D03*
X65600000Y-144637500D03*
%TD*%
D16*
%TO.C,J4*%
X117475000Y-114300000D03*
X114300000Y-116840000D03*
X117475000Y-119507000D03*
X114300000Y-121920000D03*
X117475000Y-124460000D03*
X114300000Y-127000000D03*
X117475000Y-129540000D03*
%TD*%
%TO.C,J2*%
X88265000Y-129540000D03*
X91440000Y-127000000D03*
X88265000Y-124333000D03*
X91440000Y-121920000D03*
X88265000Y-119380000D03*
X91440000Y-116840000D03*
X88265000Y-114300000D03*
%TD*%
D17*
%TO.C,C1*%
X74610000Y-133350000D03*
X72710000Y-133350000D03*
%TD*%
M02*

View File

@ -1,28 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:29+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Profile,NP*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:29*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,Profile*%
%ADD10C,0.100000*%
%TD*%
G04 APERTURE END LIST*
D10*
X137500000Y-100000000D02*
X60000000Y-100000000D01*
X137500000Y-102500000D02*
X137500000Y-100000000D01*
X137500000Y-147500000D02*
X137500000Y-102500000D01*
X60000000Y-147500000D02*
X137500000Y-147500000D01*
X60000000Y-100000000D02*
X60000000Y-147500000D01*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -1,61 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:29+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Top*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:29*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,1.500000X5.080000*%
%ADD11C,1.524000*%
G04 APERTURE END LIST*
D10*
%TO.C,J5*%
X65600000Y-144637500D03*
X74100000Y-144637500D03*
%TD*%
D11*
%TO.C,J4*%
X117475000Y-114300000D03*
X114300000Y-116840000D03*
X117475000Y-119507000D03*
X114300000Y-121920000D03*
X117475000Y-124460000D03*
X114300000Y-127000000D03*
X117475000Y-129540000D03*
%TD*%
%TO.C,J2*%
X88265000Y-129540000D03*
X91440000Y-127000000D03*
X88265000Y-124333000D03*
X91440000Y-121920000D03*
X88265000Y-119380000D03*
X91440000Y-116840000D03*
X88265000Y-114300000D03*
%TD*%
G36*
X112042121Y-100020002D02*
G01*
X112088614Y-100073658D01*
X112100000Y-100126000D01*
X112100000Y-147374000D01*
X112079998Y-147442121D01*
X112026342Y-147488614D01*
X111974000Y-147500000D01*
X93726000Y-147500000D01*
X93657879Y-147479998D01*
X93611386Y-147426342D01*
X93600000Y-147374000D01*
X93600000Y-100126000D01*
X93620002Y-100057879D01*
X93673658Y-100011386D01*
X93726000Y-100000000D01*
X111974000Y-100000000D01*
X112042121Y-100020002D01*
G37*
M02*

View File

@ -1,21 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:29+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:29*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,1.500000X5.080000*%
G04 APERTURE END LIST*
D10*
%TO.C,J5*%
X65600000Y-144637500D03*
X74100000Y-144637500D03*
%TD*%
M02*

View File

@ -1,264 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:29+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Legend,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:29*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.150000*%
%ADD11C,0.120000*%
%ADD12R,1.500000X5.080000*%
%ADD13C,1.524000*%
G04 APERTURE END LIST*
D10*
X69647380Y-126833333D02*
X68647380Y-126833333D01*
X69647380Y-126261904D02*
X69075952Y-126690476D01*
X68647380Y-126261904D02*
X69218809Y-126833333D01*
X69647380Y-125833333D02*
X68980714Y-125833333D01*
X68647380Y-125833333D02*
X68695000Y-125880952D01*
X68742619Y-125833333D01*
X68695000Y-125785714D01*
X68647380Y-125833333D01*
X68742619Y-125833333D01*
X69647380Y-125357142D02*
X68980714Y-125357142D01*
X69171190Y-125357142D02*
X69075952Y-125309523D01*
X69028333Y-125261904D01*
X68980714Y-125166666D01*
X68980714Y-125071428D01*
X69647380Y-124309523D02*
X68647380Y-124309523D01*
X69599761Y-124309523D02*
X69647380Y-124404761D01*
X69647380Y-124595238D01*
X69599761Y-124690476D01*
X69552142Y-124738095D01*
X69456904Y-124785714D01*
X69171190Y-124785714D01*
X69075952Y-124738095D01*
X69028333Y-124690476D01*
X68980714Y-124595238D01*
X68980714Y-124404761D01*
X69028333Y-124309523D01*
X68980714Y-123928571D02*
X69647380Y-123690476D01*
X68980714Y-123452380D02*
X69647380Y-123690476D01*
X69885476Y-123785714D01*
X69933095Y-123833333D01*
X69980714Y-123928571D01*
X69361666Y-122357142D02*
X69361666Y-121880952D01*
X69647380Y-122452380D02*
X68647380Y-122119047D01*
X69647380Y-121785714D01*
X69647380Y-121023809D02*
X68647380Y-121023809D01*
X69599761Y-121023809D02*
X69647380Y-121119047D01*
X69647380Y-121309523D01*
X69599761Y-121404761D01*
X69552142Y-121452380D01*
X69456904Y-121500000D01*
X69171190Y-121500000D01*
X69075952Y-121452380D01*
X69028333Y-121404761D01*
X68980714Y-121309523D01*
X68980714Y-121119047D01*
X69028333Y-121023809D01*
X69647380Y-120119047D02*
X69123571Y-120119047D01*
X69028333Y-120166666D01*
X68980714Y-120261904D01*
X68980714Y-120452380D01*
X69028333Y-120547619D01*
X69599761Y-120119047D02*
X69647380Y-120214285D01*
X69647380Y-120452380D01*
X69599761Y-120547619D01*
X69504523Y-120595238D01*
X69409285Y-120595238D01*
X69314047Y-120547619D01*
X69266428Y-120452380D01*
X69266428Y-120214285D01*
X69218809Y-120119047D01*
X68980714Y-119642857D02*
X69980714Y-119642857D01*
X69028333Y-119642857D02*
X68980714Y-119547619D01*
X68980714Y-119357142D01*
X69028333Y-119261904D01*
X69075952Y-119214285D01*
X69171190Y-119166666D01*
X69456904Y-119166666D01*
X69552142Y-119214285D01*
X69599761Y-119261904D01*
X69647380Y-119357142D01*
X69647380Y-119547619D01*
X69599761Y-119642857D01*
X68980714Y-118880952D02*
X68980714Y-118500000D01*
X68647380Y-118738095D02*
X69504523Y-118738095D01*
X69599761Y-118690476D01*
X69647380Y-118595238D01*
X69647380Y-118500000D01*
X69599761Y-117785714D02*
X69647380Y-117880952D01*
X69647380Y-118071428D01*
X69599761Y-118166666D01*
X69504523Y-118214285D01*
X69123571Y-118214285D01*
X69028333Y-118166666D01*
X68980714Y-118071428D01*
X68980714Y-117880952D01*
X69028333Y-117785714D01*
X69123571Y-117738095D01*
X69218809Y-117738095D01*
X69314047Y-118214285D01*
X69647380Y-117309523D02*
X68980714Y-117309523D01*
X69171190Y-117309523D02*
X69075952Y-117261904D01*
X69028333Y-117214285D01*
X68980714Y-117119047D01*
X68980714Y-117023809D01*
X70733571Y-124404761D02*
X70733571Y-124071428D01*
X71257380Y-123928571D02*
X71257380Y-124404761D01*
X70257380Y-124404761D01*
X70257380Y-123928571D01*
X70590714Y-123071428D02*
X71257380Y-123071428D01*
X70590714Y-123500000D02*
X71114523Y-123500000D01*
X71209761Y-123452380D01*
X71257380Y-123357142D01*
X71257380Y-123214285D01*
X71209761Y-123119047D01*
X71162142Y-123071428D01*
X71257380Y-122166666D02*
X70257380Y-122166666D01*
X71209761Y-122166666D02*
X71257380Y-122261904D01*
X71257380Y-122452380D01*
X71209761Y-122547619D01*
X71162142Y-122595238D01*
X71066904Y-122642857D01*
X70781190Y-122642857D01*
X70685952Y-122595238D01*
X70638333Y-122547619D01*
X70590714Y-122452380D01*
X70590714Y-122261904D01*
X70638333Y-122166666D01*
X70590714Y-121785714D02*
X71257380Y-121547619D01*
X70590714Y-121309523D02*
X71257380Y-121547619D01*
X71495476Y-121642857D01*
X71543095Y-121690476D01*
X71590714Y-121785714D01*
X70590714Y-120928571D02*
X71257380Y-120928571D01*
X70685952Y-120928571D02*
X70638333Y-120880952D01*
X70590714Y-120785714D01*
X70590714Y-120642857D01*
X70638333Y-120547619D01*
X70733571Y-120500000D01*
X71257380Y-120500000D01*
X71257380Y-119595238D02*
X70733571Y-119595238D01*
X70638333Y-119642857D01*
X70590714Y-119738095D01*
X70590714Y-119928571D01*
X70638333Y-120023809D01*
X71209761Y-119595238D02*
X71257380Y-119690476D01*
X71257380Y-119928571D01*
X71209761Y-120023809D01*
X71114523Y-120071428D01*
X71019285Y-120071428D01*
X70924047Y-120023809D01*
X70876428Y-119928571D01*
X70876428Y-119690476D01*
X70828809Y-119595238D01*
D11*
%TO.C,J4*%
X124841000Y-140970000D02*
X124841000Y-102870000D01*
X112141000Y-102870000D02*
X112141000Y-140970000D01*
X124841000Y-102870000D02*
X112141000Y-102870000D01*
X112141000Y-140970000D02*
X124841000Y-140970000D01*
%TO.C,J2*%
X80899000Y-102870000D02*
X80899000Y-140970000D01*
X93599000Y-140970000D02*
X93599000Y-102870000D01*
X80899000Y-140970000D02*
X93599000Y-140970000D01*
X93599000Y-102870000D02*
X80899000Y-102870000D01*
%TD*%
%LPC*%
D12*
%TO.C,J5*%
X65600000Y-144637500D03*
X74100000Y-144637500D03*
%TD*%
D13*
%TO.C,J4*%
X117475000Y-114300000D03*
X114300000Y-116840000D03*
X117475000Y-119507000D03*
X114300000Y-121920000D03*
X117475000Y-124460000D03*
X114300000Y-127000000D03*
X117475000Y-129540000D03*
%TD*%
%TO.C,J2*%
X88265000Y-129540000D03*
X91440000Y-127000000D03*
X88265000Y-124333000D03*
X91440000Y-121920000D03*
X88265000Y-119380000D03*
X91440000Y-116840000D03*
X88265000Y-114300000D03*
%TD*%
G36*
X112042121Y-100020002D02*
G01*
X112088614Y-100073658D01*
X112100000Y-100126000D01*
X112100000Y-147374000D01*
X112079998Y-147442121D01*
X112026342Y-147488614D01*
X111974000Y-147500000D01*
X93726000Y-147500000D01*
X93657879Y-147479998D01*
X93611386Y-147426342D01*
X93600000Y-147374000D01*
X93600000Y-100126000D01*
X93620002Y-100057879D01*
X93673658Y-100011386D01*
X93726000Y-100000000D01*
X111974000Y-100000000D01*
X112042121Y-100020002D01*
G37*
M02*

View File

@ -1,149 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:29+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Copper,L2,Inr*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:29*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,ComponentPad*%
%ADD10C,1.524000*%
%TD*%
%TA.AperFunction,ViaPad*%
%ADD11C,0.800000*%
%TD*%
%TA.AperFunction,Conductor*%
%ADD12C,2.000000*%
%TD*%
G04 APERTURE END LIST*
D10*
%TO.N,unconnected-(J4-Pad7)*%
%TO.C,J4*%
X117475000Y-114300000D03*
%TO.N,unconnected-(J4-Pad6)*%
X114300000Y-116840000D03*
%TO.N,unconnected-(J4-Pad5)*%
X117475000Y-119507000D03*
%TO.N,Net-(L1-Pad2)*%
X114300000Y-121920000D03*
%TO.N,Net-(J2-Pad3)*%
X117475000Y-124460000D03*
%TO.N,Net-(J4-Pad2)*%
X114300000Y-127000000D03*
%TO.N,unconnected-(J4-Pad1)*%
X117475000Y-129540000D03*
%TD*%
%TO.N,Net-(J1-Pad1)*%
%TO.C,J2*%
X88265000Y-129540000D03*
%TO.N,Net-(J1-Pad3)*%
X91440000Y-127000000D03*
%TO.N,Net-(J2-Pad5)*%
X88265000Y-124333000D03*
%TO.N,Net-(J2-Pad4)*%
X91440000Y-121920000D03*
%TO.N,Net-(J2-Pad3)*%
X88265000Y-119380000D03*
%TO.N,Net-(J1-Pad7)*%
X91440000Y-116840000D03*
%TO.N,Net-(J1-Pad9)*%
X88265000Y-114300000D03*
%TD*%
D11*
%TO.N,Net-(J2-Pad3)*%
X80772000Y-121920000D03*
X79248000Y-121920000D03*
%TO.N,Net-(J1-Pad1)*%
X126492000Y-129540000D03*
X124968000Y-129540000D03*
%TO.N,Net-(J1-Pad3)*%
X126492000Y-127000000D03*
X124968000Y-127000000D03*
%TO.N,GND*%
X74168000Y-142748000D03*
X74168000Y-144780000D03*
X74168000Y-146812000D03*
X65532000Y-146812000D03*
X65532000Y-144780000D03*
X65532000Y-142748000D03*
X80772000Y-124460000D03*
X79248000Y-124460000D03*
X85852000Y-119380000D03*
X84328000Y-119380000D03*
X85852000Y-124460000D03*
X84328000Y-124460000D03*
X85852000Y-127000000D03*
X84328000Y-127000000D03*
X85852000Y-129540000D03*
X84328000Y-129540000D03*
X126492000Y-124460000D03*
X124968000Y-124460000D03*
X119888000Y-129540000D03*
X119888000Y-127000000D03*
X121412000Y-124460000D03*
X119888000Y-124460000D03*
X121412000Y-121920000D03*
X119888000Y-121920000D03*
X121412000Y-119380000D03*
X119888000Y-119380000D03*
%TD*%
D12*
%TO.N,Net-(J2-Pad3)*%
X80772000Y-121920000D02*
X79248000Y-121920000D01*
X79248000Y-121920000D02*
X78740000Y-121920000D01*
X86868000Y-121920000D02*
X80772000Y-121920000D01*
X88265000Y-119380000D02*
X88265000Y-120523000D01*
X88265000Y-120523000D02*
X86868000Y-121920000D01*
X117475000Y-124460000D02*
X99060000Y-124460000D01*
X99060000Y-124460000D02*
X93980000Y-119380000D01*
X93980000Y-119380000D02*
X88265000Y-119380000D01*
%TO.N,Net-(J1-Pad1)*%
X126492000Y-129540000D02*
X124968000Y-129540000D01*
%TO.N,Net-(J1-Pad3)*%
X124968000Y-127000000D02*
X126492000Y-127000000D01*
X91440000Y-127000000D02*
X91440000Y-129540000D01*
X122428000Y-132588000D02*
X122428000Y-128016000D01*
X91440000Y-129540000D02*
X98552000Y-136652000D01*
X98552000Y-136652000D02*
X118364000Y-136652000D01*
X118364000Y-136652000D02*
X122428000Y-132588000D01*
X122428000Y-128016000D02*
X123444000Y-127000000D01*
X123444000Y-127000000D02*
X124968000Y-127000000D01*
%TO.N,Net-(J1-Pad1)*%
X132588000Y-132080000D02*
X130048000Y-129540000D01*
X130048000Y-129540000D02*
X126492000Y-129540000D01*
X94996000Y-140208000D02*
X130556000Y-140208000D01*
X88265000Y-129540000D02*
X88265000Y-133477000D01*
X88265000Y-133477000D02*
X94996000Y-140208000D01*
X130556000Y-140208000D02*
X132588000Y-138176000D01*
X132588000Y-138176000D02*
X132588000Y-132080000D01*
%TD*%
M02*

File diff suppressed because it is too large Load Diff

View File

@ -1,112 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:47+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Drillmap*%
%TF.FilePolarity,Positive*%
%FSLAX45Y45*%
G04 Gerber Fmt 4.5, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:47*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11C,0.200000*%
G04 APERTURE END LIST*
D10*
X13750000Y-10000000D02*
X6000000Y-10000000D01*
X13750000Y-10250000D02*
X13750000Y-10000000D01*
X13750000Y-14750000D02*
X13750000Y-10250000D01*
X6000000Y-14750000D02*
X13750000Y-14750000D01*
X6000000Y-10000000D02*
X6000000Y-14750000D01*
D11*
X6252619Y-15065476D02*
X6252619Y-14865476D01*
X6300238Y-14865476D01*
X6328809Y-14875000D01*
X6347857Y-14894048D01*
X6357381Y-14913095D01*
X6366905Y-14951190D01*
X6366905Y-14979762D01*
X6357381Y-15017857D01*
X6347857Y-15036905D01*
X6328809Y-15055952D01*
X6300238Y-15065476D01*
X6252619Y-15065476D01*
X6452619Y-15065476D02*
X6452619Y-14932143D01*
X6452619Y-14970238D02*
X6462143Y-14951190D01*
X6471667Y-14941667D01*
X6490714Y-14932143D01*
X6509762Y-14932143D01*
X6576428Y-15065476D02*
X6576428Y-14932143D01*
X6576428Y-14865476D02*
X6566905Y-14875000D01*
X6576428Y-14884524D01*
X6585952Y-14875000D01*
X6576428Y-14865476D01*
X6576428Y-14884524D01*
X6700238Y-15065476D02*
X6681190Y-15055952D01*
X6671667Y-15036905D01*
X6671667Y-14865476D01*
X6805000Y-15065476D02*
X6785952Y-15055952D01*
X6776428Y-15036905D01*
X6776428Y-14865476D01*
X7033571Y-15065476D02*
X7033571Y-14865476D01*
X7100238Y-15008333D01*
X7166905Y-14865476D01*
X7166905Y-15065476D01*
X7347857Y-15065476D02*
X7347857Y-14960714D01*
X7338333Y-14941667D01*
X7319286Y-14932143D01*
X7281190Y-14932143D01*
X7262143Y-14941667D01*
X7347857Y-15055952D02*
X7328809Y-15065476D01*
X7281190Y-15065476D01*
X7262143Y-15055952D01*
X7252619Y-15036905D01*
X7252619Y-15017857D01*
X7262143Y-14998809D01*
X7281190Y-14989286D01*
X7328809Y-14989286D01*
X7347857Y-14979762D01*
X7443095Y-14932143D02*
X7443095Y-15132143D01*
X7443095Y-14941667D02*
X7462143Y-14932143D01*
X7500238Y-14932143D01*
X7519286Y-14941667D01*
X7528809Y-14951190D01*
X7538333Y-14970238D01*
X7538333Y-15027381D01*
X7528809Y-15046428D01*
X7519286Y-15055952D01*
X7500238Y-15065476D01*
X7462143Y-15065476D01*
X7443095Y-15055952D01*
X7624048Y-15046428D02*
X7633571Y-15055952D01*
X7624048Y-15065476D01*
X7614524Y-15055952D01*
X7624048Y-15046428D01*
X7624048Y-15065476D01*
X7624048Y-14941667D02*
X7633571Y-14951190D01*
X7624048Y-14960714D01*
X7614524Y-14951190D01*
X7624048Y-14941667D01*
X7624048Y-14960714D01*
M02*

View File

@ -1,13 +0,0 @@
M48
; DRILL file {KiCad (6.0.9)} date Sunday, December 04, 2022 PM08:24:46
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2022-12-04T20:24:46+08:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.9)
; #@! TF.FileFunction,NonPlated,1,4,NPTH
FMAT,2
METRIC
%
G90
G05
T0
M30

View File

@ -1,941 +0,0 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.9)*%
%TF.CreationDate,2022-12-04T20:24:47+08:00*%
%TF.ProjectId,kirdyShield,6b697264-7953-4686-9965-6c642e6b6963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Drillmap*%
%TF.FilePolarity,Positive*%
%FSLAX45Y45*%
G04 Gerber Fmt 4.5, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.9)) date 2022-12-04 20:24:47*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11C,0.200000*%
%ADD12C,0.040000*%
%ADD13C,0.080000*%
G04 APERTURE END LIST*
D10*
X13750000Y-10000000D02*
X6000000Y-10000000D01*
X13750000Y-10250000D02*
X13750000Y-10000000D01*
X13750000Y-14750000D02*
X13750000Y-10250000D01*
X6000000Y-14750000D02*
X13750000Y-14750000D01*
X6000000Y-10000000D02*
X6000000Y-14750000D01*
D11*
D12*
X6533200Y-14254800D02*
X6573200Y-14294800D01*
X6573200Y-14254800D02*
X6533200Y-14294800D01*
X6533200Y-14458000D02*
X6573200Y-14498000D01*
X6573200Y-14458000D02*
X6533200Y-14498000D01*
X6533200Y-14661200D02*
X6573200Y-14701200D01*
X6573200Y-14661200D02*
X6533200Y-14701200D01*
X7396800Y-14254800D02*
X7436800Y-14294800D01*
X7436800Y-14254800D02*
X7396800Y-14294800D01*
X7396800Y-14458000D02*
X7436800Y-14498000D01*
X7436800Y-14458000D02*
X7396800Y-14498000D01*
X7396800Y-14661200D02*
X7436800Y-14701200D01*
X7436800Y-14661200D02*
X7396800Y-14701200D01*
X7904800Y-12172000D02*
X7944800Y-12212000D01*
X7944800Y-12172000D02*
X7904800Y-12212000D01*
X7904800Y-12426000D02*
X7944800Y-12466000D01*
X7944800Y-12426000D02*
X7904800Y-12466000D01*
X8057200Y-12172000D02*
X8097200Y-12212000D01*
X8097200Y-12172000D02*
X8057200Y-12212000D01*
X8057200Y-12426000D02*
X8097200Y-12466000D01*
X8097200Y-12426000D02*
X8057200Y-12466000D01*
X8412800Y-11918000D02*
X8452800Y-11958000D01*
X8452800Y-11918000D02*
X8412800Y-11958000D01*
X8412800Y-12426000D02*
X8452800Y-12466000D01*
X8452800Y-12426000D02*
X8412800Y-12466000D01*
X8412800Y-12680000D02*
X8452800Y-12720000D01*
X8452800Y-12680000D02*
X8412800Y-12720000D01*
X8412800Y-12934000D02*
X8452800Y-12974000D01*
X8452800Y-12934000D02*
X8412800Y-12974000D01*
X8565200Y-11918000D02*
X8605200Y-11958000D01*
X8605200Y-11918000D02*
X8565200Y-11958000D01*
X8565200Y-12426000D02*
X8605200Y-12466000D01*
X8605200Y-12426000D02*
X8565200Y-12466000D01*
X8565200Y-12680000D02*
X8605200Y-12720000D01*
X8605200Y-12680000D02*
X8565200Y-12720000D01*
X8565200Y-12934000D02*
X8605200Y-12974000D01*
X8605200Y-12934000D02*
X8565200Y-12974000D01*
X11968800Y-11918000D02*
X12008800Y-11958000D01*
X12008800Y-11918000D02*
X11968800Y-11958000D01*
X11968800Y-12172000D02*
X12008800Y-12212000D01*
X12008800Y-12172000D02*
X11968800Y-12212000D01*
X11968800Y-12426000D02*
X12008800Y-12466000D01*
X12008800Y-12426000D02*
X11968800Y-12466000D01*
X11968800Y-12680000D02*
X12008800Y-12720000D01*
X12008800Y-12680000D02*
X11968800Y-12720000D01*
X11968800Y-12934000D02*
X12008800Y-12974000D01*
X12008800Y-12934000D02*
X11968800Y-12974000D01*
X12121200Y-11918000D02*
X12161200Y-11958000D01*
X12161200Y-11918000D02*
X12121200Y-11958000D01*
X12121200Y-12172000D02*
X12161200Y-12212000D01*
X12161200Y-12172000D02*
X12121200Y-12212000D01*
X12121200Y-12426000D02*
X12161200Y-12466000D01*
X12161200Y-12426000D02*
X12121200Y-12466000D01*
X12476800Y-12426000D02*
X12516800Y-12466000D01*
X12516800Y-12426000D02*
X12476800Y-12466000D01*
X12476800Y-12680000D02*
X12516800Y-12720000D01*
X12516800Y-12680000D02*
X12476800Y-12720000D01*
X12476800Y-12934000D02*
X12516800Y-12974000D01*
X12516800Y-12934000D02*
X12476800Y-12974000D01*
X12629200Y-12426000D02*
X12669200Y-12466000D01*
X12669200Y-12426000D02*
X12629200Y-12466000D01*
X12629200Y-12680000D02*
X12669200Y-12720000D01*
X12669200Y-12680000D02*
X12629200Y-12720000D01*
X12629200Y-12934000D02*
X12669200Y-12974000D01*
X12669200Y-12934000D02*
X12629200Y-12974000D01*
D13*
X8866500Y-11430000D02*
G75*
G03*
X8866500Y-11430000I-40000J0D01*
G01*
X8866500Y-11938000D02*
G75*
G03*
X8866500Y-11938000I-40000J0D01*
G01*
X8866500Y-12433300D02*
G75*
G03*
X8866500Y-12433300I-40000J0D01*
G01*
X8866500Y-12954000D02*
G75*
G03*
X8866500Y-12954000I-40000J0D01*
G01*
X9184000Y-11684000D02*
G75*
G03*
X9184000Y-11684000I-40000J0D01*
G01*
X9184000Y-12192000D02*
G75*
G03*
X9184000Y-12192000I-40000J0D01*
G01*
X9184000Y-12700000D02*
G75*
G03*
X9184000Y-12700000I-40000J0D01*
G01*
X11470000Y-11684000D02*
G75*
G03*
X11470000Y-11684000I-40000J0D01*
G01*
X11470000Y-12192000D02*
G75*
G03*
X11470000Y-12192000I-40000J0D01*
G01*
X11470000Y-12700000D02*
G75*
G03*
X11470000Y-12700000I-40000J0D01*
G01*
X11787500Y-11430000D02*
G75*
G03*
X11787500Y-11430000I-40000J0D01*
G01*
X11787500Y-11950700D02*
G75*
G03*
X11787500Y-11950700I-40000J0D01*
G01*
X11787500Y-12446000D02*
G75*
G03*
X11787500Y-12446000I-40000J0D01*
G01*
X11787500Y-12954000D02*
G75*
G03*
X11787500Y-12954000I-40000J0D01*
G01*
D11*
X6252619Y-15065476D02*
X6252619Y-14865476D01*
X6300238Y-14865476D01*
X6328809Y-14875000D01*
X6347857Y-14894048D01*
X6357381Y-14913095D01*
X6366905Y-14951190D01*
X6366905Y-14979762D01*
X6357381Y-15017857D01*
X6347857Y-15036905D01*
X6328809Y-15055952D01*
X6300238Y-15065476D01*
X6252619Y-15065476D01*
X6452619Y-15065476D02*
X6452619Y-14932143D01*
X6452619Y-14970238D02*
X6462143Y-14951190D01*
X6471667Y-14941667D01*
X6490714Y-14932143D01*
X6509762Y-14932143D01*
X6576428Y-15065476D02*
X6576428Y-14932143D01*
X6576428Y-14865476D02*
X6566905Y-14875000D01*
X6576428Y-14884524D01*
X6585952Y-14875000D01*
X6576428Y-14865476D01*
X6576428Y-14884524D01*
X6700238Y-15065476D02*
X6681190Y-15055952D01*
X6671667Y-15036905D01*
X6671667Y-14865476D01*
X6805000Y-15065476D02*
X6785952Y-15055952D01*
X6776428Y-15036905D01*
X6776428Y-14865476D01*
X7033571Y-15065476D02*
X7033571Y-14865476D01*
X7100238Y-15008333D01*
X7166905Y-14865476D01*
X7166905Y-15065476D01*
X7347857Y-15065476D02*
X7347857Y-14960714D01*
X7338333Y-14941667D01*
X7319286Y-14932143D01*
X7281190Y-14932143D01*
X7262143Y-14941667D01*
X7347857Y-15055952D02*
X7328809Y-15065476D01*
X7281190Y-15065476D01*
X7262143Y-15055952D01*
X7252619Y-15036905D01*
X7252619Y-15017857D01*
X7262143Y-14998809D01*
X7281190Y-14989286D01*
X7328809Y-14989286D01*
X7347857Y-14979762D01*
X7443095Y-14932143D02*
X7443095Y-15132143D01*
X7443095Y-14941667D02*
X7462143Y-14932143D01*
X7500238Y-14932143D01*
X7519286Y-14941667D01*
X7528809Y-14951190D01*
X7538333Y-14970238D01*
X7538333Y-15027381D01*
X7528809Y-15046428D01*
X7519286Y-15055952D01*
X7500238Y-15065476D01*
X7462143Y-15065476D01*
X7443095Y-15055952D01*
X7624048Y-15046428D02*
X7633571Y-15055952D01*
X7624048Y-15065476D01*
X7614524Y-15055952D01*
X7624048Y-15046428D01*
X7624048Y-15065476D01*
X7624048Y-14941667D02*
X7633571Y-14951190D01*
X7624048Y-14960714D01*
X7614524Y-14951190D01*
X7624048Y-14941667D01*
X7624048Y-14960714D01*
D12*
X5955000Y-15375000D02*
X5995000Y-15415000D01*
X5995000Y-15375000D02*
X5955000Y-15415000D01*
D11*
X6290714Y-15285476D02*
X6309762Y-15285476D01*
X6328809Y-15295000D01*
X6338333Y-15304524D01*
X6347857Y-15323571D01*
X6357381Y-15361667D01*
X6357381Y-15409286D01*
X6347857Y-15447381D01*
X6338333Y-15466428D01*
X6328809Y-15475952D01*
X6309762Y-15485476D01*
X6290714Y-15485476D01*
X6271667Y-15475952D01*
X6262143Y-15466428D01*
X6252619Y-15447381D01*
X6243095Y-15409286D01*
X6243095Y-15361667D01*
X6252619Y-15323571D01*
X6262143Y-15304524D01*
X6271667Y-15295000D01*
X6290714Y-15285476D01*
X6443095Y-15466428D02*
X6452619Y-15475952D01*
X6443095Y-15485476D01*
X6433571Y-15475952D01*
X6443095Y-15466428D01*
X6443095Y-15485476D01*
X6624048Y-15352143D02*
X6624048Y-15485476D01*
X6576428Y-15275952D02*
X6528809Y-15418809D01*
X6652619Y-15418809D01*
X6766905Y-15285476D02*
X6785952Y-15285476D01*
X6805000Y-15295000D01*
X6814524Y-15304524D01*
X6824048Y-15323571D01*
X6833571Y-15361667D01*
X6833571Y-15409286D01*
X6824048Y-15447381D01*
X6814524Y-15466428D01*
X6805000Y-15475952D01*
X6785952Y-15485476D01*
X6766905Y-15485476D01*
X6747857Y-15475952D01*
X6738333Y-15466428D01*
X6728809Y-15447381D01*
X6719286Y-15409286D01*
X6719286Y-15361667D01*
X6728809Y-15323571D01*
X6738333Y-15304524D01*
X6747857Y-15295000D01*
X6766905Y-15285476D01*
X6957381Y-15285476D02*
X6976428Y-15285476D01*
X6995476Y-15295000D01*
X7005000Y-15304524D01*
X7014524Y-15323571D01*
X7024048Y-15361667D01*
X7024048Y-15409286D01*
X7014524Y-15447381D01*
X7005000Y-15466428D01*
X6995476Y-15475952D01*
X6976428Y-15485476D01*
X6957381Y-15485476D01*
X6938333Y-15475952D01*
X6928809Y-15466428D01*
X6919286Y-15447381D01*
X6909762Y-15409286D01*
X6909762Y-15361667D01*
X6919286Y-15323571D01*
X6928809Y-15304524D01*
X6938333Y-15295000D01*
X6957381Y-15285476D01*
X7109762Y-15485476D02*
X7109762Y-15352143D01*
X7109762Y-15371190D02*
X7119286Y-15361667D01*
X7138333Y-15352143D01*
X7166905Y-15352143D01*
X7185952Y-15361667D01*
X7195476Y-15380714D01*
X7195476Y-15485476D01*
X7195476Y-15380714D02*
X7205000Y-15361667D01*
X7224048Y-15352143D01*
X7252619Y-15352143D01*
X7271667Y-15361667D01*
X7281190Y-15380714D01*
X7281190Y-15485476D01*
X7376428Y-15485476D02*
X7376428Y-15352143D01*
X7376428Y-15371190D02*
X7385952Y-15361667D01*
X7405000Y-15352143D01*
X7433571Y-15352143D01*
X7452619Y-15361667D01*
X7462143Y-15380714D01*
X7462143Y-15485476D01*
X7462143Y-15380714D02*
X7471667Y-15361667D01*
X7490714Y-15352143D01*
X7519286Y-15352143D01*
X7538333Y-15361667D01*
X7547857Y-15380714D01*
X7547857Y-15485476D01*
X7938333Y-15275952D02*
X7766905Y-15533095D01*
X8195476Y-15285476D02*
X8214524Y-15285476D01*
X8233571Y-15295000D01*
X8243095Y-15304524D01*
X8252619Y-15323571D01*
X8262143Y-15361667D01*
X8262143Y-15409286D01*
X8252619Y-15447381D01*
X8243095Y-15466428D01*
X8233571Y-15475952D01*
X8214524Y-15485476D01*
X8195476Y-15485476D01*
X8176428Y-15475952D01*
X8166905Y-15466428D01*
X8157381Y-15447381D01*
X8147857Y-15409286D01*
X8147857Y-15361667D01*
X8157381Y-15323571D01*
X8166905Y-15304524D01*
X8176428Y-15295000D01*
X8195476Y-15285476D01*
X8347857Y-15466428D02*
X8357381Y-15475952D01*
X8347857Y-15485476D01*
X8338333Y-15475952D01*
X8347857Y-15466428D01*
X8347857Y-15485476D01*
X8481190Y-15285476D02*
X8500238Y-15285476D01*
X8519286Y-15295000D01*
X8528810Y-15304524D01*
X8538333Y-15323571D01*
X8547857Y-15361667D01*
X8547857Y-15409286D01*
X8538333Y-15447381D01*
X8528810Y-15466428D01*
X8519286Y-15475952D01*
X8500238Y-15485476D01*
X8481190Y-15485476D01*
X8462143Y-15475952D01*
X8452619Y-15466428D01*
X8443095Y-15447381D01*
X8433571Y-15409286D01*
X8433571Y-15361667D01*
X8443095Y-15323571D01*
X8452619Y-15304524D01*
X8462143Y-15295000D01*
X8481190Y-15285476D01*
X8738333Y-15485476D02*
X8624048Y-15485476D01*
X8681190Y-15485476D02*
X8681190Y-15285476D01*
X8662143Y-15314048D01*
X8643095Y-15333095D01*
X8624048Y-15342619D01*
X8919286Y-15285476D02*
X8824048Y-15285476D01*
X8814524Y-15380714D01*
X8824048Y-15371190D01*
X8843095Y-15361667D01*
X8890714Y-15361667D01*
X8909762Y-15371190D01*
X8919286Y-15380714D01*
X8928810Y-15399762D01*
X8928810Y-15447381D01*
X8919286Y-15466428D01*
X8909762Y-15475952D01*
X8890714Y-15485476D01*
X8843095Y-15485476D01*
X8824048Y-15475952D01*
X8814524Y-15466428D01*
X8995476Y-15285476D02*
X9128810Y-15285476D01*
X9043095Y-15485476D01*
X9195476Y-15285476D02*
X9195476Y-15323571D01*
X9271667Y-15285476D02*
X9271667Y-15323571D01*
X9566905Y-15561667D02*
X9557381Y-15552143D01*
X9538333Y-15523571D01*
X9528810Y-15504524D01*
X9519286Y-15475952D01*
X9509762Y-15428333D01*
X9509762Y-15390238D01*
X9519286Y-15342619D01*
X9528810Y-15314048D01*
X9538333Y-15295000D01*
X9557381Y-15266428D01*
X9566905Y-15256905D01*
X9624048Y-15285476D02*
X9747857Y-15285476D01*
X9681190Y-15361667D01*
X9709762Y-15361667D01*
X9728810Y-15371190D01*
X9738333Y-15380714D01*
X9747857Y-15399762D01*
X9747857Y-15447381D01*
X9738333Y-15466428D01*
X9728810Y-15475952D01*
X9709762Y-15485476D01*
X9652619Y-15485476D01*
X9633571Y-15475952D01*
X9624048Y-15466428D01*
X9824048Y-15304524D02*
X9833571Y-15295000D01*
X9852619Y-15285476D01*
X9900238Y-15285476D01*
X9919286Y-15295000D01*
X9928810Y-15304524D01*
X9938333Y-15323571D01*
X9938333Y-15342619D01*
X9928810Y-15371190D01*
X9814524Y-15485476D01*
X9938333Y-15485476D01*
X10176429Y-15485476D02*
X10176429Y-15285476D01*
X10262143Y-15485476D02*
X10262143Y-15380714D01*
X10252619Y-15361667D01*
X10233571Y-15352143D01*
X10205000Y-15352143D01*
X10185952Y-15361667D01*
X10176429Y-15371190D01*
X10385952Y-15485476D02*
X10366905Y-15475952D01*
X10357381Y-15466428D01*
X10347857Y-15447381D01*
X10347857Y-15390238D01*
X10357381Y-15371190D01*
X10366905Y-15361667D01*
X10385952Y-15352143D01*
X10414524Y-15352143D01*
X10433571Y-15361667D01*
X10443095Y-15371190D01*
X10452619Y-15390238D01*
X10452619Y-15447381D01*
X10443095Y-15466428D01*
X10433571Y-15475952D01*
X10414524Y-15485476D01*
X10385952Y-15485476D01*
X10566905Y-15485476D02*
X10547857Y-15475952D01*
X10538333Y-15456905D01*
X10538333Y-15285476D01*
X10719286Y-15475952D02*
X10700238Y-15485476D01*
X10662143Y-15485476D01*
X10643095Y-15475952D01*
X10633571Y-15456905D01*
X10633571Y-15380714D01*
X10643095Y-15361667D01*
X10662143Y-15352143D01*
X10700238Y-15352143D01*
X10719286Y-15361667D01*
X10728810Y-15380714D01*
X10728810Y-15399762D01*
X10633571Y-15418809D01*
X10805000Y-15475952D02*
X10824048Y-15485476D01*
X10862143Y-15485476D01*
X10881190Y-15475952D01*
X10890714Y-15456905D01*
X10890714Y-15447381D01*
X10881190Y-15428333D01*
X10862143Y-15418809D01*
X10833571Y-15418809D01*
X10814524Y-15409286D01*
X10805000Y-15390238D01*
X10805000Y-15380714D01*
X10814524Y-15361667D01*
X10833571Y-15352143D01*
X10862143Y-15352143D01*
X10881190Y-15361667D01*
X10957381Y-15561667D02*
X10966905Y-15552143D01*
X10985952Y-15523571D01*
X10995476Y-15504524D01*
X11005000Y-15475952D01*
X11014524Y-15428333D01*
X11014524Y-15390238D01*
X11005000Y-15342619D01*
X10995476Y-15314048D01*
X10985952Y-15295000D01*
X10966905Y-15266428D01*
X10957381Y-15256905D01*
D13*
X5995000Y-15659000D02*
G75*
G03*
X5995000Y-15659000I-40000J0D01*
G01*
D11*
X6290714Y-15549476D02*
X6309762Y-15549476D01*
X6328809Y-15559000D01*
X6338333Y-15568524D01*
X6347857Y-15587571D01*
X6357381Y-15625667D01*
X6357381Y-15673286D01*
X6347857Y-15711381D01*
X6338333Y-15730428D01*
X6328809Y-15739952D01*
X6309762Y-15749476D01*
X6290714Y-15749476D01*
X6271667Y-15739952D01*
X6262143Y-15730428D01*
X6252619Y-15711381D01*
X6243095Y-15673286D01*
X6243095Y-15625667D01*
X6252619Y-15587571D01*
X6262143Y-15568524D01*
X6271667Y-15559000D01*
X6290714Y-15549476D01*
X6443095Y-15730428D02*
X6452619Y-15739952D01*
X6443095Y-15749476D01*
X6433571Y-15739952D01*
X6443095Y-15730428D01*
X6443095Y-15749476D01*
X6566905Y-15635190D02*
X6547857Y-15625667D01*
X6538333Y-15616143D01*
X6528809Y-15597095D01*
X6528809Y-15587571D01*
X6538333Y-15568524D01*
X6547857Y-15559000D01*
X6566905Y-15549476D01*
X6605000Y-15549476D01*
X6624048Y-15559000D01*
X6633571Y-15568524D01*
X6643095Y-15587571D01*
X6643095Y-15597095D01*
X6633571Y-15616143D01*
X6624048Y-15625667D01*
X6605000Y-15635190D01*
X6566905Y-15635190D01*
X6547857Y-15644714D01*
X6538333Y-15654238D01*
X6528809Y-15673286D01*
X6528809Y-15711381D01*
X6538333Y-15730428D01*
X6547857Y-15739952D01*
X6566905Y-15749476D01*
X6605000Y-15749476D01*
X6624048Y-15739952D01*
X6633571Y-15730428D01*
X6643095Y-15711381D01*
X6643095Y-15673286D01*
X6633571Y-15654238D01*
X6624048Y-15644714D01*
X6605000Y-15635190D01*
X6766905Y-15549476D02*
X6785952Y-15549476D01*
X6805000Y-15559000D01*
X6814524Y-15568524D01*
X6824048Y-15587571D01*
X6833571Y-15625667D01*
X6833571Y-15673286D01*
X6824048Y-15711381D01*
X6814524Y-15730428D01*
X6805000Y-15739952D01*
X6785952Y-15749476D01*
X6766905Y-15749476D01*
X6747857Y-15739952D01*
X6738333Y-15730428D01*
X6728809Y-15711381D01*
X6719286Y-15673286D01*
X6719286Y-15625667D01*
X6728809Y-15587571D01*
X6738333Y-15568524D01*
X6747857Y-15559000D01*
X6766905Y-15549476D01*
X6957381Y-15549476D02*
X6976428Y-15549476D01*
X6995476Y-15559000D01*
X7005000Y-15568524D01*
X7014524Y-15587571D01*
X7024048Y-15625667D01*
X7024048Y-15673286D01*
X7014524Y-15711381D01*
X7005000Y-15730428D01*
X6995476Y-15739952D01*
X6976428Y-15749476D01*
X6957381Y-15749476D01*
X6938333Y-15739952D01*
X6928809Y-15730428D01*
X6919286Y-15711381D01*
X6909762Y-15673286D01*
X6909762Y-15625667D01*
X6919286Y-15587571D01*
X6928809Y-15568524D01*
X6938333Y-15559000D01*
X6957381Y-15549476D01*
X7109762Y-15749476D02*
X7109762Y-15616143D01*
X7109762Y-15635190D02*
X7119286Y-15625667D01*
X7138333Y-15616143D01*
X7166905Y-15616143D01*
X7185952Y-15625667D01*
X7195476Y-15644714D01*
X7195476Y-15749476D01*
X7195476Y-15644714D02*
X7205000Y-15625667D01*
X7224048Y-15616143D01*
X7252619Y-15616143D01*
X7271667Y-15625667D01*
X7281190Y-15644714D01*
X7281190Y-15749476D01*
X7376428Y-15749476D02*
X7376428Y-15616143D01*
X7376428Y-15635190D02*
X7385952Y-15625667D01*
X7405000Y-15616143D01*
X7433571Y-15616143D01*
X7452619Y-15625667D01*
X7462143Y-15644714D01*
X7462143Y-15749476D01*
X7462143Y-15644714D02*
X7471667Y-15625667D01*
X7490714Y-15616143D01*
X7519286Y-15616143D01*
X7538333Y-15625667D01*
X7547857Y-15644714D01*
X7547857Y-15749476D01*
X7938333Y-15539952D02*
X7766905Y-15797095D01*
X8195476Y-15549476D02*
X8214524Y-15549476D01*
X8233571Y-15559000D01*
X8243095Y-15568524D01*
X8252619Y-15587571D01*
X8262143Y-15625667D01*
X8262143Y-15673286D01*
X8252619Y-15711381D01*
X8243095Y-15730428D01*
X8233571Y-15739952D01*
X8214524Y-15749476D01*
X8195476Y-15749476D01*
X8176428Y-15739952D01*
X8166905Y-15730428D01*
X8157381Y-15711381D01*
X8147857Y-15673286D01*
X8147857Y-15625667D01*
X8157381Y-15587571D01*
X8166905Y-15568524D01*
X8176428Y-15559000D01*
X8195476Y-15549476D01*
X8347857Y-15730428D02*
X8357381Y-15739952D01*
X8347857Y-15749476D01*
X8338333Y-15739952D01*
X8347857Y-15730428D01*
X8347857Y-15749476D01*
X8481190Y-15549476D02*
X8500238Y-15549476D01*
X8519286Y-15559000D01*
X8528810Y-15568524D01*
X8538333Y-15587571D01*
X8547857Y-15625667D01*
X8547857Y-15673286D01*
X8538333Y-15711381D01*
X8528810Y-15730428D01*
X8519286Y-15739952D01*
X8500238Y-15749476D01*
X8481190Y-15749476D01*
X8462143Y-15739952D01*
X8452619Y-15730428D01*
X8443095Y-15711381D01*
X8433571Y-15673286D01*
X8433571Y-15625667D01*
X8443095Y-15587571D01*
X8452619Y-15568524D01*
X8462143Y-15559000D01*
X8481190Y-15549476D01*
X8614524Y-15549476D02*
X8738333Y-15549476D01*
X8671667Y-15625667D01*
X8700238Y-15625667D01*
X8719286Y-15635190D01*
X8728810Y-15644714D01*
X8738333Y-15663762D01*
X8738333Y-15711381D01*
X8728810Y-15730428D01*
X8719286Y-15739952D01*
X8700238Y-15749476D01*
X8643095Y-15749476D01*
X8624048Y-15739952D01*
X8614524Y-15730428D01*
X8928810Y-15749476D02*
X8814524Y-15749476D01*
X8871667Y-15749476D02*
X8871667Y-15549476D01*
X8852619Y-15578048D01*
X8833571Y-15597095D01*
X8814524Y-15606619D01*
X9109762Y-15549476D02*
X9014524Y-15549476D01*
X9005000Y-15644714D01*
X9014524Y-15635190D01*
X9033571Y-15625667D01*
X9081190Y-15625667D01*
X9100238Y-15635190D01*
X9109762Y-15644714D01*
X9119286Y-15663762D01*
X9119286Y-15711381D01*
X9109762Y-15730428D01*
X9100238Y-15739952D01*
X9081190Y-15749476D01*
X9033571Y-15749476D01*
X9014524Y-15739952D01*
X9005000Y-15730428D01*
X9195476Y-15549476D02*
X9195476Y-15587571D01*
X9271667Y-15549476D02*
X9271667Y-15587571D01*
X9566905Y-15825667D02*
X9557381Y-15816143D01*
X9538333Y-15787571D01*
X9528810Y-15768524D01*
X9519286Y-15739952D01*
X9509762Y-15692333D01*
X9509762Y-15654238D01*
X9519286Y-15606619D01*
X9528810Y-15578048D01*
X9538333Y-15559000D01*
X9557381Y-15530428D01*
X9566905Y-15520905D01*
X9747857Y-15749476D02*
X9633571Y-15749476D01*
X9690714Y-15749476D02*
X9690714Y-15549476D01*
X9671667Y-15578048D01*
X9652619Y-15597095D01*
X9633571Y-15606619D01*
X9919286Y-15616143D02*
X9919286Y-15749476D01*
X9871667Y-15539952D02*
X9824048Y-15682809D01*
X9947857Y-15682809D01*
X10176429Y-15749476D02*
X10176429Y-15549476D01*
X10262143Y-15749476D02*
X10262143Y-15644714D01*
X10252619Y-15625667D01*
X10233571Y-15616143D01*
X10205000Y-15616143D01*
X10185952Y-15625667D01*
X10176429Y-15635190D01*
X10385952Y-15749476D02*
X10366905Y-15739952D01*
X10357381Y-15730428D01*
X10347857Y-15711381D01*
X10347857Y-15654238D01*
X10357381Y-15635190D01*
X10366905Y-15625667D01*
X10385952Y-15616143D01*
X10414524Y-15616143D01*
X10433571Y-15625667D01*
X10443095Y-15635190D01*
X10452619Y-15654238D01*
X10452619Y-15711381D01*
X10443095Y-15730428D01*
X10433571Y-15739952D01*
X10414524Y-15749476D01*
X10385952Y-15749476D01*
X10566905Y-15749476D02*
X10547857Y-15739952D01*
X10538333Y-15720905D01*
X10538333Y-15549476D01*
X10719286Y-15739952D02*
X10700238Y-15749476D01*
X10662143Y-15749476D01*
X10643095Y-15739952D01*
X10633571Y-15720905D01*
X10633571Y-15644714D01*
X10643095Y-15625667D01*
X10662143Y-15616143D01*
X10700238Y-15616143D01*
X10719286Y-15625667D01*
X10728810Y-15644714D01*
X10728810Y-15663762D01*
X10633571Y-15682809D01*
X10805000Y-15739952D02*
X10824048Y-15749476D01*
X10862143Y-15749476D01*
X10881190Y-15739952D01*
X10890714Y-15720905D01*
X10890714Y-15711381D01*
X10881190Y-15692333D01*
X10862143Y-15682809D01*
X10833571Y-15682809D01*
X10814524Y-15673286D01*
X10805000Y-15654238D01*
X10805000Y-15644714D01*
X10814524Y-15625667D01*
X10833571Y-15616143D01*
X10862143Y-15616143D01*
X10881190Y-15625667D01*
X10957381Y-15825667D02*
X10966905Y-15816143D01*
X10985952Y-15787571D01*
X10995476Y-15768524D01*
X11005000Y-15739952D01*
X11014524Y-15692333D01*
X11014524Y-15654238D01*
X11005000Y-15606619D01*
X10995476Y-15578048D01*
X10985952Y-15559000D01*
X10966905Y-15530428D01*
X10957381Y-15520905D01*
M02*

View File

@ -1,65 +0,0 @@
M48
; DRILL file {KiCad (6.0.9)} date Sunday, December 04, 2022 PM08:24:46
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2022-12-04T20:24:46+08:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.9)
; #@! TF.FileFunction,Plated,1,4,PTH
FMAT,2
METRIC
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T1C0.400
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T2C0.800
%
G90
G05
T1
X65.532Y-142.748
X65.532Y-144.78
X65.532Y-146.812
X74.168Y-142.748
X74.168Y-144.78
X74.168Y-146.812
X79.248Y-121.92
X79.248Y-124.46
X80.772Y-121.92
X80.772Y-124.46
X84.328Y-119.38
X84.328Y-124.46
X84.328Y-127.0
X84.328Y-129.54
X85.852Y-119.38
X85.852Y-124.46
X85.852Y-127.0
X85.852Y-129.54
X119.888Y-119.38
X119.888Y-121.92
X119.888Y-124.46
X119.888Y-127.0
X119.888Y-129.54
X121.412Y-119.38
X121.412Y-121.92
X121.412Y-124.46
X124.968Y-124.46
X124.968Y-127.0
X124.968Y-129.54
X126.492Y-124.46
X126.492Y-127.0
X126.492Y-129.54
T2
X88.265Y-114.3
X88.265Y-119.38
X88.265Y-124.333
X88.265Y-129.54
X91.44Y-116.84
X91.44Y-121.92
X91.44Y-127.0
X114.3Y-116.84
X114.3Y-121.92
X114.3Y-127.0
X117.475Y-114.3
X117.475Y-119.507
X117.475Y-124.46
X117.475Y-129.54
T0
M30

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -1,75 +0,0 @@
{
"board": {
"active_layer": 37,
"active_layer_preset": "All Layers",
"auto_track_width": true,
"hidden_nets": [],
"high_contrast_mode": 0,
"net_color_mode": 1,
"opacity": {
"pads": 1.0,
"tracks": 1.0,
"vias": 1.0,
"zones": 0.6
},
"ratsnest_display_mode": 0,
"selection_filter": {
"dimensions": true,
"footprints": true,
"graphics": true,
"keepouts": true,
"lockedItems": true,
"otherItems": true,
"pads": true,
"text": true,
"tracks": true,
"vias": true,
"zones": true
},
"visible_items": [
0,
1,
2,
3,
4,
5,
8,
9,
10,
11,
12,
13,
14,
15,
16,
17,
18,
19,
20,
21,
22,
23,
24,
25,
26,
27,
28,
29,
30,
32,
33,
34,
35,
36
],
"visible_layers": "fffffff_ffffffff",
"zone_display_mode": 0
},
"meta": {
"filename": "kirdyShield.kicad_prl",
"version": 3
},
"project": {
"files": []
}
}

View File

@ -1,987 +0,0 @@
(kicad_sch (version 20211123) (generator eeschema)
(uuid 96b73a3e-7f6b-4c8b-ab88-a7f05b40ca65)
(paper "A4")
(lib_symbols
(symbol "Connector:Conn_Coaxial" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0.254 3.048 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_Coaxial" (id 1) (at 2.921 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" " ~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "BNC SMA SMB SMC LEMO coaxial connector CINCH RCA" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, LEMO, ...)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "*BNC* *SMA* *SMB* *SMC* *Cinch* *LEMO*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_Coaxial_0_1"
(arc (start -1.778 -0.508) (mid 0.2311 -1.8066) (end 1.778 0)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -2.54 0)
(xy -0.508 0)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 -2.54)
(xy 0 -1.778)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center 0 0) (radius 0.508)
(stroke (width 0.2032) (type default) (color 0 0 0 0))
(fill (type none))
)
(arc (start 1.778 0) (mid 0.2099 1.8101) (end -1.778 0.508)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "Conn_Coaxial_1_1"
(pin passive line (at -5.08 0 0) (length 2.54)
(name "In" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -5.08 90) (length 2.54)
(name "Ext" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector_Generic:Conn_01x07" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0 10.16 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_01x07" (id 1) (at 0 -10.16 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, single row, 01x07, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_01x07_1_1"
(rectangle (start -1.27 -7.493) (end 0 -7.747)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 5.207) (end 0 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 7.747) (end 0 7.493)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 8.89) (end 1.27 -8.89)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin passive line (at -5.08 7.62 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 5.08 0) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -7.62 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector_Generic:Conn_02x05_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 1.27 7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_02x05_Odd_Even" (id 1) (at 1.27 -7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_02x05_Odd_Even_1_1"
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 5.207) (end 0 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 6.35) (end 3.81 -6.35)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(rectangle (start 3.81 -4.953) (end 2.54 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -2.413) (end 2.54 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 0.127) (end 2.54 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 2.667) (end 2.54 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 5.207) (end 2.54 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(pin passive line (at -5.08 5.08 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -5.08 180) (length 3.81)
(name "Pin_10" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 5.08 180) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 2.54 180) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 0 180) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -2.54 180) (length 3.81)
(name "Pin_8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_9" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
(property "Reference" "C" (id 0) (at 0.635 2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "C" (id 1) (at 0.635 -2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0.9652 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "C_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "C_0_1"
(polyline
(pts
(xy -2.032 -0.762)
(xy 2.032 -0.762)
)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -2.032 0.762)
(xy 2.032 0.762)
)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "C_1_1"
(pin passive line (at 0 3.81 270) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:L" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "L" (id 0) (at -1.27 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "L" (id 1) (at 1.905 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "inductor choke coil reactor magnetic" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Inductor" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "L_0_1"
(arc (start 0 -2.54) (mid 0.635 -1.905) (end 0 -1.27)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(arc (start 0 -1.27) (mid 0.635 -0.635) (end 0 0)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(arc (start 0 0) (mid 0.635 0.635) (end 0 1.27)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(arc (start 0 1.27) (mid 0.635 1.905) (end 0 2.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "L_1_1"
(pin passive line (at 0 3.81 270) (length 1.27)
(name "1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 1.27)
(name "2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "R" (id 0) (at 2.032 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "R" (id 1) (at 0 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at -1.778 0 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R res resistor" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_0_1"
(rectangle (start -1.016 -2.54) (end 1.016 2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "R_1_1"
(pin passive line (at 0 3.81 270) (length 1.27)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 1.27)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
)
(junction (at 170.18 81.28) (diameter 0) (color 0 0 0 0)
(uuid 17e9ef11-bb38-4bc1-b3dc-e12e337374a4)
)
(junction (at 116.84 83.82) (diameter 0) (color 0 0 0 0)
(uuid 259faecf-f8fd-4af5-a531-0d31422b78df)
)
(junction (at 170.18 78.74) (diameter 0) (color 0 0 0 0)
(uuid 2a182953-73b5-4260-8b14-3e308bb3c882)
)
(junction (at 116.84 86.36) (diameter 0) (color 0 0 0 0)
(uuid 7b831e54-96a5-40dd-939e-7a28ea422da4)
)
(junction (at 146.05 90.17) (diameter 0) (color 0 0 0 0)
(uuid 99683162-c089-4053-b38a-36934162875e)
)
(junction (at 170.18 86.36) (diameter 0) (color 0 0 0 0)
(uuid ac286a1b-eb51-4114-b2b4-ba84ce7a14cf)
)
(junction (at 116.84 78.74) (diameter 0) (color 0 0 0 0)
(uuid b069c21b-f7a3-40be-9def-05fb91ccafe0)
)
(junction (at 170.18 83.82) (diameter 0) (color 0 0 0 0)
(uuid f7e5a96b-e7ea-4357-8d2a-e4790bea1761)
)
(junction (at 116.84 81.28) (diameter 0) (color 0 0 0 0)
(uuid fa400167-72f4-4c51-aaf0-ab5c18d4de76)
)
(no_connect (at 158.75 132.08) (uuid 4836e150-f7e6-4ed8-af20-5daed8f07ed3))
(no_connect (at 158.75 134.62) (uuid c0e62480-fb7d-4dd8-9934-f5452689fd43))
(no_connect (at 158.75 121.92) (uuid c4430712-5028-404d-96de-3c63f7d99b48))
(no_connect (at 158.75 137.16) (uuid ca5e0a5f-2451-4f35-b532-25a74349bcc2))
(wire (pts (xy 104.14 83.82) (xy 88.9 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 00669dc9-b96d-499c-82ea-78ce766f9616)
)
(wire (pts (xy 88.9 124.46) (xy 105.41 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 01a410f2-8067-437a-8330-2b126046231d)
)
(wire (pts (xy 93.98 92.71) (xy 93.98 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 099377a9-c292-4fb8-a08b-045a8d01adce)
)
(wire (pts (xy 88.9 83.82) (xy 88.9 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0ecbac0a-e179-42c6-a76e-0d3bdcdb102b)
)
(wire (pts (xy 135.89 124.46) (xy 135.89 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0f1c66f4-d11b-4e96-817c-e3743eeb6ed6)
)
(wire (pts (xy 151.13 86.36) (xy 151.13 95.25))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1461e407-d9c0-42b7-96ad-2824e289429b)
)
(wire (pts (xy 135.89 76.2) (xy 157.48 76.2))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2563a6ea-8c7a-4e4a-af4f-399c4062f5ee)
)
(wire (pts (xy 148.59 83.82) (xy 148.59 92.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2723e517-233b-422b-9c7a-8853a8367ee4)
)
(wire (pts (xy 96.52 129.54) (xy 105.41 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 29b49e5f-7dcb-4f03-934d-c755e3246276)
)
(wire (pts (xy 170.18 83.82) (xy 170.18 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3177e702-2d94-48c6-89dd-103c2041e3c1)
)
(wire (pts (xy 116.84 83.82) (xy 116.84 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 32b17a40-1b6f-4bc2-921b-baccbae13e42)
)
(wire (pts (xy 170.18 78.74) (xy 170.18 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 36b85ba5-d66b-4602-87b5-19d5e732e349)
)
(wire (pts (xy 135.89 76.2) (xy 135.89 102.87))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 396b5163-2ce7-4253-8a85-dd38c6423d23)
)
(wire (pts (xy 83.82 76.2) (xy 83.82 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 47630926-e938-474f-8325-e5095dcb9041)
)
(wire (pts (xy 149.86 124.46) (xy 158.75 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 47857445-5b17-425b-9196-df7e33f583ad)
)
(wire (pts (xy 116.84 78.74) (xy 116.84 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 51942d46-6a35-4cc6-8cde-41001447b777)
)
(wire (pts (xy 86.36 78.74) (xy 86.36 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 58d2794a-f882-46d3-b7be-d8cf5ec2d052)
)
(wire (pts (xy 135.89 129.54) (xy 158.75 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5b76a330-ec77-4401-b0b6-233c93de233f)
)
(wire (pts (xy 91.44 86.36) (xy 91.44 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 61bb688f-5f78-4691-8a08-7b5de9560184)
)
(wire (pts (xy 157.48 86.36) (xy 151.13 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 63d4c635-bdab-4b20-836e-100a5113cdcd)
)
(wire (pts (xy 83.82 137.16) (xy 105.41 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 65069368-98c3-401d-9d4c-f82f6587b96f)
)
(wire (pts (xy 86.36 134.62) (xy 105.41 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 770b7fec-72d9-4dfe-82b8-ff0eab736207)
)
(wire (pts (xy 157.48 78.74) (xy 146.05 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7cc68e14-b302-4515-8056-2e1a510de3f4)
)
(wire (pts (xy 157.48 83.82) (xy 148.59 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 835393c2-3fc3-4e08-8806-25ad121efdf5)
)
(wire (pts (xy 99.06 127) (xy 105.41 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 85b72918-bf3a-4b18-b195-702dbc7af62b)
)
(wire (pts (xy 104.14 78.74) (xy 86.36 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9017223d-d03f-4e82-bed8-db7ec04f72a1)
)
(wire (pts (xy 194.31 105.41) (xy 199.39 105.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 914cea30-eff7-4708-b803-49f5e8b2d313)
)
(wire (pts (xy 179.07 105.41) (xy 186.69 105.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 93c0d19b-b3c9-441d-b50d-09f1793bf18d)
)
(wire (pts (xy 170.18 81.28) (xy 170.18 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 94db22b1-a300-4758-83bb-f395b33f4ea0)
)
(wire (pts (xy 135.89 110.49) (xy 135.89 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 95f23442-8046-476c-be4d-d88bcd776cad)
)
(wire (pts (xy 91.44 121.92) (xy 105.41 121.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a4241636-ce11-48d6-9dce-1905dcf0f1c8)
)
(wire (pts (xy 116.84 81.28) (xy 116.84 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a425288e-8cf6-49b8-acba-c330adc1ba00)
)
(wire (pts (xy 146.05 90.17) (xy 99.06 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a684f5b2-bfe8-46d2-a159-12abbc881fa3)
)
(wire (pts (xy 104.14 86.36) (xy 91.44 86.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b028e26d-dd2f-4e90-8767-448dafb17e5e)
)
(wire (pts (xy 149.86 105.41) (xy 149.86 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bee49576-e946-49f7-b31d-ba62721a016a)
)
(wire (pts (xy 158.75 127) (xy 146.05 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c2ca50ca-e105-46c3-a8cb-8785ee9d6715)
)
(wire (pts (xy 170.18 76.2) (xy 170.18 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c79ca162-fb36-449c-b451-86a1cf77c2cc)
)
(wire (pts (xy 99.06 90.17) (xy 99.06 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cf9e7524-d1ea-4f17-98f6-da306f935502)
)
(wire (pts (xy 96.52 95.25) (xy 96.52 129.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d98475cb-3658-4ea1-bb47-1fd10a7e7ec0)
)
(wire (pts (xy 116.84 76.2) (xy 116.84 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ddbb3287-3519-4956-985b-0d00d99e1c5e)
)
(wire (pts (xy 104.14 76.2) (xy 83.82 76.2))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e4cdd6d8-ae64-4c9f-a158-d050290130fe)
)
(wire (pts (xy 171.45 105.41) (xy 149.86 105.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e983ad23-4c82-4f5c-a9f0-dfc36288daa0)
)
(wire (pts (xy 93.98 132.08) (xy 105.41 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ed499e39-8abd-4759-b676-e72efdbda8d5)
)
(wire (pts (xy 146.05 127) (xy 146.05 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid eea88e18-3ca9-4b1f-9c4a-898b58087c9e)
)
(wire (pts (xy 151.13 95.25) (xy 96.52 95.25))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f12f98b7-4c53-4ffc-a3a8-1e2551ff6c01)
)
(wire (pts (xy 148.59 92.71) (xy 93.98 92.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f4e746f1-da41-4b50-9158-332c89dedd41)
)
(wire (pts (xy 146.05 78.74) (xy 146.05 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ff04e166-419b-4c8b-92e7-892f92e8fd75)
)
(symbol (lib_id "Connector_Generic:Conn_01x07") (at 110.49 129.54 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 0248e181-c01f-468a-83ac-744add38b114)
(property "Reference" "J2" (id 0) (at 113.03 128.2699 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "Conn_01x07" (id 1) (at 113.03 130.8099 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "laserSocket:socket left" (id 2) (at 110.49 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 110.49 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 54ac9223-4656-48c4-abb6-7656b7bc0f48))
(pin "2" (uuid 7d382096-21a1-4753-b0e9-dc48cb7d862e))
(pin "3" (uuid 9d1a1118-c7b4-4c26-80af-1479aefdc34c))
(pin "4" (uuid 446fe580-4c6f-4f9f-a42e-6afc4c2d1bdd))
(pin "5" (uuid efe47372-12a3-4d4e-806b-57138fa04f07))
(pin "6" (uuid d2f86215-5a36-4ed2-b491-752f122ed7f2))
(pin "7" (uuid 3160fe4a-e6de-496e-b545-a4d993f9d320))
)
(symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 162.56 81.28 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 23352d0b-25fa-4ddc-aad4-735f3c34032f)
(property "Reference" "J3" (id 0) (at 163.83 69.85 0))
(property "Value" "Conn_02x05_Odd_Even" (id 1) (at 163.83 72.39 0))
(property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x05_P2.54mm_Vertical_SMD" (id 2) (at 162.56 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 162.56 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid fa60b6ed-42d2-4d9d-adf7-44b3f19e48ef))
(pin "10" (uuid f43f45b8-e991-4928-8d03-b8224b7e74d2))
(pin "2" (uuid ed76bc7c-c0c9-4b76-87b9-863c5fdef557))
(pin "3" (uuid 2ec26b8b-8c5a-499b-8700-0c65e8b09766))
(pin "4" (uuid c1398a47-4676-464b-8255-670b314ffe0b))
(pin "5" (uuid ec76c6fe-ce63-4917-9b0d-aa73b2ef8148))
(pin "6" (uuid f141fcc0-af03-4484-9534-dff5193c85b8))
(pin "7" (uuid a1077d84-cddd-4c89-bef3-ebaa1be7c074))
(pin "8" (uuid 3c6e62a3-4619-46bb-aa31-d4e8d02c6e2e))
(pin "9" (uuid d2df7ecf-0f1b-4ab2-bc4c-23218c732f3d))
)
(symbol (lib_id "power:GND") (at 170.18 86.36 90) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 2431a253-5399-46aa-b681-483f30415661)
(property "Reference" "#PWR0104" (id 0) (at 176.53 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 173.99 86.3599 90)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 170.18 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 170.18 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 965b7d86-cf6e-4315-85db-da2d5dc37763))
)
(symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 109.22 81.28 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 41483524-79dc-4a69-baed-5b1801ae6d8f)
(property "Reference" "J1" (id 0) (at 110.49 69.85 0))
(property "Value" "Conn_02x05_Odd_Even" (id 1) (at 110.49 72.39 0))
(property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x05_P2.54mm_Vertical_SMD" (id 2) (at 109.22 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 109.22 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 824df5bd-cff9-420c-982c-4c9269b467d3))
(pin "10" (uuid 37d7ad49-ae0a-4b37-9fbc-be3419fc6a82))
(pin "2" (uuid 5c2b6f62-a899-4c92-9f0a-adfd17cc3439))
(pin "3" (uuid c71f1a5d-d593-48f2-825e-c7945f1bef78))
(pin "4" (uuid bfe7b393-8310-4f06-a003-b2966e614eed))
(pin "5" (uuid baad5c1e-09bb-42c2-8feb-a885b8f0b5dd))
(pin "6" (uuid 24747496-a173-4191-807a-4f43645918b2))
(pin "7" (uuid 1e8fdb86-ac59-47a4-a31f-ff0ff25e6613))
(pin "8" (uuid aa68fcc2-18d1-49b3-8023-bae88341b973))
(pin "9" (uuid 387cf0ec-28ab-4b86-93a1-0f618ec695eb))
)
(symbol (lib_id "power:GND") (at 204.47 110.49 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 4b243bdb-950a-40df-a9bb-df4412f71f8e)
(property "Reference" "#PWR0102" (id 0) (at 204.47 116.84 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 204.47 115.57 0))
(property "Footprint" "" (id 2) (at 204.47 110.49 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 204.47 110.49 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid fca3c11b-7b04-4e7f-8dca-ff2d54d1af98))
)
(symbol (lib_id "power:GND") (at 104.14 81.28 270) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 679cff6e-7037-4f59-9639-405271871743)
(property "Reference" "#PWR0101" (id 0) (at 97.79 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 100.33 81.2799 90)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 104.14 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 104.14 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e1cfca21-156e-447f-ba11-6ae41788ce8c))
)
(symbol (lib_id "Device:R") (at 135.89 106.68 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 715cbd48-1018-4fe3-8d03-70740b2ecdf7)
(property "Reference" "R1" (id 0) (at 138.43 105.4099 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10" (id 1) (at 138.43 107.9499 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_SMD:R_0805_2012Metric" (id 2) (at 134.112 106.68 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 135.89 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a5656b34-90b6-4354-8485-1c7451f3a32a))
(pin "2" (uuid 336062de-3de5-44aa-ab91-c25282a1c260))
)
(symbol (lib_id "power:GND") (at 157.48 81.28 270) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid dcf8bc53-f478-49c7-9dc8-32ae4cbabe80)
(property "Reference" "#PWR0103" (id 0) (at 151.13 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 153.67 81.2799 90)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 157.48 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 157.48 81.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ced37fa1-debc-47e4-9738-ede7277454e5))
)
(symbol (lib_id "Connector_Generic:Conn_01x07") (at 163.83 129.54 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid e10f14dc-9fd2-4570-8788-9b7bd1c40d89)
(property "Reference" "J4" (id 0) (at 166.37 128.2699 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "Conn_01x07" (id 1) (at 166.37 130.8099 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "laserSocket:socket left" (id 2) (at 163.83 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 163.83 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 99531c2d-4077-4143-90d6-ba2b83bd6995))
(pin "2" (uuid 367bb9d8-fc09-4df8-a2a8-59b2c80c2413))
(pin "3" (uuid 38c441bf-4c42-4780-bb0f-a74d500aba22))
(pin "4" (uuid 141a13e8-e992-4b89-918d-55621a7f7ee0))
(pin "5" (uuid 80f21b49-6e06-4fe2-b167-f44a0db81280))
(pin "6" (uuid 6f5aa043-5293-457c-823f-fc0c97a5d147))
(pin "7" (uuid 9dfb5a72-1bfa-474c-a6a0-89f35282f73d))
)
(symbol (lib_id "Device:L") (at 135.89 120.65 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid e35936ed-eb1f-4d59-be82-23b7cd901cb9)
(property "Reference" "L1" (id 0) (at 137.16 119.3799 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10n" (id 1) (at 137.16 121.9199 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Inductor_SMD:L_1210_3225Metric" (id 2) (at 135.89 120.65 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 135.89 120.65 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid de6882bd-a105-4136-ac79-5b48dc5d5e1d))
(pin "2" (uuid 4eeece54-3232-466d-b639-ee7c6f2c5a9c))
)
(symbol (lib_id "Device:C") (at 190.5 105.41 90) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid ed0e2f9c-701a-4939-941b-fab3dac3841e)
(property "Reference" "C1" (id 0) (at 190.5 97.79 90))
(property "Value" "100n" (id 1) (at 190.5 100.33 90))
(property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 194.31 104.4448 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 190.5 105.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 262fd370-a68e-43db-95a2-a24b663d2527))
(pin "2" (uuid 3167fa96-895f-4892-8543-eb62bc6fa6ca))
)
(symbol (lib_id "Connector:Conn_Coaxial") (at 204.47 105.41 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid f9087ec0-9141-4bbc-8f8b-4095c41ac1bd)
(property "Reference" "J5" (id 0) (at 207.01 104.4331 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "Conn_Coaxial" (id 1) (at 207.01 106.9731 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Connector_Coaxial:SMA_Amphenol_132289_EdgeMount" (id 2) (at 204.47 105.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" " ~" (id 3) (at 204.47 105.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d7741620-7365-475e-ac90-4dd374a18a1e))
(pin "2" (uuid 0ecff287-2521-4b6b-9069-936cf1d863cf))
)
(symbol (lib_id "Device:R") (at 175.26 105.41 90) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid faffb20f-9185-478f-8b15-4f041a0f12cc)
(property "Reference" "R2" (id 0) (at 175.26 99.06 90))
(property "Value" "47" (id 1) (at 175.26 101.6 90))
(property "Footprint" "Resistor_SMD:R_0805_2012Metric" (id 2) (at 175.26 107.188 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 175.26 105.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9b463703-b5e0-414b-a8c3-c9b8f61c9e14))
(pin "2" (uuid ad34ba36-b85c-424c-ba0e-a9451e7d9ec4))
)
(symbol (lib_id "power:GND") (at 116.84 86.36 90) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid fc4080fd-89f0-4737-9552-8ba4e966815e)
(property "Reference" "#PWR0105" (id 0) (at 123.19 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 120.65 86.3599 90)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 116.84 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 116.84 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e995fe89-19df-4be5-a84c-ac8efc35f237))
)
(sheet_instances
(path "/" (page "1"))
)
(symbol_instances
(path "/679cff6e-7037-4f59-9639-405271871743"
(reference "#PWR0101") (unit 1) (value "GND") (footprint "")
)
(path "/4b243bdb-950a-40df-a9bb-df4412f71f8e"
(reference "#PWR0102") (unit 1) (value "GND") (footprint "")
)
(path "/dcf8bc53-f478-49c7-9dc8-32ae4cbabe80"
(reference "#PWR0103") (unit 1) (value "GND") (footprint "")
)
(path "/2431a253-5399-46aa-b681-483f30415661"
(reference "#PWR0104") (unit 1) (value "GND") (footprint "")
)
(path "/fc4080fd-89f0-4737-9552-8ba4e966815e"
(reference "#PWR0105") (unit 1) (value "GND") (footprint "")
)
(path "/ed0e2f9c-701a-4939-941b-fab3dac3841e"
(reference "C1") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/41483524-79dc-4a69-baed-5b1801ae6d8f"
(reference "J1") (unit 1) (value "Conn_02x05_Odd_Even") (footprint "Connector_PinSocket_2.54mm:PinSocket_2x05_P2.54mm_Vertical_SMD")
)
(path "/0248e181-c01f-468a-83ac-744add38b114"
(reference "J2") (unit 1) (value "Conn_01x07") (footprint "laserSocket:socket left")
)
(path "/23352d0b-25fa-4ddc-aad4-735f3c34032f"
(reference "J3") (unit 1) (value "Conn_02x05_Odd_Even") (footprint "Connector_PinSocket_2.54mm:PinSocket_2x05_P2.54mm_Vertical_SMD")
)
(path "/e10f14dc-9fd2-4570-8788-9b7bd1c40d89"
(reference "J4") (unit 1) (value "Conn_01x07") (footprint "laserSocket:socket left")
)
(path "/f9087ec0-9141-4bbc-8f8b-4095c41ac1bd"
(reference "J5") (unit 1) (value "Conn_Coaxial") (footprint "Connector_Coaxial:SMA_Amphenol_132289_EdgeMount")
)
(path "/e35936ed-eb1f-4d59-be82-23b7cd901cb9"
(reference "L1") (unit 1) (value "10n") (footprint "Inductor_SMD:L_1210_3225Metric")
)
(path "/715cbd48-1018-4fe3-8d03-70740b2ecdf7"
(reference "R1") (unit 1) (value "10") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/faffb20f-9185-478f-8b15-4f041a0f12cc"
(reference "R2") (unit 1) (value "47") (footprint "Resistor_SMD:R_0805_2012Metric")
)
)
)

View File

@ -1,28 +0,0 @@
(footprint "socket left" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 0)
(attr through_hole)
(fp_text reference "REF**" (at 7.62 10.16 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 1f629ab9-ea73-4761-9fa0-824cd3f43b84)
)
(fp_text value "socket left" (at 7.62 11.66 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 186cd92f-6c69-47dc-b402-2915cecdf5f5)
)
(fp_text user "${REFERENCE}" (at 7.62 13.16 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 0bfa8775-e49a-41d3-9820-11458c957f17)
)
(fp_line (start 4.699 -11.43) (end -8.001 -11.43) (layer "F.SilkS") (width 0.12) (tstamp 021fe337-7f41-466d-8ca2-4c83cea50bdd))
(fp_line (start -8.001 26.67) (end 4.699 26.67) (layer "F.SilkS") (width 0.12) (tstamp 059ee285-25d3-4723-9d43-1a89dcc96e92))
(fp_line (start 4.699 26.67) (end 4.699 -11.43) (layer "F.SilkS") (width 0.12) (tstamp 6239c0b5-02c8-4695-82ff-4442a7409353))
(fp_line (start -8.001 -11.43) (end -8.001 26.67) (layer "F.SilkS") (width 0.12) (tstamp 6d2ca805-6cf0-46ae-a6ce-95b68a2075ad))
(pad "1" thru_hole circle (at -0.635 0) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp 79b32832-c4dd-4a74-b242-0ca0e9f1fada))
(pad "2" thru_hole circle (at 2.54 2.54) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp 63cf594c-ed68-406d-94e6-bdd6c5453163))
(pad "3" thru_hole circle (at -0.635 5.08) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp c29cdea1-d77b-4035-a418-21c9944ce528))
(pad "4" thru_hole circle (at 2.54 7.62) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp a79c058f-1b76-4879-bceb-8777c471c7e9))
(pad "5" thru_hole circle (at -0.635 10.033) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp c8ef5a41-5720-4825-918f-c739ac5ed9e0))
(pad "6" thru_hole circle (at 2.54 12.7) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp e8c7056e-a886-4f60-b7f7-b15b00878eaa))
(pad "7" thru_hole circle (at -0.635 15.24) (size 1.524 1.524) (drill 0.8) (layers *.Cu *.Mask) (tstamp 7d4a8e37-bee9-4432-a652-1d9689493268))
)

View File

@ -1,3 +1,4 @@
(fp_lib_table
(version 7)
(lib (name "laserSocket")(type "KiCad")(uri "${KIPRJMOD}/laserSocket.pretty")(options "")(descr ""))
)

File diff suppressed because it is too large Load Diff

View File

@ -1,5 +1,6 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.09999999999999999,
@ -62,20 +63,26 @@
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"connection_width": "warning",
"copper_edge_clearance": "error",
"copper_sliver": "warning",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint": "error",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"isolated_copper": "warning",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"lib_footprint_issues": "warning",
"lib_footprint_mismatch": "warning",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
@ -85,9 +92,14 @@
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_edge_clearance": "warning",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"solder_mask_bridge": "error",
"starved_thermal": "error",
"text_height": "warning",
"text_thickness": "warning",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
@ -96,31 +108,79 @@
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.0,
"min_clearance": 0.127,
"min_connection": 0.0,
"min_copper_edge_clearance": 0.5,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_resolved_spokes": 2,
"min_silk_clearance": 0.0,
"min_text_height": 0.7999999999999999,
"min_text_thickness": 0.08,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.19999999999999998,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997,
"solder_mask_clearance": 0.0,
"solder_mask_min_width": 0.0,
"solder_mask_to_copper_clearance": 0.0,
"use_height_for_length_calcs": true
},
"teardrop_options": [
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 5,
"td_on_pad_in_zone": false,
"td_onpadsmd": true,
"td_onroundshapesonly": false,
"td_ontrackend": false,
"td_onviapad": true
}
],
"teardrop_parameters": [
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_round_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_rect_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_track_end",
"td_width_to_size_filter_ratio": 0.9
}
],
"track_widths": [
0.0,
0.2,
0.2921,
0.5,
1.0,
1.5,
2.0
],
"via_dimensions": [
@ -136,7 +196,8 @@
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
@ -320,18 +381,23 @@
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
@ -341,6 +407,7 @@
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
@ -352,13 +419,13 @@
"pinned_symbol_libs": []
},
"meta": {
"filename": "kirdyShield.kicad_pro",
"filename": "kirdy_LD_adapter_type_1.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12.0,
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
@ -372,13 +439,15 @@
"track_width": 0.25,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6.0
"wire_width": 6
}
],
"meta": {
"version": 2
"version": 3
},
"net_colors": null
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
@ -386,7 +455,7 @@
"idf": "",
"netlist": "",
"specctra_dsn": "",
"step": "",
"step": "kirdyShield.step",
"vrml": ""
},
"page_layout_descr_file": ""
@ -394,6 +463,8 @@
"schematic": {
"annotate_start_num": 0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
@ -425,7 +496,11 @@
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,412 @@
(kicad_symbol_lib (version 20220914) (generator kicad_symbol_editor)
(symbol "butterfly_socket_type_1" (in_bom yes) (on_board yes)
(property "Reference" "J" (at 1.27 1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Butterfly_Socket" (at 7.62 -22.86 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "laserSocket:Butterfly_Socket" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://m.tb.cn/h.5QDXYK1?tk=wr4mW3e8DlQ" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "https://m.tb.cn/h.5QDXYK1?tk=wr4mW3e8DlQ" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN_ALT" "Open on Mobile Version of Taobao. Communuicate with the vendor for customized part." (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "butterfly_socket_type_1_1_1"
(rectangle (start 0 0) (end 20.32 -19.05)
(stroke (width 0) (type default))
(fill (type background))
)
(rectangle (start 6.35 -3.81) (end 13.97 -15.24)
(stroke (width 0) (type default))
(fill (type none))
)
(text "*: Alternate LD/PD Connections" (at 15.24 -20.32 0)
(effects (font (size 1.27 1.27)))
)
(text "Type-1 LD" (at 10.16 -9.525 900)
(effects (font (size 1.27 1.27)))
)
(pin input line (at -2.54 -2.54 0) (length 2.54)
(name "TEC+" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -12.7 180) (length 2.54)
(name "LD+" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -10.16 180) (length 2.54)
(name "LD-" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 22.86 -7.62 180) (length 2.54)
(name "NC" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -5.08 180) (length 2.54)
(name "Case" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -2.54 180) (length 2.54)
(name "TEC-" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -5.08 0) (length 2.54)
(name "NTC" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -7.62 0) (length 2.54)
(name "PD+" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -10.16 0) (length 2.54)
(name "PD-" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -12.7 0) (length 2.54)
(name "NTC" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at -2.54 -15.24 0) (length 2.54)
(name "NC" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -2.54 -17.78 0) (length 2.54)
(name "PD-*" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -17.78 180) (length 2.54)
(name "PD+*" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -15.24 180) (length 2.54)
(name "LD-*" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "butterfly_socket_type_2" (in_bom yes) (on_board yes)
(property "Reference" "J" (at 1.27 1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Butterfly_Socket" (at 7.62 -20.32 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "laserSocket:Butterfly_Socket" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://m.tb.cn/h.5QDXYK1?tk=wr4mW3e8DlQ" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "https://m.tb.cn/h.5QDXYK1?tk=wr4mW3e8DlQ" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN_ALT" "Open on Mobile Version of Taobao. Communuicate with the vendor for customized part." (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "butterfly_socket_type_2_1_1"
(rectangle (start 0 0) (end 22.86 -19.05)
(stroke (width 0) (type default))
(fill (type background))
)
(rectangle (start 7.62 -3.81) (end 15.24 -15.24)
(stroke (width 0) (type default))
(fill (type none))
)
(text "Type-2 LD" (at 11.43 -9.525 900)
(effects (font (size 1.27 1.27)))
)
(pin input line (at -2.54 -2.54 0) (length 2.54)
(name "NTC" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 25.4 -12.7 180) (length 2.54)
(name "NC" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 25.4 -10.16 180) (length 2.54)
(name "LD+" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 25.4 -7.62 180) (length 2.54)
(name "Mod_In" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 25.4 -5.08 180) (length 2.54)
(name "LD+" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 25.4 -2.54 180) (length 2.54)
(name "NC" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -5.08 0) (length 2.54)
(name "NTC" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -7.62 0) (length 2.54)
(name "LD-" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -10.16 0) (length 2.54)
(name "PD+" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -12.7 0) (length 2.54)
(name "PD-" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -2.54 -15.24 0) (length 2.54)
(name "TEC+" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -2.54 -17.78 0) (length 2.54)
(name "TEC-" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 25.4 -17.78 180) (length 2.54)
(name "Case" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 25.4 -15.24 180) (length 2.54)
(name "Case" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "kirdy_3d_model" (in_bom yes) (on_board yes)
(property "Reference" "J" (at 1.27 1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "kirdy" (at 3.81 -1.905 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "laserSocket:kirdy_socket" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "kirdy" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "kirdy_3d_model_1_1"
(rectangle (start 0 0) (end 7.62 -3.81)
(stroke (width 0) (type default))
(fill (type background))
)
)
)
(symbol "kirdy_socket_1" (in_bom yes) (on_board yes)
(property "Reference" "J" (at 5.08 2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "BG120-10-A-0-N-D" (at 10.16 -12.7 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "laserSocket:BG120-10-A-0-N-D" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "BG120-10-A-0-N-D" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "kirdy_socket_1_1_1"
(rectangle (start 3.81 -10.033) (end 4.445 -10.287)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 -7.493) (end 4.445 -7.747)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 -4.953) (end 4.445 -5.207)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 -2.413) (end 4.445 -2.667)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 0.127) (end 4.445 -0.127)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 1.27) (end 16.51 -11.43)
(stroke (width 0.254) (type default))
(fill (type background))
)
(rectangle (start 15.875 -10.033) (end 16.51 -10.287)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 15.875 -7.493) (end 16.51 -7.747)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 15.875 -4.953) (end 16.51 -5.207)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 15.875 -2.413) (end 16.51 -2.667)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 15.875 0.127) (end 16.51 -0.127)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(pin passive line (at 0 0 0) (length 3.81)
(name "NTC-" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 20.32 -10.16 180) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 20.32 0 180) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 0) (length 3.81)
(name "NTC+" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 20.32 -2.54 180) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -5.08 0) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 20.32 -5.08 180) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -7.62 0) (length 3.81)
(name "TEC-" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 20.32 -7.62 180) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -10.16 0) (length 3.81)
(name "TEC+" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "kirdy_socket_2" (in_bom yes) (on_board yes)
(property "Reference" "J" (at 5.08 2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "BG120-10-A-0-N-D" (at 10.16 -12.7 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "laserSocket:BG120-10-A-0-N-D" (at -1.27 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "BG120-10-A-0-N-D" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "kirdy_socket_2_1_1"
(rectangle (start 3.81 -10.033) (end 4.445 -10.287)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 -7.493) (end 4.445 -7.747)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 -4.953) (end 4.445 -5.207)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 -2.413) (end 4.445 -2.667)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 0.127) (end 4.445 -0.127)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 1.27) (end 16.51 -11.43)
(stroke (width 0.254) (type default))
(fill (type background))
)
(rectangle (start 15.875 -10.033) (end 16.51 -10.287)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 15.875 -7.493) (end 16.51 -7.747)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 15.875 -4.953) (end 16.51 -5.207)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 15.875 -2.413) (end 16.51 -2.667)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 15.875 0.127) (end 16.51 -0.127)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(pin passive line (at 0 0 0) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 20.32 -10.16 180) (length 3.81)
(name "PD-" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 20.32 0 180) (length 3.81)
(name "LD+" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 0) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 20.32 -2.54 180) (length 3.81)
(name "LD-" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -5.08 0) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 20.32 -5.08 180) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -7.62 0) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 20.32 -7.62 180) (length 3.81)
(name "PD+" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -10.16 0) (length 3.81)
(name "GND" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
)

View File

@ -0,0 +1,119 @@
(footprint "BG120-10-A-0-N-D" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(attr smd)
(fp_text reference "REF**" (at -2.54 -7.62 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.1)))
(tstamp 0273a18c-94d1-44c3-af1e-527fab086e64)
)
(fp_text value "BG120-10-A-0-N-D" (at 0 1 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp a2d31889-d572-40b9-ad4a-8452298c5506)
)
(fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 77044264-b8dc-46c2-b449-40f0544bdab8)
)
(fp_text user "${REFERENCE}" (at 0 2.5 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 9c96aaa4-fde2-4139-9de4-52d122522299)
)
(fp_line (start -4.699 -6.604) (end -0.381 -6.604)
(stroke (width 0.1) (type default)) (layer "F.SilkS") (tstamp 5277ea48-2467-4a73-9a24-4c88b2d0d472))
(fp_rect (start -5.08 -6.35) (end 5.08 6.35)
(stroke (width 0.05) (type default)) (fill none) (layer "F.CrtYd") (tstamp 361112e1-0142-4a2d-bcac-79acbd64ad13))
(fp_line (start -3.6 -5.4) (end -3.6 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1ee21055-ddae-421b-a6d5-bd4a06badc2f))
(fp_line (start -3.6 -4.76) (end -2.54 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af585a40-c860-4a7c-a355-0c28aac63fc4))
(fp_line (start -3.6 -2.86) (end -3.6 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1b3ab2e9-6e4f-466a-aab2-74573e2d2270))
(fp_line (start -3.6 -2.22) (end -2.54 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7aeda1d8-f679-42bf-b7db-801b6d5e66c4))
(fp_line (start -3.6 -0.32) (end -3.6 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8c122e1b-2d3f-4439-a063-1b38a0a1e075))
(fp_line (start -3.6 0.32) (end -2.54 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 06c61c04-a249-422e-ac8d-12be15199de1))
(fp_line (start -3.6 2.22) (end -3.6 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc769b8f-1735-4b93-b488-d3bd964f865c))
(fp_line (start -3.6 2.86) (end -2.54 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d887d00a-837a-4b8f-9c96-bc4d78a97f50))
(fp_line (start -3.6 4.76) (end -3.6 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ba4a3e2-0130-4498-bff8-28106533e9c3))
(fp_line (start -3.6 5.4) (end -2.54 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c30068b0-1cad-4461-b27b-763fe77d4a1f))
(fp_line (start -2.54 -5.4) (end -3.6 -5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ee07ec6-889f-455b-a127-d011cbf0abeb))
(fp_line (start -2.54 -5.4) (end -1.59 -6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f24a478-fca6-427f-b22b-b788733e92b9))
(fp_line (start -2.54 -2.86) (end -3.6 -2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bff0e5ca-9538-4fe2-8210-7d83d176eadc))
(fp_line (start -2.54 -0.32) (end -3.6 -0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b07a45b6-9c9b-4d2b-b4f1-5b535a0d8360))
(fp_line (start -2.54 2.22) (end -3.6 2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 04b89562-7bbc-4924-9725-37e737380aa0))
(fp_line (start -2.54 4.76) (end -3.6 4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e43256a8-eb0f-48d5-a9b2-70db6d416c63))
(fp_line (start -2.54 6.35) (end -2.54 -5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c2b4bfcb-a82d-4a9d-84a4-9a07ed94a4ac))
(fp_line (start -1.59 -6.35) (end 2.54 -6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8a6bdc4c-8528-45d3-bdc7-3710f6c50e12))
(fp_line (start 2.54 -6.35) (end 2.54 6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dad1a591-e5ef-46ec-8c2e-8b54c8a92b3b))
(fp_line (start 2.54 -5.4) (end 3.6 -5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9834da6d-cfb7-4613-ac98-687b5c9382fd))
(fp_line (start 2.54 -2.86) (end 3.6 -2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f82f74f4-ed0a-4900-8332-8b1e46f2a433))
(fp_line (start 2.54 -0.32) (end 3.6 -0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6bb9e8d8-9295-47af-b11e-37dd0be0c18f))
(fp_line (start 2.54 2.22) (end 3.6 2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b406c9bf-d371-4920-8607-fd26e7de6466))
(fp_line (start 2.54 4.76) (end 3.6 4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 47b89644-8249-42df-839d-2158f6fb655b))
(fp_line (start 2.54 6.35) (end -2.54 6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 487bd566-920b-4d46-ae61-c4b4bf397629))
(fp_line (start 3.6 -5.4) (end 3.6 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f3866d2-a26a-445d-aea5-846aae06186c))
(fp_line (start 3.6 -4.76) (end 2.54 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2379f7e0-a077-43a4-bab8-fd2c6cd63638))
(fp_line (start 3.6 -2.86) (end 3.6 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 169ce337-ff11-4927-acd5-d1fccbbf386b))
(fp_line (start 3.6 -2.22) (end 2.54 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66ba808b-b6bd-4033-b090-501e901fb129))
(fp_line (start 3.6 -0.32) (end 3.6 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5aef4e40-c7f8-4f30-a6d0-96a17c369718))
(fp_line (start 3.6 0.32) (end 2.54 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3fa7335e-b52d-4849-b738-0226f92ee844))
(fp_line (start 3.6 2.22) (end 3.6 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0dd3f59a-24d6-4347-9907-89fd6dc0ea0b))
(fp_line (start 3.6 2.86) (end 2.54 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40eeac1c-2fa8-4f0d-82cb-23a617159ee1))
(fp_line (start 3.6 4.76) (end 3.6 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb2823a2-9531-45fb-9869-f155e4cb7cce))
(fp_line (start 3.6 5.4) (end 2.54 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f101afb-09a3-4302-b580-0e23a7070d4e))
(pad "1" smd roundrect (at -2.54 -5.08 90) (size 1.02 3.185) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(thermal_bridge_angle 45) (tstamp 3cdf9e46-ce83-49b0-a8e2-e935d2ab82ba))
(pad "2" smd roundrect (at 2.54 -5.08 90) (size 1.02 3.185) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(thermal_bridge_angle 45) (tstamp d1a138cb-27a5-4541-86d8-803fe7bf05b8))
(pad "3" smd roundrect (at -2.54 -2.54 90) (size 1.02 3.185) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(thermal_bridge_angle 45) (tstamp 4f6d949e-69d1-4e32-b60a-5b258ef3c8a3))
(pad "4" smd roundrect (at 2.54 -2.54 90) (size 1.02 3.185) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(thermal_bridge_angle 45) (tstamp 8fcab232-d5f6-44ff-b991-280a8b2c9f55))
(pad "5" smd roundrect (at -2.54 0 90) (size 1.02 3.185) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(thermal_bridge_angle 45) (tstamp 8a68c6bd-9e6f-4a4b-8904-05da323db021))
(pad "6" smd roundrect (at 2.54 0 90) (size 1.02 3.185) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(thermal_bridge_angle 45) (tstamp 8d7ace6a-1036-46cf-895c-4fcedfc20959))
(pad "7" smd roundrect (at -2.54 2.54 90) (size 1.02 3.185) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(thermal_bridge_angle 45) (tstamp e09809c7-a789-4514-b341-4b9d059f6c53))
(pad "8" smd roundrect (at 2.54 2.54 90) (size 1.02 3.185) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(thermal_bridge_angle 45) (tstamp 780e80d6-c36b-4597-a3d0-52aa8adf84f5))
(pad "9" smd roundrect (at -2.54 5.08 90) (size 1.02 3.185) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(thermal_bridge_angle 45) (tstamp 7898f706-f85b-465a-b32e-be198098c5eb))
(pad "10" smd roundrect (at 2.54 5.08 90) (size 1.02 3.185) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(thermal_bridge_angle 45) (tstamp 3d63e9ff-3450-4a90-99ec-eee2d03ab1c5))
(model "${KIPRJMOD}/laserSocket.pretty/BG120-10-A-0-N-D.step"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)

View File

@ -0,0 +1,200 @@
ISO-10303-21;
HEADER;
FILE_DESCRIPTION(('FreeCAD Model'),'2;1');
FILE_NAME('Open CASCADE Shape Model','2023-11-13T16:54:40',('Author'),(
''),'Open CASCADE STEP processor 7.6','FreeCAD','Unknown');
FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }'));
ENDSEC;
DATA;
#1 = APPLICATION_PROTOCOL_DEFINITION('international standard',
'automotive_design',2000,#2);
#2 = APPLICATION_CONTEXT(
'core data for automotive mechanical design processes');
#3 = SHAPE_DEFINITION_REPRESENTATION(#4,#10);
#4 = PRODUCT_DEFINITION_SHAPE('','',#5);
#5 = PRODUCT_DEFINITION('design','',#6,#9);
#6 = PRODUCT_DEFINITION_FORMATION('','',#7);
#7 = PRODUCT('Body','Body','',(#8));
#8 = PRODUCT_CONTEXT('',#2,'mechanical');
#9 = PRODUCT_DEFINITION_CONTEXT('part definition',#2,'design');
#10 = ADVANCED_BREP_SHAPE_REPRESENTATION('',(#11,#15),#165);
#11 = AXIS2_PLACEMENT_3D('',#12,#13,#14);
#12 = CARTESIAN_POINT('',(0.,0.,0.));
#13 = DIRECTION('',(0.,0.,1.));
#14 = DIRECTION('',(1.,0.,-0.));
#15 = MANIFOLD_SOLID_BREP('',#16);
#16 = CLOSED_SHELL('',(#17,#57,#88,#119,#141,#153));
#17 = ADVANCED_FACE('',(#18),#52,.T.);
#18 = FACE_BOUND('',#19,.T.);
#19 = EDGE_LOOP('',(#20,#30,#38,#46));
#20 = ORIENTED_EDGE('',*,*,#21,.T.);
#21 = EDGE_CURVE('',#22,#24,#26,.T.);
#22 = VERTEX_POINT('',#23);
#23 = CARTESIAN_POINT('',(-6.6,-2.54,0.));
#24 = VERTEX_POINT('',#25);
#25 = CARTESIAN_POINT('',(-6.6,-2.54,5.));
#26 = LINE('',#27,#28);
#27 = CARTESIAN_POINT('',(-6.6,-2.54,0.));
#28 = VECTOR('',#29,1.);
#29 = DIRECTION('',(0.,0.,1.));
#30 = ORIENTED_EDGE('',*,*,#31,.T.);
#31 = EDGE_CURVE('',#24,#32,#34,.T.);
#32 = VERTEX_POINT('',#33);
#33 = CARTESIAN_POINT('',(-6.6,2.54,5.));
#34 = LINE('',#35,#36);
#35 = CARTESIAN_POINT('',(-6.6,-2.54,5.));
#36 = VECTOR('',#37,1.);
#37 = DIRECTION('',(0.,1.,0.));
#38 = ORIENTED_EDGE('',*,*,#39,.F.);
#39 = EDGE_CURVE('',#40,#32,#42,.T.);
#40 = VERTEX_POINT('',#41);
#41 = CARTESIAN_POINT('',(-6.6,2.54,0.));
#42 = LINE('',#43,#44);
#43 = CARTESIAN_POINT('',(-6.6,2.54,0.));
#44 = VECTOR('',#45,1.);
#45 = DIRECTION('',(0.,0.,1.));
#46 = ORIENTED_EDGE('',*,*,#47,.F.);
#47 = EDGE_CURVE('',#22,#40,#48,.T.);
#48 = LINE('',#49,#50);
#49 = CARTESIAN_POINT('',(-6.6,-2.54,0.));
#50 = VECTOR('',#51,1.);
#51 = DIRECTION('',(0.,1.,0.));
#52 = PLANE('',#53);
#53 = AXIS2_PLACEMENT_3D('',#54,#55,#56);
#54 = CARTESIAN_POINT('',(-6.6,-2.54,0.));
#55 = DIRECTION('',(-1.,0.,0.));
#56 = DIRECTION('',(0.,1.,0.));
#57 = ADVANCED_FACE('',(#58),#83,.T.);
#58 = FACE_BOUND('',#59,.T.);
#59 = EDGE_LOOP('',(#60,#61,#69,#77));
#60 = ORIENTED_EDGE('',*,*,#39,.T.);
#61 = ORIENTED_EDGE('',*,*,#62,.T.);
#62 = EDGE_CURVE('',#32,#63,#65,.T.);
#63 = VERTEX_POINT('',#64);
#64 = CARTESIAN_POINT('',(6.6,2.54,5.));
#65 = LINE('',#66,#67);
#66 = CARTESIAN_POINT('',(-6.6,2.54,5.));
#67 = VECTOR('',#68,1.);
#68 = DIRECTION('',(1.,0.,0.));
#69 = ORIENTED_EDGE('',*,*,#70,.F.);
#70 = EDGE_CURVE('',#71,#63,#73,.T.);
#71 = VERTEX_POINT('',#72);
#72 = CARTESIAN_POINT('',(6.6,2.54,0.));
#73 = LINE('',#74,#75);
#74 = CARTESIAN_POINT('',(6.6,2.54,0.));
#75 = VECTOR('',#76,1.);
#76 = DIRECTION('',(0.,0.,1.));
#77 = ORIENTED_EDGE('',*,*,#78,.F.);
#78 = EDGE_CURVE('',#40,#71,#79,.T.);
#79 = LINE('',#80,#81);
#80 = CARTESIAN_POINT('',(-6.6,2.54,0.));
#81 = VECTOR('',#82,1.);
#82 = DIRECTION('',(1.,0.,0.));
#83 = PLANE('',#84);
#84 = AXIS2_PLACEMENT_3D('',#85,#86,#87);
#85 = CARTESIAN_POINT('',(-6.6,2.54,0.));
#86 = DIRECTION('',(0.,1.,0.));
#87 = DIRECTION('',(1.,0.,0.));
#88 = ADVANCED_FACE('',(#89),#114,.T.);
#89 = FACE_BOUND('',#90,.T.);
#90 = EDGE_LOOP('',(#91,#92,#100,#108));
#91 = ORIENTED_EDGE('',*,*,#70,.T.);
#92 = ORIENTED_EDGE('',*,*,#93,.T.);
#93 = EDGE_CURVE('',#63,#94,#96,.T.);
#94 = VERTEX_POINT('',#95);
#95 = CARTESIAN_POINT('',(6.6,-2.54,5.));
#96 = LINE('',#97,#98);
#97 = CARTESIAN_POINT('',(6.6,2.54,5.));
#98 = VECTOR('',#99,1.);
#99 = DIRECTION('',(0.,-1.,0.));
#100 = ORIENTED_EDGE('',*,*,#101,.F.);
#101 = EDGE_CURVE('',#102,#94,#104,.T.);
#102 = VERTEX_POINT('',#103);
#103 = CARTESIAN_POINT('',(6.6,-2.54,0.));
#104 = LINE('',#105,#106);
#105 = CARTESIAN_POINT('',(6.6,-2.54,0.));
#106 = VECTOR('',#107,1.);
#107 = DIRECTION('',(0.,0.,1.));
#108 = ORIENTED_EDGE('',*,*,#109,.F.);
#109 = EDGE_CURVE('',#71,#102,#110,.T.);
#110 = LINE('',#111,#112);
#111 = CARTESIAN_POINT('',(6.6,2.54,0.));
#112 = VECTOR('',#113,1.);
#113 = DIRECTION('',(0.,-1.,0.));
#114 = PLANE('',#115);
#115 = AXIS2_PLACEMENT_3D('',#116,#117,#118);
#116 = CARTESIAN_POINT('',(6.6,2.54,0.));
#117 = DIRECTION('',(1.,0.,0.));
#118 = DIRECTION('',(0.,-1.,0.));
#119 = ADVANCED_FACE('',(#120),#136,.T.);
#120 = FACE_BOUND('',#121,.T.);
#121 = EDGE_LOOP('',(#122,#123,#129,#130));
#122 = ORIENTED_EDGE('',*,*,#101,.T.);
#123 = ORIENTED_EDGE('',*,*,#124,.T.);
#124 = EDGE_CURVE('',#94,#24,#125,.T.);
#125 = LINE('',#126,#127);
#126 = CARTESIAN_POINT('',(6.6,-2.54,5.));
#127 = VECTOR('',#128,1.);
#128 = DIRECTION('',(-1.,0.,0.));
#129 = ORIENTED_EDGE('',*,*,#21,.F.);
#130 = ORIENTED_EDGE('',*,*,#131,.F.);
#131 = EDGE_CURVE('',#102,#22,#132,.T.);
#132 = LINE('',#133,#134);
#133 = CARTESIAN_POINT('',(6.6,-2.54,0.));
#134 = VECTOR('',#135,1.);
#135 = DIRECTION('',(-1.,0.,0.));
#136 = PLANE('',#137);
#137 = AXIS2_PLACEMENT_3D('',#138,#139,#140);
#138 = CARTESIAN_POINT('',(6.6,-2.54,0.));
#139 = DIRECTION('',(0.,-1.,0.));
#140 = DIRECTION('',(-1.,0.,0.));
#141 = ADVANCED_FACE('',(#142),#148,.F.);
#142 = FACE_BOUND('',#143,.T.);
#143 = EDGE_LOOP('',(#144,#145,#146,#147));
#144 = ORIENTED_EDGE('',*,*,#47,.T.);
#145 = ORIENTED_EDGE('',*,*,#78,.T.);
#146 = ORIENTED_EDGE('',*,*,#109,.T.);
#147 = ORIENTED_EDGE('',*,*,#131,.T.);
#148 = PLANE('',#149);
#149 = AXIS2_PLACEMENT_3D('',#150,#151,#152);
#150 = CARTESIAN_POINT('',(0.,-1.943497636105E-16,0.));
#151 = DIRECTION('',(0.,0.,1.));
#152 = DIRECTION('',(1.,0.,0.));
#153 = ADVANCED_FACE('',(#154),#160,.T.);
#154 = FACE_BOUND('',#155,.F.);
#155 = EDGE_LOOP('',(#156,#157,#158,#159));
#156 = ORIENTED_EDGE('',*,*,#31,.T.);
#157 = ORIENTED_EDGE('',*,*,#62,.T.);
#158 = ORIENTED_EDGE('',*,*,#93,.T.);
#159 = ORIENTED_EDGE('',*,*,#124,.T.);
#160 = PLANE('',#161);
#161 = AXIS2_PLACEMENT_3D('',#162,#163,#164);
#162 = CARTESIAN_POINT('',(0.,-1.943497636105E-16,5.));
#163 = DIRECTION('',(0.,0.,1.));
#164 = DIRECTION('',(1.,0.,0.));
#165 = ( GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#169)) GLOBAL_UNIT_ASSIGNED_CONTEXT
((#166,#167,#168)) REPRESENTATION_CONTEXT('Context #1',
'3D Context with UNIT and UNCERTAINTY') );
#166 = ( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) );
#167 = ( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) );
#168 = ( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() );
#169 = UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.E-07),#166,
'distance_accuracy_value','confusion accuracy');
#170 = PRODUCT_RELATED_PRODUCT_CATEGORY('part',$,(#7));
#171 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#172)
,#165);
#172 = STYLED_ITEM('color',(#173),#15);
#173 = PRESENTATION_STYLE_ASSIGNMENT((#174,#180));
#174 = SURFACE_STYLE_USAGE(.BOTH.,#175);
#175 = SURFACE_SIDE_STYLE('',(#176));
#176 = SURFACE_STYLE_FILL_AREA(#177);
#177 = FILL_AREA_STYLE('',(#178));
#178 = FILL_AREA_STYLE_COLOUR('',#179);
#179 = COLOUR_RGB('',0.800000010877,0.800000010877,0.800000010877);
#180 = CURVE_STYLE('',#181,POSITIVE_LENGTH_MEASURE(0.1),#182);
#181 = DRAUGHTING_PRE_DEFINED_CURVE_FONT('continuous');
#182 = COLOUR_RGB('',9.803921802644E-02,9.803921802644E-02,
9.803921802644E-02);
ENDSEC;
END-ISO-10303-21;

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,585 @@
(footprint "Butterfly_Socket" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(attr through_hole)
(fp_text reference "REF**" (at -18.955 -20.32 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.1)))
(tstamp 8bc8db5d-c5f8-4b48-8cd4-258928b000d5)
)
(fp_text value "Butterfly_Socket" (at 0 1.905 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 2deadcb4-bc32-47a6-ba66-3ee898b71a24)
)
(fp_text user "${REFERENCE}" (at 0 0 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp fb467eea-e068-49b0-9f3d-5150a3caa860)
)
(fp_circle (center -17.05 -12.95) (end -14.89 -12.95)
(stroke (width 0.1) (type default)) (fill none) (layer "B.SilkS") (tstamp 72fbe15c-0d8d-4cb8-9984-bce82558d56c))
(fp_circle (center -17.05 12.95) (end -14.89 12.95)
(stroke (width 0.1) (type default)) (fill none) (layer "B.SilkS") (tstamp 3541166f-cc03-4204-9edc-29d427d5f567))
(fp_circle (center -4.45 -13) (end -1.525 -13)
(stroke (width 0.1) (type default)) (fill none) (layer "B.SilkS") (tstamp c5a4666d-a5a4-45fe-a11f-ebbafe6dd754))
(fp_circle (center -4.45 13) (end -1.525 13)
(stroke (width 0.1) (type default)) (fill none) (layer "B.SilkS") (tstamp 608c3d76-a8c0-449b-9eed-eb335ebac5a3))
(fp_circle (center 4.45 -13) (end 7.375 -13)
(stroke (width 0.1) (type default)) (fill none) (layer "B.SilkS") (tstamp 39ac7458-5ded-4d03-84a8-c884f28cb0ef))
(fp_circle (center 4.45 13) (end 7.375 13)
(stroke (width 0.1) (type default)) (fill none) (layer "B.SilkS") (tstamp ccc27a09-b167-46ec-a114-76e978464d8b))
(fp_circle (center 17.05 -12.95) (end 19.21 -12.95)
(stroke (width 0.1) (type default)) (fill none) (layer "B.SilkS") (tstamp e57433c2-8bb8-492c-bd04-aab8478576bf))
(fp_circle (center 17.05 12.95) (end 19.21 12.95)
(stroke (width 0.1) (type default)) (fill none) (layer "B.SilkS") (tstamp 5ba7c162-ab24-44cd-9d97-9e9f7bfe32da))
(fp_line (start -21.87 -19.1) (end -21.87 19.1)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bc5df82e-6936-44fd-8027-bb5905f8ed8b))
(fp_line (start -21.87 19.1) (end -9.27 19.1)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f4a27d0d-01db-4c6b-9b4e-a37a8ad632ed))
(fp_line (start -9.27 -19.1) (end -21.87 -19.1)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22cc0e06-502f-4309-9b97-38884015b9a9))
(fp_line (start -9.27 19.1) (end -9.27 -19.1)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee3a2078-cffa-427e-b5e4-88a7b66c310d))
(fp_line (start 9.27 -19.1) (end 21.87 -19.1)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b4103aa-45a1-41d2-9b6a-ab63217b5b45))
(fp_line (start 9.27 19.1) (end 9.27 -19.1)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0b1f61c-2e44-4e99-beba-7262871fcab1))
(fp_line (start 21.87 -19.1) (end 21.87 19.1)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a768b20c-9ea5-4cc1-a0a5-b080d96464ec))
(fp_line (start 21.87 19.1) (end 9.27 19.1)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54008507-2718-4eb5-a111-763ee4553629))
(fp_rect (start -22.86 -19.685) (end 22.86 19.685)
(stroke (width 0.05) (type default)) (fill none) (layer "F.CrtYd") (tstamp c302e7f8-d198-4eb0-b133-fdea4262a393))
(pad "" np_thru_hole circle (at -17.05 -12.95) (size 2.2 2.2) (drill 2.2) (layers "F&B.Cu" "*.Mask") (tstamp 94e54fc9-ec32-42f8-9e25-b4dcb1b7638e))
(pad "" np_thru_hole circle (at -17.05 12.95) (size 2.2 2.2) (drill 2.2) (layers "F&B.Cu" "*.Mask") (tstamp 22c9e134-ba2e-427a-9019-0f0311036e4e))
(pad "" np_thru_hole circle (at -4.45 -13) (size 2.9 2.9) (drill 2.9) (layers "F&B.Cu" "*.Mask") (tstamp 9a987c4d-4c7d-4d11-828a-87fe7aa4b1f5))
(pad "" np_thru_hole circle (at -4.45 13) (size 2.9 2.9) (drill 2.9) (layers "F&B.Cu" "*.Mask") (tstamp 3bc6b43d-61c4-4953-8299-465b716961a9))
(pad "" np_thru_hole circle (at 4.45 -13) (size 2.9 2.9) (drill 2.9) (layers "F&B.Cu" "*.Mask") (tstamp d8dd3ea3-69c1-4454-8e40-b7bcedf7ca88))
(pad "" np_thru_hole circle (at 4.45 13) (size 2.9 2.9) (drill 2.9) (layers "F&B.Cu" "*.Mask") (tstamp 223af27e-6c35-4f2b-8a5b-3f25e304f11f))
(pad "" np_thru_hole circle (at 17.05 -12.95) (size 2.2 2.2) (drill 2.2) (layers "F&B.Cu" "*.Mask") (tstamp fa1bb41e-dbeb-41a3-abf2-cfe7c08b4c1d))
(pad "" np_thru_hole circle (at 17.05 12.95) (size 2.2 2.2) (drill 2.2) (layers "F&B.Cu" "*.Mask") (tstamp 58e67008-836e-4a62-b4bb-a1a220fcca64))
(pad "1" thru_hole circle (at -14.58 -7.62) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 257c548a-1f0b-4f58-988c-a7047af6c5c0))
(pad "2" thru_hole circle (at -11.43 -5.08) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 41e554d8-1e94-4e0d-96e1-2fcee7abdc1c))
(pad "3" thru_hole circle (at -14.58 -2.54) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 7702c010-74b5-4f32-af4f-0e0773efb256))
(pad "4" thru_hole circle (at -11.405 0) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 4f1ef9a9-fcf6-4e36-b483-7951481b6b44))
(pad "5" thru_hole circle (at -14.58 2.54) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp e64f19b4-46ff-4e81-bdb7-0fecce5288f0))
(pad "6" thru_hole circle (at -11.405 5.08) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 285242ef-2b6e-4a0c-8301-00ae6ba599f5))
(pad "7" thru_hole circle (at -14.58 7.62) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 82528572-fd8f-4018-b550-59bdd71dab10))
(pad "8" thru_hole circle (at 14.58 7.62) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp c7d2f571-0e5c-4447-a40c-2b40ee958071))
(pad "9" thru_hole circle (at 11.43 5.08) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 5fa8dfcd-777f-4a7b-b0c4-48eb537dcf2d))
(pad "10" thru_hole circle (at 14.58 2.54) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 86092d6b-9001-44dc-8401-93a289c00f9a))
(pad "11" thru_hole circle (at 11.43 0) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 9267e5b8-36c5-4606-ad8f-4b05a4d228b6))
(pad "12" thru_hole circle (at 14.58 -2.54) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 3dca3ea7-469a-4d45-aed9-eaa8eab8cda8))
(pad "13" thru_hole circle (at 11.43 -5.08) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 22196c8d-9bc1-4cf4-b62a-db4061826cf2))
(pad "14" thru_hole circle (at 14.58 -7.62) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp d6544b4a-64dc-4a7e-9fe9-631e6a7e79c9))
(zone (net 0) (net_name "") (layer "F.Cu") (tstamp ba4b67cb-5fac-4ad4-b9da-a0c63c980c38) (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour allowed) (footprints not_allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy -9.27 -19.1)
(xy -9.26 19.1)
(xy 9.27 19.1)
(xy 9.27 -19.1)
)
)
)
(zone (net 0) (net_name "") (layers "F.Cu" "F.CrtYd") (tstamp 548e269c-1d15-4b89-987c-fd4e93b5984d) (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour allowed) (footprints not_allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy -9.525 -19.05)
(xy -9.525 -39.37)
(xy 9.525 -39.37)
(xy 9.525 -19.05)
)
)
)
(zone (net 0) (net_name "") (layer "B.Cu") (tstamp ef4b4ade-afd2-4816-a965-f73e41cf9fa6) (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour allowed) (footprints not_allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy -14.89 -12.95)
(xy -14.910118 -13.24412)
(xy -14.970099 -13.532761)
(xy -15.068824 -13.810546)
(xy -15.204454 -14.072301)
(xy -15.374464 -14.31315)
(xy -15.575685 -14.528606)
(xy -15.804371 -14.714655)
(xy -16.05626 -14.867832)
(xy -16.32666 -14.985284)
(xy -16.610535 -15.064822)
(xy -16.902596 -15.104965)
(xy -17.197404 -15.104965)
(xy -17.489465 -15.064822)
(xy -17.77334 -14.985284)
(xy -18.04374 -14.867832)
(xy -18.295629 -14.714655)
(xy -18.524315 -14.528606)
(xy -18.725536 -14.31315)
(xy -18.895546 -14.072301)
(xy -19.031176 -13.810546)
(xy -19.129901 -13.532761)
(xy -19.189882 -13.24412)
(xy -19.21 -12.95)
(xy -19.189882 -12.65588)
(xy -19.129901 -12.367239)
(xy -19.031176 -12.089454)
(xy -18.895546 -11.827699)
(xy -18.725536 -11.58685)
(xy -18.524315 -11.371394)
(xy -18.295629 -11.185345)
(xy -18.04374 -11.032168)
(xy -17.77334 -10.914716)
(xy -17.489465 -10.835178)
(xy -17.197404 -10.795035)
(xy -16.902596 -10.795035)
(xy -16.610535 -10.835178)
(xy -16.32666 -10.914716)
(xy -16.05626 -11.032168)
(xy -15.804371 -11.185345)
(xy -15.575685 -11.371394)
(xy -15.374464 -11.58685)
(xy -15.204454 -11.827699)
(xy -15.068824 -12.089454)
(xy -14.970099 -12.367239)
(xy -14.910118 -12.65588)
)
)
)
(zone (net 0) (net_name "") (layer "B.Cu") (tstamp e8dd654e-c784-42a4-93a2-12c88b485342) (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour allowed) (footprints not_allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy -14.89 12.95)
(xy -14.910118 12.65588)
(xy -14.970099 12.367239)
(xy -15.068824 12.089454)
(xy -15.204454 11.827699)
(xy -15.374464 11.58685)
(xy -15.575685 11.371394)
(xy -15.804371 11.185345)
(xy -16.05626 11.032168)
(xy -16.32666 10.914716)
(xy -16.610535 10.835178)
(xy -16.902596 10.795035)
(xy -17.197404 10.795035)
(xy -17.489465 10.835178)
(xy -17.77334 10.914716)
(xy -18.04374 11.032168)
(xy -18.295629 11.185345)
(xy -18.524315 11.371394)
(xy -18.725536 11.58685)
(xy -18.895546 11.827699)
(xy -19.031176 12.089454)
(xy -19.129901 12.367239)
(xy -19.189882 12.65588)
(xy -19.21 12.95)
(xy -19.189882 13.24412)
(xy -19.129901 13.532761)
(xy -19.031176 13.810546)
(xy -18.895546 14.072301)
(xy -18.725536 14.31315)
(xy -18.524315 14.528606)
(xy -18.295629 14.714655)
(xy -18.04374 14.867832)
(xy -17.77334 14.985284)
(xy -17.489465 15.064822)
(xy -17.197404 15.104965)
(xy -16.902596 15.104965)
(xy -16.610535 15.064822)
(xy -16.32666 14.985284)
(xy -16.05626 14.867832)
(xy -15.804371 14.714655)
(xy -15.575685 14.528606)
(xy -15.374464 14.31315)
(xy -15.204454 14.072301)
(xy -15.068824 13.810546)
(xy -14.970099 13.532761)
(xy -14.910118 13.24412)
)
)
)
(zone (net 0) (net_name "") (layer "B.Cu") (tstamp 7234480d-a8de-4194-93f5-60f1717d225f) (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour allowed) (footprints not_allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy 19.21 -12.95)
(xy 19.189882 -13.24412)
(xy 19.129901 -13.532761)
(xy 19.031176 -13.810546)
(xy 18.895546 -14.072301)
(xy 18.725536 -14.31315)
(xy 18.524315 -14.528606)
(xy 18.295629 -14.714655)
(xy 18.04374 -14.867832)
(xy 17.77334 -14.985284)
(xy 17.489465 -15.064822)
(xy 17.197404 -15.104965)
(xy 16.902596 -15.104965)
(xy 16.610535 -15.064822)
(xy 16.32666 -14.985284)
(xy 16.05626 -14.867832)
(xy 15.804371 -14.714655)
(xy 15.575685 -14.528606)
(xy 15.374464 -14.31315)
(xy 15.204454 -14.072301)
(xy 15.068824 -13.810546)
(xy 14.970099 -13.532761)
(xy 14.910118 -13.24412)
(xy 14.89 -12.95)
(xy 14.910118 -12.65588)
(xy 14.970099 -12.367239)
(xy 15.068824 -12.089454)
(xy 15.204454 -11.827699)
(xy 15.374464 -11.58685)
(xy 15.575685 -11.371394)
(xy 15.804371 -11.185345)
(xy 16.05626 -11.032168)
(xy 16.32666 -10.914716)
(xy 16.610535 -10.835178)
(xy 16.902596 -10.795035)
(xy 17.197404 -10.795035)
(xy 17.489465 -10.835178)
(xy 17.77334 -10.914716)
(xy 18.04374 -11.032168)
(xy 18.295629 -11.185345)
(xy 18.524315 -11.371394)
(xy 18.725536 -11.58685)
(xy 18.895546 -11.827699)
(xy 19.031176 -12.089454)
(xy 19.129901 -12.367239)
(xy 19.189882 -12.65588)
)
)
)
(zone (net 0) (net_name "") (layer "B.Cu") (tstamp 85b1a58f-9af9-48fa-b706-9ec9f66ffedc) (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour allowed) (footprints not_allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy 19.21 12.95)
(xy 19.189882 12.65588)
(xy 19.129901 12.367239)
(xy 19.031176 12.089454)
(xy 18.895546 11.827699)
(xy 18.725536 11.58685)
(xy 18.524315 11.371394)
(xy 18.295629 11.185345)
(xy 18.04374 11.032168)
(xy 17.77334 10.914716)
(xy 17.489465 10.835178)
(xy 17.197404 10.795035)
(xy 16.902596 10.795035)
(xy 16.610535 10.835178)
(xy 16.32666 10.914716)
(xy 16.05626 11.032168)
(xy 15.804371 11.185345)
(xy 15.575685 11.371394)
(xy 15.374464 11.58685)
(xy 15.204454 11.827699)
(xy 15.068824 12.089454)
(xy 14.970099 12.367239)
(xy 14.910118 12.65588)
(xy 14.89 12.95)
(xy 14.910118 13.24412)
(xy 14.970099 13.532761)
(xy 15.068824 13.810546)
(xy 15.204454 14.072301)
(xy 15.374464 14.31315)
(xy 15.575685 14.528606)
(xy 15.804371 14.714655)
(xy 16.05626 14.867832)
(xy 16.32666 14.985284)
(xy 16.610535 15.064822)
(xy 16.902596 15.104965)
(xy 17.197404 15.104965)
(xy 17.489465 15.064822)
(xy 17.77334 14.985284)
(xy 18.04374 14.867832)
(xy 18.295629 14.714655)
(xy 18.524315 14.528606)
(xy 18.725536 14.31315)
(xy 18.895546 14.072301)
(xy 19.031176 13.810546)
(xy 19.129901 13.532761)
(xy 19.189882 13.24412)
)
)
)
(zone (net 0) (net_name "") (layer "B.Cu") (tstamp cfe3de34-fe2e-4851-a08c-ac52e72e95d5) (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour allowed) (footprints not_allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy -1.525 -13)
(xy -1.544778 -13.339572)
(xy -1.603844 -13.674551)
(xy -1.701399 -14.000409)
(xy -1.836125 -14.312738)
(xy -2.006198 -14.607314)
(xy -2.20932 -14.880154)
(xy -2.442743 -15.127568)
(xy -2.703311 -15.34621)
(xy -2.9875 -15.533124)
(xy -3.291467 -15.685782)
(xy -3.611101 -15.802119)
(xy -3.942079 -15.880563)
(xy -4.279926 -15.920051)
(xy -4.620074 -15.920051)
(xy -4.957921 -15.880563)
(xy -5.288899 -15.802119)
(xy -5.608533 -15.685782)
(xy -5.9125 -15.533124)
(xy -6.196689 -15.34621)
(xy -6.457257 -15.127568)
(xy -6.69068 -14.880154)
(xy -6.893802 -14.607314)
(xy -7.063875 -14.312738)
(xy -7.198601 -14.000409)
(xy -7.296156 -13.674551)
(xy -7.355222 -13.339572)
(xy -7.375 -13)
(xy -7.355222 -12.660428)
(xy -7.296156 -12.325449)
(xy -7.198601 -11.999591)
(xy -7.063875 -11.687262)
(xy -6.893802 -11.392686)
(xy -6.69068 -11.119846)
(xy -6.457257 -10.872432)
(xy -6.196689 -10.65379)
(xy -5.9125 -10.466876)
(xy -5.608533 -10.314218)
(xy -5.288899 -10.197881)
(xy -4.957921 -10.119437)
(xy -4.620074 -10.079949)
(xy -4.279926 -10.079949)
(xy -3.942079 -10.119437)
(xy -3.611101 -10.197881)
(xy -3.291467 -10.314218)
(xy -2.9875 -10.466876)
(xy -2.703311 -10.65379)
(xy -2.442743 -10.872432)
(xy -2.20932 -11.119846)
(xy -2.006198 -11.392686)
(xy -1.836125 -11.687262)
(xy -1.701399 -11.999591)
(xy -1.603844 -12.325449)
(xy -1.544778 -12.660428)
)
)
)
(zone (net 0) (net_name "") (layer "B.Cu") (tstamp 8de7f5d5-b1f9-45d7-a587-38f88f706d08) (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour allowed) (footprints not_allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy -1.525 13)
(xy -1.544778 12.660428)
(xy -1.603844 12.325449)
(xy -1.701399 11.999591)
(xy -1.836125 11.687262)
(xy -2.006198 11.392686)
(xy -2.20932 11.119846)
(xy -2.442743 10.872432)
(xy -2.703311 10.65379)
(xy -2.9875 10.466876)
(xy -3.291467 10.314218)
(xy -3.611101 10.197881)
(xy -3.942079 10.119437)
(xy -4.279926 10.079949)
(xy -4.620074 10.079949)
(xy -4.957921 10.119437)
(xy -5.288899 10.197881)
(xy -5.608533 10.314218)
(xy -5.9125 10.466876)
(xy -6.196689 10.65379)
(xy -6.457257 10.872432)
(xy -6.69068 11.119846)
(xy -6.893802 11.392686)
(xy -7.063875 11.687262)
(xy -7.198601 11.999591)
(xy -7.296156 12.325449)
(xy -7.355222 12.660428)
(xy -7.375 13)
(xy -7.355222 13.339572)
(xy -7.296156 13.674551)
(xy -7.198601 14.000409)
(xy -7.063875 14.312738)
(xy -6.893802 14.607314)
(xy -6.69068 14.880154)
(xy -6.457257 15.127568)
(xy -6.196689 15.34621)
(xy -5.9125 15.533124)
(xy -5.608533 15.685782)
(xy -5.288899 15.802119)
(xy -4.957921 15.880563)
(xy -4.620074 15.920051)
(xy -4.279926 15.920051)
(xy -3.942079 15.880563)
(xy -3.611101 15.802119)
(xy -3.291467 15.685782)
(xy -2.9875 15.533124)
(xy -2.703311 15.34621)
(xy -2.442743 15.127568)
(xy -2.20932 14.880154)
(xy -2.006198 14.607314)
(xy -1.836125 14.312738)
(xy -1.701399 14.000409)
(xy -1.603844 13.674551)
(xy -1.544778 13.339572)
)
)
)
(zone (net 0) (net_name "") (layer "B.Cu") (tstamp 168700ec-b525-4e29-9197-165183bab6ec) (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour allowed) (footprints not_allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy 7.375 -13)
(xy 7.355222 -13.339572)
(xy 7.296156 -13.674551)
(xy 7.198601 -14.000409)
(xy 7.063875 -14.312738)
(xy 6.893802 -14.607314)
(xy 6.69068 -14.880154)
(xy 6.457257 -15.127568)
(xy 6.196689 -15.34621)
(xy 5.9125 -15.533124)
(xy 5.608533 -15.685782)
(xy 5.288899 -15.802119)
(xy 4.957921 -15.880563)
(xy 4.620074 -15.920051)
(xy 4.279926 -15.920051)
(xy 3.942079 -15.880563)
(xy 3.611101 -15.802119)
(xy 3.291467 -15.685782)
(xy 2.9875 -15.533124)
(xy 2.703311 -15.34621)
(xy 2.442743 -15.127568)
(xy 2.20932 -14.880154)
(xy 2.006198 -14.607314)
(xy 1.836125 -14.312738)
(xy 1.701399 -14.000409)
(xy 1.603844 -13.674551)
(xy 1.544778 -13.339572)
(xy 1.525 -13)
(xy 1.544778 -12.660428)
(xy 1.603844 -12.325449)
(xy 1.701399 -11.999591)
(xy 1.836125 -11.687262)
(xy 2.006198 -11.392686)
(xy 2.20932 -11.119846)
(xy 2.442743 -10.872432)
(xy 2.703311 -10.65379)
(xy 2.9875 -10.466876)
(xy 3.291467 -10.314218)
(xy 3.611101 -10.197881)
(xy 3.942079 -10.119437)
(xy 4.279926 -10.079949)
(xy 4.620074 -10.079949)
(xy 4.957921 -10.119437)
(xy 5.288899 -10.197881)
(xy 5.608533 -10.314218)
(xy 5.9125 -10.466876)
(xy 6.196689 -10.65379)
(xy 6.457257 -10.872432)
(xy 6.69068 -11.119846)
(xy 6.893802 -11.392686)
(xy 7.063875 -11.687262)
(xy 7.198601 -11.999591)
(xy 7.296156 -12.325449)
(xy 7.355222 -12.660428)
)
)
)
(zone (net 0) (net_name "") (layer "B.Cu") (tstamp f71c1cf4-fb14-4646-a355-8b28cb048b4f) (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour allowed) (footprints not_allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy 7.375 13)
(xy 7.355222 12.660428)
(xy 7.296156 12.325449)
(xy 7.198601 11.999591)
(xy 7.063875 11.687262)
(xy 6.893802 11.392686)
(xy 6.69068 11.119846)
(xy 6.457257 10.872432)
(xy 6.196689 10.65379)
(xy 5.9125 10.466876)
(xy 5.608533 10.314218)
(xy 5.288899 10.197881)
(xy 4.957921 10.119437)
(xy 4.620074 10.079949)
(xy 4.279926 10.079949)
(xy 3.942079 10.119437)
(xy 3.611101 10.197881)
(xy 3.291467 10.314218)
(xy 2.9875 10.466876)
(xy 2.703311 10.65379)
(xy 2.442743 10.872432)
(xy 2.20932 11.119846)
(xy 2.006198 11.392686)
(xy 1.836125 11.687262)
(xy 1.701399 11.999591)
(xy 1.603844 12.325449)
(xy 1.544778 12.660428)
(xy 1.525 13)
(xy 1.544778 13.339572)
(xy 1.603844 13.674551)
(xy 1.701399 14.000409)
(xy 1.836125 14.312738)
(xy 2.006198 14.607314)
(xy 2.20932 14.880154)
(xy 2.442743 15.127568)
(xy 2.703311 15.34621)
(xy 2.9875 15.533124)
(xy 3.291467 15.685782)
(xy 3.611101 15.802119)
(xy 3.942079 15.880563)
(xy 4.279926 15.920051)
(xy 4.620074 15.920051)
(xy 4.957921 15.880563)
(xy 5.288899 15.802119)
(xy 5.608533 15.685782)
(xy 5.9125 15.533124)
(xy 6.196689 15.34621)
(xy 6.457257 15.127568)
(xy 6.69068 14.880154)
(xy 6.893802 14.607314)
(xy 7.063875 14.312738)
(xy 7.198601 14.000409)
(xy 7.296156 13.674551)
(xy 7.355222 13.339572)
)
)
)
(model "${KIPRJMOD}/laserSocket.pretty/Butterfly-14-Lead.stp"
(offset (xyz 0 -2 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 -90))
)
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,180 @@
(footprint "kirdy_socket" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(attr through_hole)
(fp_text reference "REF**" (at 0 -0.5 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.1)))
(tstamp 5692d371-426d-4ccc-9354-6772473b9a64)
)
(fp_text value "kirdy_socket" (at 0 1 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 7d6330c6-339f-4575-a59b-ba9f5fa6fd7b)
)
(fp_text user "-LD+" (at 25.908 -3.81 270 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.1)) (justify top))
(tstamp 1a6035fb-e788-4dfd-a368-925b61a97004)
)
(fp_text user "-PD+" (at 25.908 3.81 270 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.1)) (justify top))
(tstamp 45ffdffd-c0be-4de2-8954-f77dd9062eef)
)
(fp_text user "NTC" (at -25.908 -3.81 270 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.1)) (justify bottom))
(tstamp a1f5400f-3cd5-428b-92bd-443206c196fd)
)
(fp_text user "+TEC-" (at -25.908 3.81 270 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.1)) (justify bottom))
(tstamp fe75a7f3-bc8e-47f2-8c9a-b8dc7d3e6715)
)
(fp_text user "${REFERENCE}" (at -20.32 0 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 20a46246-3a35-4ef8-b027-3bb96fdf3870)
)
(fp_text user "${REFERENCE}" (at 20.32 0 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 9cb2bd28-85f9-4175-951c-418704ab1994)
)
(fp_text user "${REFERENCE}" (at 0 2.5 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b0bbef61-0e08-4825-8628-b7e84187b522)
)
(fp_line (start -23.92 -5.4) (end -23.92 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7d9925f5-123e-4f7f-be85-73af9031b7d3))
(fp_line (start -23.92 -4.76) (end -22.86 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp abb0703d-9beb-4a83-ba6f-58d4f4005b18))
(fp_line (start -23.92 -2.86) (end -23.92 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c74fea1-f154-4958-b561-63e06fd89a1a))
(fp_line (start -23.92 -2.22) (end -22.86 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 47604169-75e9-4caf-a0e9-41a7865fe9d8))
(fp_line (start -23.92 -0.32) (end -23.92 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dd0d3046-b1c4-47a1-be96-e5a8015bf7d2))
(fp_line (start -23.92 0.32) (end -22.86 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b9443ae9-4e3c-4a23-8c8a-563c018491b9))
(fp_line (start -23.92 2.22) (end -23.92 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bad59660-2452-4042-a468-3bddc0809307))
(fp_line (start -23.92 2.86) (end -22.86 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d8fd770b-7452-47fb-b38e-eab008773fb9))
(fp_line (start -23.92 4.76) (end -23.92 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4bd20ddd-1eb5-402e-bcbc-c43193420457))
(fp_line (start -23.92 5.4) (end -22.86 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8960714b-1334-482e-85ed-7b74f6ad793f))
(fp_line (start -22.86 -5.4) (end -23.92 -5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a8ac5f26-7265-46d7-b14d-20670f16e926))
(fp_line (start -22.86 -5.4) (end -21.91 -6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 220a1d3c-86cc-42c8-abd5-6dfc98c16c79))
(fp_line (start -22.86 -2.86) (end -23.92 -2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 74f8d207-4857-453d-b526-8c2757383e10))
(fp_line (start -22.86 -0.32) (end -23.92 -0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6747aa7b-496b-480e-b16b-c7fc02c25254))
(fp_line (start -22.86 2.22) (end -23.92 2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0491a15a-b228-446f-81b7-cb086e265062))
(fp_line (start -22.86 4.76) (end -23.92 4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 83661f35-0e82-433a-a76d-b239e64afb31))
(fp_line (start -22.86 6.35) (end -22.86 -5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cf360873-136e-451e-9f23-e2949c762a40))
(fp_line (start -21.91 -6.35) (end -17.78 -6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 76a8d509-42a6-4ea7-88b8-0718e7046bd4))
(fp_line (start -17.78 -6.35) (end -17.78 6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d7b33d3a-6bb3-4358-9b7d-5827c430bbb6))
(fp_line (start -17.78 -5.4) (end -16.72 -5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4bfda3f4-3eec-47a8-b3cb-7cd8e1b65a67))
(fp_line (start -17.78 -2.86) (end -16.72 -2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b5fed0cb-6d2d-4610-9166-561a0a38468a))
(fp_line (start -17.78 -0.32) (end -16.72 -0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5fe33276-8a7d-48be-9b5f-12247b697b9e))
(fp_line (start -17.78 2.22) (end -16.72 2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0c1187b6-95a4-4c35-996f-ffa927d02266))
(fp_line (start -17.78 4.76) (end -16.72 4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9f904038-dba9-4e84-8c82-f3e440ede45e))
(fp_line (start -17.78 6.35) (end -22.86 6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d74f17a3-556a-4ecc-9881-64cc9e1f1233))
(fp_line (start -16.72 -5.4) (end -16.72 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fbade7f4-3c58-4296-8d45-9f6ce43caf63))
(fp_line (start -16.72 -4.76) (end -17.78 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d6439a2b-2fe5-4d13-ae09-51017d9ee85a))
(fp_line (start -16.72 -2.86) (end -16.72 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 962c62d6-de7a-4ffd-a8ba-d9541de63b1c))
(fp_line (start -16.72 -2.22) (end -17.78 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 943df629-ad7f-4c76-bb19-9c3a3221ca7d))
(fp_line (start -16.72 -0.32) (end -16.72 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bcdaba7b-cef6-4b0e-955b-5cd320a2c3d2))
(fp_line (start -16.72 0.32) (end -17.78 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e426ab1e-6219-4f21-9967-107d5d0bba3e))
(fp_line (start -16.72 2.22) (end -16.72 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1bd2ec24-cc46-4d83-96cc-180de65eb873))
(fp_line (start -16.72 2.86) (end -17.78 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 098235d4-56a2-42cb-8e74-5cdca68d3fcd))
(fp_line (start -16.72 4.76) (end -16.72 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b6c7b7da-5bc0-40cb-87cb-c4f06ffa639c))
(fp_line (start -16.72 5.4) (end -17.78 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4f0cd79f-a101-424e-aa8c-09511ca0b760))
(fp_line (start 16.72 -5.4) (end 16.72 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2adb40a0-e935-4d56-ac4a-0d4269bde9de))
(fp_line (start 16.72 -4.76) (end 17.78 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7943587d-ddf0-49dd-b5eb-92ed1ba82b5e))
(fp_line (start 16.72 -2.86) (end 16.72 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b5d9a875-de07-49a0-baa7-cc85baf95429))
(fp_line (start 16.72 -2.22) (end 17.78 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3cae314d-ec1b-482d-9d17-9e0982e1fd2a))
(fp_line (start 16.72 -0.32) (end 16.72 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bfb3bcf6-39a2-4a12-91e4-00d01ca6c1f1))
(fp_line (start 16.72 0.32) (end 17.78 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 62a69a1d-e9bc-4e46-8f15-a3ca36fb75b0))
(fp_line (start 16.72 2.22) (end 16.72 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c6d4e146-3472-4928-ab2f-94ecf7246025))
(fp_line (start 16.72 2.86) (end 17.78 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c82c9677-9a70-4ed2-9e3f-28a93f26654c))
(fp_line (start 16.72 4.76) (end 16.72 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0791c689-ab6b-42a8-9055-224e22337472))
(fp_line (start 16.72 5.4) (end 17.78 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d72536a0-9cf6-4251-8c0b-cab923d3975f))
(fp_line (start 17.78 -5.4) (end 16.72 -5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5b1dcb5b-8001-49d8-8033-f7f97388b6b1))
(fp_line (start 17.78 -5.4) (end 18.73 -6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8f7bb30-a495-4b43-8f33-d54b273626b5))
(fp_line (start 17.78 -2.86) (end 16.72 -2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7fe9eb82-b5bb-420d-a917-d523feb75bed))
(fp_line (start 17.78 -0.32) (end 16.72 -0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93784fa8-6670-4b6b-83ff-9b787a7225ef))
(fp_line (start 17.78 2.22) (end 16.72 2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ea10cbc2-e545-484c-96c0-1eddc878e89f))
(fp_line (start 17.78 4.76) (end 16.72 4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80814469-0967-4a36-91bc-62e43d73e909))
(fp_line (start 17.78 6.35) (end 17.78 -5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 259a1507-6afd-4cf9-866a-155916fb8c1d))
(fp_line (start 18.73 -6.35) (end 22.86 -6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 83e082c8-58a4-4d9e-8e5d-f22a4a04fa20))
(fp_line (start 22.86 -6.35) (end 22.86 6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a670230f-7a1d-4aa4-8f75-a1f3a366ed55))
(fp_line (start 22.86 -5.4) (end 23.92 -5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 761f3255-c2e2-4794-9d1d-b6030b845051))
(fp_line (start 22.86 -2.86) (end 23.92 -2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ba16c300-7935-4a74-b663-5382e4ace7e8))
(fp_line (start 22.86 -0.32) (end 23.92 -0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 788818d4-8ab3-44e0-9436-c29de53372a8))
(fp_line (start 22.86 2.22) (end 23.92 2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36cdfd56-6984-42ac-950d-606f8a83e6f4))
(fp_line (start 22.86 4.76) (end 23.92 4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 192da1c9-941f-4c73-9781-bc285c739c1f))
(fp_line (start 22.86 6.35) (end 17.78 6.35)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb7418a0-ccf4-4490-8d7f-332f74377d72))
(fp_line (start 23.92 -5.4) (end 23.92 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4da94210-ac44-438e-83d8-79b427d61f4d))
(fp_line (start 23.92 -4.76) (end 22.86 -4.76)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ea63b62-2712-4828-8958-e895bbd6cae9))
(fp_line (start 23.92 -2.86) (end 23.92 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 18df3583-bcbc-46b1-86cf-f7c9e790f1b7))
(fp_line (start 23.92 -2.22) (end 22.86 -2.22)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2b548191-4782-47e1-a770-1b1709d20151))
(fp_line (start 23.92 -0.32) (end 23.92 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9aded5ea-fe48-40e4-b75c-6c7cc65b252b))
(fp_line (start 23.92 0.32) (end 22.86 0.32)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80fae869-59d5-4328-a386-51d8aeaac151))
(fp_line (start 23.92 2.22) (end 23.92 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fa4346d9-a955-44a5-bd56-381b16c88b28))
(fp_line (start 23.92 2.86) (end 22.86 2.86)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 716e30b8-6de9-4df7-b1f3-0e3320cb8a5f))
(fp_line (start 23.92 4.76) (end 23.92 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9605f850-c504-4d58-8b88-3ad46c6f6bed))
(fp_line (start 23.92 5.4) (end 22.86 5.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0a8421d8-6a02-452d-a3bb-1fa37c6295a7))
)

View File

@ -0,0 +1,36 @@
(footprint "socket left" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(attr through_hole)
(fp_text reference "REF**" (at 7.62 10.16 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 1f629ab9-ea73-4761-9fa0-824cd3f43b84)
)
(fp_text value "socket left" (at 7.62 11.66 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 186cd92f-6c69-47dc-b402-2915cecdf5f5)
)
(fp_text user "${REFERENCE}" (at 7.62 13.16 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 0bfa8775-e49a-41d3-9820-11458c957f17)
)
(fp_line (start -8.001 -11.43) (end -8.001 26.67)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d2ca805-6cf0-46ae-a6ce-95b68a2075ad))
(fp_line (start -8.001 26.67) (end 4.699 26.67)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 059ee285-25d3-4723-9d43-1a89dcc96e92))
(fp_line (start 4.699 -11.43) (end -8.001 -11.43)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 021fe337-7f41-466d-8ca2-4c83cea50bdd))
(fp_line (start 4.699 26.67) (end 4.699 -11.43)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6239c0b5-02c8-4695-82ff-4442a7409353))
(pad "1" thru_hole circle (at -0.635 0) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 79b32832-c4dd-4a74-b242-0ca0e9f1fada))
(pad "2" thru_hole circle (at 2.54 2.54) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 63cf594c-ed68-406d-94e6-bdd6c5453163))
(pad "3" thru_hole circle (at -0.635 5.08) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp c29cdea1-d77b-4035-a418-21c9944ce528))
(pad "4" thru_hole circle (at 2.54 7.62) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp a79c058f-1b76-4879-bceb-8777c471c7e9))
(pad "5" thru_hole circle (at -0.635 10.033) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp c8ef5a41-5720-4825-918f-c739ac5ed9e0))
(pad "6" thru_hole circle (at 2.54 12.7) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp e8c7056e-a886-4f60-b7f7-b15b00878eaa))
(pad "7" thru_hole circle (at -0.635 15.24) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 7d4a8e37-bee9-4432-a652-1d9689493268))
(model "${KIPRJMOD}/laserSocket.pretty/User Library-azimuth_5253-100-07r.step"
(offset (xyz -28 -7.5 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 -90))
)
)

View File

@ -0,0 +1,38 @@
(footprint "socket right" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(attr through_hole)
(fp_text reference "REF**" (at -8.255 10.16 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 1f629ab9-ea73-4761-9fa0-824cd3f43b84)
)
(fp_text value "socket right" (at -8.255 11.66 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 186cd92f-6c69-47dc-b402-2915cecdf5f5)
)
(fp_text user "${REFERENCE}" (at -8.255 13.16 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 0bfa8775-e49a-41d3-9820-11458c957f17)
)
(fp_line (start -5.335 -11.43) (end 7.366 -11.43)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 021fe337-7f41-466d-8ca2-4c83cea50bdd))
(fp_line (start -5.335 26.67) (end -5.335 -11.43)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6239c0b5-02c8-4695-82ff-4442a7409353))
(fp_line (start 7.366 -11.43) (end 7.366 26.67)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d2ca805-6cf0-46ae-a6ce-95b68a2075ad))
(fp_line (start 7.366 26.67) (end -5.335 26.67)
(stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 059ee285-25d3-4723-9d43-1a89dcc96e92))
(pad "" np_thru_hole circle (at 2.535 -5.18) (size 2.36 2.36) (drill 2.36) (layers "F&B.Cu" "*.Mask") (tstamp 9618a3b8-d463-4657-a064-2cd27b152763))
(pad "" np_thru_hole circle (at 2.535 20.32) (size 2.36 2.36) (drill 2.36) (layers "F&B.Cu" "*.Mask") (tstamp e2c423fb-b369-4d8a-97dc-ea9485bf6c67))
(pad "1" thru_hole circle (at 0 0) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 79b32832-c4dd-4a74-b242-0ca0e9f1fada))
(pad "2" thru_hole circle (at -3.175 2.54) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 63cf594c-ed68-406d-94e6-bdd6c5453163))
(pad "3" thru_hole circle (at 0 5.08) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp c29cdea1-d77b-4035-a418-21c9944ce528))
(pad "4" thru_hole circle (at -3.175 7.62) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp a79c058f-1b76-4879-bceb-8777c471c7e9))
(pad "5" thru_hole circle (at 0 10.033) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp c8ef5a41-5720-4825-918f-c739ac5ed9e0))
(pad "6" thru_hole circle (at -3.175 12.7) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp e8c7056e-a886-4f60-b7f7-b15b00878eaa))
(pad "7" thru_hole circle (at 0 15.24) (size 1.524 1.524) (drill 0.8) (layers "*.Cu" "*.Mask") (tstamp 7d4a8e37-bee9-4432-a652-1d9689493268))
(model "${KIPRJMOD}/laserSocket.pretty/User Library-azimuth_5253-100-07r.step"
(offset (xyz -33.25 -7.6 0))
(scale (xyz 1 1 1.1))
(rotate (xyz -90 0 -90))
)
)

View File

@ -0,0 +1,4 @@
(sym_lib_table
(version 7)
(lib (name "kirdy_adapter")(type "KiCad")(uri "${KIPRJMOD}/kirdy_adapter.kicad_sym")(options "")(descr ""))
)

View File

@ -1,3 +1,4 @@
(fp_lib_table
(version 7)
(lib (name "laserSocket")(type "KiCad")(uri "${KIPRJMOD}/laserSocket.pretty")(options "")(descr ""))
)

File diff suppressed because it is too large Load Diff

View File

@ -1,5 +1,6 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.09999999999999999,
@ -33,9 +34,9 @@
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.762,
"height": 1.524,
"width": 1.524
"drill": 2.7,
"height": 5.4,
"width": 5.4
},
"silk_line_width": 0.15,
"silk_text_italic": false,
@ -62,20 +63,26 @@
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"connection_width": "warning",
"copper_edge_clearance": "error",
"copper_sliver": "warning",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint": "error",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"isolated_copper": "warning",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"lib_footprint_issues": "warning",
"lib_footprint_mismatch": "warning",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
@ -85,9 +92,14 @@
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_edge_clearance": "warning",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"solder_mask_bridge": "error",
"starved_thermal": "error",
"text_height": "warning",
"text_thickness": "warning",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
@ -96,31 +108,79 @@
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.0,
"min_clearance": 0.19999999999999998,
"min_connection": 0.0,
"min_copper_edge_clearance": 0.5,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_resolved_spokes": 2,
"min_silk_clearance": 0.0,
"min_text_height": 0.7999999999999999,
"min_text_thickness": 0.08,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.19999999999999998,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997,
"solder_mask_clearance": 0.0,
"solder_mask_min_width": 0.0,
"solder_mask_to_copper_clearance": 0.0,
"use_height_for_length_calcs": true
},
"teardrop_options": [
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 5,
"td_on_pad_in_zone": false,
"td_onpadsmd": true,
"td_onroundshapesonly": false,
"td_ontrackend": false,
"td_onviapad": true
}
],
"teardrop_parameters": [
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_round_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_rect_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_track_end",
"td_width_to_size_filter_ratio": 0.9
}
],
"track_widths": [
0.0,
0.2,
0.2921,
0.5,
1.0,
1.5,
2.0
],
"via_dimensions": [
@ -136,7 +196,8 @@
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
@ -320,18 +381,23 @@
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
@ -341,6 +407,7 @@
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
@ -352,13 +419,13 @@
"pinned_symbol_libs": []
},
"meta": {
"filename": "kirdyShield.kicad_pro",
"filename": "kirdy_LD_adapter_type_2.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12.0,
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
@ -372,13 +439,15 @@
"track_width": 0.25,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6.0
"wire_width": 6
}
],
"meta": {
"version": 2
"version": 3
},
"net_colors": null
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
@ -386,7 +455,7 @@
"idf": "",
"netlist": "",
"specctra_dsn": "",
"step": "",
"step": "../../../kirdy/kirdy.pretty/Kirdy_LD_Adapter.step",
"vrml": ""
},
"page_layout_descr_file": ""
@ -394,6 +463,8 @@
"schematic": {
"annotate_start_num": 0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
@ -425,7 +496,11 @@
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1 @@
../kirdy_LD_adapter_type_1/kirdy_adapter.kicad_sym

View File

@ -0,0 +1 @@
../kirdy_LD_adapter_type_1/laserSocket.pretty

View File

@ -0,0 +1,4 @@
(sym_lib_table
(version 7)
(lib (name "kirdy_adapter")(type "KiCad")(uri "${KIPRJMOD}/kirdy_adapter.kicad_sym")(options "")(descr ""))
)