add ethernet

pull/23/head
Alex Wong Tat Hang 2022-07-02 23:44:50 +08:00
parent ed73a021b5
commit 1985bd7b06
7 changed files with 4659 additions and 49 deletions

View File

@ -818,7 +818,7 @@
)
(symbol "LTC6655_0_0"
(pin input line (at -10.16 2.54 0) (length 2.54)
(name "SHDN" (effects (font (size 1.27 1.27))))
(name "~{SHDN}" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 5.08 0) (length 2.54)
@ -3316,7 +3316,7 @@
(property "Footprint" "" (id 2) (at 213.36 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.mouser.hk/datasheet/2/240/Littelfuse_tvs_diode_array_AQ4020_datasheet_pdf-1372610.pdf" (id 3) (at 213.36 77.47 0)
(property "Datasheet" "~" (id 3) (at 213.36 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 886f7089-04eb-4d28-be54-005f3d72049a))

View File

@ -1,6 +1,6 @@
(kicad_sch (version 20211123) (generator eeschema)
(uuid 1261f8f8-c796-4beb-884c-88b3bc49031e)
(uuid 3cc083c4-1cdf-43d5-8a41-a9446372ccd8)
(paper "A3")

3497
eth.kicad_sch Normal file

File diff suppressed because it is too large Load Diff

View File

@ -340,6 +340,10 @@
[
"b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d",
"digital supply"
],
[
"0dd24396-d186-4488-abd9-8b249dfb8a49",
"Ehternet"
]
],
"text_variables": {}

View File

@ -8,186 +8,266 @@
)
(sheet (at 281.94 132.08) (size 31.75 30.48) (fields_autoplaced)
(sheet (at 73.66 127) (size 31.75 43.18) (fields_autoplaced)
(stroke (width 0.1524) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 0dd24396-d186-4488-abd9-8b249dfb8a49)
(property "Sheet name" "Ehternet" (id 0) (at 73.66 126.2884 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "eth.kicad_sch" (id 1) (at 73.66 170.7646 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(pin "RMII_REF_CLK" output (at 105.41 134.62 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5d5de643-d85f-4f63-9463-be3b8af4c9b8)
)
(pin "RMII_MDIO" bidirectional (at 105.41 160.02 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 194e4489-3716-43a1-9d77-e1ebae96d290)
)
(pin "PHY_NRST" input (at 105.41 137.16 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 006c9a0b-7589-4a2d-93bb-a9557b50d3d8)
)
(pin "RMII_MDC" input (at 105.41 162.56 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e805e9be-5d72-4fcc-8ed5-d3956449db87)
)
(pin "RMII_CRS_DV" output (at 105.41 140.97 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e6139230-00db-4ae6-a8b4-7257428e3aa8)
)
(pin "RMII_TX_EN" input (at 105.41 144.78 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5cfff4c2-ae31-49c2-a28a-0ba925f89108)
)
(pin "RMII_TXD0" input (at 105.41 147.32 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d5f5c63e-1b8c-462d-8bb4-f3c44e3c02ed)
)
(pin "RMII_TXD1" input (at 105.41 149.86 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid cd56695f-aa98-406c-bde8-bd3f20f3f2d7)
)
(pin "RMII_RXD0" output (at 105.41 153.67 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9fe93869-d63a-422e-83f1-289d21c33cdd)
)
(pin "RMII_RXD1" output (at 105.41 156.21 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 532cdd3b-0514-4fd4-b5f6-1714cbcb31c0)
)
(pin "POE_VC-" output (at 73.66 134.62 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a6e85ae6-7c0e-4093-a8b7-685b2aaf0c65)
)
(pin "POE_VC+" output (at 73.66 137.16 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cde78305-5c88-4552-8497-b59ff426cca4)
)
)
(sheet (at 279.4 110.49) (size 31.75 30.48) (fields_autoplaced)
(stroke (width 0.1524) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 7fc2620b-bac4-49c0-a276-7d2a46898037)
(property "Sheet name" "driveStage" (id 0) (at 281.94 131.3684 0)
(property "Sheet name" "driveStage" (id 0) (at 279.4 109.7784 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "driveStage.kicad_sch" (id 1) (at 281.94 163.1446 0)
(property "Sheet file" "driveStage.kicad_sch" (id 1) (at 279.4 141.5546 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(pin "LD-" output (at 313.69 139.7 0)
(pin "LD-" output (at 311.15 118.11 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid fc96da0a-0509-4679-9f0d-7a762bf74c08)
)
(pin "LD+" output (at 313.69 137.16 0)
(pin "LD+" output (at 311.15 115.57 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 92b3b5a8-723b-4293-bb28-e7f82af19de7)
)
(pin "REF_OUT" output (at 281.94 157.48 180)
(pin "REF_OUT" output (at 279.4 135.89 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 15f51e72-eb2f-44e8-9d1c-defe3445e987)
)
(pin "SCLK" input (at 281.94 137.16 180)
(pin "SCLK" input (at 279.4 115.57 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 511c0d0f-c15b-430e-953c-4ab46b6cd83e)
)
(pin "DIN" input (at 281.94 142.24 180)
(pin "DIN" input (at 279.4 120.65 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d747213b-80f1-4f79-a3c6-535b48b6fe3a)
)
(pin "~{LDAC}" input (at 281.94 139.7 180)
(pin "~{LDAC}" input (at 279.4 118.11 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 08f68902-4a0e-4a9c-951d-a58e8f86ae5b)
)
(pin "~{CS}" input (at 281.94 144.78 180)
(pin "~{CS}" input (at 279.4 123.19 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0a6854da-70b2-40f8-949c-8967f095d555)
)
(pin "PD_A" input (at 313.69 152.4 0)
(pin "PD_A" input (at 311.15 130.81 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6cc7ff7b-6e68-4e3d-8512-e7a730b5b8cf)
)
(pin "PD_C" input (at 313.69 149.86 0)
(pin "PD_C" input (at 311.15 128.27 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6afcaaed-c30a-4575-92d7-a9f012656d00)
)
(pin "PD_BIAS" input (at 281.94 149.86 180)
(pin "PD_BIAS" input (at 279.4 128.27 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 13f4adda-85ae-4a5a-aa2b-515000dbfd28)
)
(pin "PD_MON" output (at 281.94 152.4 180)
(pin "PD_MON" output (at 279.4 130.81 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 115470ce-4f92-4857-9f30-9a6ee37e9ce4)
)
)
(sheet (at 156.21 67.31) (size 31.75 31.75) (fields_autoplaced)
(sheet (at 73.66 100.33) (size 31.75 16.51) (fields_autoplaced)
(stroke (width 0.1524) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d)
(property "Sheet name" "digital supply" (id 0) (at 156.21 66.5984 0)
(property "Sheet name" "digital supply" (id 0) (at 73.66 99.6184 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "dsupply.kicad_sch" (id 1) (at 156.21 99.6446 0)
(property "Sheet file" "dsupply.kicad_sch" (id 1) (at 73.66 117.4246 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(pin "POE_VC-" input (at 73.66 109.22 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cb25b0e4-5cc2-47ac-b934-aa6c43ec55ff)
)
(pin "MCU_~{RST}" input (at 105.41 111.76 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 0e5359d1-5852-40c4-a772-cd9dc28c9b50)
)
(pin "POE_VC+" input (at 73.66 106.68 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 29f2d44f-bfc6-4f53-910b-02a906c6facd)
)
(pin "POE_PWR_SRC" output (at 105.41 109.22 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b28a02a3-d6ed-47f9-a3d5-006e75b4d2d7)
)
(pin "AT_EVENT" output (at 105.41 106.68 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b22404c8-5c74-42ed-9c3d-7c7824a0815a)
)
)
(sheet (at 281.94 171.45) (size 31.75 55.88) (fields_autoplaced)
(sheet (at 279.4 149.86) (size 31.75 55.88) (fields_autoplaced)
(stroke (width 0.1524) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid bda728c0-b189-4e05-8d4f-58a38acf883b)
(property "Sheet name" "thermostat" (id 0) (at 281.94 170.7384 0)
(property "Sheet name" "thermostat" (id 0) (at 279.4 149.1484 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "thermostat.kicad_sch" (id 1) (at 281.94 227.9146 0)
(property "Sheet file" "thermostat.kicad_sch" (id 1) (at 279.4 206.3246 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(pin "TEC-" output (at 313.69 179.07 0)
(pin "TEC-" output (at 311.15 157.48 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 458d0c2c-493a-4d05-a562-03314ffb3c65)
)
(pin "TEC+" output (at 313.69 176.53 0)
(pin "TEC+" output (at 311.15 154.94 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 44ef3896-edfb-4a77-a773-5b75da6c8f8d)
)
(pin "NTC-" passive (at 313.69 189.23 0)
(pin "NTC-" passive (at 311.15 167.64 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 0cbedbfa-13d5-4f49-b223-5533e7c6a9c1)
)
(pin "NTC+" passive (at 313.69 186.69 0)
(pin "NTC+" passive (at 311.15 165.1 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6a1acaaa-3f89-40bd-9670-1fd08ed9a1ac)
)
(pin "DAC_~{SYNC}" input (at 281.94 209.55 180)
(pin "DAC_~{SYNC}" input (at 279.4 187.96 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9567285b-866a-4af0-9c53-5ac01c935da6)
)
(pin "DAC_SCLK" input (at 281.94 207.01 180)
(pin "DAC_SCLK" input (at 279.4 185.42 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid efee9ca9-c73b-4e9f-a35c-cfa81fba1bb5)
)
(pin "DAC_DIN" input (at 281.94 204.47 180)
(pin "DAC_DIN" input (at 279.4 182.88 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3b1db47a-5675-470d-be59-a40a34f24b9b)
)
(pin "PWM_MAXV" input (at 281.94 196.85 180)
(pin "PWM_MAXV" input (at 279.4 175.26 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c0816aa2-1f9c-49da-b94f-84c84ca21299)
)
(pin "ADC_SCLK" input (at 281.94 176.53 180)
(pin "ADC_SCLK" input (at 279.4 154.94 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cae79427-1169-4daf-bccc-57ab627b8bed)
)
(pin "ADC_DIN" input (at 281.94 179.07 180)
(pin "ADC_DIN" input (at 279.4 157.48 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 55108a6c-a1fe-45cf-894a-c7d07d7163b4)
)
(pin "ADC_DOUT_~{RDY}" output (at 281.94 181.61 180)
(pin "ADC_DOUT_~{RDY}" output (at 279.4 160.02 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid bb87603c-577a-47bc-9ba4-8f74fe594b51)
)
(pin "ADC_~{CS}" input (at 281.94 184.15 180)
(pin "ADC_~{CS}" input (at 279.4 162.56 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 086d4975-b317-43a5-a216-b692e3e13cc4)
)
(pin "ADC_~{SYNC}" input (at 281.94 186.69 180)
(pin "ADC_~{SYNC}" input (at 279.4 165.1 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid b7b43a60-41ad-472f-a7ea-1379d877667f)
)
(pin "ADC_CLKIO" bidirectional (at 281.94 189.23 180)
(pin "ADC_CLKIO" bidirectional (at 279.4 167.64 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 224db591-ceda-4a4d-8a37-9d7f9eb0801c)
)
(pin "PWM_MAXIN" input (at 281.94 194.31 180)
(pin "PWM_MAXIN" input (at 279.4 172.72 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 792e7533-83cb-45f6-b1dc-f7603031aaa3)
)
(pin "PWM_MAXIP" input (at 281.94 199.39 180)
(pin "PWM_MAXIP" input (at 279.4 177.8 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 89ea18bd-7c36-43da-87dd-ae8f2704fdba)
)
(pin "TEC_~{SHDN}" input (at 281.94 214.63 180)
(pin "TEC_~{SHDN}" input (at 279.4 193.04 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 95032dae-0327-43ca-9d8c-d8569dd130cd)
)
(pin "TEC_ISEN" output (at 281.94 219.71 180)
(pin "TEC_ISEN" output (at 279.4 198.12 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d5264f96-6549-42c4-b144-cdc011b6c111)
)
(pin "TEC_VREF" output (at 281.94 217.17 180)
(pin "TEC_VREF" output (at 279.4 195.58 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a8f2fa34-9ddb-4d44-8e4d-b837772b4270)
)
(pin "TEC_VSEN" output (at 281.94 222.25 180)
(pin "TEC_VSEN" output (at 279.4 200.66 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 5356a9e2-f9e1-40b1-ad6c-8eb54cb63d27)
)
)
(sheet (at 191.77 67.31) (size 31.75 31.75) (fields_autoplaced)
(sheet (at 279.4 71.12) (size 31.75 31.75) (fields_autoplaced)
(stroke (width 0.1524) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid ce1698cd-b99b-406e-8c10-58c1e24b12e9)
(property "Sheet name" "analog supply" (id 0) (at 191.77 66.5984 0)
(property "Sheet name" "analog supply" (id 0) (at 279.4 70.4084 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "asupply.kicad_sch" (id 1) (at 191.77 99.6446 0)
(property "Sheet file" "asupply.kicad_sch" (id 1) (at 279.4 103.4546 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
)
(sheet (at 158.75 151.13) (size 58.42 41.91) (fields_autoplaced)
(sheet (at 154.94 115.57) (size 58.42 41.91) (fields_autoplaced)
(stroke (width 0.1524) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid e9afb2cc-7f7f-4cb9-888a-0bfd71b1d070)
(property "Sheet name" "MCU" (id 0) (at 158.75 150.4184 0)
(property "Sheet name" "MCU" (id 0) (at 154.94 114.8584 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "mcu.kicad_sch" (id 1) (at 158.75 193.6246 0)
(property "Sheet file" "mcu.kicad_sch" (id 1) (at 154.94 158.0646 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
)
@ -199,6 +279,7 @@
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9" (page "5"))
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b" (page "5"))
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d" (page "6"))
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49" (page "7"))
)
(symbol_instances
@ -217,6 +298,9 @@
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/12bb8ff6-cf99-42fc-8200-b4f157414c2f"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/12c37125-f2d0-47e4-be8d-17d5a00ab38e"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/15294148-3cc1-4059-821b-ab28c353ac20"
(reference "#PWR?") (unit 1) (value "+3.3VA") (footprint "")
)
@ -268,9 +352,15 @@
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/3304f10e-fbda-44f2-b15f-9871a67ebab6"
(reference "#PWR?") (unit 1) (value "+12V") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/332f1dbd-45d6-4929-97a5-dd677e92bdac"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/337e3c65-9d2d-4a3f-8587-d6a5484a806e"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/34038b65-1e40-47c6-9185-956baf912450"
(reference "#PWR?") (unit 1) (value "+3V3") (footprint "")
)
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/3425b7bf-bd47-468d-af0d-e2efeaa9fafb"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
@ -283,12 +373,18 @@
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/3517c40f-59de-4c12-b0e3-c34d052aba97"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/35b6f63a-537b-45b2-b035-7bf0964bcd98"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/370ce14f-e3ce-49ec-86ed-1d55ded31028"
(reference "#PWR?") (unit 1) (value "+5VA") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/3e0eceae-f26a-4229-9ade-76e0ad629ddc"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/3eef0e66-3056-4795-aebe-3632d183c5c9"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/43318736-8edb-4a6e-9c60-0579cbad5096"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
@ -301,6 +397,9 @@
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/54637155-c23e-48d1-90ad-3874cf2f43fb"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/59f23215-e3cf-4c97-80db-bc782231369d"
(reference "#PWR?") (unit 1) (value "+3V3") (footprint "")
)
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/5aa33332-630f-4f63-9f95-1b88f6efdaf0"
(reference "#PWR?") (unit 1) (value "+3V3") (footprint "")
)
@ -355,12 +454,21 @@
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/7f2ae8de-9741-4de8-8b3e-0cbf1d496d0b"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/7f9ba255-5474-45b2-ac8f-c798a6e8c57a"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/829d4dc3-1686-48f7-9e96-46f391329d94"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/85253d70-12e2-4607-abb1-28797d751d19"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/87f93692-cf94-4362-99da-79f87309accb"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/8935c76f-d4b6-415c-aa9b-5f5fa5000bb9"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/8950837c-ab98-472a-9121-3388be78c8cf"
(reference "#PWR?") (unit 1) (value "+5V") (footprint "")
)
@ -481,6 +589,9 @@
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/cee05324-592d-4982-97cf-77964e04d4ac"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/d157d5df-320b-44d6-8250-c3d57b7f6852"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/d1e2af04-1e8d-47b2-bf00-652be6903789"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
@ -505,6 +616,9 @@
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/dc4918ae-93d0-461c-bd50-4edf462ecb85"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/dc64531d-a269-42f0-b2c7-9e91ff86acdd"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/dd36b2ad-0726-4ff2-bb21-c28fe2f9a3f3"
(reference "#PWR?") (unit 1) (value "GND") (footprint "")
)
@ -574,9 +688,15 @@
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/ff80aa4a-05fe-4874-ba97-c412e5a55cf8"
(reference "#PWR?") (unit 1) (value "+8V") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/06b5a44b-5b93-4ed5-9260-27b7e567148c"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/06df5cb2-4e8c-4b16-ab1f-0f3bec6ea54d"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/09ee015b-951b-4cb6-a200-2f794d940699"
(reference "C?") (unit 1) (value "10u") (footprint "")
)
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/0a7f2405-6a14-4e46-8fed-68d1c6b72529"
(reference "C?") (unit 1) (value "1n6") (footprint "")
)
@ -592,6 +712,9 @@
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/0d841d8a-f469-48b5-b271-8a00290b1c14"
(reference "C?") (unit 1) (value "1u") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/0db5dc30-40e3-4889-99a8-920875b06b34"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/0e57a3d1-a9be-46bf-98e0-8fbed1faa37f"
(reference "C?") (unit 1) (value "10u") (footprint "")
)
@ -700,6 +823,9 @@
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/3af2927e-5004-48a9-8cd2-f6580acaa519"
(reference "C?") (unit 1) (value "10u") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/3c3293bc-1ab6-40ca-9b38-b5f2ea156331"
(reference "C?") (unit 1) (value "DNP") (footprint "")
)
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/3cbf26b8-9b86-4b78-ba83-79d3fd5fc7fa"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
@ -853,6 +979,9 @@
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/933be6df-6368-4fc7-a41b-5762872a3cfe"
(reference "C?") (unit 1) (value "10n") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/98064258-6b9e-4254-b9d6-ec8496a1ed5e"
(reference "C?") (unit 1) (value "10u") (footprint "")
)
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/9a7a05e9-660a-49fb-a46d-3f303b23acd6"
(reference "C?") (unit 1) (value "22n") (footprint "")
)
@ -862,6 +991,9 @@
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/9c32812a-bfb4-4247-9e91-ee5cf4534fab"
(reference "C?") (unit 1) (value "220u") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/9d71517c-a323-456a-aecb-300dd345c801"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/9e5b3b75-838d-49ca-9d77-cb76ab7d1e4e"
(reference "C?") (unit 1) (value "10u") (footprint "")
)
@ -898,6 +1030,9 @@
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/baa6e4df-f7a8-420e-93a9-3f000cff23cb"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/bd208cb7-6108-4102-ad83-392fc1ba3a29"
(reference "C?") (unit 1) (value "18p") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/bd4e6fc4-fd21-4b0f-ad47-4e38fa64c7d2"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
@ -940,6 +1075,9 @@
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/de1cc083-d4d3-4472-a4df-2526b1f55d43"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/de1ecbeb-75ae-441b-ba33-b7b893a2abfa"
(reference "C?") (unit 1) (value "18p") (footprint "")
)
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/dec6a4eb-31f6-4050-a5a5-a2de7c66dd7e"
(reference "C?") (unit 1) (value "10u") (footprint "")
)
@ -970,6 +1108,9 @@
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/eb5899ba-7b73-4e9a-94e3-ebaf3451d375"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/ebf28737-170d-4946-8e66-a5288ece0110"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/ed333628-373d-42c7-b437-29f852540e1f"
(reference "C?") (unit 1) (value "10u") (footprint "")
)
@ -1015,6 +1156,9 @@
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/fd0f8c3b-1b5c-4aea-9285-f063e9e9def4"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/fe1b4a6e-dde4-484f-8fde-a313d040ceaa"
(reference "C?") (unit 1) (value "100n") (footprint "")
)
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/0eaed90d-7f4a-41b8-8e1e-acecd6bd72ce"
(reference "D?") (unit 1) (value "BAV99") (footprint "Package_TO_SOT_SMD:SOT-23")
)
@ -1066,6 +1210,9 @@
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/e672a778-3c4e-4858-8d6e-7e4e22023751"
(reference "FB?") (unit 1) (value "1K@100MHz") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/ef0f6485-095a-441e-9d0b-78165d72dd26"
(reference "FB?") (unit 1) (value "1K@100MHz") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/b31815cc-6d80-43d7-aa80-8f2cba5ff682"
(reference "FL?") (unit 1) (value "5A") (footprint "")
)
@ -1075,6 +1222,9 @@
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/1bdb312d-fc24-4d7d-a4f8-bca2f744ab31"
(reference "J?") (unit 1) (value "DNP") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/85cf0853-2aac-4d46-bff8-ac361b4ef0cf"
(reference "J?") (unit 1) (value "RJ45_Abracon_ARJP11A-MASA-B-A-EMU2") (footprint "Connector_RJ:RJ45_Abracon_ARJP11A-MA_Horizontal")
)
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/db710fad-151b-4b84-91da-ba7f8953d72e"
(reference "J?") (unit 1) (value "DNP") (footprint "")
)
@ -1138,12 +1288,21 @@
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/13485473-1d77-4077-98d3-8545b9ecb572"
(reference "R?") (unit 1) (value "50m") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/14efbab6-03a3-4b23-86f5-3c69a8e10bf8"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/175bf75b-4c2f-4552-985e-aae300f8342d"
(reference "R?") (unit 1) (value "12k1") (footprint "")
)
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/1793d789-cd2d-4f3b-b64b-f3277d3f6dea"
(reference "R?") (unit 1) (value "82k") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/17d74de7-8b34-4a6a-8fd9-4f856b7ba428"
(reference "R?") (unit 1) (value "33") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/1ea1b942-6cc3-4e8b-88e0-4848dcb04ad0"
(reference "R?") (unit 1) (value "220") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/1fa4775d-2f38-404f-a520-31db869a64ed"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
@ -1168,6 +1327,15 @@
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/2e785126-3469-444b-b2ec-d5b3224e963c"
(reference "R?") (unit 1) (value "4k12") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/2f3da26e-0053-4953-8fae-4b8e3600d704"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/2f54d27c-a112-48eb-b6db-096332a11de2"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/2fdadf6c-6535-4686-9ba9-f6ad4b072076"
(reference "R?") (unit 1) (value "33") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/32dcceb3-87ce-44cd-86bc-1c58124b8cad"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
@ -1183,12 +1351,21 @@
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/3ee38521-8197-424b-afd0-d940f0fbf437"
(reference "R?") (unit 1) (value "100") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/42220281-9080-4e73-90b5-464c59900586"
(reference "R?") (unit 1) (value "220") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/44a2395e-312c-4d6f-a55b-0231227a188b"
(reference "R?") (unit 1) (value "1k6") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/457d4e9b-85ec-4937-abc0-45337a75727e"
(reference "R?") (unit 1) (value "33") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/45db473a-56b4-4b6e-b3ce-f172faf6ebfb"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/4674b0fb-7eaa-44ee-aa75-f97847496faf"
(reference "R?") (unit 1) (value "33") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/467760dd-2d6d-4cb5-9d83-cc8a8c3b0a93"
(reference "R?") (unit 1) (value "5k11 5ppm") (footprint "")
)
@ -1213,9 +1390,18 @@
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/67709269-3ff3-42a6-84f8-a0d98f9b27e7"
(reference "R?") (unit 1) (value "1k6") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/69b4c8f9-5825-4343-bbfb-15b95dedb32e"
(reference "R?") (unit 1) (value "33") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/6af66ff9-77af-4d8f-9a28-f86dffdb69b5"
(reference "R?") (unit 1) (value "33") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/6f0d14a7-4a31-400b-a47f-200ff6ec7a4a"
(reference "R?") (unit 1) (value "0") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/6f65f9e5-4c85-4c43-a376-3e8d82749584"
(reference "R?") (unit 1) (value "33") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/72df4239-94a3-4c81-955b-981847c940c1"
(reference "R?") (unit 1) (value "5k11 5ppm") (footprint "")
)
@ -1228,6 +1414,9 @@
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/79896a7f-d79b-4bed-8344-e2b13ba53f76"
(reference "R?") (unit 1) (value "470") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/7bd7dbd0-7534-4b68-b60f-e579136406fc"
(reference "R?") (unit 1) (value "33") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/8473260b-f8b5-4318-a7c1-697507f18108"
(reference "R?") (unit 1) (value "20k") (footprint "")
)
@ -1240,6 +1429,9 @@
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/8f6803d0-6ad2-4986-b0bf-f2c60079d891"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/96f5e098-828b-4592-951d-7eef940a3f2a"
(reference "R?") (unit 1) (value "1k6") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/97380d08-5f42-4fa8-b13f-958ace10fda1"
(reference "R?") (unit 1) (value "33") (footprint "")
)
@ -1249,12 +1441,18 @@
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/a0170669-d618-4a49-8ce5-a8c7be4ebe7c"
(reference "R?") (unit 1) (value "80k") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/a2f677f9-1a2f-4374-af4f-f076bad13161"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/a32bbaae-10b0-4cf8-9c75-b5660b3423ed"
(reference "R?") (unit 1) (value "10") (footprint "")
)
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/a4418b7a-65be-4356-ab11-4a0fa489dbf8"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/a748aa36-8a81-4630-a1c8-5f793ddb3322"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/affb61ec-84ab-440d-a648-f96126f479ed"
(reference "R?") (unit 1) (value "100") (footprint "")
)
@ -1264,6 +1462,9 @@
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/b4a7edc1-60f4-4bbe-a230-517ac155df4f"
(reference "R?") (unit 1) (value "82k") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/b8141df5-8b9a-4533-886d-c4874142bb15"
(reference "R?") (unit 1) (value "10k") (footprint "")
)
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/b999677c-fac2-421c-937e-afea837078d3"
(reference "R?") (unit 1) (value "100") (footprint "")
)
@ -1309,6 +1510,12 @@
(path "/bda728c0-b189-4e05-8d4f-58a38acf883b/f4531b53-4ecb-427a-9795-28ef6712f713"
(reference "R?") (unit 1) (value "20k") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/f4816f66-c76a-47e2-be8b-dc0948267a19"
(reference "R?") (unit 1) (value "33") (footprint "")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/f9767b21-23ca-4081-8042-d6f37cb153aa"
(reference "R?") (unit 1) (value "33") (footprint "")
)
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/fb6bd05f-61c2-450b-bd18-1af06305b591"
(reference "R?") (unit 1) (value "6k4") (footprint "")
)
@ -1339,9 +1546,15 @@
(path "/b6f53a06-e1b9-4c20-8fc0-ae2d1ce0191d/5d99e086-683a-4196-8dba-d4c7e93cadcd"
(reference "U?") (unit 1) (value "AG5300") (footprint "kirdy:AG5300")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/6f01fd47-33e9-4eb4-ac23-6e1ae0eefd82"
(reference "U?") (unit 1) (value "LAN8742A") (footprint "Package_DFN_QFN:QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm")
)
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/75ce4c72-4d1d-4fd1-a700-9ce5fb7cb68a"
(reference "U?") (unit 1) (value "ADA4898-1YRDZ") (footprint "Package_SO:SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/845b441b-92f0-4cf0-a2ed-2c6cf1155af9"
(reference "U?") (unit 1) (value "USBLC6-4SC6") (footprint "Package_TO_SOT_SMD:SOT-23-6")
)
(path "/ce1698cd-b99b-406e-8c10-58c1e24b12e9/9e9bd00e-db1d-4061-8265-58ce181e2752"
(reference "U?") (unit 1) (value "LTC3261") (footprint "Package_SO:MSOP-12-1EP_3x4mm_P0.65mm_EP1.65x2.85mm")
)
@ -1384,6 +1597,9 @@
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/f16d4868-ed29-437c-b330-19ed603b44a2"
(reference "U?") (unit 1) (value "MAX5719xSD") (footprint "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm")
)
(path "/e9afb2cc-7f7f-4cb9-888a-0bfd71b1d070/f58410d2-42fe-46a6-982c-60a603ca37d8"
(reference "U?") (unit 1) (value "STM32F429ZGTx") (footprint "Package_QFP:LQFP-144_20x20mm_P0.5mm")
)
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/58b4dade-0b1e-4101-82b0-f52f872c02ad"
(reference "U?") (unit 2) (value "RS8552") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
)
@ -1396,5 +1612,8 @@
(path "/7fc2620b-bac4-49c0-a276-7d2a46898037/9105fa39-c39e-46c6-b3c9-b68cbfd384c3"
(reference "U?") (unit 3) (value "RS8552") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
)
(path "/0dd24396-d186-4488-abd9-8b249dfb8a49/81b5b7ef-2718-4a06-b8b2-66b53ab9a5a8"
(reference "Y?") (unit 1) (value "25MHz") (footprint "")
)
)
)

View File

@ -343,6 +343,128 @@
)
)
)
(symbol "LAN8742A" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 -30.48 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "LAN8742A" (id 1) (at 0 -27.94 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_DFN_QFN:QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm" (id 2) (at 0 -33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://ww1.microchip.com/downloads/en/DeviceDoc/8742a.pdf" (id 3) (at 2.54 -35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "LAN8742A_0_0"
(pin power_in line (at 10.16 -7.62 180) (length 2.54)
(name "VDD2A" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -12.7 5.08 0) (length 2.54)
(name "RXER" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin output line (at -12.7 0 0) (length 2.54)
(name "CRS_DV" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -12.7 -7.62 0) (length 2.54)
(name "MDIO" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -5.08 0) (length 2.54)
(name "MDC" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin output line (at -12.7 -15.24 0) (length 2.54)
(name "nINT" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -12.7 0) (length 2.54)
(name "nRST" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 20.32 0) (length 2.54)
(name "TXEN" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 17.78 0) (length 2.54)
(name "TXD0" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 15.24 0) (length 2.54)
(name "TXD1" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 -5.08 180) (length 2.54)
(name "VDD1A" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin output line (at 10.16 5.08 180) (length 2.54)
(name "LED2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 17.78 180) (length 2.54)
(name "TXN" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 20.32 180) (length 2.54)
(name "TXP" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 12.7 180) (length 2.54)
(name "RXN" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 10.16 15.24 180) (length 2.54)
(name "RXP" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 0 180) (length 2.54)
(name "RBIAS" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 -22.86 180) (length 2.54)
(name "EP" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin output line (at 10.16 7.62 180) (length 2.54)
(name "LED1" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -20.32 0) (length 2.54)
(name "XTAL2" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -22.86 0) (length 2.54)
(name "XTAL1" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 -12.7 180) (length 2.54)
(name "VDDCR" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin output line (at -12.7 7.62 0) (length 2.54)
(name "RXD1" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin output line (at -12.7 10.16 0) (length 2.54)
(name "RXD0" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 -10.16 180) (length 2.54)
(name "VDDIO" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
(symbol "LAN8742A_0_1"
(rectangle (start -10.16 22.86) (end 7.62 -25.4)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type background))
)
)
)
(symbol "LTC3261" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 -16.51 0)
(effects (font (size 1.27 1.27)))

View File

@ -5,6 +5,774 @@
(paper "A3")
(lib_symbols
(symbol "MCU_ST_STM32F4:STM32F429ZGTx" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -25.4 87.63 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "STM32F429ZGTx" (id 1) (at 20.32 87.63 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Package_QFP:LQFP-144_20x20mm_P0.5mm" (id 2) (at -25.4 -86.36 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
(property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00071990.pdf" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "ARM Cortex-M4 STM32F4 STM32F429/439" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "ARM Cortex-M4 MCU, 1024KB flash, 192KB RAM, 180MHz, 1.8-3.6V, 114 GPIO, LQFP-144" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "LQFP*20x20mm*P0.5mm*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "STM32F429ZGTx_0_1"
(rectangle (start -25.4 -86.36) (end 25.4 86.36)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
)
(symbol "STM32F429ZGTx_1_1"
(pin bidirectional line (at -30.48 -50.8 0) (length 5.08)
(name "PE2" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -2.54 0) (length 5.08)
(name "PF0" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 63.5 180) (length 5.08)
(name "PA8" (effects (font (size 1.27 1.27))))
(number "100" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 60.96 180) (length 5.08)
(name "PA9" (effects (font (size 1.27 1.27))))
(number "101" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 58.42 180) (length 5.08)
(name "PA10" (effects (font (size 1.27 1.27))))
(number "102" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 55.88 180) (length 5.08)
(name "PA11" (effects (font (size 1.27 1.27))))
(number "103" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 53.34 180) (length 5.08)
(name "PA12" (effects (font (size 1.27 1.27))))
(number "104" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 50.8 180) (length 5.08)
(name "PA13" (effects (font (size 1.27 1.27))))
(number "105" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -30.48 68.58 0) (length 5.08)
(name "VCAP_2" (effects (font (size 1.27 1.27))))
(number "106" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 5.08 -91.44 90) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "107" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 7.62 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "108" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 48.26 180) (length 5.08)
(name "PA14" (effects (font (size 1.27 1.27))))
(number "109" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -5.08 0) (length 5.08)
(name "PF1" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 45.72 180) (length 5.08)
(name "PA15" (effects (font (size 1.27 1.27))))
(number "110" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -27.94 180) (length 5.08)
(name "PC10" (effects (font (size 1.27 1.27))))
(number "111" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -30.48 180) (length 5.08)
(name "PC11" (effects (font (size 1.27 1.27))))
(number "112" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -33.02 180) (length 5.08)
(name "PC12" (effects (font (size 1.27 1.27))))
(number "113" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -45.72 180) (length 5.08)
(name "PD0" (effects (font (size 1.27 1.27))))
(number "114" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -48.26 180) (length 5.08)
(name "PD1" (effects (font (size 1.27 1.27))))
(number "115" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -50.8 180) (length 5.08)
(name "PD2" (effects (font (size 1.27 1.27))))
(number "116" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -53.34 180) (length 5.08)
(name "PD3" (effects (font (size 1.27 1.27))))
(number "117" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -55.88 180) (length 5.08)
(name "PD4" (effects (font (size 1.27 1.27))))
(number "118" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -58.42 180) (length 5.08)
(name "PD5" (effects (font (size 1.27 1.27))))
(number "119" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -7.62 0) (length 5.08)
(name "PF2" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 7.62 -91.44 90) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "120" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "121" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -60.96 180) (length 5.08)
(name "PD6" (effects (font (size 1.27 1.27))))
(number "122" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -63.5 180) (length 5.08)
(name "PD7" (effects (font (size 1.27 1.27))))
(number "123" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 17.78 0) (length 5.08)
(name "PG9" (effects (font (size 1.27 1.27))))
(number "124" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 15.24 0) (length 5.08)
(name "PG10" (effects (font (size 1.27 1.27))))
(number "125" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 12.7 0) (length 5.08)
(name "PG11" (effects (font (size 1.27 1.27))))
(number "126" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 10.16 0) (length 5.08)
(name "PG12" (effects (font (size 1.27 1.27))))
(number "127" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 7.62 0) (length 5.08)
(name "PG13" (effects (font (size 1.27 1.27))))
(number "128" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 5.08 0) (length 5.08)
(name "PG14" (effects (font (size 1.27 1.27))))
(number "129" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -10.16 0) (length 5.08)
(name "PF3" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 10.16 -91.44 90) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "130" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 12.7 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "131" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 2.54 0) (length 5.08)
(name "PG15" (effects (font (size 1.27 1.27))))
(number "132" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 33.02 180) (length 5.08)
(name "PB3" (effects (font (size 1.27 1.27))))
(number "133" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 30.48 180) (length 5.08)
(name "PB4" (effects (font (size 1.27 1.27))))
(number "134" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 27.94 180) (length 5.08)
(name "PB5" (effects (font (size 1.27 1.27))))
(number "135" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 25.4 180) (length 5.08)
(name "PB6" (effects (font (size 1.27 1.27))))
(number "136" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 22.86 180) (length 5.08)
(name "PB7" (effects (font (size 1.27 1.27))))
(number "137" (effects (font (size 1.27 1.27))))
)
(pin input line (at -30.48 76.2 0) (length 5.08)
(name "BOOT0" (effects (font (size 1.27 1.27))))
(number "138" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 20.32 180) (length 5.08)
(name "PB8" (effects (font (size 1.27 1.27))))
(number "139" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -12.7 0) (length 5.08)
(name "PF4" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 17.78 180) (length 5.08)
(name "PB9" (effects (font (size 1.27 1.27))))
(number "140" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -45.72 0) (length 5.08)
(name "PE0" (effects (font (size 1.27 1.27))))
(number "141" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -48.26 0) (length 5.08)
(name "PE1" (effects (font (size 1.27 1.27))))
(number "142" (effects (font (size 1.27 1.27))))
)
(pin input line (at -30.48 81.28 0) (length 5.08)
(name "PDR_ON" (effects (font (size 1.27 1.27))))
(number "143" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 15.24 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "144" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -15.24 0) (length 5.08)
(name "PF5" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 -91.44 90) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -17.78 0) (length 5.08)
(name "PF6" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -20.32 0) (length 5.08)
(name "PF7" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -53.34 0) (length 5.08)
(name "PE3" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -22.86 0) (length 5.08)
(name "PF8" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -25.4 0) (length 5.08)
(name "PF9" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -27.94 0) (length 5.08)
(name "PF10" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin input line (at -30.48 48.26 0) (length 5.08)
(name "PH0" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin input line (at -30.48 45.72 0) (length 5.08)
(name "PH1" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin input line (at -30.48 83.82 0) (length 5.08)
(name "NRST" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -2.54 180) (length 5.08)
(name "PC0" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -5.08 180) (length 5.08)
(name "PC1" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -7.62 180) (length 5.08)
(name "PC2" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -10.16 180) (length 5.08)
(name "PC3" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -55.88 0) (length 5.08)
(name "PE4" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -10.16 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 12.7 -91.44 90) (length 5.08)
(name "VSSA" (effects (font (size 1.27 1.27))))
(number "31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -30.48 66.04 0) (length 5.08)
(name "VREF+" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 17.78 91.44 270) (length 5.08)
(name "VDDA" (effects (font (size 1.27 1.27))))
(number "33" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 83.82 180) (length 5.08)
(name "PA0" (effects (font (size 1.27 1.27))))
(number "34" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 81.28 180) (length 5.08)
(name "PA1" (effects (font (size 1.27 1.27))))
(number "35" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 78.74 180) (length 5.08)
(name "PA2" (effects (font (size 1.27 1.27))))
(number "36" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 76.2 180) (length 5.08)
(name "PA3" (effects (font (size 1.27 1.27))))
(number "37" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -7.62 -91.44 90) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "38" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -7.62 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "39" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -58.42 0) (length 5.08)
(name "PE5" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 73.66 180) (length 5.08)
(name "PA4" (effects (font (size 1.27 1.27))))
(number "40" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 71.12 180) (length 5.08)
(name "PA5" (effects (font (size 1.27 1.27))))
(number "41" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 68.58 180) (length 5.08)
(name "PA6" (effects (font (size 1.27 1.27))))
(number "42" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 66.04 180) (length 5.08)
(name "PA7" (effects (font (size 1.27 1.27))))
(number "43" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -12.7 180) (length 5.08)
(name "PC4" (effects (font (size 1.27 1.27))))
(number "44" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -15.24 180) (length 5.08)
(name "PC5" (effects (font (size 1.27 1.27))))
(number "45" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 40.64 180) (length 5.08)
(name "PB0" (effects (font (size 1.27 1.27))))
(number "46" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 38.1 180) (length 5.08)
(name "PB1" (effects (font (size 1.27 1.27))))
(number "47" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 35.56 180) (length 5.08)
(name "PB2" (effects (font (size 1.27 1.27))))
(number "48" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -30.48 0) (length 5.08)
(name "PF11" (effects (font (size 1.27 1.27))))
(number "49" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -60.96 0) (length 5.08)
(name "PE6" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -33.02 0) (length 5.08)
(name "PF12" (effects (font (size 1.27 1.27))))
(number "50" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -5.08 -91.44 90) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "51" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -5.08 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "52" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -35.56 0) (length 5.08)
(name "PF13" (effects (font (size 1.27 1.27))))
(number "53" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -38.1 0) (length 5.08)
(name "PF14" (effects (font (size 1.27 1.27))))
(number "54" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -40.64 0) (length 5.08)
(name "PF15" (effects (font (size 1.27 1.27))))
(number "55" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 40.64 0) (length 5.08)
(name "PG0" (effects (font (size 1.27 1.27))))
(number "56" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 38.1 0) (length 5.08)
(name "PG1" (effects (font (size 1.27 1.27))))
(number "57" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -63.5 0) (length 5.08)
(name "PE7" (effects (font (size 1.27 1.27))))
(number "58" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -66.04 0) (length 5.08)
(name "PE8" (effects (font (size 1.27 1.27))))
(number "59" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -15.24 91.44 270) (length 5.08)
(name "VBAT" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -68.58 0) (length 5.08)
(name "PE9" (effects (font (size 1.27 1.27))))
(number "60" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -2.54 -91.44 90) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "61" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -2.54 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "62" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -71.12 0) (length 5.08)
(name "PE10" (effects (font (size 1.27 1.27))))
(number "63" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -73.66 0) (length 5.08)
(name "PE11" (effects (font (size 1.27 1.27))))
(number "64" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -76.2 0) (length 5.08)
(name "PE12" (effects (font (size 1.27 1.27))))
(number "65" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -78.74 0) (length 5.08)
(name "PE13" (effects (font (size 1.27 1.27))))
(number "66" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -81.28 0) (length 5.08)
(name "PE14" (effects (font (size 1.27 1.27))))
(number "67" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 -83.82 0) (length 5.08)
(name "PE15" (effects (font (size 1.27 1.27))))
(number "68" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 15.24 180) (length 5.08)
(name "PB10" (effects (font (size 1.27 1.27))))
(number "69" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -35.56 180) (length 5.08)
(name "PC13" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 12.7 180) (length 5.08)
(name "PB11" (effects (font (size 1.27 1.27))))
(number "70" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -30.48 71.12 0) (length 5.08)
(name "VCAP_1" (effects (font (size 1.27 1.27))))
(number "71" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "72" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 10.16 180) (length 5.08)
(name "PB12" (effects (font (size 1.27 1.27))))
(number "73" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 7.62 180) (length 5.08)
(name "PB13" (effects (font (size 1.27 1.27))))
(number "74" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 5.08 180) (length 5.08)
(name "PB14" (effects (font (size 1.27 1.27))))
(number "75" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 2.54 180) (length 5.08)
(name "PB15" (effects (font (size 1.27 1.27))))
(number "76" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -66.04 180) (length 5.08)
(name "PD8" (effects (font (size 1.27 1.27))))
(number "77" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -68.58 180) (length 5.08)
(name "PD9" (effects (font (size 1.27 1.27))))
(number "78" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -71.12 180) (length 5.08)
(name "PD10" (effects (font (size 1.27 1.27))))
(number "79" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -38.1 180) (length 5.08)
(name "PC14" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -73.66 180) (length 5.08)
(name "PD11" (effects (font (size 1.27 1.27))))
(number "80" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -76.2 180) (length 5.08)
(name "PD12" (effects (font (size 1.27 1.27))))
(number "81" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -78.74 180) (length 5.08)
(name "PD13" (effects (font (size 1.27 1.27))))
(number "82" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -91.44 90) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "83" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 2.54 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "84" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -81.28 180) (length 5.08)
(name "PD14" (effects (font (size 1.27 1.27))))
(number "85" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -83.82 180) (length 5.08)
(name "PD15" (effects (font (size 1.27 1.27))))
(number "86" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 35.56 0) (length 5.08)
(name "PG2" (effects (font (size 1.27 1.27))))
(number "87" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 33.02 0) (length 5.08)
(name "PG3" (effects (font (size 1.27 1.27))))
(number "88" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 30.48 0) (length 5.08)
(name "PG4" (effects (font (size 1.27 1.27))))
(number "89" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -40.64 180) (length 5.08)
(name "PC15" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 27.94 0) (length 5.08)
(name "PG5" (effects (font (size 1.27 1.27))))
(number "90" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 25.4 0) (length 5.08)
(name "PG6" (effects (font (size 1.27 1.27))))
(number "91" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 22.86 0) (length 5.08)
(name "PG7" (effects (font (size 1.27 1.27))))
(number "92" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -30.48 20.32 0) (length 5.08)
(name "PG8" (effects (font (size 1.27 1.27))))
(number "93" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 2.54 -91.44 90) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "94" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 5.08 91.44 270) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "95" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -17.78 180) (length 5.08)
(name "PC6" (effects (font (size 1.27 1.27))))
(number "96" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -20.32 180) (length 5.08)
(name "PC7" (effects (font (size 1.27 1.27))))
(number "97" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -22.86 180) (length 5.08)
(name "PC8" (effects (font (size 1.27 1.27))))
(number "98" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 30.48 -25.4 180) (length 5.08)
(name "PC9" (effects (font (size 1.27 1.27))))
(number "99" (effects (font (size 1.27 1.27))))
)
)
)
)
(symbol (lib_id "MCU_ST_STM32F4:STM32F429ZGTx") (at 199.39 143.51 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid f58410d2-42fe-46a6-982c-60a603ca37d8)
(property "Reference" "U?" (id 0) (at 214.1094 232.41 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "STM32F429ZGTx" (id 1) (at 214.1094 234.95 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Package_QFP:LQFP-144_20x20mm_P0.5mm" (id 2) (at 173.99 229.87 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
(property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00071990.pdf" (id 3) (at 199.39 143.51 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4edfa421-c161-43a7-81b7-716c82d1943e))
(pin "10" (uuid 36570fa9-b3d3-42d0-8c26-caf92b066b54))
(pin "100" (uuid a1f0a896-23ac-4280-a5c5-684b919aef07))
(pin "101" (uuid 1298b52d-fe7a-48c6-87fd-d3f03febe509))
(pin "102" (uuid e985fd78-467e-45e5-917c-73a1e7ef36f3))
(pin "103" (uuid 0d3df1b8-9159-4101-9d95-85a5976c8507))
(pin "104" (uuid e2bf9a87-25af-4901-adfc-15334db4f379))
(pin "105" (uuid 1544a773-d29e-45ef-a2ca-750dd55194a9))
(pin "106" (uuid fa470e2d-3295-4ae8-a056-3b3618ebed2f))
(pin "107" (uuid 4b2e5dd0-914a-4dfe-a654-6f3737f6342e))
(pin "108" (uuid 3e4f28df-806e-4340-bd9b-aff53e364f21))
(pin "109" (uuid 75d39363-8afd-4c93-894e-45998c8e2895))
(pin "11" (uuid ef0aa7fb-259b-4f1c-be97-a36d68facb8d))
(pin "110" (uuid 73c10b1f-12f6-407c-8560-2248c1c6901b))
(pin "111" (uuid 174a4c49-9476-4c55-9a75-48c58889e2c7))
(pin "112" (uuid 0d0aa292-4d68-4908-b21d-38281a3f448f))
(pin "113" (uuid 109d3c6c-d806-4f35-9d55-c35b1af0e525))
(pin "114" (uuid 46339768-81c0-410c-a2a0-a62bf4ba6951))
(pin "115" (uuid c56cf76f-b8bc-4ef6-a0dd-58c54bdff275))
(pin "116" (uuid 312af5fe-9a6e-4e49-a5ce-de18082a8139))
(pin "117" (uuid 46a45bf3-3a71-4551-bde5-cc8a5314e100))
(pin "118" (uuid 590c094a-352e-44f2-9b21-034cff998836))
(pin "119" (uuid 9131b332-88de-4213-9355-c4b402d62693))
(pin "12" (uuid 1dc2e9f0-798c-444a-bdd8-f70b824ed599))
(pin "120" (uuid c1552b88-aef1-4f78-b426-0146cc461c39))
(pin "121" (uuid d9b75581-84fb-41fc-9d8e-b7620ffca6ed))
(pin "122" (uuid 49714b40-3dbc-4515-808b-71b03a6a1303))
(pin "123" (uuid e6b0bdb3-8e34-4cf2-b518-18515fe27ba1))
(pin "124" (uuid b7bc2b56-8310-44f3-a0a9-f93c2ce135ed))
(pin "125" (uuid aeedc22f-0d78-46b2-9bef-69f7645850b0))
(pin "126" (uuid 195da6b2-99b5-4308-8636-94b531cbe5c4))
(pin "127" (uuid 6a8b3a49-02cb-45a3-b7ec-99a4a1d48c7a))
(pin "128" (uuid b2074a72-2b21-4de8-a375-31d8d56bdb91))
(pin "129" (uuid bbece97d-8af2-471c-beaa-b83231f545cb))
(pin "13" (uuid d57a80d1-6fdc-4494-87bc-e3f4c3321754))
(pin "130" (uuid 2a0c9d8c-8435-4823-afb3-91b66d4149ac))
(pin "131" (uuid c04a18b6-07b3-4cf5-b9d9-2e9c601c5d1c))
(pin "132" (uuid 8ca57be9-e920-41f5-8d86-f207013ddf7b))
(pin "133" (uuid 7afb18b5-619f-468c-b240-d47bc41b5949))
(pin "134" (uuid cd4c5038-c3b4-4550-8087-3474553544c8))
(pin "135" (uuid a1420512-6312-448f-8b42-7501a5b23503))
(pin "136" (uuid dca82cda-3663-4739-b10f-cd296681427e))
(pin "137" (uuid 17684b18-0e95-42bd-bac6-1ad7f005f08b))
(pin "138" (uuid 157ed75c-07c9-4087-8a58-5ec5fb01e921))
(pin "139" (uuid 0e3c223a-04aa-4512-b607-04b636bcdff6))
(pin "14" (uuid ebaf7b4e-39c4-42c1-8342-636e65a760ef))
(pin "140" (uuid 01c8c5c7-8429-4926-97d1-f37df96908a3))
(pin "141" (uuid 47392c9a-c531-4fb7-9424-2a820f3b099d))
(pin "142" (uuid a526ccb8-700e-435a-9b02-cd0f8902068f))
(pin "143" (uuid 6ca6a814-fb5b-4e71-aefa-68aed57d4c12))
(pin "144" (uuid e0871f11-1fac-4e21-8cc6-96d3ad92fc80))
(pin "15" (uuid 3e4962b0-18ff-4c20-b67d-d34a26f4f0b7))
(pin "16" (uuid d692e4ef-d838-4b91-b9d9-84f2ad2a53ed))
(pin "17" (uuid 384977fa-f142-4c16-85ec-f346146b3c86))
(pin "18" (uuid 33338a2c-415a-4c4b-91b0-8cea9313459f))
(pin "19" (uuid 4a8f0061-afa3-474b-9fee-f8a472ceb0c2))
(pin "2" (uuid 71a671ac-b22a-4b2b-99c8-bab5004d35a3))
(pin "20" (uuid c752085a-f3ef-4ed4-b984-16500340c543))
(pin "21" (uuid 49ecc6cb-a8f8-4ce2-b1d8-0899cf46980b))
(pin "22" (uuid 04d7d2db-2486-443d-81c9-c852185b9761))
(pin "23" (uuid a0a19ed6-0699-4bd2-9dc1-993e1c382e46))
(pin "24" (uuid 88c72df0-96aa-4a9f-bceb-2172a7099c45))
(pin "25" (uuid 4ef983fa-9b3d-4373-af65-c7741c2cf53d))
(pin "26" (uuid 463513d2-c355-4e4c-87ac-d7c25c85099e))
(pin "27" (uuid 7fc1b85a-4228-42a3-9aea-4bf2e238e8ef))
(pin "28" (uuid 7a4361d5-d6fa-41c0-b8d2-c8068f1dc50b))
(pin "29" (uuid 205d7ed7-9c4d-4ae7-99f5-35928bfc914d))
(pin "3" (uuid 677fb657-0b6b-40e2-ad9d-5ffaf615ff7d))
(pin "30" (uuid f354a7c1-3217-4dee-8c96-bf7e2cca1ae9))
(pin "31" (uuid c96b30c4-45d1-4a14-95c3-157a2edfdc8e))
(pin "32" (uuid 881d1cd9-2929-4752-a6a5-fe440cedca13))
(pin "33" (uuid 0af1038c-7b02-4497-9af1-a5c77129b0df))
(pin "34" (uuid 4bdd5522-ba95-43b5-8ae7-7cf9e5b5e6ef))
(pin "35" (uuid 41e3696e-c129-4748-82bb-3edd7ee03670))
(pin "36" (uuid 7d977883-722b-4e82-803b-afc6b67e6c45))
(pin "37" (uuid 60a3d059-213b-4f1f-827a-9d8276c3a0a8))
(pin "38" (uuid ed5386f1-7850-4dfe-b348-3d142fa2d20e))
(pin "39" (uuid 022877aa-e2de-4d80-aed9-754347d77027))
(pin "4" (uuid 2524c06b-fe71-4a9e-9568-8f5c56674e24))
(pin "40" (uuid 3dce36fc-c765-4383-bb14-393e42fa7ec1))
(pin "41" (uuid 16837cc0-e7dc-47ed-b620-ac8ea665edcc))
(pin "42" (uuid e3e4e8b9-8811-4954-a567-7ff2971bbb6e))
(pin "43" (uuid b04fc0f2-364d-4526-95cd-9d2266814b22))
(pin "44" (uuid 83c6c682-4459-4a05-b9ef-20c29a26410e))
(pin "45" (uuid eb4e1443-4885-4d8c-9c80-cb99ca6890df))
(pin "46" (uuid 9839d68e-2756-45f4-a7bc-f3659f79e38e))
(pin "47" (uuid faa4e99b-f792-4b13-9cd5-2f4bc0133b61))
(pin "48" (uuid f8b5aca0-fda0-4a91-bf17-2ef562c9e3d1))
(pin "49" (uuid 7a123cc4-8471-4a05-a491-05f28abc9f09))
(pin "5" (uuid ddf48089-0d6b-41a9-8be6-7872cac960ac))
(pin "50" (uuid d9f55aef-a310-4120-824d-a23d4a2a9557))
(pin "51" (uuid 6a6a7367-f146-4637-bab4-e9816bffee18))
(pin "52" (uuid 56791df2-2cae-4249-a999-fe6e790c9495))
(pin "53" (uuid a99ac85f-0cee-4cba-a891-6de91f9a30ef))
(pin "54" (uuid 8d3639d4-aaa9-4c54-8037-cd30c3f09d5a))
(pin "55" (uuid 6be8cc83-e6b7-414f-b569-0ed35033e83b))
(pin "56" (uuid f6a494dd-b00d-42f9-8faa-f7e533e5f8b4))
(pin "57" (uuid 21bea8d2-27fe-440c-8d6d-b911add41fda))
(pin "58" (uuid 61d9bd05-5e13-402c-9b21-669b8a2a0fbc))
(pin "59" (uuid a3326c82-a24b-4297-b584-df7f7fb1c97e))
(pin "6" (uuid 57f68cce-30b8-4403-9596-0a794a84d0e3))
(pin "60" (uuid 83fca665-f7cc-424a-b4e7-2d05efe2360a))
(pin "61" (uuid 0c09145f-6a31-4e22-824b-f95cc5383d6c))
(pin "62" (uuid a0ca66e4-2d69-46b3-978a-d7af687cbeab))
(pin "63" (uuid d514f0e4-562e-4873-86bf-e9399c944f73))
(pin "64" (uuid d83fd93f-cd3f-4618-ac8c-91976cb77f4b))
(pin "65" (uuid f46232b8-5bf5-41ef-a5af-04cf7811f155))
(pin "66" (uuid f546f727-6713-49d4-b571-c9989825c7ee))
(pin "67" (uuid fbbcb6cf-c4d4-47ba-8565-54984a100321))
(pin "68" (uuid 2864e3aa-4eab-4bd0-94a5-502fe7860c62))
(pin "69" (uuid f9b2ed60-86f4-4673-abf7-9a86a48968ac))
(pin "7" (uuid 5fbbd0dd-bbb8-4b35-b066-e1618cc2f747))
(pin "70" (uuid 51a7df7b-029d-4e68-b3b5-d9bc71a70908))
(pin "71" (uuid 99890313-e51f-4fd1-a9c4-aeb52bb98847))
(pin "72" (uuid d1d41680-4708-4c10-a460-46c1494917ac))
(pin "73" (uuid 2360c288-e546-4da5-816c-c930c760afc9))
(pin "74" (uuid d7c62f5b-997b-40b2-9790-af66fe6b64a2))
(pin "75" (uuid fc97f920-d26f-49f8-8cd3-09483e2b2277))
(pin "76" (uuid 5777af81-6b13-4c49-bec2-5e24e481da6e))
(pin "77" (uuid 70ed2220-8fd5-4ec8-bb89-1c62b753966f))
(pin "78" (uuid 9ac0ec02-7ac0-4d6d-bd75-246bf331136a))
(pin "79" (uuid 1550cf2d-d6b2-4149-ab41-a77032b6847d))
(pin "8" (uuid 3f021828-de6f-4e3d-b51b-4b719b58918b))
(pin "80" (uuid f82fb9a7-012c-41a3-8b9b-10536910fe67))
(pin "81" (uuid b15c56e6-47dd-4d08-b1a7-0855e34d7053))
(pin "82" (uuid 7d742b8f-d6dc-47dc-8e25-33a79e70c743))
(pin "83" (uuid 16a2a999-3f4d-47cf-9916-9590884ad800))
(pin "84" (uuid 3c9f1bea-7885-48de-bc32-ceb315ce2b94))
(pin "85" (uuid f46416bd-d160-47f1-8e4a-02c6995c4476))
(pin "86" (uuid 771f3802-6cea-4e17-98a5-06a12caa58bd))
(pin "87" (uuid d3c5af17-9512-4215-a9e9-d09028a785fd))
(pin "88" (uuid 79593883-fa24-402f-8fe4-0d5688118a3b))
(pin "89" (uuid e2e9d710-648b-4e85-a376-65eb63f442ac))
(pin "9" (uuid 0666dc93-c1bd-4805-9817-bfed0724bf73))
(pin "90" (uuid d4690e36-20f6-42bb-a4fb-c0295a594670))
(pin "91" (uuid b0c28818-adf3-413c-bf46-cd1c099ba9b4))
(pin "92" (uuid cdb0bc4e-9f5d-44b4-8e5c-909c59279778))
(pin "93" (uuid 7abac6ff-8613-46f5-a6c8-d550e0f6a3ab))
(pin "94" (uuid fb8cf978-046a-4549-af3e-ac994ddd80ae))
(pin "95" (uuid 5e5550f5-86e8-44c5-900d-12cdbe9634c7))
(pin "96" (uuid 48f9e825-7cab-47a5-8c34-83e3427decf9))
(pin "97" (uuid cc1fb0bc-7133-4a23-ab01-2b784caa4e98))
(pin "98" (uuid c69a7281-ba3d-4a6a-9b1d-8d2e90e3d906))
(pin "99" (uuid 008f13f2-310a-493c-9890-bdf921e47920))
)
)