PCB: finalized output

master
Jack-Zheng 2021-07-12 14:41:07 +08:00
parent 74e9c8aab6
commit c2195f89ef
17 changed files with 28618 additions and 28042 deletions

File diff suppressed because it is too large Load Diff

View File

@ -1,12 +1,12 @@
%TF.GenerationSoftware,KiCad,Pcbnew,5.1.10-88a1d61d58~88~ubuntu20.04.1*%
%TF.CreationDate,2021-07-12T11:52:02+08:00*%
%TF.CreationDate,2021-07-12T14:39:34+08:00*%
%TF.ProjectId,TestAutomation,54657374-4175-4746-9f6d-6174696f6e2e,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Bot*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.1.10-88a1d61d58~88~ubuntu20.04.1) date 2021-07-12 11:52:02*
G04 Created by KiCad (PCBNEW 5.1.10-88a1d61d58~88~ubuntu20.04.1) date 2021-07-12 14:39:34*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
%TF.GenerationSoftware,KiCad,Pcbnew,5.1.10-88a1d61d58~88~ubuntu20.04.1*%
%TF.CreationDate,2021-07-12T11:52:02+08:00*%
%TF.CreationDate,2021-07-12T14:39:34+08:00*%
%TF.ProjectId,TestAutomation,54657374-4175-4746-9f6d-6174696f6e2e,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.1.10-88a1d61d58~88~ubuntu20.04.1) date 2021-07-12 11:52:02*
G04 Created by KiCad (PCBNEW 5.1.10-88a1d61d58~88~ubuntu20.04.1) date 2021-07-12 14:39:34*
%MOMM*%
%LPD*%
G01*

File diff suppressed because it is too large Load Diff

View File

@ -1,11 +1,11 @@
%TF.GenerationSoftware,KiCad,Pcbnew,5.1.10-88a1d61d58~88~ubuntu20.04.1*%
%TF.CreationDate,2021-07-12T11:52:02+08:00*%
%TF.CreationDate,2021-07-12T14:39:34+08:00*%
%TF.ProjectId,TestAutomation,54657374-4175-4746-9f6d-6174696f6e2e,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Profile,NP*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.1.10-88a1d61d58~88~ubuntu20.04.1) date 2021-07-12 11:52:02*
G04 Created by KiCad (PCBNEW 5.1.10-88a1d61d58~88~ubuntu20.04.1) date 2021-07-12 14:39:34*
%MOMM*%
%LPD*%
G01*
@ -15,15 +15,15 @@ G04 APERTURE LIST*
%TD*%
G04 APERTURE END LIST*
D10*
X151500000Y-57000000D02*
X154500000Y-57000000D02*
G75*
G03*
X151500000Y-57000000I-1500000J0D01*
X154500000Y-57000000I-1500000J0D01*
G01*
X151500000Y-134000000D02*
X154500000Y-134000000D02*
G75*
G03*
X151500000Y-134000000I-1500000J0D01*
X154500000Y-134000000I-1500000J0D01*
G01*
X174500000Y-57000000D02*
G75*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -7,7 +7,7 @@
"Application": "Pcbnew",
"Version": "5.1.10-88a1d61d58~88~ubuntu20.04.1"
},
"CreationDate": "2021-07-12T11:52:02+08:00"
"CreationDate": "2021-07-12T14:39:34+08:00"
},
"GeneralSpecs":
{

View File

@ -14,25 +14,25 @@ Ref,Val,Package,PosX,PosY,Rot,Side
"C20","10uF","C_0402_1005Metric",149.467000,-93.673000,180.000000,top
"C22","0.1uF","C_0402_1005Metric",154.293000,-95.070000,0.000000,top
"C23","10uF","C_0402_1005Metric",154.293000,-93.800000,0.000000,top
"C38","10uF","C_0402_1005Metric",99.200000,-74.740000,0.000000,top
"C39","10uF","C_0805_2012Metric",137.086334,-75.247000,90.000000,top
"C40","10uF","C_0805_2012Metric",134.723167,-75.247000,90.000000,top
"C41","0.1uF","C_0805_2012Metric",132.360000,-75.247000,90.000000,top
"C38","10uF","C_0402_1005Metric",100.190000,-74.720000,0.000000,top
"C39","10uF","C_0805_2012Metric",131.446334,-75.357000,90.000000,top
"C40","10uF","C_0805_2012Metric",129.083167,-75.357000,90.000000,top
"C41","0.1uF","C_0805_2012Metric",126.720000,-75.357000,90.000000,top
"C42","1uF","C_0402_1005Metric",100.300000,-68.100000,0.000000,top
"C43","10uF","C_0402_1005Metric",95.400000,-77.216000,180.000000,top
"C44","0.1uF","C_0402_1005Metric",144.420000,-74.120000,180.000000,top
"C44","0.1uF","C_0402_1005Metric",138.780000,-74.230000,180.000000,top
"C45","4.7nF","C_0402_1005Metric",99.980000,-100.800000,0.000000,top
"C46","1uF","C_0402_1005Metric",91.567000,-77.216000,180.000000,top
"C47","22uF","C_0805_2012Metric",136.520000,-70.050000,270.000000,top
"C48","22uF","C_0805_2012Metric",134.168500,-70.054000,270.000000,top
"C47","22uF","C_0805_2012Metric",130.880000,-70.160000,270.000000,top
"C48","22uF","C_0805_2012Metric",128.528500,-70.164000,270.000000,top
"C49","10uF","C_0402_1005Metric",85.580000,-68.900000,0.000000,top
"C50","4.7nF","C_0402_1005Metric",83.162000,-93.479000,0.000000,top
"C51","4.7nF","C_0402_1005Metric",83.162000,-91.828000,180.000000,top
"C52","22uF","C_0805_2012Metric",131.817000,-70.054000,270.000000,top
"C52","22uF","C_0805_2012Metric",126.177000,-70.164000,270.000000,top
"C53","1uF","C_0402_1005Metric",85.500000,-75.300000,0.000000,top
"C54","10uF","C_0402_1005Metric",116.000000,-77.100000,180.000000,top
"C55","22uF","C_0402_1005Metric",110.317000,-77.089000,180.000000,top
"C56","22uF","C_0402_1005Metric",113.100000,-77.089000,0.000000,top
"C54","10uF","C_0402_1005Metric",110.360000,-77.210000,180.000000,top
"C55","22uF","C_0402_1005Metric",104.677000,-77.199000,180.000000,top
"C56","22uF","C_0402_1005Metric",107.460000,-77.199000,0.000000,top
"C57","220uF","CP_Elec_6.3x7.7",137.933000,-106.867000,270.000000,top
"C58","220uF","CP_Elec_6.3x7.7",128.789000,-106.867000,270.000000,top
"C59","22pF","C_0402_1005Metric",137.450000,-117.703000,90.000000,top
@ -53,7 +53,7 @@ Ref,Val,Package,PosX,PosY,Rot,Side
"C74","1000pF 2kV","C_1206_3216Metric",110.700000,-100.500000,180.000000,top
"C75","22pF","C_0402_1005Metric",153.870000,-125.280000,180.000000,top
"C76","22pF","C_0402_1005Metric",153.870000,-126.940000,180.000000,top
"C77","0.1uF","C_0402_1005Metric",155.144000,-133.343000,90.000000,top
"C77","0.1uF","C_0402_1005Metric",158.354000,-133.323000,90.000000,top
"C78","0.1uF","C_0402_1005Metric",155.760000,-120.430000,180.000000,top
"C82","0.1uF","C_0402_1005Metric",159.720000,-102.900000,0.000000,top
"C83","0.1uF","C_0402_1005Metric",173.120000,-105.260000,0.000000,top
@ -72,9 +72,9 @@ Ref,Val,Package,PosX,PosY,Rot,Side
"D1","PRTR5V0U2X","SOT-143",192.593000,-113.703000,90.000000,top
"D2","PRTR5V0U2X","SOT-143",192.593000,-118.783000,90.000000,top
"D3","PRTR5V0U2X","SOT-143",192.593000,-123.863000,90.000000,top
"D4","LED_FPGA","LED_0603_1608Metric",155.999000,-60.002000,180.000000,top
"D5","SS16","D_SMA",106.000000,-72.000000,90.000000,top
"D6","SMBJ6.0A","D_SMB",120.310000,-73.210000,90.000000,top
"D4","LED_FPGA","LED_0603_1608Metric",147.869000,-65.270000,90.000000,top
"D5","SS16","D_SMA",192.920000,-130.730000,180.000000,top
"D6","SMBJ6.0A","D_SMB",114.670000,-73.320000,90.000000,top
"D7","LED_12V","LED_0603_1608Metric",108.521000,-59.436000,270.000000,top
"D8","LED_5V","LED_0603_1608Metric",104.330000,-59.436000,270.000000,top
"D9","LED_3.3V","LED_0603_1608Metric",100.266000,-59.436000,270.000000,top
@ -90,10 +90,10 @@ Ref,Val,Package,PosX,PosY,Rot,Side
"D19","SS16","D_SMA",106.007000,-106.840000,270.000000,top
"D20","SS16","D_SMA",106.007000,-115.698000,270.000000,top
"D21","LED_CPU","LED_0603_1608Metric",147.869000,-111.335000,90.000000,top
"D22","LED_12V_OUT","LED_0603_1608Metric",134.878500,-66.729000,180.000000,top
"D22","LED_12V_OUT","LED_0603_1608Metric",135.238500,-66.219000,180.000000,top
"D23","CM1213A-01SO","SOT-23",158.190000,-64.170000,180.000000,top
"F1","BSMD2920-300-16V","Fuse_2920_7451Metric",126.750000,-73.030000,90.000000,top
"F2","JK-SMD0603-050/6V","Fuse_0603_1608Metric",102.210000,-74.830000,180.000000,top
"F1","BSMD2920-300-16V","Fuse_2920_7451Metric",121.110000,-73.140000,90.000000,top
"F2","JK-SMD0805-050/6V","Fuse_0805_2012Metric",199.440000,-130.710000,0.000000,top
"FL1","WE102_2x1mH","Filter_Bourns_SRF0905_6.0x9.2mm",90.782000,-93.987000,180.000000,top
"J1","EEM0","PinHeader_2x15_P1.27mm_Vertical_SMD",205.994000,-71.374000,0.000000,top
"J2","EEM1","PinHeader_2x15_P1.27mm_Vertical_SMD",205.994000,-95.377000,0.000000,top
@ -104,12 +104,12 @@ Ref,Val,Package,PosX,PosY,Rot,Side
"J9","Analog","PinHeader_2x11_P1.27mm_Vertical_SMD",183.052000,-126.873000,0.000000,top
"L1","10uH","L_0603_1608Metric",153.480000,-65.320000,270.000000,top
"L2","10uH","L_0603_1608Metric",158.500000,-76.200000,0.000000,top
"L3","10uH","L_Coilcraft_XxL4040",100.400000,-106.500000,90.000000,top
"L4","3.3uH","L_Taiyo-Yuden_MD-5050",141.420000,-70.330000,90.000000,top
"L3","10uH","L_Taiyo-Yuden_MD-5050",100.400000,-106.500000,90.000000,top
"L4","3.3uH","L_Taiyo-Yuden_MD-5050",135.780000,-70.440000,90.000000,top
"L5","220R@100MHz","L_0603_1608Metric",122.900000,-122.900000,180.000000,top
"L6","10uH","L_0603_1608Metric",168.420000,-125.580000,180.000000,top
"L7","10uH","L_0603_1608Metric",112.680000,-57.570000,0.000000,top
"Q1","PMBT3904","SOT-23",150.036000,-63.020000,90.000000,top
"Q1","PMBT3904","SOT-23",153.570000,-69.950000,180.000000,top
"Q2","BSS138LT1G","SOT-23",94.600000,-101.600000,90.000000,top
"Q3","FDN86246","SuperSOT-3",99.949000,-95.504000,90.000000,top
"Q4","PMBT3904","SOT-23",94.932000,-63.246000,90.000000,top
@ -198,18 +198,17 @@ Ref,Val,Package,PosX,PosY,Rot,Side
"R81","100/1%","R_0402_1005Metric",193.645500,-102.335288,90.000000,top
"R82","100/1%","R_0402_1005Metric",197.845500,-103.957643,90.000000,top
"R83","100/1%","R_0402_1005Metric",193.645500,-105.580000,90.000000,top
"R84","10k","R_0402_1005Metric",147.240000,-63.590000,270.000000,top
"R85","10k","R_0402_1005Metric",145.750000,-63.570000,90.000000,top
"R86","220","R_0402_1005Metric",146.200000,-61.640000,0.000000,top
"R84","10k","R_0402_1005Metric",150.730000,-65.330000,270.000000,top
"R85","10k","R_0402_1005Metric",145.220000,-65.810000,180.000000,top
"R86","220","R_0402_1005Metric",145.220000,-64.500000,0.000000,top
"R87","220","R_0402_1005Metric",93.500000,-105.000000,180.000000,top
"R88","10k","R_0402_1005Metric",141.290000,-78.430000,180.000000,top
"R89","24k","R_0402_1005Metric",93.532000,-108.281600,0.000000,top
"R90","10k","R_0402_1005Metric",84.300000,-109.100000,180.000000,top
"R91","82k","R_0402_1005Metric",93.532000,-106.682400,0.000000,top
"R92","82k","R_0402_1005Metric",89.300000,-109.100000,0.000000,top
"R93","30","R_0402_1005Metric",98.298000,-91.948000,90.000000,top
"R94","68k","R_0402_1005Metric",144.441000,-75.514000,0.000000,top
"R95","10k","R_0402_1005Metric",144.443000,-76.911000,180.000000,top
"R94","68k","R_0402_1005Metric",138.801000,-75.624000,0.000000,top
"R95","10k","R_0402_1005Metric",138.803000,-77.021000,180.000000,top
"R96","30","R_0402_1005Metric",99.949000,-91.948000,90.000000,top
"R97","30","R_0402_1005Metric",101.600000,-91.948000,90.000000,top
"R98","0","R_0402_1005Metric",83.162000,-95.003000,180.000000,top
@ -237,32 +236,32 @@ Ref,Val,Package,PosX,PosY,Rot,Side
"R120","180","R_0402_1005Metric",128.727000,-117.703000,90.000000,top
"R121","180","R_0402_1005Metric",130.251000,-117.703000,90.000000,top
"R122","10k","R_0402_1005Metric",163.420000,-126.810000,0.000000,top
"R123","0","R_0402_1005Metric",155.144000,-130.803000,90.000000,top
"R123","0","R_0402_1005Metric",158.354000,-130.783000,90.000000,top
"R124","10k","R_0402_1005Metric",151.700000,-112.220000,90.000000,top
"R125","100","R_0402_1005Metric",145.310000,-111.450000,270.000000,top
"R126","100k/1%","R_0402_1005Metric",126.180000,-67.260000,0.000000,top
"R127","120k/1%","R_0402_1005Metric",126.180000,-65.950000,0.000000,top
"R128","10k","R_0402_1005Metric",116.480000,-63.320000,0.000000,top
"R129","10k","R_0402_1005Metric",116.480000,-64.610000,180.000000,top
"R130","0.03/1%/3W","R_2010_5025Metric",135.930000,-62.730000,180.000000,top
"R130","0.03/1%/3W","R_2010_5025Metric",135.840000,-61.820000,180.000000,top
"R131","100","R_0402_1005Metric",132.766000,-59.322000,0.000000,top
"R132","100","R_0402_1005Metric",132.766000,-58.052000,0.000000,top
"R133","10k","R_0402_1005Metric",137.774000,-66.729000,0.000000,top
"R133","10k","R_0402_1005Metric",138.134000,-66.219000,0.000000,top
"R134","1k","R_0402_1005Metric",130.647000,-60.616000,180.000000,top
"R135","10k/1%","R_0402_1005Metric",115.620000,-58.964000,0.000000,top
"R136","10k/1%","R_0402_1005Metric",115.620000,-60.493000,0.000000,top
"SW1","SW_FPGA","SW_SPST_SKQG_WithoutStem",150.262000,-72.256000,90.000000,top
"SW2","SW_RESET","SW_SPST_SKQG_WithoutStem",161.191000,-132.100000,0.000000,top
"SW1","SW_FPGA","SW_SPST_SKQG_WithoutStem",147.275000,-72.256000,90.000000,top
"SW2","SW_RESET","SW_SPST_SKQG_WithoutStem",164.401000,-132.080000,0.000000,top
"SW3","SW_CPU","SW_SPST_SKQG_WithoutStem",147.275000,-120.716000,90.000000,top
"TR1","SM13126PEL","Transformer_Ethernet_Bourns_PT61017PEL",105.483000,-128.441000,180.000000,top
"U1","LMV321","SOT-23-5",173.280000,-64.280000,270.000000,top
"U2","LMH6611","TSOT-23-6",165.140000,-64.310000,90.000000,top
"U5","AT25SF081-SSHD-X","SOIC-8_3.9x4.9mm_P1.27mm",158.100000,-82.280000,180.000000,top
"U6","TLV75733PDBV_3.3V","SOT-23-5",100.246000,-71.304000,90.000000,top
"U8","TPS563200","SOT-23-6",140.809000,-75.534000,0.000000,top
"U8","TPS563200","SOT-23-6",135.169000,-75.644000,0.000000,top
"U9","TLV1117-25","SOT-223-3_TabPin2",92.964000,-71.501000,90.000000,top
"U11","TLV75712PDBV_1.2V","SOT-23-5",85.571000,-72.085000,90.000000,top
"U13","LP38692MPX-5.0_NOPB","SOT-223-5",112.800000,-71.374000,90.000000,top
"U13","LP38692MPX-5.0_NOPB","SOT-223-5",107.160000,-71.484000,90.000000,top
"U15","STM32F103VCTx","LQFP-100_14x14mm_P0.5mm",164.598000,-112.944000,90.000000,top
"U16","TPS2590","QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm",121.255000,-64.792500,0.000000,top
"U17","INA190A1","TSOT-23-8",127.075000,-59.036000,0.000000,top

1 Ref Val Package PosX PosY Rot Side
14 C20 10uF C_0402_1005Metric 149.467000 -93.673000 180.000000 top
15 C22 0.1uF C_0402_1005Metric 154.293000 -95.070000 0.000000 top
16 C23 10uF C_0402_1005Metric 154.293000 -93.800000 0.000000 top
17 C38 10uF C_0402_1005Metric 99.200000 100.190000 -74.740000 -74.720000 0.000000 top
18 C39 10uF C_0805_2012Metric 137.086334 131.446334 -75.247000 -75.357000 90.000000 top
19 C40 10uF C_0805_2012Metric 134.723167 129.083167 -75.247000 -75.357000 90.000000 top
20 C41 0.1uF C_0805_2012Metric 132.360000 126.720000 -75.247000 -75.357000 90.000000 top
21 C42 1uF C_0402_1005Metric 100.300000 -68.100000 0.000000 top
22 C43 10uF C_0402_1005Metric 95.400000 -77.216000 180.000000 top
23 C44 0.1uF C_0402_1005Metric 144.420000 138.780000 -74.120000 -74.230000 180.000000 top
24 C45 4.7nF C_0402_1005Metric 99.980000 -100.800000 0.000000 top
25 C46 1uF C_0402_1005Metric 91.567000 -77.216000 180.000000 top
26 C47 22uF C_0805_2012Metric 136.520000 130.880000 -70.050000 -70.160000 270.000000 top
27 C48 22uF C_0805_2012Metric 134.168500 128.528500 -70.054000 -70.164000 270.000000 top
28 C49 10uF C_0402_1005Metric 85.580000 -68.900000 0.000000 top
29 C50 4.7nF C_0402_1005Metric 83.162000 -93.479000 0.000000 top
30 C51 4.7nF C_0402_1005Metric 83.162000 -91.828000 180.000000 top
31 C52 22uF C_0805_2012Metric 131.817000 126.177000 -70.054000 -70.164000 270.000000 top
32 C53 1uF C_0402_1005Metric 85.500000 -75.300000 0.000000 top
33 C54 10uF C_0402_1005Metric 116.000000 110.360000 -77.100000 -77.210000 180.000000 top
34 C55 22uF C_0402_1005Metric 110.317000 104.677000 -77.089000 -77.199000 180.000000 top
35 C56 22uF C_0402_1005Metric 113.100000 107.460000 -77.089000 -77.199000 0.000000 top
36 C57 220uF CP_Elec_6.3x7.7 137.933000 -106.867000 270.000000 top
37 C58 220uF CP_Elec_6.3x7.7 128.789000 -106.867000 270.000000 top
38 C59 22pF C_0402_1005Metric 137.450000 -117.703000 90.000000 top
53 C74 1000pF 2kV C_1206_3216Metric 110.700000 -100.500000 180.000000 top
54 C75 22pF C_0402_1005Metric 153.870000 -125.280000 180.000000 top
55 C76 22pF C_0402_1005Metric 153.870000 -126.940000 180.000000 top
56 C77 0.1uF C_0402_1005Metric 155.144000 158.354000 -133.343000 -133.323000 90.000000 top
57 C78 0.1uF C_0402_1005Metric 155.760000 -120.430000 180.000000 top
58 C82 0.1uF C_0402_1005Metric 159.720000 -102.900000 0.000000 top
59 C83 0.1uF C_0402_1005Metric 173.120000 -105.260000 0.000000 top
72 D1 PRTR5V0U2X SOT-143 192.593000 -113.703000 90.000000 top
73 D2 PRTR5V0U2X SOT-143 192.593000 -118.783000 90.000000 top
74 D3 PRTR5V0U2X SOT-143 192.593000 -123.863000 90.000000 top
75 D4 LED_FPGA LED_0603_1608Metric 155.999000 147.869000 -60.002000 -65.270000 180.000000 90.000000 top
76 D5 SS16 D_SMA 106.000000 192.920000 -72.000000 -130.730000 90.000000 180.000000 top
77 D6 SMBJ6.0A D_SMB 120.310000 114.670000 -73.210000 -73.320000 90.000000 top
78 D7 LED_12V LED_0603_1608Metric 108.521000 -59.436000 270.000000 top
79 D8 LED_5V LED_0603_1608Metric 104.330000 -59.436000 270.000000 top
80 D9 LED_3.3V LED_0603_1608Metric 100.266000 -59.436000 270.000000 top
90 D19 SS16 D_SMA 106.007000 -106.840000 270.000000 top
91 D20 SS16 D_SMA 106.007000 -115.698000 270.000000 top
92 D21 LED_CPU LED_0603_1608Metric 147.869000 -111.335000 90.000000 top
93 D22 LED_12V_OUT LED_0603_1608Metric 134.878500 135.238500 -66.729000 -66.219000 180.000000 top
94 D23 CM1213A-01SO SOT-23 158.190000 -64.170000 180.000000 top
95 F1 BSMD2920-300-16V Fuse_2920_7451Metric 126.750000 121.110000 -73.030000 -73.140000 90.000000 top
96 F2 JK-SMD0603-050/6V JK-SMD0805-050/6V Fuse_0603_1608Metric Fuse_0805_2012Metric 102.210000 199.440000 -74.830000 -130.710000 180.000000 0.000000 top
97 FL1 WE102_2x1mH Filter_Bourns_SRF0905_6.0x9.2mm 90.782000 -93.987000 180.000000 top
98 J1 EEM0 PinHeader_2x15_P1.27mm_Vertical_SMD 205.994000 -71.374000 0.000000 top
99 J2 EEM1 PinHeader_2x15_P1.27mm_Vertical_SMD 205.994000 -95.377000 0.000000 top
104 J9 Analog PinHeader_2x11_P1.27mm_Vertical_SMD 183.052000 -126.873000 0.000000 top
105 L1 10uH L_0603_1608Metric 153.480000 -65.320000 270.000000 top
106 L2 10uH L_0603_1608Metric 158.500000 -76.200000 0.000000 top
107 L3 10uH L_Coilcraft_XxL4040 L_Taiyo-Yuden_MD-5050 100.400000 -106.500000 90.000000 top
108 L4 3.3uH L_Taiyo-Yuden_MD-5050 141.420000 135.780000 -70.330000 -70.440000 90.000000 top
109 L5 220R@100MHz L_0603_1608Metric 122.900000 -122.900000 180.000000 top
110 L6 10uH L_0603_1608Metric 168.420000 -125.580000 180.000000 top
111 L7 10uH L_0603_1608Metric 112.680000 -57.570000 0.000000 top
112 Q1 PMBT3904 SOT-23 150.036000 153.570000 -63.020000 -69.950000 90.000000 180.000000 top
113 Q2 BSS138LT1G SOT-23 94.600000 -101.600000 90.000000 top
114 Q3 FDN86246 SuperSOT-3 99.949000 -95.504000 90.000000 top
115 Q4 PMBT3904 SOT-23 94.932000 -63.246000 90.000000 top
198 R81 100/1% R_0402_1005Metric 193.645500 -102.335288 90.000000 top
199 R82 100/1% R_0402_1005Metric 197.845500 -103.957643 90.000000 top
200 R83 100/1% R_0402_1005Metric 193.645500 -105.580000 90.000000 top
201 R84 10k R_0402_1005Metric 147.240000 150.730000 -63.590000 -65.330000 270.000000 top
202 R85 10k R_0402_1005Metric 145.750000 145.220000 -63.570000 -65.810000 90.000000 180.000000 top
203 R86 220 R_0402_1005Metric 146.200000 145.220000 -61.640000 -64.500000 0.000000 top
204 R87 220 R_0402_1005Metric 93.500000 -105.000000 180.000000 top
R88 10k R_0402_1005Metric 141.290000 -78.430000 180.000000 top
205 R89 24k R_0402_1005Metric 93.532000 -108.281600 0.000000 top
206 R90 10k R_0402_1005Metric 84.300000 -109.100000 180.000000 top
207 R91 82k R_0402_1005Metric 93.532000 -106.682400 0.000000 top
208 R92 82k R_0402_1005Metric 89.300000 -109.100000 0.000000 top
209 R93 30 R_0402_1005Metric 98.298000 -91.948000 90.000000 top
210 R94 68k R_0402_1005Metric 144.441000 138.801000 -75.514000 -75.624000 0.000000 top
211 R95 10k R_0402_1005Metric 144.443000 138.803000 -76.911000 -77.021000 180.000000 top
212 R96 30 R_0402_1005Metric 99.949000 -91.948000 90.000000 top
213 R97 30 R_0402_1005Metric 101.600000 -91.948000 90.000000 top
214 R98 0 R_0402_1005Metric 83.162000 -95.003000 180.000000 top
236 R120 180 R_0402_1005Metric 128.727000 -117.703000 90.000000 top
237 R121 180 R_0402_1005Metric 130.251000 -117.703000 90.000000 top
238 R122 10k R_0402_1005Metric 163.420000 -126.810000 0.000000 top
239 R123 0 R_0402_1005Metric 155.144000 158.354000 -130.803000 -130.783000 90.000000 top
240 R124 10k R_0402_1005Metric 151.700000 -112.220000 90.000000 top
241 R125 100 R_0402_1005Metric 145.310000 -111.450000 270.000000 top
242 R126 100k/1% R_0402_1005Metric 126.180000 -67.260000 0.000000 top
243 R127 120k/1% R_0402_1005Metric 126.180000 -65.950000 0.000000 top
244 R128 10k R_0402_1005Metric 116.480000 -63.320000 0.000000 top
245 R129 10k R_0402_1005Metric 116.480000 -64.610000 180.000000 top
246 R130 0.03/1%/3W R_2010_5025Metric 135.930000 135.840000 -62.730000 -61.820000 180.000000 top
247 R131 100 R_0402_1005Metric 132.766000 -59.322000 0.000000 top
248 R132 100 R_0402_1005Metric 132.766000 -58.052000 0.000000 top
249 R133 10k R_0402_1005Metric 137.774000 138.134000 -66.729000 -66.219000 0.000000 top
250 R134 1k R_0402_1005Metric 130.647000 -60.616000 180.000000 top
251 R135 10k/1% R_0402_1005Metric 115.620000 -58.964000 0.000000 top
252 R136 10k/1% R_0402_1005Metric 115.620000 -60.493000 0.000000 top
253 SW1 SW_FPGA SW_SPST_SKQG_WithoutStem 150.262000 147.275000 -72.256000 90.000000 top
254 SW2 SW_RESET SW_SPST_SKQG_WithoutStem 161.191000 164.401000 -132.100000 -132.080000 0.000000 top
255 SW3 SW_CPU SW_SPST_SKQG_WithoutStem 147.275000 -120.716000 90.000000 top
256 TR1 SM13126PEL Transformer_Ethernet_Bourns_PT61017PEL 105.483000 -128.441000 180.000000 top
257 U1 LMV321 SOT-23-5 173.280000 -64.280000 270.000000 top
258 U2 LMH6611 TSOT-23-6 165.140000 -64.310000 90.000000 top
259 U5 AT25SF081-SSHD-X SOIC-8_3.9x4.9mm_P1.27mm 158.100000 -82.280000 180.000000 top
260 U6 TLV75733PDBV_3.3V SOT-23-5 100.246000 -71.304000 90.000000 top
261 U8 TPS563200 SOT-23-6 140.809000 135.169000 -75.534000 -75.644000 0.000000 top
262 U9 TLV1117-25 SOT-223-3_TabPin2 92.964000 -71.501000 90.000000 top
263 U11 TLV75712PDBV_1.2V SOT-23-5 85.571000 -72.085000 90.000000 top
264 U13 LP38692MPX-5.0_NOPB SOT-223-5 112.800000 107.160000 -71.374000 -71.484000 90.000000 top
265 U15 STM32F103VCTx LQFP-100_14x14mm_P0.5mm 164.598000 -112.944000 90.000000 top
266 U16 TPS2590 QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm 121.255000 -64.792500 0.000000 top
267 U17 INA190A1 TSOT-23-8 127.075000 -59.036000 0.000000 top

View File

@ -1,7 +1,7 @@
M48
; DRILL file {KiCad 5.1.10-88a1d61d58~88~ubuntu20.04.1} date Mon Jul 12 11:52:12 2021
; DRILL file {KiCad 5.1.10-88a1d61d58~88~ubuntu20.04.1} date Mon Jul 12 14:39:42 2021
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2021-07-12T11:52:12+08:00
; #@! TF.CreationDate,2021-07-12T14:39:42+08:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.10-88a1d61d58~88~ubuntu20.04.1
FMAT,2
METRIC
@ -23,9 +23,7 @@ X121.612Y-61.17
X146.68Y-113.47
X147.42Y-116.5
X147.96Y-115.5
X151.545Y-67.285
X152.02Y-66.58
X152.08Y-65.12
X151.91Y-65.13
X153.39Y-126.1
X153.74Y-119.49
X154.6Y-97.82
@ -193,7 +191,6 @@ X188.39Y-89.28
X188.78Y-98.67
X189.52Y-97.31
X189.87Y-95.66
X189.945Y-117.685
X190.17Y-83.32
X190.17Y-84.99
X190.26Y-81.73
@ -319,7 +316,7 @@ X209.685Y-104.267
X209.685Y-128.27
T2
X84.23Y-124.58
X100.32Y-75.93
X101.4Y-75.67
X105.91Y-133.79
X113.53Y-58.993
X118.14Y-62.32
@ -354,17 +351,19 @@ X136.66Y-95.25
X136.8Y-115.5
X138.45Y-121.01
X138.55Y-133.01
X139.741Y-66.729
X145.96Y-78.2
X140.101Y-66.219
X140.52Y-77.46
X145.425Y-72.315
X147.447Y-80.391
X147.447Y-81.661
X147.45Y-82.82
X148.53Y-67.08
X148.88Y-113.36
X151.2Y-84.28
X151.29Y-76.51
X151.31Y-93.75
X151.49Y-120.83
X151.52Y-85.83
X151.96Y-127.06
X152.1Y-121.81
X152.555Y-110.265
X153.019Y-80.391
@ -372,7 +371,6 @@ X153.019Y-81.661
X153.45Y-85.73
X153.5Y-63.25
X154.34Y-86.42
X154.52Y-129.15
X154.77Y-100.6
X155.26Y-67.06
X157.09Y-81.24
@ -434,6 +432,7 @@ X178.05Y-113.45
X178.22Y-114.25
X181.102Y-77.698
X181.192Y-88.849
X181.74Y-117.75
X183.29Y-121.793
X183.29Y-123.063
X183.29Y-124.333
@ -444,7 +443,6 @@ X183.29Y-129.413
X183.29Y-130.683
X183.29Y-131.953
X183.29Y-133.223
X183.373Y-117.587
X183.41Y-76.6
X184.53Y-96.76
X186.76Y-69.54
@ -454,10 +452,9 @@ X187.28Y-62.42
X187.33Y-108.82
X187.33Y-111.13
X187.342Y-59.613
X193.15Y-133.59
X194.32Y-62.11
X195.92Y-128.05
X195.98Y-109.4
X197.38Y-127.95
X198.96Y-56.42
X203.67Y-84.64
X209.613Y-99.187
@ -475,7 +472,6 @@ T3
X81.7Y-105.3
X82.5Y-109.1
X82.7Y-90.4
X91.2Y-109.1
X91.6Y-105.8
X92.1Y-131.6
X96.1Y-104.4
@ -483,9 +479,8 @@ X97.3Y-102.7
X98.2Y-132.9
X98.9Y-63.2
X102.0Y-98.1
X103.26Y-72.99
X105.32Y-65.24
X106.007Y-111.269
X106.9Y-66.1
X111.449Y-111.269
X112.4Y-123.2
X114.3Y-128.8
@ -513,34 +508,42 @@ X81.0Y-79.0
X87.2Y-67.6
X87.2Y-69.5
X87.7Y-75.3
X87.71Y-135.27
X90.0Y-55.0
X91.2Y-109.1
X91.8Y-78.4
X93.784Y-77.216
X95.6Y-105.8
X97.8Y-77.0
X102.0Y-68.1
X103.171Y-77.199
X104.0Y-101.0
X106.049Y-77.199
X107.0Y-61.5
X108.811Y-77.089
X108.971Y-77.199
X109.0Y-55.0
X111.689Y-77.089
X111.74Y-69.89
X114.26Y-111.3
X114.611Y-77.089
X117.33Y-69.83
X117.05Y-68.6
X117.865Y-66.965
X119.71Y-111.34
X124.745Y-73.845
X127.6Y-112.6
X130.945Y-76.415
X132.5Y-119.2
X140.15Y-133.75
X141.2Y-121.5
X142.0Y-56.0
X142.7Y-123.3
X146.95Y-133.99
X147.71Y-94.77
X149.7Y-89.1
X150.27Y-121.5
X161.82Y-57.44
X189.96Y-119.17
X190.99Y-128.31
X198.825Y-62.685
X212.02Y-61.53
X212.27Y-130.16
T5
X87.63Y-118.745
X87.63Y-120.777

View File

@ -224,7 +224,7 @@ U 1 1 6101472D
P 4000 2550
F 0 "L3" V 4190 2550 50 0000 C CNN
F 1 "10uH" V 4099 2550 50 0000 C CNN
F 2 "Inductor_SMD:L_Coilcraft_XxL4040" H 4000 2550 50 0001 C CNN
F 2 "Inductor_SMD:L_Taiyo-Yuden_MD-5050" H 4000 2550 50 0001 C CNN
F 3 "~" H 4000 2550 50 0001 C CNN
1 4000 2550
0 -1 -1 0
@ -881,17 +881,6 @@ F 3 "~" H 4350 5550 50 0001 C CNN
1 4350 5550
0 1 1 0
$EndComp
$Comp
L Device:R R88
U 1 1 60E75ECA
P 2550 5400
F 0 "R88" V 2343 5400 50 0000 C CNN
F 1 "10k" V 2434 5400 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 2480 5400 50 0001 C CNN
F 3 "~" H 2550 5400 50 0001 C CNN
1 2550 5400
0 1 1 0
$EndComp
Wire Wire Line
1250 5200 1650 5200
Wire Wire Line
@ -983,10 +972,6 @@ Wire Wire Line
Wire Wire Line
3550 5400 4100 5400
Connection ~ 4100 5400
Wire Wire Line
2700 5400 2750 5400
Wire Wire Line
2400 5400 2300 5400
Wire Wire Line
2300 5400 2300 5200
Connection ~ 2300 5200
@ -1883,8 +1868,8 @@ L Device:Polyfuse F2
U 1 1 63235C00
P 2900 6850
F 0 "F2" V 2800 6850 50 0000 C CNN
F 1 "JK-SMD0603-050/6V" V 3050 6850 50 0000 C CNN
F 2 "Fuse:Fuse_0603_1608Metric" H 2950 6650 50 0001 L CNN
F 1 "JK-SMD0805-050/6V" V 3050 6850 50 0000 C CNN
F 2 "Fuse:Fuse_0805_2012Metric" H 2950 6650 50 0001 L CNN
F 3 "~" H 2900 6850 50 0001 C CNN
1 2900 6850
1 0 0 -1
@ -1895,4 +1880,6 @@ Wire Wire Line
2900 6700 2900 6600
Wire Wire Line
2900 6600 2850 6600
Wire Wire Line
2300 5400 2750 5400
$EndSCHEMATC

View File

@ -1,40 +1,40 @@
"Id";"Designator";"Package";"Quantity";"Designation";"Supplier and ref";
1;"M3,M3,M3,M3,M3,M3,M3,M3";"ScrewKeepout";8;"ScrewKeepout";;;
2;"G***";"logo";1;"LOGO";;;
3;"L4";"L_Taiyo-Yuden_MD-5050";1;"3.3uH";;;
4;"D23";"SOT-23";1;"CM1213A-01SO";;;
5;"F2";"Fuse_0603_1608Metric";1;"JK-SMD0603-050/6V";;;
6;"C98,C97,C94,C1,C89,C78,C83,C82,C81,C80,C79,C16,C37,C15,C36,C35,C14,C34,C33,C13,C32,C31,C12,C30,C29,C11,C28,C27,C10,C5,C6,C2,C93,C77,C44,C22,C18,C8,C7";"C_0402_1005Metric";39;"0.1uF";;;
7;"C96,C95,C24,C21,C17";"C_0402_1005Metric";5;"0.1nF";;;
8;"U2";"TSOT-23-6";1;"LMH6611";;;
9;"U1,U18";"SOT-23-5";2;"LMV321";;;
10;"R129,R128,R85,R124,R122,R107,R105,R104,R103,R99,R95,R90,R88,R133,R59,R58,R57,R84";"R_0402_1005Metric";18;"10k";;;
11;"U16";"QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm";1;"TPS2590";;;
12;"R127";"R_0402_1005Metric";1;"120k/1%";;;
13;"R126";"R_0402_1005Metric";1;"100k/1%";;;
14;"C61,C68,C66,C65,C64,C62";"C_0402_1005Metric";6;"100nF";;;
15;"C69";"C_0402_1005Metric";1;"6.8nF";;;
16;"U6";"SOT-23-5";1;"TLV75733PDBV_3.3V";;;
17;"U12";"Silvertel-AG5300-0-0-MFG";1;"AG5300";;;
18;"U15";"LQFP-100_14x14mm_P0.5mm";1;"STM32F103VCTx";;;
19;"C52,C48,C47";"C_0805_2012Metric";3;"22uF";;;
20;"C41";"C_0805_2012Metric";1;"0.1uF";;;
21;"C40,C39";"C_0805_2012Metric";2;"10uF";;;
22;"F1";"Fuse_2920_7451Metric";1;"BSMD2920-300-16V";;;
23;"D6";"D_SMB";1;"SMBJ6.0A";;;
24;"R1,R116,R115,R114,R113";"R_0402_1005Metric";5;"49.9/1%";;;
25;"J1";"PinHeader_2x15_P1.27mm_Vertical_SMD";1;"EEM0";;;
26;"C88,C86,C73,C72";"C_0402_1005Metric";4;"10nF";;;
27;"C87,C92,C85,C53,C46,C42";"C_0402_1005Metric";6;"1uF";;;
28;"C84,C19,C26,C25,C9,C3,C91,C67,C63,C54,C49,C43,C38,C23,C20";"C_0402_1005Metric";15;"10uF";;;
29;"R56,R55,R54,R53,R52,R51,R50,R49,R48,R47,R46,R45,R44,R43,R42,R41,R40,R39,R38,R37,R36,R35,R34,R33,R32,R31,R30,R29,R28,R27,R26,R25,R24,R23,R22,R21,R20,R19,R18,R17,R16,R15,R14,R13,R12,R11,R10,R9";"R_0402_1005Metric";48;"140/1%";;;
30;"R3";"R_0402_1005Metric";1;"301/1%";;;
31;"R2";"R_0402_1005Metric";1;"499/1%";;;
32;"J3";"PinHeader_2x15_P1.27mm_Vertical_SMD";1;"EEM2";;;
33;"R62,R83,R82,R81,R80,R79,R78,R77,R76,R75,R74,R73,R72,R71,R70,R69,R68,R67,R66,R65,R64,R63,R61,R60";"R_0402_1005Metric";24;"100/1%";;;
34;"C75,C76,C60,C59";"C_0402_1005Metric";4;"22pF";;;
35;"U4";"iCE40-BGA256C80P16X16_1400X1400X170";1;"ICE40HX8K-CT256";;;
36;"L3";"L_Coilcraft_XxL4040";1;"10uH";;;
1;"L3";"L_Taiyo-Yuden_MD-5050";1;"10uH";;;
2;"F2";"Fuse_0805_2012Metric";1;"JK-SMD0805-050/6V";;;
3;"M3,M3,M3,M3,M3,M3,M3,M3";"ScrewKeepout";8;"ScrewKeepout";;;
4;"G***";"logo";1;"LOGO";;;
5;"L4";"L_Taiyo-Yuden_MD-5050";1;"3.3uH";;;
6;"D23";"SOT-23";1;"CM1213A-01SO";;;
7;"C98,C97,C94,C1,C89,C78,C83,C82,C81,C80,C79,C16,C37,C15,C36,C35,C14,C34,C33,C13,C32,C31,C12,C30,C29,C11,C28,C27,C10,C5,C6,C2,C93,C77,C44,C22,C18,C8,C7";"C_0402_1005Metric";39;"0.1uF";;;
8;"C96,C95,C24,C21,C17";"C_0402_1005Metric";5;"0.1nF";;;
9;"U2";"TSOT-23-6";1;"LMH6611";;;
10;"U1,U18";"SOT-23-5";2;"LMV321";;;
11;"R129,R128,R85,R124,R122,R107,R105,R104,R103,R99,R95,R90,R133,R59,R58,R57,R84";"R_0402_1005Metric";17;"10k";;;
12;"U16";"QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm";1;"TPS2590";;;
13;"R127";"R_0402_1005Metric";1;"120k/1%";;;
14;"R126";"R_0402_1005Metric";1;"100k/1%";;;
15;"C61,C68,C66,C65,C64,C62";"C_0402_1005Metric";6;"100nF";;;
16;"C69";"C_0402_1005Metric";1;"6.8nF";;;
17;"U6";"SOT-23-5";1;"TLV75733PDBV_3.3V";;;
18;"U12";"Silvertel-AG5300-0-0-MFG";1;"AG5300";;;
19;"U15";"LQFP-100_14x14mm_P0.5mm";1;"STM32F103VCTx";;;
20;"C52,C48,C47";"C_0805_2012Metric";3;"22uF";;;
21;"C41";"C_0805_2012Metric";1;"0.1uF";;;
22;"C40,C39";"C_0805_2012Metric";2;"10uF";;;
23;"F1";"Fuse_2920_7451Metric";1;"BSMD2920-300-16V";;;
24;"D6";"D_SMB";1;"SMBJ6.0A";;;
25;"R1,R116,R115,R114,R113";"R_0402_1005Metric";5;"49.9/1%";;;
26;"J1";"PinHeader_2x15_P1.27mm_Vertical_SMD";1;"EEM0";;;
27;"C88,C86,C73,C72";"C_0402_1005Metric";4;"10nF";;;
28;"C87,C92,C85,C53,C46,C42";"C_0402_1005Metric";6;"1uF";;;
29;"C84,C19,C26,C25,C9,C3,C91,C67,C63,C54,C49,C43,C38,C23,C20";"C_0402_1005Metric";15;"10uF";;;
30;"R56,R55,R54,R53,R52,R51,R50,R49,R48,R47,R46,R45,R44,R43,R42,R41,R40,R39,R38,R37,R36,R35,R34,R33,R32,R31,R30,R29,R28,R27,R26,R25,R24,R23,R22,R21,R20,R19,R18,R17,R16,R15,R14,R13,R12,R11,R10,R9";"R_0402_1005Metric";48;"140/1%";;;
31;"R3";"R_0402_1005Metric";1;"301/1%";;;
32;"R2";"R_0402_1005Metric";1;"499/1%";;;
33;"J3";"PinHeader_2x15_P1.27mm_Vertical_SMD";1;"EEM2";;;
34;"R62,R83,R82,R81,R80,R79,R78,R77,R76,R75,R74,R73,R72,R71,R70,R69,R68,R67,R66,R65,R64,R63,R61,R60";"R_0402_1005Metric";24;"100/1%";;;
35;"C75,C76,C60,C59";"C_0402_1005Metric";4;"22pF";;;
36;"U4";"iCE40-BGA256C80P16X16_1400X1400X170";1;"ICE40HX8K-CT256";;;
37;"R5,R4";"R_0402_1005Metric";2;"560/1%";;;
38;"L1,L7,L6,L2";"L_0603_1608Metric";4;"10uH";;;
39;"J4";"PinHeader_2x05_P1.27mm_Vertical_SMD";1;"FPGA_Flash";;;

Can't render this file because it has a wrong number of fields in line 2.

File diff suppressed because it is too large Load Diff