LVDS&IO: add fpga flash config; all: fix connection bugs; PCB: initialize component positions and layout

master
Jack-Zheng 2021-06-22 16:34:02 +08:00
parent 1df738664f
commit 6535ff5423
11 changed files with 8244 additions and 7409 deletions

View File

@ -184,15 +184,6 @@ Wire Wire Line
4150 3600 4650 3600
Wire Wire Line
4150 4100 4150 4300
Wire Wire Line
5450 5000 5450 5400
Connection ~ 5450 5000
Wire Wire Line
5450 5000 5850 5000
Wire Wire Line
5450 4300 5450 4500
Wire Wire Line
5450 4800 5450 5000
Text GLabel 5350 5400 0 50 Input ~ 0
+12V_OUT
Wire Wire Line
@ -208,10 +199,7 @@ F 3 "~" H 5450 4650 50 0001 C CNN
1 5450 4650
-1 0 0 1
$EndComp
Connection ~ 5450 4300
Connection ~ 5450 3700
Wire Wire Line
5450 3700 5450 4300
Wire Wire Line
8000 4700 7900 4700
Wire Wire Line
@ -256,7 +244,7 @@ U 1 1 62254B17
P 7150 3200
F 0 "L7" V 7340 3200 50 0000 C CNN
F 1 "10uH" V 7249 3200 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 7150 3200 50 0001 C CNN
F 2 "Inductor_SMD:L_0603_1608Metric" H 7150 3200 50 0001 C CNN
F 3 "~" H 7150 3200 50 0001 C CNN
1 7150 3200
0 -1 -1 0
@ -288,56 +276,44 @@ Connection ~ 8000 4700
$Comp
L Device:C C46
U 1 1 6228F6B8
P 5850 4650
F 0 "C46" H 5965 4696 50 0000 L CNN
F 1 "1000pF" H 5965 4605 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 5888 4500 50 0001 C CNN
F 3 "~" H 5850 4650 50 0001 C CNN
1 5850 4650
P 6050 4650
F 0 "C46" H 6165 4696 50 0000 L CNN
F 1 "1000pF" H 6165 4605 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6088 4500 50 0001 C CNN
F 3 "~" H 6050 4650 50 0001 C CNN
1 6050 4650
1 0 0 -1
$EndComp
$Comp
L Device:R R128
U 1 1 6228FFFD
P 6100 4300
F 0 "R128" V 5893 4300 50 0000 C CNN
F 1 "2k" V 5984 4300 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 6030 4300 50 0001 C CNN
F 3 "~" H 6100 4300 50 0001 C CNN
1 6100 4300
P 5800 4300
F 0 "R128" V 5593 4300 50 0000 C CNN
F 1 "2k" V 5684 4300 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 5730 4300 50 0001 C CNN
F 3 "~" H 5800 4300 50 0001 C CNN
1 5800 4300
0 1 1 0
$EndComp
$Comp
L Device:R R129
U 1 1 622966C0
P 6100 5000
F 0 "R129" V 5893 5000 50 0000 C CNN
F 1 "2k" V 5984 5000 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 6030 5000 50 0001 C CNN
F 3 "~" H 6100 5000 50 0001 C CNN
1 6100 5000
P 5800 5000
F 0 "R129" V 5593 5000 50 0000 C CNN
F 1 "2k" V 5684 5000 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 5730 5000 50 0001 C CNN
F 3 "~" H 5800 5000 50 0001 C CNN
1 5800 5000
0 1 1 0
$EndComp
Wire Wire Line
5850 4500 5850 4300
6050 4500 6050 4300
Wire Wire Line
5450 4300 5850 4300
Connection ~ 5850 4300
Wire Wire Line
5850 4300 5950 4300
Wire Wire Line
5850 4800 5850 5000
Connection ~ 5850 5000
Wire Wire Line
5850 5000 5950 5000
Wire Wire Line
6250 5000 6400 5000
6050 4800 6050 5000
Wire Wire Line
6400 5000 6400 4700
Wire Wire Line
6400 4600 6400 4300
Wire Wire Line
6400 4300 6250 4300
Wire Wire Line
6400 4600 7100 4600
Wire Wire Line
@ -557,4 +533,28 @@ F 34 "12ns" H 4950 7800 50 0001 L CNN "turn on delay time"
1 4950 4100
0 -1 -1 0
$EndComp
Wire Wire Line
5450 4800 5450 5000
Wire Wire Line
5450 3700 5450 4300
Wire Wire Line
5950 4300 6050 4300
Connection ~ 6050 4300
Wire Wire Line
6050 4300 6400 4300
Wire Wire Line
5650 4300 5450 4300
Connection ~ 5450 4300
Wire Wire Line
5450 4300 5450 4500
Wire Wire Line
5650 5000 5450 5000
Connection ~ 5450 5000
Wire Wire Line
5450 5000 5450 5400
Wire Wire Line
5950 5000 6050 5000
Connection ~ 6050 5000
Wire Wire Line
6050 5000 6400 5000
$EndSCHEMATC

View File

@ -18,8 +18,8 @@ L power:GND #PWR?
U 1 1 60E4907E
P 1000 2050
AR Path="/60C2FDBB/60E4907E" Ref="#PWR?" Part="1"
AR Path="/60E4702B/60E4907E" Ref="#PWR097" Part="1"
F 0 "#PWR097" H 1000 1800 50 0001 C CNN
AR Path="/60E4702B/60E4907E" Ref="#PWR096" Part="1"
F 0 "#PWR096" H 1000 1800 50 0001 C CNN
F 1 "GND" V 1005 1922 50 0000 R CNN
F 2 "" H 1000 2050 50 0001 C CNN
F 3 "" H 1000 2050 50 0001 C CNN
@ -40,8 +40,8 @@ L power:GND #PWR?
U 1 1 60E4908A
P 2050 2050
AR Path="/60C2FDBB/60E4908A" Ref="#PWR?" Part="1"
AR Path="/60E4702B/60E4908A" Ref="#PWR098" Part="1"
F 0 "#PWR098" H 2050 1800 50 0001 C CNN
AR Path="/60E4702B/60E4908A" Ref="#PWR097" Part="1"
F 0 "#PWR097" H 2050 1800 50 0001 C CNN
F 1 "GND" V 2055 1922 50 0000 R CNN
F 2 "" H 2050 2050 50 0001 C CNN
F 3 "" H 2050 2050 50 0001 C CNN
@ -112,8 +112,8 @@ L Connector:RJ45_LED_Shielded J?
U 1 1 60E490C5
P 9600 2850
AR Path="/60C2FDBB/60E490C5" Ref="J?" Part="1"
AR Path="/60E4702B/60E490C5" Ref="J6" Part="1"
F 0 "J6" H 9600 3517 50 0000 C CNN
AR Path="/60E4702B/60E490C5" Ref="J5" Part="1"
F 0 "J5" H 9600 3517 50 0000 C CNN
F 1 "RJ45_LED_Shielded_RJHSE538A" H 9600 3426 50 0000 C CNN
F 2 "Connector_RJ:RJ45_Amphenol_RJHSE538X" V 9600 2875 50 0001 C CNN
F 3 "~" V 9600 2875 50 0001 C CNN
@ -183,7 +183,7 @@ U 1 1 60E89ED8
P 8800 5500
F 0 "C41" H 8915 5546 50 0000 L CNN
F 1 "1000pF 2kV" H 8915 5455 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 8838 5350 50 0001 C CNN
F 2 "Capacitor_SMD:C_1206_3216Metric" H 8838 5350 50 0001 C CNN
F 3 "~" H 8800 5500 50 0001 C CNN
1 8800 5500
1 0 0 -1
@ -326,8 +326,6 @@ Wire Wire Line
Connection ~ 8100 4850
Wire Wire Line
8100 4850 8100 5050
Wire Wire Line
7800 5000 7800 4750
Connection ~ 7800 4750
Wire Wire Line
7800 4750 7800 4350
@ -401,10 +399,10 @@ Wire Wire Line
8900 4950 8900 4550
Connection ~ 8900 4550
$Comp
L power:GND #PWR0109
L power:GND #PWR0108
U 1 1 60F53BAA
P 8800 5750
F 0 "#PWR0109" H 8800 5500 50 0001 C CNN
F 0 "#PWR0108" H 8800 5500 50 0001 C CNN
F 1 "GND" H 8805 5577 50 0000 C CNN
F 2 "" H 8800 5750 50 0001 C CNN
F 3 "" H 8800 5750 50 0001 C CNN
@ -412,10 +410,10 @@ F 3 "" H 8800 5750 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0110
L power:GND #PWR0109
U 1 1 60F58C5E
P 9600 2200
F 0 "#PWR0110" H 9600 1950 50 0001 C CNN
F 0 "#PWR0109" H 9600 1950 50 0001 C CNN
F 1 "GND" H 9605 2027 50 0000 C CNN
F 2 "" H 9600 2200 50 0001 C CNN
F 3 "" H 9600 2200 50 0001 C CNN
@ -530,10 +528,10 @@ Connection ~ 6800 2550
Wire Wire Line
6800 2550 7700 2550
$Comp
L power:GND #PWR0107
L power:GND #PWR0106
U 1 1 6102A13B
P 6800 1900
F 0 "#PWR0107" H 6800 1650 50 0001 C CNN
F 0 "#PWR0106" H 6800 1650 50 0001 C CNN
F 1 "GND" H 6805 1727 50 0000 C CNN
F 2 "" H 6800 1900 50 0001 C CNN
F 3 "" H 6800 1900 50 0001 C CNN
@ -601,10 +599,10 @@ Wire Wire Line
Wire Wire Line
7200 3200 7200 2950
$Comp
L power:GND #PWR0108
L power:GND #PWR0107
U 1 1 610BC484
P 7200 3600
F 0 "#PWR0108" H 7200 3350 50 0001 C CNN
F 0 "#PWR0107" H 7200 3350 50 0001 C CNN
F 1 "GND" H 7205 3427 50 0000 C CNN
F 2 "" H 7200 3600 50 0001 C CNN
F 3 "" H 7200 3600 50 0001 C CNN
@ -673,10 +671,10 @@ Wire Wire Line
Wire Wire Line
10100 3150 10000 3150
$Comp
L power:GND #PWR0111
L power:GND #PWR0110
U 1 1 61144EE4
P 10100 2700
F 0 "#PWR0111" H 10100 2450 50 0001 C CNN
F 0 "#PWR0110" H 10100 2450 50 0001 C CNN
F 1 "GND" H 10105 2527 50 0000 C CNN
F 2 "" H 10100 2700 50 0001 C CNN
F 3 "" H 10100 2700 50 0001 C CNN
@ -684,10 +682,10 @@ F 3 "" H 10100 2700 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0112
L power:GND #PWR0111
U 1 1 611454FC
P 10100 3300
F 0 "#PWR0112" H 10100 3050 50 0001 C CNN
F 0 "#PWR0111" H 10100 3050 50 0001 C CNN
F 1 "GND" H 10105 3127 50 0000 C CNN
F 2 "" H 10100 3300 50 0001 C CNN
F 3 "" H 10100 3300 50 0001 C CNN
@ -806,10 +804,10 @@ Wire Wire Line
Wire Wire Line
4550 5800 4650 5800
$Comp
L power:+3V3 #PWR0104
L power:+3V3 #PWR0103
U 1 1 61277208
P 4850 5800
F 0 "#PWR0104" H 4850 5650 50 0001 C CNN
F 0 "#PWR0103" H 4850 5650 50 0001 C CNN
F 1 "+3V3" V 4865 5928 50 0000 L CNN
F 2 "" H 4850 5800 50 0001 C CNN
F 3 "" H 4850 5800 50 0001 C CNN
@ -836,10 +834,10 @@ $EndComp
Wire Wire Line
5250 5200 4550 5200
$Comp
L power:GND #PWR0106
L power:GND #PWR0105
U 1 1 61291C16
P 5700 5300
F 0 "#PWR0106" H 5700 5050 50 0001 C CNN
F 0 "#PWR0105" H 5700 5050 50 0001 C CNN
F 1 "GND" H 5705 5127 50 0000 C CNN
F 2 "" H 5700 5300 50 0001 C CNN
F 3 "" H 5700 5300 50 0001 C CNN
@ -851,10 +849,10 @@ Wire Wire Line
Wire Wire Line
5700 5200 5550 5200
$Comp
L power:GND #PWR0100
L power:GND #PWR099
U 1 1 6129B1FB
P 2450 6050
F 0 "#PWR0100" H 2450 5800 50 0001 C CNN
F 0 "#PWR099" H 2450 5800 50 0001 C CNN
F 1 "GND" H 2455 5877 50 0000 C CNN
F 2 "" H 2450 6050 50 0001 C CNN
F 3 "" H 2450 6050 50 0001 C CNN
@ -871,10 +869,10 @@ Wire Wire Line
Wire Wire Line
2200 4600 2550 4600
$Comp
L power:+3V3 #PWR099
L power:+3V3 #PWR098
U 1 1 61327558
P 2200 4350
F 0 "#PWR099" H 2200 4200 50 0001 C CNN
F 0 "#PWR098" H 2200 4200 50 0001 C CNN
F 1 "+3V3" H 2215 4523 50 0000 C CNN
F 2 "" H 2200 4350 50 0001 C CNN
F 3 "" H 2200 4350 50 0001 C CNN
@ -897,10 +895,10 @@ F 3 "~" H 4950 4450 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0105
L power:+3V3 #PWR0104
U 1 1 6134824A
P 4950 4200
F 0 "#PWR0105" H 4950 4050 50 0001 C CNN
F 0 "#PWR0104" H 4950 4050 50 0001 C CNN
F 1 "+3V3" H 4965 4373 50 0000 C CNN
F 2 "" H 4950 4200 50 0001 C CNN
F 3 "" H 4950 4200 50 0001 C CNN
@ -930,10 +928,10 @@ F 3 "~" H 4850 3150 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0103
L power:GND #PWR0102
U 1 1 613BBE38
P 4850 3400
F 0 "#PWR0103" H 4850 3150 50 0001 C CNN
F 0 "#PWR0102" H 4850 3150 50 0001 C CNN
F 1 "GND" H 4855 3227 50 0000 C CNN
F 2 "" H 4850 3400 50 0001 C CNN
F 3 "" H 4850 3400 50 0001 C CNN
@ -984,7 +982,7 @@ AR Path="/60FB17F2/610E0014" Ref="L?" Part="1"
AR Path="/60E4702B/610E0014" Ref="L5" Part="1"
F 0 "L5" V 3790 6900 50 0000 C CNN
F 1 "10uH" V 3699 6900 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 3600 6900 50 0001 C CNN
F 2 "Inductor_SMD:L_0603_1608Metric" H 3600 6900 50 0001 C CNN
F 3 "~" H 3600 6900 50 0001 C CNN
1 3600 6900
0 -1 -1 0
@ -1014,8 +1012,8 @@ L power:+3V3 #PWR?
U 1 1 610E0023
P 3250 6700
AR Path="/60FB17F2/610E0023" Ref="#PWR?" Part="1"
AR Path="/60E4702B/610E0023" Ref="#PWR0101" Part="1"
F 0 "#PWR0101" H 3250 6550 50 0001 C CNN
AR Path="/60E4702B/610E0023" Ref="#PWR0100" Part="1"
F 0 "#PWR0100" H 3250 6550 50 0001 C CNN
F 1 "+3V3" H 3265 6873 50 0000 C CNN
F 2 "" H 3250 6700 50 0001 C CNN
F 3 "" H 3250 6700 50 0001 C CNN
@ -1030,10 +1028,10 @@ Wire Wire Line
4100 6900 3950 6900
Connection ~ 3950 6900
$Comp
L power:GND #PWR0102
L power:GND #PWR0101
U 1 1 610EE06D
P 3950 7350
F 0 "#PWR0102" H 3950 7100 50 0001 C CNN
F 0 "#PWR0101" H 3950 7100 50 0001 C CNN
F 1 "GND" H 3955 7177 50 0000 C CNN
F 2 "" H 3950 7350 50 0001 C CNN
F 3 "" H 3950 7350 50 0001 C CNN
@ -1077,4 +1075,6 @@ F 19 "yes" H 2450 4100 50 0001 L CNN "imported"
1 2450 2000
1 0 0 -1
$EndComp
Wire Wire Line
7800 4750 7800 5050
$EndSCHEMATC

277
FPGA.sch
View File

@ -128,10 +128,10 @@ Wire Wire Line
10550 4200 10550 4300
Connection ~ 10550 4100
$Comp
L power:GND #PWR026
L power:GND #PWR025
U 1 1 61087D3E
P 10850 4100
F 0 "#PWR026" H 10850 3850 50 0001 C CNN
F 0 "#PWR025" H 10850 3850 50 0001 C CNN
F 1 "GND" V 10855 3972 50 0000 R CNN
F 2 "" H 10850 4100 50 0001 C CNN
F 3 "" H 10850 4100 50 0001 C CNN
@ -139,10 +139,10 @@ F 3 "" H 10850 4100 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+1V2 #PWR019
L power:+1V2 #PWR018
U 1 1 61088FD2
P 10850 900
F 0 "#PWR019" H 10850 750 50 0001 C CNN
F 0 "#PWR018" H 10850 750 50 0001 C CNN
F 1 "+1V2" V 10865 1028 50 0000 L CNN
F 2 "" H 10850 900 50 0001 C CNN
F 3 "" H 10850 900 50 0001 C CNN
@ -213,10 +213,10 @@ Connection ~ 10550 2000
Wire Wire Line
10550 2000 10550 2100
$Comp
L power:+3V3 #PWR021
L power:+3V3 #PWR020
U 1 1 610FFF53
P 10850 1900
F 0 "#PWR021" H 10850 1750 50 0001 C CNN
F 0 "#PWR020" H 10850 1750 50 0001 C CNN
F 1 "+3V3" V 10865 2028 50 0000 L CNN
F 2 "" H 10850 1900 50 0001 C CNN
F 3 "" H 10850 1900 50 0001 C CNN
@ -224,10 +224,10 @@ F 3 "" H 10850 1900 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+2V5 #PWR020
L power:+2V5 #PWR019
U 1 1 6110673B
P 10850 1600
F 0 "#PWR020" H 10850 1450 50 0001 C CNN
F 0 "#PWR019" H 10850 1450 50 0001 C CNN
F 1 "+2V5" V 10865 1728 50 0000 L CNN
F 2 "" H 10850 1600 50 0001 C CNN
F 3 "" H 10850 1600 50 0001 C CNN
@ -235,10 +235,10 @@ F 3 "" H 10850 1600 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR022
L power:+3V3 #PWR021
U 1 1 6110AE73
P 10850 2350
F 0 "#PWR022" H 10850 2200 50 0001 C CNN
F 0 "#PWR021" H 10850 2200 50 0001 C CNN
F 1 "+3V3" V 10865 2478 50 0000 L CNN
F 2 "" H 10850 2350 50 0001 C CNN
F 3 "" H 10850 2350 50 0001 C CNN
@ -246,10 +246,10 @@ F 3 "" H 10850 2350 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR023
L power:+3V3 #PWR022
U 1 1 6110BA58
P 10850 2700
F 0 "#PWR023" H 10850 2550 50 0001 C CNN
F 0 "#PWR022" H 10850 2550 50 0001 C CNN
F 1 "+3V3" V 10865 2828 50 0000 L CNN
F 2 "" H 10850 2700 50 0001 C CNN
F 3 "" H 10850 2700 50 0001 C CNN
@ -257,10 +257,10 @@ F 3 "" H 10850 2700 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+2V5 #PWR024
L power:+2V5 #PWR023
U 1 1 61110124
P 10850 3150
F 0 "#PWR024" H 10850 3000 50 0001 C CNN
F 0 "#PWR023" H 10850 3000 50 0001 C CNN
F 1 "+2V5" V 10865 3278 50 0000 L CNN
F 2 "" H 10850 3150 50 0001 C CNN
F 3 "" H 10850 3150 50 0001 C CNN
@ -268,10 +268,10 @@ F 3 "" H 10850 3150 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR025
L power:+3V3 #PWR024
U 1 1 611127A5
P 10850 3650
F 0 "#PWR025" H 10850 3500 50 0001 C CNN
F 0 "#PWR024" H 10850 3500 50 0001 C CNN
F 1 "+3V3" V 10865 3778 50 0000 L CNN
F 2 "" H 10850 3650 50 0001 C CNN
F 3 "" H 10850 3650 50 0001 C CNN
@ -299,7 +299,7 @@ L Device:C C8
U 1 1 61137BFE
P 4150 7350
F 0 "C8" H 4265 7396 50 0000 L CNN
F 1 "100nF" H 4265 7305 50 0000 L CNN
F 1 "0.1uF" H 4265 7305 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 4188 7200 50 0001 C CNN
F 3 "~" H 4150 7350 50 0001 C CNN
1 4150 7350
@ -332,7 +332,7 @@ L Device:C C10
U 1 1 6117399C
P 4900 7350
F 0 "C10" H 5015 7396 50 0000 L CNN
F 1 "100nF" H 5015 7305 50 0000 L CNN
F 1 "0.1uF" H 5015 7305 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 4938 7200 50 0001 C CNN
F 3 "~" H 4900 7350 50 0001 C CNN
1 4900 7350
@ -383,10 +383,10 @@ Wire Wire Line
Wire Wire Line
4150 7100 4150 7200
$Comp
L power:+1V2 #PWR013
L power:+1V2 #PWR012
U 1 1 61193177
P 4150 6650
F 0 "#PWR013" H 4150 6500 50 0001 C CNN
F 0 "#PWR012" H 4150 6500 50 0001 C CNN
F 1 "+1V2" V 4165 6778 50 0000 L CNN
F 2 "" H 4150 6650 50 0001 C CNN
F 3 "" H 4150 6650 50 0001 C CNN
@ -394,10 +394,10 @@ F 3 "" H 4150 6650 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+1V2 #PWR014
L power:+1V2 #PWR013
U 1 1 61194D75
P 4900 6650
F 0 "#PWR014" H 4900 6500 50 0001 C CNN
F 0 "#PWR013" H 4900 6500 50 0001 C CNN
F 1 "+1V2" V 4915 6778 50 0000 L CNN
F 2 "" H 4900 6650 50 0001 C CNN
F 3 "" H 4900 6650 50 0001 C CNN
@ -1899,10 +1899,10 @@ Connection ~ 2200 7500
Wire Wire Line
2200 7500 1100 7500
$Comp
L power:GND #PWR010
L power:GND #PWR09
U 1 1 62303F6D
P 2200 7550
F 0 "#PWR010" H 2200 7300 50 0001 C CNN
F 0 "#PWR09" H 2200 7300 50 0001 C CNN
F 1 "GND" H 2205 7377 50 0000 C CNN
F 2 "" H 2200 7550 50 0001 C CNN
F 3 "" H 2200 7550 50 0001 C CNN
@ -1948,10 +1948,10 @@ Wire Wire Line
Wire Wire Line
5850 6950 5900 6950
$Comp
L power:+3V3 #PWR016
L power:+3V3 #PWR015
U 1 1 627E070D
P 6500 6300
F 0 "#PWR016" H 6500 6150 50 0001 C CNN
F 0 "#PWR015" H 6500 6150 50 0001 C CNN
F 1 "+3V3" H 6515 6473 50 0000 C CNN
F 2 "" H 6500 6300 50 0001 C CNN
F 3 "" H 6500 6300 50 0001 C CNN
@ -1959,10 +1959,10 @@ F 3 "" H 6500 6300 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR015
L power:+3V3 #PWR014
U 1 1 627E243D
P 5850 7150
F 0 "#PWR015" H 5850 7000 50 0001 C CNN
F 0 "#PWR014" H 5850 7000 50 0001 C CNN
F 1 "+3V3" H 5865 7323 50 0000 C CNN
F 2 "" H 5850 7150 50 0001 C CNN
F 3 "" H 5850 7150 50 0001 C CNN
@ -1970,10 +1970,10 @@ F 3 "" H 5850 7150 50 0001 C CNN
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR017
L power:GND #PWR016
U 1 1 627E46CA
P 6500 7400
F 0 "#PWR017" H 6500 7150 50 0001 C CNN
F 0 "#PWR016" H 6500 7150 50 0001 C CNN
F 1 "GND" H 6505 7227 50 0000 C CNN
F 2 "" H 6500 7400 50 0001 C CNN
F 3 "" H 6500 7400 50 0001 C CNN
@ -2032,10 +2032,10 @@ Wire Wire Line
Wire Wire Line
9050 6900 8950 6900
$Comp
L power:+3V3 #PWR018
L power:+3V3 #PWR017
U 1 1 62D9CC4C
P 9050 6900
F 0 "#PWR018" H 9050 6750 50 0001 C CNN
F 0 "#PWR017" H 9050 6750 50 0001 C CNN
F 1 "+3V3" V 9065 7028 50 0000 L CNN
F 2 "" H 9050 6900 50 0001 C CNN
F 3 "" H 9050 6900 50 0001 C CNN
@ -2241,86 +2241,6 @@ Wire Wire Line
10450 1700 10500 1700
Text GLabel 2200 6350 1 50 Input ~ 0
+3V3MP
Wire Wire Line
4150 5450 4150 5500
Wire Wire Line
4150 5100 4150 5150
Wire Wire Line
4150 4900 4150 4850
$Comp
L Device:Q_NPN_BEC Q?
U 1 1 61C9A1CC
P 4050 5700
AR Path="/60E3407A/61C9A1CC" Ref="Q?" Part="1"
AR Path="/60C0E996/61C9A1CC" Ref="Q1" Part="1"
F 0 "Q1" H 4240 5746 50 0000 L CNN
F 1 "PMBT3904" H 4240 5655 50 0000 L CNN
F 2 "Package_TO_SOT_SMD:SOT-23" H 4250 5800 50 0001 C CNN
F 3 "~" H 4050 5700 50 0001 C CNN
1 4050 5700
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR012
U 1 1 61C1A434
P 4150 6050
F 0 "#PWR012" H 4150 5800 50 0001 C CNN
F 1 "GND" H 4155 5877 50 0000 C CNN
F 2 "" H 4150 6050 50 0001 C CNN
F 3 "" H 4150 6050 50 0001 C CNN
1 4150 6050
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR011
U 1 1 61B96C01
P 4150 4850
F 0 "#PWR011" H 4150 4700 50 0001 C CNN
F 1 "+3V3" H 4165 5023 50 0000 C CNN
F 2 "" H 4150 4850 50 0001 C CNN
F 3 "" H 4150 4850 50 0001 C CNN
1 4150 4850
1 0 0 -1
$EndComp
$Comp
L Device:LED D4
U 1 1 61B7C071
P 4150 5300
F 0 "D4" V 4189 5182 50 0000 R CNN
F 1 "LED_FPGA" V 4098 5182 50 0000 R CNN
F 2 "LED_SMD:LED_0603_1608Metric" H 4150 5300 50 0001 C CNN
F 3 "~" H 4150 5300 50 0001 C CNN
1 4150 5300
0 -1 -1 0
$EndComp
$Comp
L Device:R_Small R7
U 1 1 61ED30A4
P 4150 5000
F 0 "R7" H 4209 5046 50 0000 L CNN
F 1 "220" H 4209 4955 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 4150 5000 50 0001 C CNN
F 3 "~" H 4150 5000 50 0001 C CNN
1 4150 5000
1 0 0 -1
$EndComp
Wire Wire Line
3850 4600 3750 4600
$Comp
L Device:R_Small R6
U 1 1 623C0DC2
P 3850 5500
F 0 "R6" H 3909 5546 50 0000 L CNN
F 1 "10k" H 3909 5455 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 3850 5500 50 0001 C CNN
F 3 "~" H 3850 5500 50 0001 C CNN
1 3850 5500
1 0 0 -1
$EndComp
Wire Wire Line
3850 5600 3850 5700
Wire Wire Line
3850 5400 3850 4600
$Comp
L TestAutomation:ICE40HX8K-CT256 U3
U 1 1 623CB106
@ -2391,6 +2311,137 @@ F 6 "Lattice Semiconductor" V 9750 3150 50 0001 L BNN "MANUFACTURER"
5 9750 3550
1 0 0 -1
$EndComp
$Comp
L Device:Q_NPN_BEC Q?
U 1 1 61C9A1CC
P 4250 5700
AR Path="/60E3407A/61C9A1CC" Ref="Q?" Part="1"
AR Path="/60C0E996/61C9A1CC" Ref="Q1" Part="1"
F 0 "Q1" H 4440 5746 50 0000 L CNN
F 1 "PMBT3904" H 4440 5655 50 0000 L CNN
F 2 "Package_TO_SOT_SMD:SOT-23" H 4450 5800 50 0001 C CNN
F 3 "~" H 4250 5700 50 0001 C CNN
1 4250 5700
1 0 0 -1
$EndComp
Wire Wire Line
4150 5900 4150 6050
4050 5400 4050 4600
Wire Wire Line
4050 5600 4050 5700
$Comp
L Device:R_Small R6
U 1 1 623C0DC2
P 4050 5500
F 0 "R6" H 4109 5546 50 0000 L CNN
F 1 "10k" H 4109 5455 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 4050 5500 50 0001 C CNN
F 3 "~" H 4050 5500 50 0001 C CNN
1 4050 5500
1 0 0 -1
$EndComp
$Comp
L Device:R_Small R7
U 1 1 61ED30A4
P 4350 5000
F 0 "R7" H 4409 5046 50 0000 L CNN
F 1 "220" H 4409 4955 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 4350 5000 50 0001 C CNN
F 3 "~" H 4350 5000 50 0001 C CNN
1 4350 5000
1 0 0 -1
$EndComp
$Comp
L Device:LED D4
U 1 1 61B7C071
P 4350 5300
F 0 "D4" V 4389 5182 50 0000 R CNN
F 1 "LED_FPGA" V 4298 5182 50 0000 R CNN
F 2 "LED_SMD:LED_0603_1608Metric" H 4350 5300 50 0001 C CNN
F 3 "~" H 4350 5300 50 0001 C CNN
1 4350 5300
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR010
U 1 1 61B96C01
P 4350 4750
F 0 "#PWR010" H 4350 4600 50 0001 C CNN
F 1 "+3V3" H 4365 4923 50 0000 C CNN
F 2 "" H 4350 4750 50 0001 C CNN
F 3 "" H 4350 4750 50 0001 C CNN
1 4350 4750
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR011
U 1 1 61C1A434
P 4350 6050
F 0 "#PWR011" H 4350 5800 50 0001 C CNN
F 1 "GND" H 4355 5877 50 0000 C CNN
F 2 "" H 4350 6050 50 0001 C CNN
F 3 "" H 4350 6050 50 0001 C CNN
1 4350 6050
1 0 0 -1
$EndComp
Wire Wire Line
4350 4900 4350 4850
Wire Wire Line
4350 5100 4350 5150
Wire Wire Line
4350 5450 4350 5500
Wire Wire Line
4350 5900 4350 6000
Wire Wire Line
4350 6000 4350 6050
Connection ~ 4350 6000
Wire Wire Line
3900 6000 4350 6000
Wire Wire Line
4050 4600 3750 4600
Wire Wire Line
2200 7500 2200 7550
Wire Wire Line
3750 4700 3900 4700
$Comp
L Switch:SW_Push SW?
U 1 1 6100CA18
P 3900 5400
AR Path="/60C2FDBB/6100CA18" Ref="SW?" Part="1"
AR Path="/60C0E996/6100CA18" Ref="SW3" Part="1"
F 0 "SW3" H 3900 5685 50 0000 C CNN
F 1 "SW_FPGA" H 3900 5594 50 0000 C CNN
F 2 "Button_Switch_SMD:SW_SPST_SKQG_WithoutStem" H 3900 5600 50 0001 C CNN
F 3 "~" H 3900 5600 50 0001 C CNN
1 3900 5400
0 -1 -1 0
$EndComp
$Comp
L Device:R_Small R134
U 1 1 61AE7ED5
P 4250 5000
F 0 "R134" H 4309 5046 50 0000 L CNN
F 1 "10k" H 4309 4955 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" H 4250 5000 50 0001 C CNN
F 3 "~" H 4250 5000 50 0001 C CNN
1 4250 5000
-1 0 0 1
$EndComp
Wire Wire Line
3900 4700 3900 5150
Wire Wire Line
4250 4900 4250 4850
Wire Wire Line
4250 4850 4350 4850
Wire Wire Line
4350 4850 4350 4750
Connection ~ 4350 4850
Wire Wire Line
4250 5100 4250 5150
Wire Wire Line
4250 5150 3900 5150
Connection ~ 3900 5150
Wire Wire Line
3900 5150 3900 5200
Wire Wire Line
3900 5600 3900 6000
$EndSCHEMATC

View File

@ -37,10 +37,10 @@ Connection ~ 5900 3050
Wire Wire Line
5900 2900 5900 3050
$Comp
L power:GND #PWR07
L power:GND #PWR06
U 1 1 60CCC812
P 5900 2900
F 0 "#PWR07" H 5900 2650 50 0001 C CNN
F 0 "#PWR06" H 5900 2650 50 0001 C CNN
F 1 "GND" H 5905 2727 50 0000 C CNN
F 2 "" H 5900 2900 50 0001 C CNN
F 3 "" H 5900 2900 50 0001 C CNN
@ -146,10 +146,10 @@ F 3 "~" H 3400 3750 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR02
L power:GND #PWR01
U 1 1 614DCD4E
P 3900 5450
F 0 "#PWR02" H 3900 5200 50 0001 C CNN
F 0 "#PWR01" H 3900 5200 50 0001 C CNN
F 1 "GND" H 3905 5277 50 0000 C CNN
F 2 "" H 3900 5450 50 0001 C CNN
F 3 "" H 3900 5450 50 0001 C CNN
@ -292,10 +292,10 @@ F 3 "http://www.ti.com/lit/ds/symlink/opa847.pdf" H 4350 4050 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR03
L power:GND #PWR02
U 1 1 614ABABB
P 5100 4450
F 0 "#PWR03" H 5100 4200 50 0001 C CNN
F 0 "#PWR02" H 5100 4200 50 0001 C CNN
F 1 "GND" V 5105 4322 50 0000 R CNN
F 2 "" H 5100 4450 50 0001 C CNN
F 3 "" H 5100 4450 50 0001 C CNN
@ -307,10 +307,10 @@ Wire Wire Line
Wire Wire Line
9100 3450 9100 3550
$Comp
L power:GND #PWR06
L power:GND #PWR05
U 1 1 614887E8
P 5650 3750
F 0 "#PWR06" H 5650 3500 50 0001 C CNN
F 0 "#PWR05" H 5650 3500 50 0001 C CNN
F 1 "GND" H 5655 3577 50 0000 C CNN
F 2 "" H 5650 3750 50 0001 C CNN
F 3 "" H 5650 3750 50 0001 C CNN
@ -318,10 +318,10 @@ F 3 "" H 5650 3750 50 0001 C CNN
0 1 -1 0
$EndComp
$Comp
L power:GND #PWR09
L power:GND #PWR08
U 1 1 61488143
P 9100 3450
F 0 "#PWR09" H 9100 3200 50 0001 C CNN
F 0 "#PWR08" H 9100 3200 50 0001 C CNN
F 1 "GND" H 9105 3277 50 0000 C CNN
F 2 "" H 9100 3450 50 0001 C CNN
F 3 "" H 9100 3450 50 0001 C CNN
@ -352,7 +352,7 @@ AR Path="/60C2FE2A/610853E4" Ref="L?" Part="1"
AR Path="/60FB17F2/610853E4" Ref="L1" Part="1"
F 0 "L1" V 5790 3550 50 0000 C CNN
F 1 "10uH" V 5699 3550 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 5600 3550 50 0001 C CNN
F 2 "Inductor_SMD:L_0603_1608Metric" H 5600 3550 50 0001 C CNN
F 3 "~" H 5600 3550 50 0001 C CNN
1 5600 3550
0 -1 -1 0
@ -360,10 +360,10 @@ $EndComp
Wire Wire Line
5450 3550 5250 3550
$Comp
L power:+3V3 #PWR04
L power:+3V3 #PWR03
U 1 1 6109D4DF
P 5250 3350
F 0 "#PWR04" H 5250 3200 50 0001 C CNN
F 0 "#PWR03" H 5250 3200 50 0001 C CNN
F 1 "+3V3" H 5265 3523 50 0000 C CNN
F 2 "" H 5250 3350 50 0001 C CNN
F 3 "" H 5250 3350 50 0001 C CNN
@ -372,30 +372,6 @@ F 3 "" H 5250 3350 50 0001 C CNN
$EndComp
Wire Wire Line
5250 3350 5250 3550
$Comp
L Connector_Generic:Conn_01x02 J1
U 1 1 60D22419
P 2600 3850
F 0 "J1" H 2518 3525 50 0000 C CNN
F 1 "HSADC" H 2518 3616 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 2600 3850 50 0001 C CNN
F 3 "~" H 2600 3850 50 0001 C CNN
1 2600 3850
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR01
U 1 1 60D2DAFD
P 2800 4000
F 0 "#PWR01" H 2800 3750 50 0001 C CNN
F 1 "GND" V 2805 3872 50 0000 R CNN
F 2 "" H 2800 4000 50 0001 C CNN
F 3 "" H 2800 4000 50 0001 C CNN
1 2800 4000
1 0 0 -1
$EndComp
Wire Wire Line
2800 4000 2800 3850
Text Label 4450 4150 0 50 ~ 0
+5VA
Text Label 4250 3400 0 50 ~ 0
@ -412,7 +388,7 @@ AR Path="/60C2FE2A/60F6C818" Ref="L?" Part="1"
AR Path="/60FB17F2/60F6C818" Ref="L2" Part="1"
F 0 "L2" V 5990 1500 50 0000 C CNN
F 1 "10uH" V 5899 1500 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 5800 1500 50 0001 C CNN
F 2 "Inductor_SMD:L_0603_1608Metric" H 5800 1500 50 0001 C CNN
F 3 "~" H 5800 1500 50 0001 C CNN
1 5800 1500
0 -1 -1 0
@ -439,10 +415,10 @@ Wire Wire Line
Wire Wire Line
5450 1300 5450 1500
$Comp
L power:GND #PWR08
L power:GND #PWR07
U 1 1 60F6C82E
P 6150 2050
F 0 "#PWR08" H 6150 1800 50 0001 C CNN
F 0 "#PWR07" H 6150 1800 50 0001 C CNN
F 1 "GND" H 6155 1877 50 0000 C CNN
F 2 "" H 6150 2050 50 0001 C CNN
F 3 "" H 6150 2050 50 0001 C CNN
@ -457,10 +433,10 @@ Wire Wire Line
6300 1500 6150 1500
Connection ~ 6150 1500
$Comp
L power:+5V #PWR05
L power:+5V #PWR04
U 1 1 60F71653
P 5450 1300
F 0 "#PWR05" H 5450 1150 50 0001 C CNN
F 0 "#PWR04" H 5450 1150 50 0001 C CNN
F 1 "+5V" H 5465 1473 50 0000 C CNN
F 2 "" H 5450 1300 50 0001 C CNN
F 3 "" H 5450 1300 50 0001 C CNN
@ -482,4 +458,6 @@ F 3 "" H 9050 3550 60 0000 C CNN
$EndComp
Wire Wire Line
2800 3750 3250 3750
Text GLabel 2800 3750 0 50 Input ~ 0
HSADC_IN
$EndSCHEMATC

View File

@ -14,10 +14,10 @@ Comment3 ""
Comment4 ""
$EndDescr
$Comp
L power:GND #PWR027
L power:GND #PWR026
U 1 1 6182D6D6
P 2650 2000
F 0 "#PWR027" H 2650 1750 50 0001 C CNN
F 0 "#PWR026" H 2650 1750 50 0001 C CNN
F 1 "GND" V 2655 1872 50 0000 R CNN
F 2 "" H 2650 2000 50 0001 C CNN
F 3 "" H 2650 2000 50 0001 C CNN
@ -25,10 +25,10 @@ F 3 "" H 2650 2000 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR028
L power:GND #PWR027
U 1 1 6182E7D3
P 2650 2300
F 0 "#PWR028" H 2650 2050 50 0001 C CNN
F 0 "#PWR027" H 2650 2050 50 0001 C CNN
F 1 "GND" V 2655 2172 50 0000 R CNN
F 2 "" H 2650 2300 50 0001 C CNN
F 3 "" H 2650 2300 50 0001 C CNN
@ -36,10 +36,10 @@ F 3 "" H 2650 2300 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR029
L power:GND #PWR028
U 1 1 6182EB3C
P 2650 2600
F 0 "#PWR029" H 2650 2350 50 0001 C CNN
F 0 "#PWR028" H 2650 2350 50 0001 C CNN
F 1 "GND" V 2655 2472 50 0000 R CNN
F 2 "" H 2650 2600 50 0001 C CNN
F 3 "" H 2650 2600 50 0001 C CNN
@ -47,10 +47,10 @@ F 3 "" H 2650 2600 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR030
L power:GND #PWR029
U 1 1 6182F404
P 2650 2900
F 0 "#PWR030" H 2650 2650 50 0001 C CNN
F 0 "#PWR029" H 2650 2650 50 0001 C CNN
F 1 "GND" V 2655 2772 50 0000 R CNN
F 2 "" H 2650 2900 50 0001 C CNN
F 3 "" H 2650 2900 50 0001 C CNN
@ -58,10 +58,10 @@ F 3 "" H 2650 2900 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR031
L power:GND #PWR030
U 1 1 6182FA4D
P 2650 3200
F 0 "#PWR031" H 2650 2950 50 0001 C CNN
F 0 "#PWR030" H 2650 2950 50 0001 C CNN
F 1 "GND" V 2655 3072 50 0000 R CNN
F 2 "" H 2650 3200 50 0001 C CNN
F 3 "" H 2650 3200 50 0001 C CNN
@ -69,10 +69,10 @@ F 3 "" H 2650 3200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR032
L power:GND #PWR031
U 1 1 6182FCDE
P 2650 3500
F 0 "#PWR032" H 2650 3250 50 0001 C CNN
F 0 "#PWR031" H 2650 3250 50 0001 C CNN
F 1 "GND" V 2655 3372 50 0000 R CNN
F 2 "" H 2650 3500 50 0001 C CNN
F 3 "" H 2650 3500 50 0001 C CNN
@ -80,10 +80,10 @@ F 3 "" H 2650 3500 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR033
L power:GND #PWR032
U 1 1 61830555
P 2650 3800
F 0 "#PWR033" H 2650 3550 50 0001 C CNN
F 0 "#PWR032" H 2650 3550 50 0001 C CNN
F 1 "GND" V 2655 3672 50 0000 R CNN
F 2 "" H 2650 3800 50 0001 C CNN
F 3 "" H 2650 3800 50 0001 C CNN
@ -91,10 +91,10 @@ F 3 "" H 2650 3800 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR034
L power:GND #PWR033
U 1 1 61830D1E
P 2650 4100
F 0 "#PWR034" H 2650 3850 50 0001 C CNN
F 0 "#PWR033" H 2650 3850 50 0001 C CNN
F 1 "GND" V 2655 3972 50 0000 R CNN
F 2 "" H 2650 4100 50 0001 C CNN
F 3 "" H 2650 4100 50 0001 C CNN
@ -102,10 +102,10 @@ F 3 "" H 2650 4100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR035
L power:GND #PWR034
U 1 1 61831030
P 2650 4400
F 0 "#PWR035" H 2650 4150 50 0001 C CNN
F 0 "#PWR034" H 2650 4150 50 0001 C CNN
F 1 "GND" V 2655 4272 50 0000 R CNN
F 2 "" H 2650 4400 50 0001 C CNN
F 3 "" H 2650 4400 50 0001 C CNN
@ -182,21 +182,21 @@ Text GLabel 3150 4900 0 50 Input ~ 0
Wire Wire Line
3300 4900 3150 4900
$Comp
L Connector_Generic:Conn_01x30 J3
L Connector_Generic:Conn_01x30 J2
U 1 1 61B237C0
P 5500 3400
F 0 "J3" H 5580 3392 50 0000 L CNN
F 0 "J2" H 5580 3392 50 0000 L CNN
F 1 "EEM1" H 5580 3301 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x15_P2.54mm_Vertical" H 5500 3400 50 0001 C CNN
F 2 "Connector_PinHeader_1.27mm:PinHeader_2x15_P1.27mm_Vertical_SMD" H 5500 3400 50 0001 C CNN
F 3 "~" H 5500 3400 50 0001 C CNN
1 5500 3400
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR036
L power:GND #PWR035
U 1 1 61B237C6
P 4650 2000
F 0 "#PWR036" H 4650 1750 50 0001 C CNN
F 0 "#PWR035" H 4650 1750 50 0001 C CNN
F 1 "GND" V 4655 1872 50 0000 R CNN
F 2 "" H 4650 2000 50 0001 C CNN
F 3 "" H 4650 2000 50 0001 C CNN
@ -204,10 +204,10 @@ F 3 "" H 4650 2000 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR037
L power:GND #PWR036
U 1 1 61B237CC
P 4650 2300
F 0 "#PWR037" H 4650 2050 50 0001 C CNN
F 0 "#PWR036" H 4650 2050 50 0001 C CNN
F 1 "GND" V 4655 2172 50 0000 R CNN
F 2 "" H 4650 2300 50 0001 C CNN
F 3 "" H 4650 2300 50 0001 C CNN
@ -215,10 +215,10 @@ F 3 "" H 4650 2300 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR038
L power:GND #PWR037
U 1 1 61B237D2
P 4650 2600
F 0 "#PWR038" H 4650 2350 50 0001 C CNN
F 0 "#PWR037" H 4650 2350 50 0001 C CNN
F 1 "GND" V 4655 2472 50 0000 R CNN
F 2 "" H 4650 2600 50 0001 C CNN
F 3 "" H 4650 2600 50 0001 C CNN
@ -226,10 +226,10 @@ F 3 "" H 4650 2600 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR039
L power:GND #PWR038
U 1 1 61B237D8
P 4650 2900
F 0 "#PWR039" H 4650 2650 50 0001 C CNN
F 0 "#PWR038" H 4650 2650 50 0001 C CNN
F 1 "GND" V 4655 2772 50 0000 R CNN
F 2 "" H 4650 2900 50 0001 C CNN
F 3 "" H 4650 2900 50 0001 C CNN
@ -237,10 +237,10 @@ F 3 "" H 4650 2900 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR040
L power:GND #PWR039
U 1 1 61B237DE
P 4650 3200
F 0 "#PWR040" H 4650 2950 50 0001 C CNN
F 0 "#PWR039" H 4650 2950 50 0001 C CNN
F 1 "GND" V 4655 3072 50 0000 R CNN
F 2 "" H 4650 3200 50 0001 C CNN
F 3 "" H 4650 3200 50 0001 C CNN
@ -248,10 +248,10 @@ F 3 "" H 4650 3200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR041
L power:GND #PWR040
U 1 1 61B237E4
P 4650 3500
F 0 "#PWR041" H 4650 3250 50 0001 C CNN
F 0 "#PWR040" H 4650 3250 50 0001 C CNN
F 1 "GND" V 4655 3372 50 0000 R CNN
F 2 "" H 4650 3500 50 0001 C CNN
F 3 "" H 4650 3500 50 0001 C CNN
@ -259,10 +259,10 @@ F 3 "" H 4650 3500 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR042
L power:GND #PWR041
U 1 1 61B237EA
P 4650 3800
F 0 "#PWR042" H 4650 3550 50 0001 C CNN
F 0 "#PWR041" H 4650 3550 50 0001 C CNN
F 1 "GND" V 4655 3672 50 0000 R CNN
F 2 "" H 4650 3800 50 0001 C CNN
F 3 "" H 4650 3800 50 0001 C CNN
@ -270,10 +270,10 @@ F 3 "" H 4650 3800 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR043
L power:GND #PWR042
U 1 1 61B237F0
P 4650 4100
F 0 "#PWR043" H 4650 3850 50 0001 C CNN
F 0 "#PWR042" H 4650 3850 50 0001 C CNN
F 1 "GND" V 4655 3972 50 0000 R CNN
F 2 "" H 4650 4100 50 0001 C CNN
F 3 "" H 4650 4100 50 0001 C CNN
@ -281,10 +281,10 @@ F 3 "" H 4650 4100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR044
L power:GND #PWR043
U 1 1 61B237F6
P 4650 4400
F 0 "#PWR044" H 4650 4150 50 0001 C CNN
F 0 "#PWR043" H 4650 4150 50 0001 C CNN
F 1 "GND" V 4655 4272 50 0000 R CNN
F 2 "" H 4650 4400 50 0001 C CNN
F 3 "" H 4650 4400 50 0001 C CNN
@ -397,25 +397,25 @@ Text GLabel 5150 4900 0 50 Input ~ 0
Wire Wire Line
5300 4900 5150 4900
$Comp
L Connector_Generic:Conn_01x30 J4
L Connector_Generic:Conn_01x30 J3
U 1 1 61B2D756
P 7400 3400
AR Path="/60CB9D41/61B2D756" Ref="J4" Part="1"
AR Path="/60CB9D41/61B2D756" Ref="J3" Part="1"
AR Path="/60FB17F2/61B2D756" Ref="J?" Part="1"
F 0 "J4" H 7480 3392 50 0000 L CNN
F 0 "J3" H 7480 3392 50 0000 L CNN
F 1 "EEM2" H 7480 3301 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x15_P2.54mm_Vertical" H 7400 3400 50 0001 C CNN
F 2 "Connector_PinHeader_1.27mm:PinHeader_2x15_P1.27mm_Vertical_SMD" H 7400 3400 50 0001 C CNN
F 3 "~" H 7400 3400 50 0001 C CNN
1 7400 3400
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR045
L power:GND #PWR044
U 1 1 61B2D75C
P 6550 2000
AR Path="/60CB9D41/61B2D75C" Ref="#PWR045" Part="1"
AR Path="/60CB9D41/61B2D75C" Ref="#PWR044" Part="1"
AR Path="/60FB17F2/61B2D75C" Ref="#PWR?" Part="1"
F 0 "#PWR045" H 6550 1750 50 0001 C CNN
F 0 "#PWR044" H 6550 1750 50 0001 C CNN
F 1 "GND" V 6555 1872 50 0000 R CNN
F 2 "" H 6550 2000 50 0001 C CNN
F 3 "" H 6550 2000 50 0001 C CNN
@ -423,12 +423,12 @@ F 3 "" H 6550 2000 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR046
L power:GND #PWR045
U 1 1 61B2D762
P 6550 2300
AR Path="/60CB9D41/61B2D762" Ref="#PWR046" Part="1"
AR Path="/60CB9D41/61B2D762" Ref="#PWR045" Part="1"
AR Path="/60FB17F2/61B2D762" Ref="#PWR?" Part="1"
F 0 "#PWR046" H 6550 2050 50 0001 C CNN
F 0 "#PWR045" H 6550 2050 50 0001 C CNN
F 1 "GND" V 6555 2172 50 0000 R CNN
F 2 "" H 6550 2300 50 0001 C CNN
F 3 "" H 6550 2300 50 0001 C CNN
@ -436,12 +436,12 @@ F 3 "" H 6550 2300 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR047
L power:GND #PWR046
U 1 1 61B2D768
P 6550 2600
AR Path="/60CB9D41/61B2D768" Ref="#PWR047" Part="1"
AR Path="/60CB9D41/61B2D768" Ref="#PWR046" Part="1"
AR Path="/60FB17F2/61B2D768" Ref="#PWR?" Part="1"
F 0 "#PWR047" H 6550 2350 50 0001 C CNN
F 0 "#PWR046" H 6550 2350 50 0001 C CNN
F 1 "GND" V 6555 2472 50 0000 R CNN
F 2 "" H 6550 2600 50 0001 C CNN
F 3 "" H 6550 2600 50 0001 C CNN
@ -449,12 +449,12 @@ F 3 "" H 6550 2600 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR048
L power:GND #PWR047
U 1 1 61B2D76E
P 6550 2900
AR Path="/60CB9D41/61B2D76E" Ref="#PWR048" Part="1"
AR Path="/60CB9D41/61B2D76E" Ref="#PWR047" Part="1"
AR Path="/60FB17F2/61B2D76E" Ref="#PWR?" Part="1"
F 0 "#PWR048" H 6550 2650 50 0001 C CNN
F 0 "#PWR047" H 6550 2650 50 0001 C CNN
F 1 "GND" V 6555 2772 50 0000 R CNN
F 2 "" H 6550 2900 50 0001 C CNN
F 3 "" H 6550 2900 50 0001 C CNN
@ -462,12 +462,12 @@ F 3 "" H 6550 2900 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR049
L power:GND #PWR048
U 1 1 61B2D774
P 6550 3200
AR Path="/60CB9D41/61B2D774" Ref="#PWR049" Part="1"
AR Path="/60CB9D41/61B2D774" Ref="#PWR048" Part="1"
AR Path="/60FB17F2/61B2D774" Ref="#PWR?" Part="1"
F 0 "#PWR049" H 6550 2950 50 0001 C CNN
F 0 "#PWR048" H 6550 2950 50 0001 C CNN
F 1 "GND" V 6555 3072 50 0000 R CNN
F 2 "" H 6550 3200 50 0001 C CNN
F 3 "" H 6550 3200 50 0001 C CNN
@ -475,12 +475,12 @@ F 3 "" H 6550 3200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR050
L power:GND #PWR049
U 1 1 61B2D77A
P 6550 3500
AR Path="/60CB9D41/61B2D77A" Ref="#PWR050" Part="1"
AR Path="/60CB9D41/61B2D77A" Ref="#PWR049" Part="1"
AR Path="/60FB17F2/61B2D77A" Ref="#PWR?" Part="1"
F 0 "#PWR050" H 6550 3250 50 0001 C CNN
F 0 "#PWR049" H 6550 3250 50 0001 C CNN
F 1 "GND" V 6555 3372 50 0000 R CNN
F 2 "" H 6550 3500 50 0001 C CNN
F 3 "" H 6550 3500 50 0001 C CNN
@ -488,12 +488,12 @@ F 3 "" H 6550 3500 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR051
L power:GND #PWR050
U 1 1 61B2D780
P 6550 3800
AR Path="/60CB9D41/61B2D780" Ref="#PWR051" Part="1"
AR Path="/60CB9D41/61B2D780" Ref="#PWR050" Part="1"
AR Path="/60FB17F2/61B2D780" Ref="#PWR?" Part="1"
F 0 "#PWR051" H 6550 3550 50 0001 C CNN
F 0 "#PWR050" H 6550 3550 50 0001 C CNN
F 1 "GND" V 6555 3672 50 0000 R CNN
F 2 "" H 6550 3800 50 0001 C CNN
F 3 "" H 6550 3800 50 0001 C CNN
@ -501,12 +501,12 @@ F 3 "" H 6550 3800 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR052
L power:GND #PWR051
U 1 1 61B2D786
P 6550 4100
AR Path="/60CB9D41/61B2D786" Ref="#PWR052" Part="1"
AR Path="/60CB9D41/61B2D786" Ref="#PWR051" Part="1"
AR Path="/60FB17F2/61B2D786" Ref="#PWR?" Part="1"
F 0 "#PWR052" H 6550 3850 50 0001 C CNN
F 0 "#PWR051" H 6550 3850 50 0001 C CNN
F 1 "GND" V 6555 3972 50 0000 R CNN
F 2 "" H 6550 4100 50 0001 C CNN
F 3 "" H 6550 4100 50 0001 C CNN
@ -514,12 +514,12 @@ F 3 "" H 6550 4100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR053
L power:GND #PWR052
U 1 1 61B2D78C
P 6550 4400
AR Path="/60CB9D41/61B2D78C" Ref="#PWR053" Part="1"
AR Path="/60CB9D41/61B2D78C" Ref="#PWR052" Part="1"
AR Path="/60FB17F2/61B2D78C" Ref="#PWR?" Part="1"
F 0 "#PWR053" H 6550 4150 50 0001 C CNN
F 0 "#PWR052" H 6550 4150 50 0001 C CNN
F 1 "GND" V 6555 4272 50 0000 R CNN
F 2 "" H 6550 4400 50 0001 C CNN
F 3 "" H 6550 4400 50 0001 C CNN
@ -631,17 +631,6 @@ Wire Wire Line
7200 4900 7050 4900
Text HLabel 7050 4600 0 50 Input ~ 0
EEM2_IIC_SCL
$Comp
L Connector_Generic:Conn_02x16_Counter_Clockwise J5
U 1 1 62B89A4D
P 9350 2750
F 0 "J5" H 9400 3667 50 0000 C CNN
F 1 "FPGA_IO" H 9400 3576 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x16_P2.54mm_Vertical" H 9350 2750 50 0001 C CNN
F 3 "~" H 9350 2750 50 0001 C CNN
1 9350 2750
1 0 0 -1
$EndComp
Text GLabel 3050 4750 0 50 Input ~ 0
+12V_OUT
Text GLabel 5050 4750 0 50 Input ~ 0
@ -681,10 +670,10 @@ FPGA_IO14
Text HLabel 9850 3550 2 50 Input ~ 0
FPGA_IO15
$Comp
L power:GND #PWR054
L power:GND #PWR053
U 1 1 62C1F019
P 8800 2150
F 0 "#PWR054" H 8800 1900 50 0001 C CNN
F 0 "#PWR053" H 8800 1900 50 0001 C CNN
F 1 "GND" V 8805 2022 50 0000 R CNN
F 2 "" H 8800 2150 50 0001 C CNN
F 3 "" H 8800 2150 50 0001 C CNN
@ -692,10 +681,10 @@ F 3 "" H 8800 2150 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR055
L power:GND #PWR054
U 1 1 62C1FF0B
P 8800 2350
F 0 "#PWR055" H 8800 2100 50 0001 C CNN
F 0 "#PWR054" H 8800 2100 50 0001 C CNN
F 1 "GND" V 8805 2222 50 0000 R CNN
F 2 "" H 8800 2350 50 0001 C CNN
F 3 "" H 8800 2350 50 0001 C CNN
@ -703,10 +692,10 @@ F 3 "" H 8800 2350 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR056
L power:GND #PWR055
U 1 1 62C2399D
P 8800 2550
F 0 "#PWR056" H 8800 2300 50 0001 C CNN
F 0 "#PWR055" H 8800 2300 50 0001 C CNN
F 1 "GND" V 8805 2422 50 0000 R CNN
F 2 "" H 8800 2550 50 0001 C CNN
F 3 "" H 8800 2550 50 0001 C CNN
@ -714,10 +703,10 @@ F 3 "" H 8800 2550 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR057
L power:GND #PWR056
U 1 1 62C273A8
P 8800 2750
F 0 "#PWR057" H 8800 2500 50 0001 C CNN
F 0 "#PWR056" H 8800 2500 50 0001 C CNN
F 1 "GND" V 8805 2622 50 0000 R CNN
F 2 "" H 8800 2750 50 0001 C CNN
F 3 "" H 8800 2750 50 0001 C CNN
@ -725,10 +714,10 @@ F 3 "" H 8800 2750 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR058
L power:GND #PWR057
U 1 1 62C2AE1D
P 8800 2950
F 0 "#PWR058" H 8800 2700 50 0001 C CNN
F 0 "#PWR057" H 8800 2700 50 0001 C CNN
F 1 "GND" V 8805 2822 50 0000 R CNN
F 2 "" H 8800 2950 50 0001 C CNN
F 3 "" H 8800 2950 50 0001 C CNN
@ -736,10 +725,10 @@ F 3 "" H 8800 2950 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR059
L power:GND #PWR058
U 1 1 62C2E883
P 8800 3150
F 0 "#PWR059" H 8800 2900 50 0001 C CNN
F 0 "#PWR058" H 8800 2900 50 0001 C CNN
F 1 "GND" V 8805 3022 50 0000 R CNN
F 2 "" H 8800 3150 50 0001 C CNN
F 3 "" H 8800 3150 50 0001 C CNN
@ -747,10 +736,10 @@ F 3 "" H 8800 3150 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR060
L power:GND #PWR059
U 1 1 62C3231D
P 8800 3350
F 0 "#PWR060" H 8800 3100 50 0001 C CNN
F 0 "#PWR059" H 8800 3100 50 0001 C CNN
F 1 "GND" V 8805 3222 50 0000 R CNN
F 2 "" H 8800 3350 50 0001 C CNN
F 3 "" H 8800 3350 50 0001 C CNN
@ -758,10 +747,10 @@ F 3 "" H 8800 3350 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR061
L power:GND #PWR060
U 1 1 62C35DE2
P 8800 3550
F 0 "#PWR061" H 8800 3300 50 0001 C CNN
F 0 "#PWR060" H 8800 3300 50 0001 C CNN
F 1 "GND" V 8805 3422 50 0000 R CNN
F 2 "" H 8800 3550 50 0001 C CNN
F 3 "" H 8800 3550 50 0001 C CNN
@ -769,10 +758,10 @@ F 3 "" H 8800 3550 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR062
L power:+3V3 #PWR061
U 1 1 62C41A06
P 9900 2050
F 0 "#PWR062" H 9900 1900 50 0001 C CNN
F 0 "#PWR061" H 9900 1900 50 0001 C CNN
F 1 "+3V3" V 9915 2178 50 0000 L CNN
F 2 "" H 9900 2050 50 0001 C CNN
F 3 "" H 9900 2050 50 0001 C CNN
@ -780,10 +769,10 @@ F 3 "" H 9900 2050 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR063
L power:+3V3 #PWR062
U 1 1 62C42942
P 9900 2250
F 0 "#PWR063" H 9900 2100 50 0001 C CNN
F 0 "#PWR062" H 9900 2100 50 0001 C CNN
F 1 "+3V3" V 9915 2378 50 0000 L CNN
F 2 "" H 9900 2250 50 0001 C CNN
F 3 "" H 9900 2250 50 0001 C CNN
@ -791,10 +780,10 @@ F 3 "" H 9900 2250 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR064
L power:+3V3 #PWR063
U 1 1 62C464FC
P 9900 2450
F 0 "#PWR064" H 9900 2300 50 0001 C CNN
F 0 "#PWR063" H 9900 2300 50 0001 C CNN
F 1 "+3V3" V 9915 2578 50 0000 L CNN
F 2 "" H 9900 2450 50 0001 C CNN
F 3 "" H 9900 2450 50 0001 C CNN
@ -802,10 +791,10 @@ F 3 "" H 9900 2450 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR065
L power:+3V3 #PWR064
U 1 1 62C4A0DB
P 9900 2650
F 0 "#PWR065" H 9900 2500 50 0001 C CNN
F 0 "#PWR064" H 9900 2500 50 0001 C CNN
F 1 "+3V3" V 9915 2778 50 0000 L CNN
F 2 "" H 9900 2650 50 0001 C CNN
F 3 "" H 9900 2650 50 0001 C CNN
@ -813,10 +802,10 @@ F 3 "" H 9900 2650 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR066
L power:+3V3 #PWR065
U 1 1 62C4DBD5
P 9900 2850
F 0 "#PWR066" H 9900 2700 50 0001 C CNN
F 0 "#PWR065" H 9900 2700 50 0001 C CNN
F 1 "+3V3" V 9915 2978 50 0000 L CNN
F 2 "" H 9900 2850 50 0001 C CNN
F 3 "" H 9900 2850 50 0001 C CNN
@ -824,10 +813,10 @@ F 3 "" H 9900 2850 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR067
L power:+3V3 #PWR066
U 1 1 62C516A9
P 9900 3050
F 0 "#PWR067" H 9900 2900 50 0001 C CNN
F 0 "#PWR066" H 9900 2900 50 0001 C CNN
F 1 "+3V3" V 9915 3178 50 0000 L CNN
F 2 "" H 9900 3050 50 0001 C CNN
F 3 "" H 9900 3050 50 0001 C CNN
@ -835,10 +824,10 @@ F 3 "" H 9900 3050 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR068
L power:+3V3 #PWR067
U 1 1 62C552AF
P 9900 3250
F 0 "#PWR068" H 9900 3100 50 0001 C CNN
F 0 "#PWR067" H 9900 3100 50 0001 C CNN
F 1 "+3V3" V 9915 3378 50 0000 L CNN
F 2 "" H 9900 3250 50 0001 C CNN
F 3 "" H 9900 3250 50 0001 C CNN
@ -846,10 +835,10 @@ F 3 "" H 9900 3250 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR069
L power:+3V3 #PWR068
U 1 1 62C58DBB
P 9900 3450
F 0 "#PWR069" H 9900 3300 50 0001 C CNN
F 0 "#PWR068" H 9900 3300 50 0001 C CNN
F 1 "+3V3" V 9915 3578 50 0000 L CNN
F 2 "" H 9900 3450 50 0001 C CNN
F 3 "" H 9900 3450 50 0001 C CNN
@ -957,14 +946,94 @@ EEM0_0_N
Text HLabel 3150 2100 0 50 Input ~ 0
EEM0_0_P
$Comp
L Connector_Generic:Conn_01x30 J2
L Connector_Generic:Conn_01x30 J1
U 1 1 6182545F
P 3500 3400
F 0 "J2" H 3580 3392 50 0000 L CNN
F 0 "J1" H 3580 3392 50 0000 L CNN
F 1 "EEM0" H 3580 3301 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x15_P2.54mm_Vertical" H 3500 3400 50 0001 C CNN
F 2 "Connector_PinHeader_1.27mm:PinHeader_2x15_P1.27mm_Vertical_SMD" H 3500 3400 50 0001 C CNN
F 3 "~" H 3500 3400 50 0001 C CNN
1 3500 3400
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x16_Odd_Even J4
U 1 1 616895B5
P 9350 2750
F 0 "J4" H 9400 3667 50 0000 C CNN
F 1 "FPGA_IO" H 9400 3576 50 0000 C CNN
F 2 "Connector_PinHeader_1.27mm:PinHeader_2x16_P1.27mm_Vertical_SMD" H 9350 2750 50 0001 C CNN
F 3 "~" H 9350 2750 50 0001 C CNN
1 9350 2750
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x05_Odd_Even J?
U 1 1 61EC2C7C
P 9150 4400
F 0 "J?" H 9200 4817 50 0000 C CNN
F 1 "FPGA_Config_Flash" H 9200 4726 50 0000 C CNN
F 2 "" H 9150 4400 50 0001 C CNN
F 3 "~" H 9150 4400 50 0001 C CNN
1 9150 4400
1 0 0 -1
$EndComp
Wire Wire Line
9450 4200 9600 4200
Wire Wire Line
9450 4300 9600 4300
Wire Wire Line
8950 4200 8800 4200
Wire Wire Line
8800 4300 8950 4300
Wire Wire Line
8800 4600 8950 4600
Wire Wire Line
9450 4600 9600 4600
$Comp
L power:GND #PWR?
U 1 1 61F2BA19
P 8800 4400
F 0 "#PWR?" H 8800 4150 50 0001 C CNN
F 1 "GND" V 8805 4272 50 0000 R CNN
F 2 "" H 8800 4400 50 0001 C CNN
F 3 "" H 8800 4400 50 0001 C CNN
1 8800 4400
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 61F2CB73
P 9600 4400
F 0 "#PWR?" H 9600 4250 50 0001 C CNN
F 1 "+3V3" V 9615 4528 50 0000 L CNN
F 2 "" H 9600 4400 50 0001 C CNN
F 3 "" H 9600 4400 50 0001 C CNN
1 9600 4400
0 1 1 0
$EndComp
Wire Wire Line
9600 4400 9450 4400
Wire Wire Line
8950 4400 8800 4400
Text HLabel 8800 4200 0 50 Input ~ 0
FPGA_SS
Text HLabel 8800 4300 0 50 Input ~ 0
FPGA_SDI
Text HLabel 8800 4600 0 50 Input ~ 0
FPGA_CRESET
Text HLabel 9600 4200 2 50 Input ~ 0
FPGA_SDO
Text HLabel 9600 4300 2 50 Input ~ 0
FPGA_SCK
Text HLabel 9600 4600 2 50 Input ~ 0
FPGA_CDONE
Text HLabel 8800 4500 0 50 Input ~ 0
FPGA_CSBSEL0
Text HLabel 9600 4500 2 50 Input ~ 0
FPGA_CSBSEL1
Wire Wire Line
9600 4500 9450 4500
Wire Wire Line
8800 4500 8950 4500
$EndSCHEMATC

181
MCU.sch
View File

@ -58,10 +58,10 @@ F 3 "~" H 1900 2700 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0116
L power:GND #PWR0115
U 1 1 618CA8E9
P 2650 2500
F 0 "#PWR0116" H 2650 2250 50 0001 C CNN
F 0 "#PWR0115" H 2650 2250 50 0001 C CNN
F 1 "GND" H 2655 2327 50 0000 C CNN
F 2 "" H 2650 2500 50 0001 C CNN
F 3 "" H 2650 2500 50 0001 C CNN
@ -69,10 +69,10 @@ F 3 "" H 2650 2500 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:GND #PWR0113
L power:GND #PWR0112
U 1 1 618CB041
P 1500 2500
F 0 "#PWR0113" H 1500 2250 50 0001 C CNN
F 0 "#PWR0112" H 1500 2250 50 0001 C CNN
F 1 "GND" V 1505 2372 50 0000 R CNN
F 2 "" H 1500 2500 50 0001 C CNN
F 3 "" H 1500 2500 50 0001 C CNN
@ -139,10 +139,10 @@ F 3 "~" H 2350 1750 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0115
L power:GND #PWR0114
U 1 1 618E2873
P 2450 1950
F 0 "#PWR0115" H 2450 1700 50 0001 C CNN
F 0 "#PWR0114" H 2450 1700 50 0001 C CNN
F 1 "GND" V 2455 1822 50 0000 R CNN
F 2 "" H 2450 1950 50 0001 C CNN
F 3 "" H 2450 1950 50 0001 C CNN
@ -150,10 +150,10 @@ F 3 "" H 2450 1950 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR0114
L power:+3V3 #PWR0113
U 1 1 618E3269
P 2000 1750
F 0 "#PWR0114" H 2000 1600 50 0001 C CNN
F 0 "#PWR0113" H 2000 1600 50 0001 C CNN
F 1 "+3V3" V 2015 1878 50 0000 L CNN
F 2 "" H 2000 1750 50 0001 C CNN
F 3 "" H 2000 1750 50 0001 C CNN
@ -241,10 +241,10 @@ Wire Wire Line
4400 7050 4300 7050
Connection ~ 4300 7050
$Comp
L power:GND #PWR0120
L power:GND #PWR0119
U 1 1 618F6928
P 4400 7200
F 0 "#PWR0120" H 4400 6950 50 0001 C CNN
F 0 "#PWR0119" H 4400 6950 50 0001 C CNN
F 1 "GND" H 4405 7027 50 0000 C CNN
F 2 "" H 4400 7200 50 0001 C CNN
F 3 "" H 4400 7200 50 0001 C CNN
@ -255,10 +255,10 @@ Wire Wire Line
4400 7200 4400 7050
Connection ~ 4400 7050
$Comp
L power:+3V3 #PWR0119
L power:+3V3 #PWR0118
U 1 1 618FA8BE
P 3900 1200
F 0 "#PWR0119" H 3900 1050 50 0001 C CNN
F 0 "#PWR0118" H 3900 1050 50 0001 C CNN
F 1 "+3V3" H 3915 1373 50 0000 C CNN
F 2 "" H 3900 1200 50 0001 C CNN
F 3 "" H 3900 1200 50 0001 C CNN
@ -269,10 +269,10 @@ Wire Wire Line
3900 1200 3900 1350
Connection ~ 3900 1350
$Comp
L power:GND #PWR0117
L power:GND #PWR0116
U 1 1 61909F09
P 2950 2250
F 0 "#PWR0117" H 2950 2000 50 0001 C CNN
F 0 "#PWR0116" H 2950 2000 50 0001 C CNN
F 1 "GND" V 2955 2122 50 0000 R CNN
F 2 "" H 2950 2250 50 0001 C CNN
F 3 "" H 2950 2250 50 0001 C CNN
@ -553,7 +553,7 @@ AR Path="/60E4702B/6115CE70" Ref="L?" Part="1"
AR Path="/60C2FDBB/6115CE70" Ref="L6" Part="1"
F 0 "L6" V 6140 1300 50 0000 C CNN
F 1 "10uH" V 6049 1300 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 5950 1300 50 0001 C CNN
F 2 "Inductor_SMD:L_0603_1608Metric" H 5950 1300 50 0001 C CNN
F 3 "~" H 5950 1300 50 0001 C CNN
1 5950 1300
0 -1 -1 0
@ -585,8 +585,8 @@ U 1 1 6115CE7F
P 5600 1100
AR Path="/60FB17F2/6115CE7F" Ref="#PWR?" Part="1"
AR Path="/60E4702B/6115CE7F" Ref="#PWR?" Part="1"
AR Path="/60C2FDBB/6115CE7F" Ref="#PWR0121" Part="1"
F 0 "#PWR0121" H 5600 950 50 0001 C CNN
AR Path="/60C2FDBB/6115CE7F" Ref="#PWR0120" Part="1"
F 0 "#PWR0120" H 5600 950 50 0001 C CNN
F 1 "+3V3" H 5615 1273 50 0000 C CNN
F 2 "" H 5600 1100 50 0001 C CNN
F 3 "" H 5600 1100 50 0001 C CNN
@ -605,8 +605,8 @@ L power:GND #PWR?
U 1 1 6115CE89
P 6300 1750
AR Path="/60E4702B/6115CE89" Ref="#PWR?" Part="1"
AR Path="/60C2FDBB/6115CE89" Ref="#PWR0122" Part="1"
F 0 "#PWR0122" H 6300 1500 50 0001 C CNN
AR Path="/60C2FDBB/6115CE89" Ref="#PWR0121" Part="1"
F 0 "#PWR0121" H 6300 1500 50 0001 C CNN
F 1 "GND" H 6305 1577 50 0000 C CNN
F 2 "" H 6300 1750 50 0001 C CNN
F 3 "" H 6300 1750 50 0001 C CNN
@ -640,10 +640,10 @@ Wire Wire Line
Wire Wire Line
7600 2150 7700 2150
$Comp
L power:GND #PWR0129
L power:GND #PWR0128
U 1 1 60DB7DAC
P 7600 2200
F 0 "#PWR0129" H 7600 1950 50 0001 C CNN
F 0 "#PWR0128" H 7600 1950 50 0001 C CNN
F 1 "GND" H 7605 2027 50 0000 C CNN
F 2 "" H 7600 2200 50 0001 C CNN
F 3 "" H 7600 2200 50 0001 C CNN
@ -651,10 +651,10 @@ F 3 "" H 7600 2200 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0128
L power:+3V3 #PWR0127
U 1 1 60DB8480
P 7600 1900
F 0 "#PWR0128" H 7600 1750 50 0001 C CNN
F 0 "#PWR0127" H 7600 1750 50 0001 C CNN
F 1 "+3V3" H 7615 2073 50 0000 C CNN
F 2 "" H 7600 1900 50 0001 C CNN
F 3 "" H 7600 1900 50 0001 C CNN
@ -716,35 +716,19 @@ Text HLabel 5400 3450 2 50 Input ~ 0
CPU_ADC8
Wire Wire Line
5400 3450 5000 3450
$Comp
L Connector_Generic:Conn_02x10_Counter_Clockwise J9
U 1 1 6103F22E
P 8200 5050
F 0 "J9" H 8250 5667 50 0000 C CNN
F 1 "Analog" H 8250 5576 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x10_P2.54mm_Vertical" H 8200 5050 50 0001 C CNN
F 3 "~" H 8200 5050 50 0001 C CNN
1 8200 5050
1 0 0 -1
$EndComp
Wire Wire Line
8500 4650 8600 4650
8500 4550 8600 4550
Wire Wire Line
8600 5550 8500 5550
Wire Wire Line
8500 5450 8600 5450
Connection ~ 8600 5450
Wire Wire Line
8600 5450 8600 5550
8600 5450 8500 5450
Wire Wire Line
8500 5350 8600 5350
Wire Wire Line
8600 4650 8600 4750
Connection ~ 8600 5350
Wire Wire Line
8600 5350 8600 5450
Wire Wire Line
8500 5250 8600 5250
Wire Wire Line
8600 4550 8600 4650
Connection ~ 8600 5250
Wire Wire Line
8600 5250 8600 5350
@ -768,11 +752,16 @@ Wire Wire Line
Connection ~ 8600 4850
Wire Wire Line
8600 4850 8600 4950
Wire Wire Line
8500 4750 8600 4750
Connection ~ 8600 4750
Wire Wire Line
8600 4750 8600 4850
Connection ~ 8600 4650
Wire Wire Line
8500 4750 8600 4750
8600 4650 8600 4750
Wire Wire Line
8500 4650 8600 4650
Text Label 7800 4650 0 50 ~ 0
ADC0
Text Label 7800 4750 0 50 ~ 0
@ -894,10 +883,10 @@ Wire Wire Line
Wire Wire Line
8500 3000 9050 3000
$Comp
L power:GND #PWR0127
L power:GND #PWR0126
U 1 1 613D17DE
P 7500 4100
F 0 "#PWR0127" H 7500 3850 50 0001 C CNN
F 0 "#PWR0126" H 7500 3850 50 0001 C CNN
F 1 "GND" V 7505 3972 50 0000 R CNN
F 2 "" H 7500 4100 50 0001 C CNN
F 3 "" H 7500 4100 50 0001 C CNN
@ -905,10 +894,10 @@ F 3 "" H 7500 4100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0126
L power:GND #PWR0125
U 1 1 613D1CE9
P 7500 3800
F 0 "#PWR0126" H 7500 3550 50 0001 C CNN
F 0 "#PWR0125" H 7500 3550 50 0001 C CNN
F 1 "GND" V 7505 3672 50 0000 R CNN
F 2 "" H 7500 3800 50 0001 C CNN
F 3 "" H 7500 3800 50 0001 C CNN
@ -916,10 +905,10 @@ F 3 "" H 7500 3800 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0125
L power:GND #PWR0124
U 1 1 613D2209
P 7500 3500
F 0 "#PWR0125" H 7500 3250 50 0001 C CNN
F 0 "#PWR0124" H 7500 3250 50 0001 C CNN
F 1 "GND" V 7505 3372 50 0000 R CNN
F 2 "" H 7500 3500 50 0001 C CNN
F 3 "" H 7500 3500 50 0001 C CNN
@ -949,10 +938,10 @@ F 3 "" H 9050 3900 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR0124
L power:+3V3 #PWR0123
U 1 1 613D3ACA
P 7500 3000
F 0 "#PWR0124" H 7500 2850 50 0001 C CNN
F 0 "#PWR0123" H 7500 2850 50 0001 C CNN
F 1 "+3V3" V 7515 3128 50 0000 L CNN
F 2 "" H 7500 3000 50 0001 C CNN
F 3 "" H 7500 3000 50 0001 C CNN
@ -1004,17 +993,6 @@ F 3 "" H 9050 4100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Connector_Generic:Conn_02x12_Counter_Clockwise J8
U 1 1 6142A963
P 8200 3500
F 0 "J8" H 8250 4217 50 0000 C CNN
F 1 "IO" H 8250 4126 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x12_P2.54mm_Vertical" H 8200 3500 50 0001 C CNN
F 3 "~" H 8200 3500 50 0001 C CNN
1 8200 3500
1 0 0 -1
$EndComp
$Comp
L Device:LED D21
U 1 1 62108C04
P 6450 5150
@ -1037,10 +1015,10 @@ F 3 "~" H 6850 5150 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0123
L power:GND #PWR0122
U 1 1 6210B2EE
P 7100 5400
F 0 "#PWR0123" H 7100 5150 50 0001 C CNN
F 0 "#PWR0122" H 7100 5150 50 0001 C CNN
F 1 "GND" H 7105 5227 50 0000 C CNN
F 2 "" H 7100 5400 50 0001 C CNN
F 3 "" H 7100 5400 50 0001 C CNN
@ -1066,24 +1044,13 @@ F 3 "~" H 3100 1300 50 0001 C CNN
1 3100 1100
1 0 0 -1
$EndComp
$Comp
L Switch:SW_Push SW2
U 1 1 62CCB907
P 6100 5250
F 0 "SW2" H 6100 5535 50 0000 C CNN
F 1 "SW_USER" H 6100 5444 50 0000 C CNN
F 2 "Button_Switch_SMD:SW_SPST_SKQG_WithoutStem" H 6100 5450 50 0001 C CNN
F 3 "~" H 6100 5450 50 0001 C CNN
1 6100 5250
-1 0 0 1
$EndComp
Text HLabel 2100 1400 0 50 Input ~ 0
CPU_RESET
$Comp
L power:GND #PWR0118
L power:GND #PWR0117
U 1 1 62D1B098
P 3450 1250
F 0 "#PWR0118" H 3450 1000 50 0001 C CNN
F 0 "#PWR0117" H 3450 1000 50 0001 C CNN
F 1 "GND" H 3455 1077 50 0000 C CNN
F 2 "" H 3450 1250 50 0001 C CNN
F 3 "" H 3450 1250 50 0001 C CNN
@ -1163,10 +1130,10 @@ NoConn ~ 5000 5450
NoConn ~ 3000 5350
NoConn ~ 5000 4050
$Comp
L TestAutomation:FTSH-105-XX-X-DV J7
L TestAutomation:FTSH-105-XX-X-DV J6
U 1 1 6258FCEE
P 8200 2150
F 0 "J7" H 8200 2617 50 0000 C CNN
F 0 "J6" H 8200 2617 50 0000 C CNN
F 1 "SWD" H 8200 2526 50 0000 C CNN
F 2 "TestAutomation:SAMTEC_FTSH-105-XX-X-DV" H 8200 2150 50 0001 L BNN
F 3 "" H 8200 2150 50 0001 L BNN
@ -1176,4 +1143,58 @@ F 6 "Samtec" H 8200 2150 50 0001 L BNN "MANUFACTURER"
1 8200 2150
1 0 0 -1
$EndComp
Text GLabel 8000 4550 0 50 Input ~ 0
HSADC_IN
$Comp
L power:GND #PWR0129
U 1 1 60FB66EA
P 8600 5600
F 0 "#PWR0129" H 8600 5350 50 0001 C CNN
F 1 "GND" H 8605 5427 50 0000 C CNN
F 2 "" H 8600 5600 50 0001 C CNN
F 3 "" H 8600 5600 50 0001 C CNN
1 8600 5600
1 0 0 -1
$EndComp
Wire Wire Line
8600 5600 8600 5550
Connection ~ 8600 5450
Wire Wire Line
8500 5550 8600 5550
Connection ~ 8600 5550
Wire Wire Line
8600 5550 8600 5450
$Comp
L Connector_Generic:Conn_02x12_Odd_Even J7
U 1 1 616A40D6
P 8200 3500
F 0 "J7" H 8250 4217 50 0000 C CNN
F 1 "CPU_IO" H 8250 4126 50 0000 C CNN
F 2 "Connector_PinHeader_1.27mm:PinHeader_2x12_P1.27mm_Vertical_SMD" H 8200 3500 50 0001 C CNN
F 3 "~" H 8200 3500 50 0001 C CNN
1 8200 3500
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x11_Odd_Even J8
U 1 1 616C949D
P 8200 5050
F 0 "J8" H 8250 5767 50 0000 C CNN
F 1 "Analog" H 8250 5676 50 0000 C CNN
F 2 "Connector_PinHeader_1.27mm:PinHeader_2x11_P1.27mm_Vertical_SMD" H 8200 5050 50 0001 C CNN
F 3 "~" H 8200 5050 50 0001 C CNN
1 8200 5050
1 0 0 -1
$EndComp
$Comp
L Switch:SW_Push SW2
U 1 1 62CCB907
P 6100 5250
F 0 "SW2" H 6100 5535 50 0000 C CNN
F 1 "SW_CPU" H 6100 5444 50 0000 C CNN
F 2 "Button_Switch_SMD:SW_SPST_SKQG_WithoutStem" H 6100 5450 50 0001 C CNN
F 3 "~" H 6100 5450 50 0001 C CNN
1 6100 5250
-1 0 0 1
$EndComp
$EndSCHEMATC

116
Power.sch
View File

@ -26,7 +26,7 @@ U 1 1 60FBA0F7
P 7900 2900
F 0 "C31" H 8018 2946 50 0000 L CNN
F 1 "220uF" H 8018 2855 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 7938 2750 50 0001 C CNN
F 2 "Capacitor_SMD:CP_Elec_6.3x7.7" H 7938 2750 50 0001 C CNN
F 3 "~" H 7900 2900 50 0001 C CNN
1 7900 2900
1 0 0 -1
@ -37,7 +37,7 @@ U 1 1 60FBB3D2
P 8300 2900
F 0 "C32" H 8418 2946 50 0000 L CNN
F 1 "220uF" H 8418 2855 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 8338 2750 50 0001 C CNN
F 2 "Capacitor_SMD:CP_Elec_6.3x7.7" H 8338 2750 50 0001 C CNN
F 3 "~" H 8300 2900 50 0001 C CNN
1 8300 2900
1 0 0 -1
@ -224,7 +224,7 @@ U 1 1 6101472D
P 4000 2550
F 0 "L3" V 4190 2550 50 0000 C CNN
F 1 "10uH" V 4099 2550 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 4000 2550 50 0001 C CNN
F 2 "Inductor_SMD:L_0603_1608Metric" H 4000 2550 50 0001 C CNN
F 3 "~" H 4000 2550 50 0001 C CNN
1 4000 2550
0 -1 -1 0
@ -348,10 +348,10 @@ Wire Wire Line
Wire Wire Line
1950 2950 2100 2950
$Comp
L power:+3V3 #PWR071
L power:+3V3 #PWR070
U 1 1 610EE196
P 1950 1950
F 0 "#PWR071" H 1950 1800 50 0001 C CNN
F 0 "#PWR070" H 1950 1800 50 0001 C CNN
F 1 "+3V3" H 1965 2123 50 0000 C CNN
F 2 "" H 1950 1950 50 0001 C CNN
F 3 "" H 1950 1950 50 0001 C CNN
@ -359,10 +359,10 @@ F 3 "" H 1950 1950 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR072
L power:GND #PWR071
U 1 1 610F444A
P 1950 3650
F 0 "#PWR072" H 1950 3400 50 0001 C CNN
F 0 "#PWR071" H 1950 3400 50 0001 C CNN
F 1 "GND" H 1955 3477 50 0000 C CNN
F 2 "" H 1950 3650 50 0001 C CNN
F 3 "" H 1950 3650 50 0001 C CNN
@ -393,10 +393,10 @@ Wire Wire Line
4150 2550 4350 2550
Connection ~ 4350 2550
$Comp
L power:GND #PWR081
L power:GND #PWR080
U 1 1 61138600
P 5600 3500
F 0 "#PWR081" H 5600 3250 50 0001 C CNN
F 0 "#PWR080" H 5600 3250 50 0001 C CNN
F 1 "GND" H 5605 3327 50 0000 C CNN
F 2 "" H 5600 3500 50 0001 C CNN
F 3 "" H 5600 3500 50 0001 C CNN
@ -404,10 +404,10 @@ F 3 "" H 5600 3500 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR080
L power:GND #PWR079
U 1 1 61138FBF
P 5600 2150
F 0 "#PWR080" H 5600 1900 50 0001 C CNN
F 0 "#PWR079" H 5600 1900 50 0001 C CNN
F 1 "GND" H 5605 1977 50 0000 C CNN
F 2 "" H 5600 2150 50 0001 C CNN
F 3 "" H 5600 2150 50 0001 C CNN
@ -424,10 +424,10 @@ Wire Wire Line
Wire Wire Line
6400 2750 6300 2750
$Comp
L power:GND #PWR076
L power:GND #PWR075
U 1 1 6118861C
P 3750 4400
F 0 "#PWR076" H 3750 4150 50 0001 C CNN
F 0 "#PWR075" H 3750 4150 50 0001 C CNN
F 1 "GND" H 3755 4227 50 0000 C CNN
F 2 "" H 3750 4400 50 0001 C CNN
F 3 "" H 3750 4400 50 0001 C CNN
@ -435,10 +435,10 @@ F 3 "" H 3750 4400 50 0001 C CNN
-1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR075
L power:+3V3 #PWR074
U 1 1 6118902E
P 3350 4400
F 0 "#PWR075" H 3350 4250 50 0001 C CNN
F 0 "#PWR074" H 3350 4250 50 0001 C CNN
F 1 "+3V3" H 3365 4573 50 0000 C CNN
F 2 "" H 3350 4400 50 0001 C CNN
F 3 "" H 3350 4400 50 0001 C CNN
@ -505,10 +505,10 @@ Connection ~ 9350 2950
Wire Wire Line
9350 2950 9350 3050
$Comp
L power:GND #PWR090
L power:GND #PWR089
U 1 1 61272AA0
P 8300 3150
F 0 "#PWR090" H 8300 2900 50 0001 C CNN
F 0 "#PWR089" H 8300 2900 50 0001 C CNN
F 1 "GND" H 8305 2977 50 0000 C CNN
F 2 "" H 8300 3150 50 0001 C CNN
F 3 "" H 8300 3150 50 0001 C CNN
@ -548,10 +548,10 @@ Wire Wire Line
Wire Wire Line
9900 4450 9900 4400
$Comp
L power:GND #PWR096
L power:GND #PWR095
U 1 1 612C45B2
P 9900 4550
F 0 "#PWR096" H 9900 4300 50 0001 C CNN
F 0 "#PWR095" H 9900 4300 50 0001 C CNN
F 1 "GND" H 9905 4377 50 0000 C CNN
F 2 "" H 9900 4550 50 0001 C CNN
F 3 "" H 9900 4550 50 0001 C CNN
@ -581,10 +581,10 @@ Wire Wire Line
Wire Wire Line
7900 3850 7900 3950
$Comp
L power:+12V #PWR095
L power:+12V #PWR094
U 1 1 612F9872
P 9900 2650
F 0 "#PWR095" H 9900 2500 50 0001 C CNN
F 0 "#PWR094" H 9900 2500 50 0001 C CNN
F 1 "+12V" H 9915 2823 50 0000 C CNN
F 2 "" H 9900 2650 50 0001 C CNN
F 3 "" H 9900 2650 50 0001 C CNN
@ -620,10 +620,10 @@ F 3 "https://www.ti.com/lit/ds/symlink/tlv757p.pdf" H 1750 6750 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR070
L power:GND #PWR069
U 1 1 60D4777F
P 1750 7200
F 0 "#PWR070" H 1750 6950 50 0001 C CNN
F 0 "#PWR069" H 1750 6950 50 0001 C CNN
F 1 "GND" H 1755 7027 50 0000 C CNN
F 2 "" H 1750 7200 50 0001 C CNN
F 3 "" H 1750 7200 50 0001 C CNN
@ -631,10 +631,10 @@ F 3 "" H 1750 7200 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR082
L power:GND #PWR081
U 1 1 60D49270
P 6000 7200
F 0 "#PWR082" H 6000 6950 50 0001 C CNN
F 0 "#PWR081" H 6000 6950 50 0001 C CNN
F 1 "GND" H 6005 7027 50 0000 C CNN
F 2 "" H 6000 7200 50 0001 C CNN
F 3 "" H 6000 7200 50 0001 C CNN
@ -646,10 +646,10 @@ Wire Wire Line
Wire Wire Line
1750 7200 1750 7050
$Comp
L power:+2V5 #PWR079
L power:+2V5 #PWR078
U 1 1 60D69FD7
P 4600 6600
F 0 "#PWR079" H 4600 6450 50 0001 C CNN
F 0 "#PWR078" H 4600 6450 50 0001 C CNN
F 1 "+2V5" H 4615 6773 50 0000 C CNN
F 2 "" H 4600 6600 50 0001 C CNN
F 3 "" H 4600 6600 50 0001 C CNN
@ -657,10 +657,10 @@ F 3 "" H 4600 6600 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+1V2 #PWR083
L power:+1V2 #PWR082
U 1 1 60D6B008
P 6450 6500
F 0 "#PWR083" H 6450 6350 50 0001 C CNN
F 0 "#PWR082" H 6450 6350 50 0001 C CNN
F 1 "+1V2" H 6465 6673 50 0000 C CNN
F 2 "" H 6450 6500 50 0001 C CNN
F 3 "" H 6450 6500 50 0001 C CNN
@ -668,10 +668,10 @@ F 3 "" H 6450 6500 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR074
L power:+3V3 #PWR073
U 1 1 60D7EA93
P 2250 6400
F 0 "#PWR074" H 2250 6250 50 0001 C CNN
F 0 "#PWR073" H 2250 6250 50 0001 C CNN
F 1 "+3V3" H 2265 6573 50 0000 C CNN
F 2 "" H 2250 6400 50 0001 C CNN
F 3 "" H 2250 6400 50 0001 C CNN
@ -698,10 +698,10 @@ Wire Wire Line
Wire Wire Line
4600 6750 4600 6600
$Comp
L power:GND #PWR078
L power:GND #PWR077
U 1 1 60DAC354
P 4150 7200
F 0 "#PWR078" H 4150 6950 50 0001 C CNN
F 0 "#PWR077" H 4150 6950 50 0001 C CNN
F 1 "GND" H 4155 7027 50 0000 C CNN
F 2 "" H 4150 7200 50 0001 C CNN
F 3 "" H 4150 7200 50 0001 C CNN
@ -865,7 +865,7 @@ U 1 1 60E74209
P 5050 5200
F 0 "L4" V 5240 5200 50 0000 C CNN
F 1 "3.3uH" V 5149 5200 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 5050 5200 50 0001 C CNN
F 2 "Inductor_SMD:L_0603_1608Metric" H 5050 5200 50 0001 C CNN
F 3 "~" H 5050 5200 50 0001 C CNN
1 5050 5200
0 -1 -1 0
@ -939,10 +939,10 @@ Connection ~ 4800 5200
Wire Wire Line
4800 5200 4900 5200
$Comp
L power:GND #PWR077
L power:GND #PWR076
U 1 1 60E2F440
P 3850 5900
F 0 "#PWR077" H 3850 5650 50 0001 C CNN
F 0 "#PWR076" H 3850 5650 50 0001 C CNN
F 1 "GND" H 3855 5727 50 0000 C CNN
F 2 "" H 3850 5900 50 0001 C CNN
F 3 "" H 3850 5900 50 0001 C CNN
@ -1019,10 +1019,10 @@ Wire Wire Line
Wire Wire Line
2750 5600 2750 5700
$Comp
L power:+12V #PWR073
L power:+12V #PWR072
U 1 1 6113D244
P 1950 5000
F 0 "#PWR073" H 1950 4850 50 0001 C CNN
F 0 "#PWR072" H 1950 4850 50 0001 C CNN
F 1 "+12V" H 1965 5173 50 0000 C CNN
F 2 "" H 1950 5000 50 0001 C CNN
F 3 "" H 1950 5000 50 0001 C CNN
@ -1040,10 +1040,10 @@ Wire Wire Line
Wire Wire Line
7250 5500 7250 5100
$Comp
L power:GND #PWR088
L power:GND #PWR087
U 1 1 612AE901
P 8100 6000
F 0 "#PWR088" H 8100 5750 50 0001 C CNN
F 0 "#PWR087" H 8100 5750 50 0001 C CNN
F 1 "GND" H 8105 5827 50 0000 C CNN
F 2 "" H 8100 6000 50 0001 C CNN
F 3 "" H 8100 6000 50 0001 C CNN
@ -1268,10 +1268,10 @@ F 3 "~" V 5250 2890 50 0001 C CNN
1 0 0 1
$EndComp
$Comp
L power:+5V #PWR087
L power:+5V #PWR086
U 1 1 60F4065D
P 8100 4900
F 0 "#PWR087" H 8100 4750 50 0001 C CNN
F 0 "#PWR086" H 8100 4750 50 0001 C CNN
F 1 "+5V" H 8115 5073 50 0000 C CNN
F 2 "" H 8100 4900 50 0001 C CNN
F 3 "" H 8100 4900 50 0001 C CNN
@ -1393,10 +1393,10 @@ Wire Wire Line
Wire Wire Line
7750 1500 7750 1650
$Comp
L power:GND #PWR094
L power:GND #PWR093
U 1 1 6224AD57
P 9750 750
F 0 "#PWR094" H 9750 500 50 0001 C CNN
F 0 "#PWR093" H 9750 500 50 0001 C CNN
F 1 "GND" H 9755 577 50 0000 C CNN
F 2 "" H 9750 750 50 0001 C CNN
F 3 "" H 9750 750 50 0001 C CNN
@ -1404,10 +1404,10 @@ F 3 "" H 9750 750 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR086
L power:+3V3 #PWR085
U 1 1 6224C29C
P 7750 1650
F 0 "#PWR086" H 7750 1500 50 0001 C CNN
F 0 "#PWR085" H 7750 1500 50 0001 C CNN
F 1 "+3V3" V 7765 1778 50 0000 L CNN
F 2 "" H 7750 1650 50 0001 C CNN
F 3 "" H 7750 1650 50 0001 C CNN
@ -1415,10 +1415,10 @@ F 3 "" H 7750 1650 50 0001 C CNN
-1 0 0 1
$EndComp
$Comp
L power:+5V #PWR085
L power:+5V #PWR084
U 1 1 6224DB89
P 7100 1650
F 0 "#PWR085" H 7100 1500 50 0001 C CNN
F 0 "#PWR084" H 7100 1500 50 0001 C CNN
F 1 "+5V" V 7115 1778 50 0000 L CNN
F 2 "" H 7100 1650 50 0001 C CNN
F 3 "" H 7100 1650 50 0001 C CNN
@ -1426,10 +1426,10 @@ F 3 "" H 7100 1650 50 0001 C CNN
-1 0 0 1
$EndComp
$Comp
L power:+12V #PWR084
L power:+12V #PWR083
U 1 1 6224EF43
P 6500 1650
F 0 "#PWR084" H 6500 1500 50 0001 C CNN
F 0 "#PWR083" H 6500 1500 50 0001 C CNN
F 1 "+12V" V 6515 1778 50 0000 L CNN
F 2 "" H 6500 1650 50 0001 C CNN
F 3 "" H 6500 1650 50 0001 C CNN
@ -1437,10 +1437,10 @@ F 3 "" H 6500 1650 50 0001 C CNN
-1 0 0 1
$EndComp
$Comp
L power:+2V5 #PWR091
L power:+2V5 #PWR090
U 1 1 62250B29
P 8650 1550
F 0 "#PWR091" H 8650 1400 50 0001 C CNN
F 0 "#PWR090" H 8650 1400 50 0001 C CNN
F 1 "+2V5" V 8665 1678 50 0000 L CNN
F 2 "" H 8650 1550 50 0001 C CNN
F 3 "" H 8650 1550 50 0001 C CNN
@ -1448,10 +1448,10 @@ F 3 "" H 8650 1550 50 0001 C CNN
-1 0 0 1
$EndComp
$Comp
L power:+1V2 #PWR093
L power:+1V2 #PWR092
U 1 1 622519F4
P 9650 1550
F 0 "#PWR093" H 9650 1400 50 0001 C CNN
F 0 "#PWR092" H 9650 1400 50 0001 C CNN
F 1 "+1V2" V 9665 1678 50 0000 L CNN
F 2 "" H 9650 1550 50 0001 C CNN
F 3 "" H 9650 1550 50 0001 C CNN
@ -1505,10 +1505,10 @@ $EndComp
Wire Wire Line
2250 6600 2450 6600
$Comp
L power:+12V #PWR089
L power:+12V #PWR088
U 1 1 624C0755
P 8300 1900
F 0 "#PWR089" H 8300 1750 50 0001 C CNN
F 0 "#PWR088" H 8300 1750 50 0001 C CNN
F 1 "+12V" V 8315 2028 50 0000 L CNN
F 2 "" H 8300 1900 50 0001 C CNN
F 3 "" H 8300 1900 50 0001 C CNN
@ -1561,10 +1561,10 @@ F 3 "~" H 9300 1750 50 0001 C CNN
-1 0 0 1
$EndComp
$Comp
L power:+12V #PWR092
L power:+12V #PWR091
U 1 1 6258E7BF
P 9300 1900
F 0 "#PWR092" H 9300 1750 50 0001 C CNN
F 0 "#PWR091" H 9300 1750 50 0001 C CNN
F 1 "+12V" V 9315 2028 50 0000 L CNN
F 2 "" H 9300 1900 50 0001 C CNN
F 3 "" H 9300 1900 50 0001 C CNN

File diff suppressed because it is too large Load Diff

View File

@ -1,24 +1,34 @@
(module Silvertel-AG5300-0-0-MFG (layer F.Cu) (tedit 5EF1530A)
(module Silvertel-AG5300-0-0-MFG (layer F.Cu) (tedit 60D1480E)
(fp_text reference REF** (at -28.65 -9.8) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify left))
)
(fp_text value "" (at 0 0) (layer F.SilkS)
(fp_text value Val** (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.15)))
)
(fp_line (start 28.675 9.025) (end 28.675 -9.025) (layer F.CrtYd) (width 0.15))
(fp_line (start -28.675 9.025) (end 28.675 9.025) (layer F.CrtYd) (width 0.15))
(fp_line (start -28.675 -9.025) (end -28.675 9.025) (layer F.CrtYd) (width 0.15))
(fp_line (start 28.675 -9.025) (end -28.675 -9.025) (layer F.CrtYd) (width 0.15))
(fp_line (start 28.675 -9.025) (end 28.675 -9.025) (layer F.CrtYd) (width 0.15))
(fp_line (start -28.65 -9) (end 28.65 -9) (layer F.CrtYd) (width 0.15))
(fp_line (start 28.65 0.6) (end 28.65 -9) (layer F.SilkS) (width 0.15))
(fp_line (start -28.65 0.6) (end -4.35 0.6) (layer F.SilkS) (width 0.15))
(fp_line (start -28.65 0.6) (end -28.65 -9) (layer F.SilkS) (width 0.15))
(fp_circle (center -29.25 -1.7) (end -29.125 -1.7) (layer F.SilkS) (width 0.25))
(fp_line (start -28.65 9) (end -28.65 -9) (layer F.SilkS) (width 0.15))
(fp_line (start -28.65 9) (end 28.65 9) (layer F.SilkS) (width 0.15))
(fp_line (start 28.65 9) (end 28.65 -9) (layer F.SilkS) (width 0.15))
(fp_line (start 28.65 0.6) (end 14.65 0.6) (layer F.SilkS) (width 0.12))
(fp_line (start -4.35 9) (end 14.65 9) (layer F.SilkS) (width 0.12))
(fp_line (start 14.65 0.6) (end 14.65 9) (layer F.SilkS) (width 0.12))
(fp_line (start -4.35 0.6) (end -4.35 9) (layer F.SilkS) (width 0.12))
(fp_line (start 28.65 0.6) (end 28.65 -9) (layer F.CrtYd) (width 0.15))
(fp_line (start -28.65 0.6) (end -4.35 0.6) (layer F.CrtYd) (width 0.15))
(fp_line (start -4.35 9) (end 14.65 9) (layer F.CrtYd) (width 0.12))
(fp_line (start -28.65 0.6) (end -28.65 -9) (layer F.CrtYd) (width 0.15))
(fp_line (start 14.65 0.6) (end 14.65 9) (layer F.CrtYd) (width 0.12))
(fp_line (start 28.65 0.6) (end 14.65 0.6) (layer F.CrtYd) (width 0.12))
(fp_line (start -4.35 0.6) (end -4.35 9) (layer F.CrtYd) (width 0.12))
(fp_line (start 28.65 0.6) (end 28.65 -9) (layer F.Fab) (width 0.15))
(fp_line (start -4.35 0.6) (end -4.35 9) (layer F.Fab) (width 0.12))
(fp_line (start 28.65 0.6) (end 14.65 0.6) (layer F.Fab) (width 0.12))
(fp_line (start -28.65 0.6) (end -28.65 -9) (layer F.Fab) (width 0.15))
(fp_line (start -4.35 9) (end 14.65 9) (layer F.Fab) (width 0.12))
(fp_line (start -28.65 0.6) (end -4.35 0.6) (layer F.Fab) (width 0.15))
(fp_line (start 14.65 0.6) (end 14.65 9) (layer F.Fab) (width 0.12))
(fp_line (start -28.65 -9) (end 28.65 -9) (layer F.SilkS) (width 0.15))
(fp_line (start 28.65 9) (end -28.65 9) (layer F.Fab) (width 0.15))
(fp_line (start 28.65 -9) (end 28.65 9) (layer F.Fab) (width 0.15))
(fp_line (start -28.65 -9) (end 28.65 -9) (layer F.Fab) (width 0.15))
(fp_line (start -28.65 9) (end -28.65 -9) (layer F.Fab) (width 0.15))
(pad 1 thru_hole rect (at -23.54 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 2 thru_hole circle (at -21 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 3 thru_hole circle (at -18.46 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))

View File

@ -1,29 +1,10 @@
update=Fri Jun 18 17:38:22 2021
update=Tue Jun 22 10:25:28 2021
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[pcbnew]
version=1
LastNetListRead=
UseCmpFile=1
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.500000000000
PcbTextSizeH=1.500000000000
PcbTextThickness=0.300000000000
ModuleTextSizeV=1.000000000000
ModuleTextSizeH=1.000000000000
ModuleTextSizeThickness=0.150000000000
SolderMaskClearance=0.000000000000
SolderMaskMinWidth=0.000000000000
DrawSegmentWidth=0.200000000000
BoardOutlineThickness=0.100000000000
ModuleOutlineThickness=0.150000000000
[cvpcb]
version=1
NetIExt=net
@ -41,3 +22,227 @@ NetFmtName=Pcbnew
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.2
MinViaDiameter=0.4
MinViaDrill=0.3
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.25
ViaDiameter1=0.8
ViaDrill1=0.4
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.1524
SilkTextSizeV=1.016
SilkTextSizeH=1.016
SilkTextSizeThickness=0.1524
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.05
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0
SolderMaskMinWidth=0
SolderPasteClearance=0
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.2
TrackWidth=0.25
ViaDiameter=0.8
ViaDrill=0.4
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

View File

@ -243,6 +243,14 @@ F68 "FPGA_IO12" I R 8850 5550 50
F69 "FPGA_IO13" I R 8850 5650 50
F70 "FPGA_IO14" I R 8850 5750 50
F71 "FPGA_IO15" I R 8850 5850 50
F72 "FPGA_SS" I R 8850 3850 50
F73 "FPGA_SDI" I R 8850 3750 50
F74 "FPGA_CRESET" I R 8850 3350 50
F75 "FPGA_SDO" I R 8850 3650 50
F76 "FPGA_SCK" I R 8850 3550 50
F77 "FPGA_CDONE" I R 8850 3450 50
F78 "FPGA_CSBSEL0" I R 8850 3250 50
F79 "FPGA_CSBSEL1" I R 8850 3150 50
$EndSheet
NoConn ~ 1650 4350
NoConn ~ 1650 4250
@ -393,68 +401,66 @@ Entry Wire Line
Entry Wire Line
9050 5850 9150 5950
Entry Wire Line
4100 5250 4200 5150
3900 5250 4000 5150
Entry Wire Line
4100 5350 4200 5250
3900 5350 4000 5250
Entry Wire Line
4100 5450 4200 5350
3900 5450 4000 5350
Entry Wire Line
4100 5550 4200 5450
3900 5550 4000 5450
Entry Wire Line
4100 5650 4200 5550
3900 5650 4000 5550
Entry Wire Line
4100 5750 4200 5650
3900 5750 4000 5650
Entry Wire Line
4100 5850 4200 5750
3900 5850 4000 5750
Entry Wire Line
4100 5950 4200 5850
3900 5950 4000 5850
Entry Wire Line
4100 6050 4200 5950
3900 6050 4000 5950
Entry Wire Line
4100 6150 4200 6050
3900 6150 4000 6050
Entry Wire Line
4100 6250 4200 6150
3900 6250 4000 6150
Entry Wire Line
4100 6350 4200 6250
3900 6350 4000 6250
Entry Wire Line
4100 6450 4200 6350
3900 6450 4000 6350
Entry Wire Line
4100 6550 4200 6450
3900 6550 4000 6450
Entry Wire Line
4100 6650 4200 6550
3900 6650 4000 6550
Entry Wire Line
4100 6750 4200 6650
Text Label 4100 5250 0 50 ~ 0
FPGA_IO0
Text Label 4100 5350 0 50 ~ 0
3900 6750 4000 6650
Text Label 4000 5250 0 50 ~ 0
FPGA_IO1
Text Label 4100 5450 0 50 ~ 0
Text Label 4000 5350 0 50 ~ 0
FPGA_IO2
Text Label 4100 5550 0 50 ~ 0
Text Label 4000 5450 0 50 ~ 0
FPGA_IO3
Text Label 4100 5650 0 50 ~ 0
Text Label 4000 5550 0 50 ~ 0
FPGA_IO4
Text Label 4100 5750 0 50 ~ 0
Text Label 4000 5650 0 50 ~ 0
FPGA_IO5
Text Label 4100 5850 0 50 ~ 0
Text Label 4000 5750 0 50 ~ 0
FPGA_IO6
Text Label 4100 5950 0 50 ~ 0
Text Label 4000 5850 0 50 ~ 0
FPGA_IO7
Text Label 4100 6050 0 50 ~ 0
Text Label 4000 5950 0 50 ~ 0
FPGA_IO8
Text Label 4100 6150 0 50 ~ 0
Text Label 4000 6050 0 50 ~ 0
FPGA_IO9
Text Label 4100 6250 0 50 ~ 0
Text Label 4000 6150 0 50 ~ 0
FPGA_IO10
Text Label 4100 6350 0 50 ~ 0
Text Label 4000 6250 0 50 ~ 0
FPGA_IO11
Text Label 4100 6450 0 50 ~ 0
Text Label 4000 6350 0 50 ~ 0
FPGA_IO12
Text Label 4100 6550 0 50 ~ 0
Text Label 4000 6450 0 50 ~ 0
FPGA_IO13
Text Label 4100 6650 0 50 ~ 0
Text Label 4000 6550 0 50 ~ 0
FPGA_IO14
Text Label 4100 6750 0 50 ~ 0
Text Label 4000 6650 0 50 ~ 0
FPGA_IO15
Text Label 8850 4350 0 50 ~ 0
FPGA_IO0
@ -1374,10 +1380,6 @@ Wire Wire Line
2550 7200 2300 7200
Wire Wire Line
2300 7100 2550 7100
Wire Wire Line
8850 4350 9050 4350
Wire Wire Line
8850 4450 9050 4450
Wire Wire Line
8850 4550 9050 4550
Wire Wire Line
@ -1386,8 +1388,6 @@ Wire Wire Line
8850 4750 9050 4750
Wire Wire Line
8850 4850 9050 4850
Wire Wire Line
8850 4950 9050 4950
Wire Wire Line
8850 5050 9050 5050
Wire Wire Line
@ -1538,14 +1538,6 @@ Wire Wire Line
3550 6200 3300 6200
Wire Wire Line
3300 6100 3500 6100
Wire Wire Line
4200 4050 4450 4050
Wire Wire Line
4200 4150 4450 4150
Wire Wire Line
4200 4250 4450 4250
Wire Wire Line
4200 4350 4450 4350
Wire Wire Line
3550 4100 3300 4100
Wire Wire Line
@ -1554,14 +1546,6 @@ Wire Wire Line
3550 4300 3300 4300
Wire Wire Line
3550 4400 3300 4400
Wire Wire Line
4450 4450 4200 4450
Wire Wire Line
4450 4550 4200 4550
Wire Wire Line
4450 4650 4200 4650
Wire Wire Line
4450 4750 4200 4750
Wire Wire Line
4450 4900 4200 4900
Wire Wire Line
@ -1875,46 +1859,134 @@ Wire Wire Line
3300 4800 3700 4800
Wire Wire Line
3700 4800 3700 6900
Wire Wire Line
8850 4950 9050 4950
Wire Wire Line
8850 4450 9050 4450
Wire Wire Line
8850 4350 9050 4350
Text Label 4000 5150 0 50 ~ 0
FPGA_IO0
Wire Bus Line
4100 7350 9150 7350
3900 7350 9150 7350
Wire Wire Line
4200 5150 4450 5150
4000 5150 4450 5150
Wire Wire Line
4200 5250 4450 5250
4000 5250 4450 5250
Wire Wire Line
4200 5350 4450 5350
4000 5350 4450 5350
Wire Wire Line
4200 5450 4450 5450
4000 5450 4450 5450
Wire Wire Line
4200 5550 4450 5550
4000 5550 4450 5550
Wire Wire Line
4200 5650 4450 5650
4000 5650 4450 5650
Wire Wire Line
4200 5750 4450 5750
4000 5750 4450 5750
Wire Wire Line
4200 5950 4450 5950
4000 5850 4450 5850
Wire Wire Line
4200 5850 4450 5850
4000 5950 4450 5950
Wire Wire Line
4200 6050 4450 6050
4000 6050 4450 6050
Wire Wire Line
4200 6150 4450 6150
4000 6150 4450 6150
Wire Wire Line
4200 6250 4450 6250
4000 6250 4450 6250
Wire Wire Line
4200 6350 4450 6350
4000 6350 4450 6350
Wire Wire Line
4200 6450 4450 6450
4000 6450 4450 6450
Wire Wire Line
4200 6550 4450 6550
4000 6550 4450 6550
Wire Wire Line
4200 6650 4450 6650
4000 6650 4450 6650
Entry Wire Line
3900 3950 4000 4050
Entry Wire Line
3900 4050 4000 4150
Entry Wire Line
3900 4150 4000 4250
Entry Wire Line
3900 4250 4000 4350
Entry Wire Line
3900 4350 4000 4450
Entry Wire Line
3900 4450 4000 4550
Entry Wire Line
3900 4550 4000 4650
Entry Wire Line
3900 4650 4000 4750
Wire Wire Line
1050 2500 1650 2500
4000 4750 4450 4750
Text Label 8850 3250 0 50 ~ 0
CSBSEL0
Text Label 8850 3150 0 50 ~ 0
CSBSEL1
Text Label 8850 3450 0 50 ~ 0
CDONE
Text Label 8850 3550 0 50 ~ 0
SPI_SCK
Text Label 8850 3850 0 50 ~ 0
SPI_CS
Text Label 8850 3750 0 50 ~ 0
SPI_MOSI
Text Label 8850 3650 0 50 ~ 0
SPI_MISO
Wire Wire Line
8850 3150 9050 3150
Entry Wire Line
9050 3150 9150 3050
Wire Wire Line
8850 3250 9050 3250
Entry Wire Line
9050 3250 9150 3150
Wire Wire Line
8850 3350 9050 3350
Entry Wire Line
9050 3350 9150 3250
Wire Wire Line
8850 3450 9050 3450
Entry Wire Line
9050 3450 9150 3350
Wire Wire Line
8850 3550 9050 3550
Entry Wire Line
9050 3550 9150 3450
Wire Wire Line
8850 3650 9050 3650
Entry Wire Line
9050 3650 9150 3550
Wire Wire Line
8850 3750 9050 3750
Entry Wire Line
9050 3750 9150 3650
Wire Wire Line
8850 3850 9050 3850
Entry Wire Line
9050 3850 9150 3750
Text Label 8850 3350 0 50 ~ 0
CRESET
Wire Wire Line
1050 2700 1650 2700
Wire Wire Line
1050 2600 1650 2600
Wire Wire Line
1050 2700 1650 2700
1050 2500 1650 2500
Wire Wire Line
4000 4650 4450 4650
Wire Wire Line
4000 4550 4450 4550
Wire Wire Line
4000 4450 4450 4450
Wire Wire Line
4000 4350 4450 4350
Wire Wire Line
4000 4250 4450 4250
Wire Wire Line
4000 4150 4450 4150
Wire Wire Line
4000 4050 4450 4050
Wire Bus Line
1300 550 1300 2800
Wire Bus Line
@ -1924,9 +1996,9 @@ Wire Bus Line
Wire Bus Line
950 2400 950 6900
Wire Bus Line
4100 5150 4100 7350
9150 3050 9150 7350
Wire Bus Line
9150 4350 9150 7350
3900 3950 3900 7350
Wire Bus Line
4100 550 4100 5000
Wire Bus Line