diff --git a/Analog_LVDS.sch b/Analog_LVDS.sch index 43e9376..1950661 100644 --- a/Analog_LVDS.sch +++ b/Analog_LVDS.sch @@ -3,7 +3,7 @@ EELAYER 30 0 EELAYER END $Descr A4 11693 8268 encoding utf-8 -Sheet 5 5 +Sheet 4 6 Title "" Date "" Rev "" @@ -16,12 +16,408 @@ $EndDescr $Comp L ADS831:ADS831E_2K5 U7 U 1 1 60FB23DE -P 3800 1850 -F 0 "U7" H 5200 2237 60 0000 C CNN -F 1 "ADS831E_2K5" H 5200 2131 60 0000 C CNN -F 2 "DBQ20" H 5200 2090 60 0001 C CNN -F 3 "" H 3800 1850 60 0000 C CNN - 1 3800 1850 +P 8500 1700 +F 0 "U7" H 9900 2087 60 0000 C CNN +F 1 "ADS831E_2K5" H 9900 1981 60 0000 C CNN +F 2 "DBQ20" H 9900 1940 60 0001 C CNN +F 3 "" H 8500 1700 60 0000 C CNN + 1 8500 1700 + -1 0 0 -1 +$EndComp +Entry Wire Line + 8850 1700 8750 1800 +Entry Wire Line + 8850 1800 8750 1900 +Entry Wire Line + 8850 1900 8750 2000 +Entry Wire Line + 8850 2000 8750 2100 +Entry Wire Line + 8850 2100 8750 2200 +Entry Wire Line + 8850 2200 8750 2300 +Entry Wire Line + 8850 2300 8750 2400 +Entry Wire Line + 8850 2400 8750 2500 +Wire Wire Line + 8500 1800 8750 1800 +Wire Wire Line + 8750 1900 8500 1900 +Wire Wire Line + 8750 2000 8500 2000 +Wire Wire Line + 8750 2100 8500 2100 +Wire Wire Line + 8750 2200 8500 2200 +Wire Wire Line + 8750 2300 8500 2300 +Wire Wire Line + 8750 2400 8500 2400 +Wire Wire Line + 8750 2500 8500 2500 +$Comp +L power:GND #PWR0101 +U 1 1 61488143 +P 8550 1600 +F 0 "#PWR0101" H 8550 1350 50 0001 C CNN +F 1 "GND" H 8555 1427 50 0000 C CNN +F 2 "" H 8550 1600 50 0001 C CNN +F 3 "" H 8550 1600 50 0001 C CNN + 1 8550 1600 + 1 0 0 1 +$EndComp +$Comp +L power:GND #PWR0102 +U 1 1 614887E8 +P 5100 1900 +F 0 "#PWR0102" H 5100 1650 50 0001 C CNN +F 1 "GND" H 5105 1727 50 0000 C CNN +F 2 "" H 5100 1900 50 0001 C CNN +F 3 "" H 5100 1900 50 0001 C CNN + 1 5100 1900 + 0 1 -1 0 +$EndComp +Wire Wire Line + 8550 1600 8550 1700 +Wire Wire Line + 8550 1700 8500 1700 +Text HLabel 8850 1400 2 50 Input ~ 0 +ADC_DATA_BUS +$Comp +L power:+5VA #PWR0103 +U 1 1 6148C30D +P 5300 1800 +F 0 "#PWR0103" H 5300 1650 50 0001 C CNN +F 1 "+5VA" H 5315 1973 50 0000 C CNN +F 2 "" H 5300 1800 50 0001 C CNN +F 3 "" H 5300 1800 50 0001 C CNN + 1 5300 1800 + 0 -1 1 0 +$EndComp +$Comp +L power:GND #PWR0104 +U 1 1 614ABABB +P 4550 2600 +F 0 "#PWR0104" H 4550 2350 50 0001 C CNN +F 1 "GND" V 4555 2472 50 0000 R CNN +F 2 "" H 4550 2600 50 0001 C CNN +F 3 "" H 4550 2600 50 0001 C CNN + 1 4550 2600 + -1 0 0 -1 +$EndComp +$Comp +L Amplifier_Operational:OPA847xD U13 +U 1 1 614B1E6E +P 3800 2000 +F 0 "U13" H 4144 2046 50 0000 L CNN +F 1 "OPA681" H 4144 1955 50 0000 L CNN +F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 3800 2000 50 0001 C CNN +F 3 "http://www.ti.com/lit/ds/symlink/opa847.pdf" H 3800 2200 50 0001 C CNN + 1 3800 2000 1 0 0 -1 $EndComp +$Comp +L power:+5VA #PWR0105 +U 1 1 614B6AAE +P 5400 2600 +F 0 "#PWR0105" H 5400 2450 50 0001 C CNN +F 1 "+5VA" V 5415 2728 50 0000 L CNN +F 2 "" H 5400 2600 50 0001 C CNN +F 3 "" H 5400 2600 50 0001 C CNN + 1 5400 2600 + 0 -1 1 0 +$EndComp +Wire Wire Line + 5400 2600 5700 2600 +$Comp +L Device:R R35 +U 1 1 614C66FD +P 5450 2300 +F 0 "R35" V 5243 2300 50 0000 C CNN +F 1 "1k" V 5334 2300 50 0000 C CNN +F 2 "" V 5380 2300 50 0001 C CNN +F 3 "~" H 5450 2300 50 0001 C CNN + 1 5450 2300 + 0 1 1 0 +$EndComp +$Comp +L Device:R R36 +U 1 1 614C7B9C +P 5450 2400 +F 0 "R36" V 5657 2400 50 0000 C CNN +F 1 "1k" V 5566 2400 50 0000 C CNN +F 2 "" V 5380 2400 50 0001 C CNN +F 3 "~" H 5450 2400 50 0001 C CNN + 1 5450 2400 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 5700 2300 5600 2300 +Wire Wire Line + 5600 2400 5700 2400 +Wire Wire Line + 5300 2300 5200 2300 +Wire Wire Line + 5200 2300 5200 2400 +Wire Wire Line + 5200 2400 5300 2400 +$Comp +L Device:R R34 +U 1 1 614CFF0D +P 4600 2000 +F 0 "R34" V 4393 2000 50 0000 C CNN +F 1 "39" V 4484 2000 50 0000 C CNN +F 2 "" V 4530 2000 50 0001 C CNN +F 3 "~" H 4600 2000 50 0001 C CNN + 1 4600 2000 + 0 1 1 0 +$EndComp +$Comp +L Device:C C38 +U 1 1 614D04E3 +P 4900 2150 +F 0 "C38" H 5015 2196 50 0000 L CNN +F 1 "47pF" H 5015 2105 50 0000 L CNN +F 2 "" H 4938 2000 50 0001 C CNN +F 3 "~" H 4900 2150 50 0001 C CNN + 1 4900 2150 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4900 2300 4900 2500 +Connection ~ 4900 2500 +Wire Wire Line + 4900 2500 5100 2500 +Wire Wire Line + 4750 2000 4900 2000 +Connection ~ 4900 2000 +Wire Wire Line + 4900 2000 5700 2000 +$Comp +L Device:R R33 +U 1 1 614D4C4E +P 3700 2700 +F 0 "R33" V 3493 2700 50 0000 C CNN +F 1 "402" V 3584 2700 50 0000 C CNN +F 2 "" V 3630 2700 50 0001 C CNN +F 3 "~" H 3700 2700 50 0001 C CNN + 1 3700 2700 + 0 1 1 0 +$EndComp +Wire Wire Line + 3700 2300 3700 2500 +Wire Wire Line + 3700 2500 4550 2500 +Wire Wire Line + 4550 2600 4550 2500 +Connection ~ 4550 2500 +Wire Wire Line + 4550 2500 4900 2500 +Wire Wire Line + 3850 2700 4250 2700 +Wire Wire Line + 4250 2700 4250 2000 +Connection ~ 4250 2000 +Wire Wire Line + 4250 2000 4100 2000 +Wire Wire Line + 3550 2700 3350 2700 +Wire Wire Line + 3350 2700 3350 2100 +Wire Wire Line + 3350 2100 3500 2100 +$Comp +L Device:R R32 +U 1 1 614DAD32 +P 3350 2950 +F 0 "R32" H 3420 2996 50 0000 L CNN +F 1 "402" H 3420 2905 50 0000 L CNN +F 2 "" V 3280 2950 50 0001 C CNN +F 3 "~" H 3350 2950 50 0001 C CNN + 1 3350 2950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3350 2800 3350 2700 +Connection ~ 3350 2700 +$Comp +L Device:C C37 +U 1 1 614DC5D4 +P 3350 3350 +F 0 "C37" H 3465 3396 50 0000 L CNN +F 1 "0.1uF" H 3465 3305 50 0000 L CNN +F 2 "" H 3388 3200 50 0001 C CNN +F 3 "~" H 3350 3350 50 0001 C CNN + 1 3350 3350 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0106 +U 1 1 614DCD4E +P 3350 3600 +F 0 "#PWR0106" H 3350 3350 50 0001 C CNN +F 1 "GND" H 3355 3427 50 0000 C CNN +F 2 "" H 3350 3600 50 0001 C CNN +F 3 "" H 3350 3600 50 0001 C CNN + 1 3350 3600 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C36 +U 1 1 614DE0CC +P 2850 1900 +F 0 "C36" V 2598 1900 50 0000 C CNN +F 1 "0.1uF" V 2689 1900 50 0000 C CNN +F 2 "" H 2888 1750 50 0001 C CNN +F 3 "~" H 2850 1900 50 0001 C CNN + 1 2850 1900 + 0 1 1 0 +$EndComp +$Comp +L power:+5VA #PWR0107 +U 1 1 614E5495 +P 3700 1550 +F 0 "#PWR0107" H 3700 1400 50 0001 C CNN +F 1 "+5VA" H 3715 1723 50 0000 C CNN +F 2 "" H 3700 1550 50 0001 C CNN +F 3 "" H 3700 1550 50 0001 C CNN + 1 3700 1550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3700 1550 3700 1700 +Wire Wire Line + 3500 1900 3200 1900 +Wire Wire Line + 2700 1900 2250 1900 +Wire Wire Line + 3200 2400 3200 1900 +Connection ~ 3200 1900 +Wire Wire Line + 3200 1900 3000 1900 +Wire Wire Line + 3200 2400 5200 2400 +Connection ~ 5200 2400 +Wire Wire Line + 4250 2000 4450 2000 +Wire Wire Line + 3350 3100 3350 3200 +Wire Wire Line + 3350 3500 3350 3600 +$Comp +L Device:C C39 +U 1 1 614EF02F +P 5450 2150 +F 0 "C39" V 5702 2150 50 0000 C CNN +F 1 "0.1uF" V 5611 2150 50 0000 C CNN +F 2 "" H 5488 2000 50 0001 C CNN +F 3 "~" H 5450 2150 50 0001 C CNN + 1 5450 2150 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 5700 2200 5700 2150 +Wire Wire Line + 5600 2150 5700 2150 +Connection ~ 5700 2150 +Wire Wire Line + 5700 2150 5700 2100 +Wire Wire Line + 5300 2150 5100 2150 +Wire Wire Line + 5100 2150 5100 2500 +Connection ~ 5100 2500 +Wire Wire Line + 5100 2500 5700 2500 +Text HLabel 2250 1900 0 50 Input ~ 0 +ADC_IN +Text HLabel 8850 2600 2 50 Input ~ 0 +ADC_CLK +Wire Wire Line + 8500 2600 8850 2600 +$Comp +L power:+3.3VA #PWR058 +U 1 1 60CB177C +P 5500 1700 +F 0 "#PWR058" H 5500 1550 50 0001 C CNN +F 1 "+3.3VA" V 5515 1827 50 0000 L CNN +F 2 "" H 5500 1700 50 0001 C CNN +F 3 "" H 5500 1700 50 0001 C CNN + 1 5500 1700 + 0 -1 -1 0 +$EndComp +$Comp +L Device:C C40 +U 1 1 60CB5566 +P 5350 1400 +F 0 "C40" H 5465 1446 50 0000 L CNN +F 1 "10uF" H 5465 1355 50 0000 L CNN +F 2 "" H 5388 1250 50 0001 C CNN +F 3 "~" H 5350 1400 50 0001 C CNN + 1 5350 1400 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C41 +U 1 1 60CB7A55 +P 5600 1400 +F 0 "C41" H 5715 1446 50 0000 L CNN +F 1 "0.1uF" H 5715 1355 50 0000 L CNN +F 2 "" H 5638 1250 50 0001 C CNN +F 3 "~" H 5600 1400 50 0001 C CNN + 1 5600 1400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5100 1900 5700 1900 +Wire Wire Line + 5300 1800 5350 1800 +Wire Wire Line + 5500 1700 5600 1700 +Wire Wire Line + 5350 1550 5350 1800 +Connection ~ 5350 1800 +Wire Wire Line + 5350 1800 5700 1800 +Wire Wire Line + 5600 1550 5600 1700 +Connection ~ 5600 1700 +Wire Wire Line + 5600 1700 5700 1700 +Wire Wire Line + 5350 1250 5350 1200 +Wire Wire Line + 5350 1200 5600 1200 +Wire Wire Line + 5600 1200 5600 1250 +$Comp +L power:GND #PWR057 +U 1 1 60CCC812 +P 5350 1050 +F 0 "#PWR057" H 5350 800 50 0001 C CNN +F 1 "GND" H 5355 877 50 0000 C CNN +F 2 "" H 5350 1050 50 0001 C CNN +F 3 "" H 5350 1050 50 0001 C CNN + 1 5350 1050 + -1 0 0 1 +$EndComp +Wire Wire Line + 5350 1050 5350 1200 +Connection ~ 5350 1200 +$Comp +L power:+5VA #PWR? +U 1 1 60CD6EC0 +P 3900 2300 +F 0 "#PWR?" H 3900 2150 50 0001 C CNN +F 1 "+5VA" V 3915 2428 50 0000 L CNN +F 2 "" H 3900 2300 50 0001 C CNN +F 3 "" H 3900 2300 50 0001 C CNN + 1 3900 2300 + 0 1 1 0 +$EndComp +Wire Wire Line + 3900 2300 3800 2300 +Wire Bus Line + 8850 1400 8850 2500 $EndSCHEMATC diff --git a/Analog_LVDS.sch-bak b/Analog_LVDS.sch-bak index 43e9376..1950661 100644 --- a/Analog_LVDS.sch-bak +++ b/Analog_LVDS.sch-bak @@ -3,7 +3,7 @@ EELAYER 30 0 EELAYER END $Descr A4 11693 8268 encoding utf-8 -Sheet 5 5 +Sheet 4 6 Title "" Date "" Rev "" @@ -16,12 +16,408 @@ $EndDescr $Comp L ADS831:ADS831E_2K5 U7 U 1 1 60FB23DE -P 3800 1850 -F 0 "U7" H 5200 2237 60 0000 C CNN -F 1 "ADS831E_2K5" H 5200 2131 60 0000 C CNN -F 2 "DBQ20" H 5200 2090 60 0001 C CNN -F 3 "" H 3800 1850 60 0000 C CNN - 1 3800 1850 +P 8500 1700 +F 0 "U7" H 9900 2087 60 0000 C CNN +F 1 "ADS831E_2K5" H 9900 1981 60 0000 C CNN +F 2 "DBQ20" H 9900 1940 60 0001 C CNN +F 3 "" H 8500 1700 60 0000 C CNN + 1 8500 1700 + -1 0 0 -1 +$EndComp +Entry Wire Line + 8850 1700 8750 1800 +Entry Wire Line + 8850 1800 8750 1900 +Entry Wire Line + 8850 1900 8750 2000 +Entry Wire Line + 8850 2000 8750 2100 +Entry Wire Line + 8850 2100 8750 2200 +Entry Wire Line + 8850 2200 8750 2300 +Entry Wire Line + 8850 2300 8750 2400 +Entry Wire Line + 8850 2400 8750 2500 +Wire Wire Line + 8500 1800 8750 1800 +Wire Wire Line + 8750 1900 8500 1900 +Wire Wire Line + 8750 2000 8500 2000 +Wire Wire Line + 8750 2100 8500 2100 +Wire Wire Line + 8750 2200 8500 2200 +Wire Wire Line + 8750 2300 8500 2300 +Wire Wire Line + 8750 2400 8500 2400 +Wire Wire Line + 8750 2500 8500 2500 +$Comp +L power:GND #PWR0101 +U 1 1 61488143 +P 8550 1600 +F 0 "#PWR0101" H 8550 1350 50 0001 C CNN +F 1 "GND" H 8555 1427 50 0000 C CNN +F 2 "" H 8550 1600 50 0001 C CNN +F 3 "" H 8550 1600 50 0001 C CNN + 1 8550 1600 + 1 0 0 1 +$EndComp +$Comp +L power:GND #PWR0102 +U 1 1 614887E8 +P 5100 1900 +F 0 "#PWR0102" H 5100 1650 50 0001 C CNN +F 1 "GND" H 5105 1727 50 0000 C CNN +F 2 "" H 5100 1900 50 0001 C CNN +F 3 "" H 5100 1900 50 0001 C CNN + 1 5100 1900 + 0 1 -1 0 +$EndComp +Wire Wire Line + 8550 1600 8550 1700 +Wire Wire Line + 8550 1700 8500 1700 +Text HLabel 8850 1400 2 50 Input ~ 0 +ADC_DATA_BUS +$Comp +L power:+5VA #PWR0103 +U 1 1 6148C30D +P 5300 1800 +F 0 "#PWR0103" H 5300 1650 50 0001 C CNN +F 1 "+5VA" H 5315 1973 50 0000 C CNN +F 2 "" H 5300 1800 50 0001 C CNN +F 3 "" H 5300 1800 50 0001 C CNN + 1 5300 1800 + 0 -1 1 0 +$EndComp +$Comp +L power:GND #PWR0104 +U 1 1 614ABABB +P 4550 2600 +F 0 "#PWR0104" H 4550 2350 50 0001 C CNN +F 1 "GND" V 4555 2472 50 0000 R CNN +F 2 "" H 4550 2600 50 0001 C CNN +F 3 "" H 4550 2600 50 0001 C CNN + 1 4550 2600 + -1 0 0 -1 +$EndComp +$Comp +L Amplifier_Operational:OPA847xD U13 +U 1 1 614B1E6E +P 3800 2000 +F 0 "U13" H 4144 2046 50 0000 L CNN +F 1 "OPA681" H 4144 1955 50 0000 L CNN +F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 3800 2000 50 0001 C CNN +F 3 "http://www.ti.com/lit/ds/symlink/opa847.pdf" H 3800 2200 50 0001 C CNN + 1 3800 2000 1 0 0 -1 $EndComp +$Comp +L power:+5VA #PWR0105 +U 1 1 614B6AAE +P 5400 2600 +F 0 "#PWR0105" H 5400 2450 50 0001 C CNN +F 1 "+5VA" V 5415 2728 50 0000 L CNN +F 2 "" H 5400 2600 50 0001 C CNN +F 3 "" H 5400 2600 50 0001 C CNN + 1 5400 2600 + 0 -1 1 0 +$EndComp +Wire Wire Line + 5400 2600 5700 2600 +$Comp +L Device:R R35 +U 1 1 614C66FD +P 5450 2300 +F 0 "R35" V 5243 2300 50 0000 C CNN +F 1 "1k" V 5334 2300 50 0000 C CNN +F 2 "" V 5380 2300 50 0001 C CNN +F 3 "~" H 5450 2300 50 0001 C CNN + 1 5450 2300 + 0 1 1 0 +$EndComp +$Comp +L Device:R R36 +U 1 1 614C7B9C +P 5450 2400 +F 0 "R36" V 5657 2400 50 0000 C CNN +F 1 "1k" V 5566 2400 50 0000 C CNN +F 2 "" V 5380 2400 50 0001 C CNN +F 3 "~" H 5450 2400 50 0001 C CNN + 1 5450 2400 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 5700 2300 5600 2300 +Wire Wire Line + 5600 2400 5700 2400 +Wire Wire Line + 5300 2300 5200 2300 +Wire Wire Line + 5200 2300 5200 2400 +Wire Wire Line + 5200 2400 5300 2400 +$Comp +L Device:R R34 +U 1 1 614CFF0D +P 4600 2000 +F 0 "R34" V 4393 2000 50 0000 C CNN +F 1 "39" V 4484 2000 50 0000 C CNN +F 2 "" V 4530 2000 50 0001 C CNN +F 3 "~" H 4600 2000 50 0001 C CNN + 1 4600 2000 + 0 1 1 0 +$EndComp +$Comp +L Device:C C38 +U 1 1 614D04E3 +P 4900 2150 +F 0 "C38" H 5015 2196 50 0000 L CNN +F 1 "47pF" H 5015 2105 50 0000 L CNN +F 2 "" H 4938 2000 50 0001 C CNN +F 3 "~" H 4900 2150 50 0001 C CNN + 1 4900 2150 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4900 2300 4900 2500 +Connection ~ 4900 2500 +Wire Wire Line + 4900 2500 5100 2500 +Wire Wire Line + 4750 2000 4900 2000 +Connection ~ 4900 2000 +Wire Wire Line + 4900 2000 5700 2000 +$Comp +L Device:R R33 +U 1 1 614D4C4E +P 3700 2700 +F 0 "R33" V 3493 2700 50 0000 C CNN +F 1 "402" V 3584 2700 50 0000 C CNN +F 2 "" V 3630 2700 50 0001 C CNN +F 3 "~" H 3700 2700 50 0001 C CNN + 1 3700 2700 + 0 1 1 0 +$EndComp +Wire Wire Line + 3700 2300 3700 2500 +Wire Wire Line + 3700 2500 4550 2500 +Wire Wire Line + 4550 2600 4550 2500 +Connection ~ 4550 2500 +Wire Wire Line + 4550 2500 4900 2500 +Wire Wire Line + 3850 2700 4250 2700 +Wire Wire Line + 4250 2700 4250 2000 +Connection ~ 4250 2000 +Wire Wire Line + 4250 2000 4100 2000 +Wire Wire Line + 3550 2700 3350 2700 +Wire Wire Line + 3350 2700 3350 2100 +Wire Wire Line + 3350 2100 3500 2100 +$Comp +L Device:R R32 +U 1 1 614DAD32 +P 3350 2950 +F 0 "R32" H 3420 2996 50 0000 L CNN +F 1 "402" H 3420 2905 50 0000 L CNN +F 2 "" V 3280 2950 50 0001 C CNN +F 3 "~" H 3350 2950 50 0001 C CNN + 1 3350 2950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3350 2800 3350 2700 +Connection ~ 3350 2700 +$Comp +L Device:C C37 +U 1 1 614DC5D4 +P 3350 3350 +F 0 "C37" H 3465 3396 50 0000 L CNN +F 1 "0.1uF" H 3465 3305 50 0000 L CNN +F 2 "" H 3388 3200 50 0001 C CNN +F 3 "~" H 3350 3350 50 0001 C CNN + 1 3350 3350 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0106 +U 1 1 614DCD4E +P 3350 3600 +F 0 "#PWR0106" H 3350 3350 50 0001 C CNN +F 1 "GND" H 3355 3427 50 0000 C CNN +F 2 "" H 3350 3600 50 0001 C CNN +F 3 "" H 3350 3600 50 0001 C CNN + 1 3350 3600 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C36 +U 1 1 614DE0CC +P 2850 1900 +F 0 "C36" V 2598 1900 50 0000 C CNN +F 1 "0.1uF" V 2689 1900 50 0000 C CNN +F 2 "" H 2888 1750 50 0001 C CNN +F 3 "~" H 2850 1900 50 0001 C CNN + 1 2850 1900 + 0 1 1 0 +$EndComp +$Comp +L power:+5VA #PWR0107 +U 1 1 614E5495 +P 3700 1550 +F 0 "#PWR0107" H 3700 1400 50 0001 C CNN +F 1 "+5VA" H 3715 1723 50 0000 C CNN +F 2 "" H 3700 1550 50 0001 C CNN +F 3 "" H 3700 1550 50 0001 C CNN + 1 3700 1550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3700 1550 3700 1700 +Wire Wire Line + 3500 1900 3200 1900 +Wire Wire Line + 2700 1900 2250 1900 +Wire Wire Line + 3200 2400 3200 1900 +Connection ~ 3200 1900 +Wire Wire Line + 3200 1900 3000 1900 +Wire Wire Line + 3200 2400 5200 2400 +Connection ~ 5200 2400 +Wire Wire Line + 4250 2000 4450 2000 +Wire Wire Line + 3350 3100 3350 3200 +Wire Wire Line + 3350 3500 3350 3600 +$Comp +L Device:C C39 +U 1 1 614EF02F +P 5450 2150 +F 0 "C39" V 5702 2150 50 0000 C CNN +F 1 "0.1uF" V 5611 2150 50 0000 C CNN +F 2 "" H 5488 2000 50 0001 C CNN +F 3 "~" H 5450 2150 50 0001 C CNN + 1 5450 2150 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 5700 2200 5700 2150 +Wire Wire Line + 5600 2150 5700 2150 +Connection ~ 5700 2150 +Wire Wire Line + 5700 2150 5700 2100 +Wire Wire Line + 5300 2150 5100 2150 +Wire Wire Line + 5100 2150 5100 2500 +Connection ~ 5100 2500 +Wire Wire Line + 5100 2500 5700 2500 +Text HLabel 2250 1900 0 50 Input ~ 0 +ADC_IN +Text HLabel 8850 2600 2 50 Input ~ 0 +ADC_CLK +Wire Wire Line + 8500 2600 8850 2600 +$Comp +L power:+3.3VA #PWR058 +U 1 1 60CB177C +P 5500 1700 +F 0 "#PWR058" H 5500 1550 50 0001 C CNN +F 1 "+3.3VA" V 5515 1827 50 0000 L CNN +F 2 "" H 5500 1700 50 0001 C CNN +F 3 "" H 5500 1700 50 0001 C CNN + 1 5500 1700 + 0 -1 -1 0 +$EndComp +$Comp +L Device:C C40 +U 1 1 60CB5566 +P 5350 1400 +F 0 "C40" H 5465 1446 50 0000 L CNN +F 1 "10uF" H 5465 1355 50 0000 L CNN +F 2 "" H 5388 1250 50 0001 C CNN +F 3 "~" H 5350 1400 50 0001 C CNN + 1 5350 1400 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C41 +U 1 1 60CB7A55 +P 5600 1400 +F 0 "C41" H 5715 1446 50 0000 L CNN +F 1 "0.1uF" H 5715 1355 50 0000 L CNN +F 2 "" H 5638 1250 50 0001 C CNN +F 3 "~" H 5600 1400 50 0001 C CNN + 1 5600 1400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5100 1900 5700 1900 +Wire Wire Line + 5300 1800 5350 1800 +Wire Wire Line + 5500 1700 5600 1700 +Wire Wire Line + 5350 1550 5350 1800 +Connection ~ 5350 1800 +Wire Wire Line + 5350 1800 5700 1800 +Wire Wire Line + 5600 1550 5600 1700 +Connection ~ 5600 1700 +Wire Wire Line + 5600 1700 5700 1700 +Wire Wire Line + 5350 1250 5350 1200 +Wire Wire Line + 5350 1200 5600 1200 +Wire Wire Line + 5600 1200 5600 1250 +$Comp +L power:GND #PWR057 +U 1 1 60CCC812 +P 5350 1050 +F 0 "#PWR057" H 5350 800 50 0001 C CNN +F 1 "GND" H 5355 877 50 0000 C CNN +F 2 "" H 5350 1050 50 0001 C CNN +F 3 "" H 5350 1050 50 0001 C CNN + 1 5350 1050 + -1 0 0 1 +$EndComp +Wire Wire Line + 5350 1050 5350 1200 +Connection ~ 5350 1200 +$Comp +L power:+5VA #PWR? +U 1 1 60CD6EC0 +P 3900 2300 +F 0 "#PWR?" H 3900 2150 50 0001 C CNN +F 1 "+5VA" V 3915 2428 50 0000 L CNN +F 2 "" H 3900 2300 50 0001 C CNN +F 3 "" H 3900 2300 50 0001 C CNN + 1 3900 2300 + 0 1 1 0 +$EndComp +Wire Wire Line + 3900 2300 3800 2300 +Wire Bus Line + 8850 1400 8850 2500 $EndSCHEMATC diff --git a/Power.sch b/Power.sch index 04d4f90..f1b64cf 100644 --- a/Power.sch +++ b/Power.sch @@ -3,7 +3,7 @@ EELAYER 30 0 EELAYER END $Descr A4 11693 8268 encoding utf-8 -Sheet 4 5 +Sheet 3 6 Title "" Date "" Rev "" @@ -897,10 +897,10 @@ Connection ~ 7450 1100 Wire Wire Line 7450 1100 7800 1100 $Comp -L Regulator_Linear:TLV75712PDBV U? +L Regulator_Linear:TLV75712PDBV U11 U 1 1 60D06FBA P 5950 6500 -F 0 "U?" H 5950 6842 50 0000 C CNN +F 0 "U11" H 5950 6842 50 0000 C CNN F 1 "TLV75712PDBV_1.2V" H 5950 6751 50 0000 C CNN F 2 "Package_TO_SOT_SMD:SOT-23-5" H 5950 6825 50 0001 C CIN F 3 "https://www.ti.com/lit/ds/symlink/tlv757p.pdf" H 5950 6550 50 0001 C CNN @@ -908,10 +908,10 @@ F 3 "https://www.ti.com/lit/ds/symlink/tlv757p.pdf" H 5950 6550 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Regulator_Linear:TLV75733PDBV U? +L Regulator_Linear:TLV75733PDBV U8 U 1 1 60D16596 P 1550 6550 -F 0 "U?" H 1550 6892 50 0000 C CNN +F 0 "U8" H 1550 6892 50 0000 C CNN F 1 "TLV75733PDBV_3.3V" H 1550 6801 50 0000 C CNN F 2 "Package_TO_SOT_SMD:SOT-23-5" H 1550 6875 50 0001 C CIN F 3 "https://www.ti.com/lit/ds/symlink/tlv757p.pdf" H 1550 6600 50 0001 C CNN @@ -919,10 +919,10 @@ F 3 "https://www.ti.com/lit/ds/symlink/tlv757p.pdf" H 1550 6600 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L power:GND #PWR? +L power:GND #PWR022 U 1 1 60D4777F P 1550 7050 -F 0 "#PWR?" H 1550 6800 50 0001 C CNN +F 0 "#PWR022" H 1550 6800 50 0001 C CNN F 1 "GND" H 1555 6877 50 0000 C CNN F 2 "" H 1550 7050 50 0001 C CNN F 3 "" H 1550 7050 50 0001 C CNN @@ -930,10 +930,10 @@ F 3 "" H 1550 7050 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L power:GND #PWR? +L power:GND #PWR031 U 1 1 60D49270 P 5950 6950 -F 0 "#PWR?" H 5950 6700 50 0001 C CNN +F 0 "#PWR031" H 5950 6700 50 0001 C CNN F 1 "GND" H 5955 6777 50 0000 C CNN F 2 "" H 5950 6950 50 0001 C CNN F 3 "" H 5950 6950 50 0001 C CNN @@ -945,10 +945,10 @@ Wire Wire Line Wire Wire Line 1550 7050 1550 6900 $Comp -L power:+2V5 #PWR? +L power:+2V5 #PWR029 U 1 1 60D69FD7 P 4550 6350 -F 0 "#PWR?" H 4550 6200 50 0001 C CNN +F 0 "#PWR029" H 4550 6200 50 0001 C CNN F 1 "+2V5" H 4565 6523 50 0000 C CNN F 2 "" H 4550 6350 50 0001 C CNN F 3 "" H 4550 6350 50 0001 C CNN @@ -956,10 +956,10 @@ F 3 "" H 4550 6350 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L power:+1V2 #PWR? +L power:+1V2 #PWR032 U 1 1 60D6B008 P 6400 6250 -F 0 "#PWR?" H 6400 6100 50 0001 C CNN +F 0 "#PWR032" H 6400 6100 50 0001 C CNN F 1 "+1V2" H 6415 6423 50 0000 C CNN F 2 "" H 6400 6250 50 0001 C CNN F 3 "" H 6400 6250 50 0001 C CNN @@ -967,10 +967,10 @@ F 3 "" H 6400 6250 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L power:+3V3 #PWR? +L power:+3V3 #PWR024 U 1 1 60D7EA93 P 2050 6250 -F 0 "#PWR?" H 2050 6100 50 0001 C CNN +F 0 "#PWR024" H 2050 6100 50 0001 C CNN F 1 "+3V3" H 2065 6423 50 0000 C CNN F 2 "" H 2050 6250 50 0001 C CNN F 3 "" H 2050 6250 50 0001 C CNN @@ -978,10 +978,10 @@ F 3 "" H 2050 6250 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Regulator_Linear:TLV1117-25 U? +L Regulator_Linear:TLV1117-25 U10 U 1 1 60D80B31 P 4100 6500 -F 0 "U?" H 4100 6742 50 0000 C CNN +F 0 "U10" H 4100 6742 50 0000 C CNN F 1 "TLV1117-25" H 4100 6651 50 0000 C CNN F 2 "" H 4100 6500 50 0001 C CNN F 3 "http://www.ti.com/lit/ds/symlink/tlv1117.pdf" H 4100 6500 50 0001 C CNN @@ -997,10 +997,10 @@ Wire Wire Line Wire Wire Line 4550 6500 4550 6350 $Comp -L power:GND #PWR? +L power:GND #PWR028 U 1 1 60DAC354 P 4100 6950 -F 0 "#PWR?" H 4100 6700 50 0001 C CNN +F 0 "#PWR028" H 4100 6700 50 0001 C CNN F 1 "GND" H 4105 6777 50 0000 C CNN F 2 "" H 4100 6950 50 0001 C CNN F 3 "" H 4100 6950 50 0001 C CNN @@ -1014,10 +1014,10 @@ Wire Wire Line Wire Wire Line 2050 6450 2050 6250 $Comp -L Device:C C? +L Device:C C16 U 1 1 60DBAAB6 P 4550 6650 -F 0 "C?" H 4665 6696 50 0000 L CNN +F 0 "C16" H 4665 6696 50 0000 L CNN F 1 "1uF" H 4665 6605 50 0000 L CNN F 2 "" H 4588 6500 50 0001 C CNN F 3 "~" H 4550 6650 50 0001 C CNN @@ -1026,10 +1026,10 @@ F 3 "~" H 4550 6650 50 0001 C CNN $EndComp Connection ~ 4550 6500 $Comp -L Device:C C? +L Device:C C21 U 1 1 60DBCC8A P 6400 6550 -F 0 "C?" H 6515 6596 50 0000 L CNN +F 0 "C21" H 6515 6596 50 0000 L CNN F 1 "1uF" H 6515 6505 50 0000 L CNN F 2 "" H 6438 6400 50 0001 C CNN F 3 "~" H 6400 6550 50 0001 C CNN @@ -1037,10 +1037,10 @@ F 3 "~" H 6400 6550 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C11 U 1 1 60DC27D9 P 2050 6650 -F 0 "C?" H 2165 6696 50 0000 L CNN +F 0 "C11" H 2165 6696 50 0000 L CNN F 1 "1uF" H 2165 6605 50 0000 L CNN F 2 "" H 2088 6500 50 0001 C CNN F 3 "~" H 2050 6650 50 0001 C CNN @@ -1071,10 +1071,10 @@ Wire Wire Line 1550 6900 1550 6850 Connection ~ 2050 6450 $Comp -L Regulator_Switching:TPS563200 U? +L Regulator_Switching:TPS563200 U9 U 1 1 60E2CF85 P 3950 4850 -F 0 "U?" H 3950 5217 50 0000 C CNN +F 0 "U9" H 3950 5217 50 0000 C CNN F 1 "TPS563200" H 3950 5126 50 0000 C CNN F 2 "Package_TO_SOT_SMD:SOT-23-6" H 4000 4600 50 0001 L CNN F 3 "http://www.ti.com/lit/ds/symlink/tps563200.pdf" H 3950 4850 50 0001 C CNN @@ -1082,10 +1082,10 @@ F 3 "http://www.ti.com/lit/ds/symlink/tps563200.pdf" H 3950 4850 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C10 U 1 1 60E308D7 P 2050 5000 -F 0 "C?" H 2165 5046 50 0000 L CNN +F 0 "C10" H 2165 5046 50 0000 L CNN F 1 "10uF" H 2165 4955 50 0000 L CNN F 2 "" H 2088 4850 50 0001 C CNN F 3 "~" H 2050 5000 50 0001 C CNN @@ -1093,10 +1093,10 @@ F 3 "~" H 2050 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C12 U 1 1 60E31215 P 2450 5000 -F 0 "C?" H 2565 5046 50 0000 L CNN +F 0 "C12" H 2565 5046 50 0000 L CNN F 1 "10uF" H 2565 4955 50 0000 L CNN F 2 "" H 2488 4850 50 0001 C CNN F 3 "~" H 2450 5000 50 0001 C CNN @@ -1104,10 +1104,10 @@ F 3 "~" H 2450 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C14 U 1 1 60E322B0 P 2850 5000 -F 0 "C?" H 2965 5046 50 0000 L CNN +F 0 "C14" H 2965 5046 50 0000 L CNN F 1 "0.1uF" H 2965 4955 50 0000 L CNN F 2 "" H 2888 4850 50 0001 C CNN F 3 "~" H 2850 5000 50 0001 C CNN @@ -1115,10 +1115,10 @@ F 3 "~" H 2850 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C19 U 1 1 60E36C99 P 5600 5000 -F 0 "C?" H 5715 5046 50 0000 L CNN +F 0 "C19" H 5715 5046 50 0000 L CNN F 1 "22uF" H 5715 4955 50 0000 L CNN F 2 "" H 5638 4850 50 0001 C CNN F 3 "~" H 5600 5000 50 0001 C CNN @@ -1126,10 +1126,10 @@ F 3 "~" H 5600 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C20 U 1 1 60E37BA0 P 6050 5000 -F 0 "C?" H 6165 5046 50 0000 L CNN +F 0 "C20" H 6165 5046 50 0000 L CNN F 1 "22uF" H 6165 4955 50 0000 L CNN F 2 "" H 6088 4850 50 0001 C CNN F 3 "~" H 6050 5000 50 0001 C CNN @@ -1137,10 +1137,10 @@ F 3 "~" H 6050 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C22 U 1 1 60E3E156 P 6450 5000 -F 0 "C?" H 6565 5046 50 0000 L CNN +F 0 "C22" H 6565 5046 50 0000 L CNN F 1 "22uF" H 6565 4955 50 0000 L CNN F 2 "" H 6488 4850 50 0001 C CNN F 3 "~" H 6450 5000 50 0001 C CNN @@ -1148,10 +1148,10 @@ F 3 "~" H 6450 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C17 U 1 1 60E6D8EA P 4650 4850 -F 0 "C?" H 4765 4896 50 0000 L CNN +F 0 "C17" H 4765 4896 50 0000 L CNN F 1 "0.1uF" H 4765 4805 50 0000 L CNN F 2 "" H 4688 4700 50 0001 C CNN F 3 "~" H 4650 4850 50 0001 C CNN @@ -1159,10 +1159,10 @@ F 3 "~" H 4650 4850 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L Device:L L? +L Device:L L4 U 1 1 60E74209 P 5150 4750 -F 0 "L?" V 5340 4750 50 0000 C CNN +F 0 "L4" V 5340 4750 50 0000 C CNN F 1 "3.3uH" V 5249 4750 50 0000 C CNN F 2 "" H 5150 4750 50 0001 C CNN F 3 "~" H 5150 4750 50 0001 C CNN @@ -1170,10 +1170,10 @@ F 3 "~" H 5150 4750 50 0001 C CNN 0 -1 -1 0 $EndComp $Comp -L Device:R R? +L Device:R R19 U 1 1 60E752D9 P 5150 4950 -F 0 "R?" H 5220 4996 50 0000 L CNN +F 0 "R19" H 5220 4996 50 0000 L CNN F 1 "75k" H 5220 4905 50 0000 L CNN F 2 "" V 5080 4950 50 0001 C CNN F 3 "~" H 5150 4950 50 0001 C CNN @@ -1181,10 +1181,10 @@ F 3 "~" H 5150 4950 50 0001 C CNN 0 -1 -1 0 $EndComp $Comp -L Device:R R? +L Device:R R20 U 1 1 60E75952 P 5150 5100 -F 0 "R?" H 5220 5146 50 0000 L CNN +F 0 "R20" H 5220 5146 50 0000 L CNN F 1 "10k" H 5220 5055 50 0000 L CNN F 2 "" V 5080 5100 50 0001 C CNN F 3 "~" H 5150 5100 50 0001 C CNN @@ -1192,10 +1192,10 @@ F 3 "~" H 5150 5100 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L Device:R R? +L Device:R R18 U 1 1 60E75ECA P 3350 4950 -F 0 "R?" V 3143 4950 50 0000 C CNN +F 0 "R18" V 3143 4950 50 0000 C CNN F 1 "10k" V 3234 4950 50 0000 C CNN F 2 "" V 3280 4950 50 0001 C CNN F 3 "~" H 3350 4950 50 0001 C CNN @@ -1238,10 +1238,10 @@ Connection ~ 4900 4750 Wire Wire Line 4900 4750 5000 4750 $Comp -L power:GND #PWR? +L power:GND #PWR027 U 1 1 60E2F440 P 3950 5450 -F 0 "#PWR?" H 3950 5200 50 0001 C CNN +F 0 "#PWR027" H 3950 5200 50 0001 C CNN F 1 "GND" H 3955 5277 50 0000 C CNN F 2 "" H 3950 5450 50 0001 C CNN F 3 "" H 3950 5450 50 0001 C CNN @@ -1318,10 +1318,10 @@ Wire Wire Line Wire Wire Line 2850 5150 2850 5250 $Comp -L power:+12V #PWR? +L power:+12V #PWR023 U 1 1 6113D244 P 2050 4550 -F 0 "#PWR?" H 2050 4400 50 0001 C CNN +F 0 "#PWR023" H 2050 4400 50 0001 C CNN F 1 "+12V" H 2065 4723 50 0000 C CNN F 2 "" H 2050 4550 50 0001 C CNN F 3 "" H 2050 4550 50 0001 C CNN @@ -1335,10 +1335,10 @@ Wire Wire Line 6450 4600 6450 4750 Connection ~ 6450 4750 $Comp -L power:+6V #PWR? +L power:+6V #PWR033 U 1 1 61198CDB P 6450 4600 -F 0 "#PWR?" H 6450 4450 50 0001 C CNN +F 0 "#PWR033" H 6450 4450 50 0001 C CNN F 1 "+6V" H 6465 4773 50 0000 C CNN F 2 "" H 6450 4600 50 0001 C CNN F 3 "" H 6450 4600 50 0001 C CNN @@ -1350,10 +1350,10 @@ Should be 6.5V Wire Wire Line 9800 4950 9900 4950 $Comp -L LP38692MPX-5.0:LP38692MPX-5.0_NOPB U? +L LP38692MPX-5.0:LP38692MPX-5.0_NOPB U12 U 1 1 611B55BA P 9900 4950 -F 0 "U?" H 10700 5337 60 0000 C CNN +F 0 "U12" H 10700 5337 60 0000 C CNN F 1 "LP38692MPX-5.0_NOPB" H 10700 5231 60 0000 C CNN F 2 "MP05A" H 10700 5190 60 0001 C CNN F 3 "" H 9900 4950 60 0000 C CNN @@ -1361,10 +1361,10 @@ F 3 "" H 9900 4950 60 0000 C CNN -1 0 0 -1 $EndComp $Comp -L power:+6V #PWR? +L power:+6V #PWR034 U 1 1 61295F72 P 7350 4650 -F 0 "#PWR?" H 7350 4500 50 0001 C CNN +F 0 "#PWR034" H 7350 4500 50 0001 C CNN F 1 "+6V" H 7365 4823 50 0000 C CNN F 2 "" H 7350 4650 50 0001 C CNN F 3 "" H 7350 4650 50 0001 C CNN @@ -1376,10 +1376,10 @@ Wire Wire Line Wire Wire Line 7350 5050 7350 4650 $Comp -L power:GND #PWR? +L power:GND #PWR036 U 1 1 612AE901 P 8200 5550 -F 0 "#PWR?" H 8200 5300 50 0001 C CNN +F 0 "#PWR036" H 8200 5300 50 0001 C CNN F 1 "GND" H 8205 5377 50 0000 C CNN F 2 "" H 8200 5550 50 0001 C CNN F 3 "" H 8200 5550 50 0001 C CNN @@ -1389,10 +1389,10 @@ $EndComp Wire Wire Line 8300 5150 8200 5150 $Comp -L Device:C C? +L Device:C C23 U 1 1 612F997C P 7350 5200 -F 0 "C?" H 7465 5246 50 0000 L CNN +F 0 "C23" H 7465 5246 50 0000 L CNN F 1 "10uF" H 7465 5155 50 0000 L CNN F 2 "" H 7388 5050 50 0001 C CNN F 3 "~" H 7350 5200 50 0001 C CNN @@ -1400,10 +1400,10 @@ F 3 "~" H 7350 5200 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C24 U 1 1 612F9982 P 7600 4750 -F 0 "C?" H 7715 4796 50 0000 L CNN +F 0 "C24" H 7715 4796 50 0000 L CNN F 1 "22uF" H 7715 4705 50 0000 L CNN F 2 "" H 7638 4600 50 0001 C CNN F 3 "~" H 7600 4750 50 0001 C CNN @@ -1413,10 +1413,10 @@ $EndComp Wire Wire Line 7350 5350 7350 5450 $Comp -L Device:C C? +L Device:C C25 U 1 1 6134ED9B P 7950 4750 -F 0 "C?" H 8065 4796 50 0000 L CNN +F 0 "C25" H 8065 4796 50 0000 L CNN F 1 "22uF" H 8065 4705 50 0000 L CNN F 2 "" H 7988 4600 50 0001 C CNN F 3 "~" H 7950 4750 50 0001 C CNN @@ -1462,10 +1462,10 @@ Connection ~ 7800 5450 Wire Wire Line 7800 5450 8200 5450 $Comp -L power:+5VA #PWR? +L power:+5VA #PWR035 U 1 1 6146C8A3 P 8200 4450 -F 0 "#PWR?" H 8200 4300 50 0001 C CNN +F 0 "#PWR035" H 8200 4300 50 0001 C CNN F 1 "+5VA" H 8215 4623 50 0000 C CNN F 2 "" H 8200 4450 50 0001 C CNN F 3 "" H 8200 4450 50 0001 C CNN @@ -1473,10 +1473,10 @@ F 3 "" H 8200 4450 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L power:+6V #PWR? +L power:+6V #PWR037 U 1 1 6148E6C5 P 10000 4650 -F 0 "#PWR?" H 10000 4500 50 0001 C CNN +F 0 "#PWR037" H 10000 4500 50 0001 C CNN F 1 "+6V" H 10015 4823 50 0000 C CNN F 2 "" H 10000 4650 50 0001 C CNN F 3 "" H 10000 4650 50 0001 C CNN @@ -1490,10 +1490,10 @@ Wire Wire Line 10000 4950 9900 4950 Connection ~ 9900 4950 $Comp -L Device:L L? +L Device:L L3 U 1 1 61573A50 P 2400 6450 -F 0 "L?" V 2590 6450 50 0000 C CNN +F 0 "L3" V 2590 6450 50 0000 C CNN F 1 "10uH" V 2499 6450 50 0000 C CNN F 2 "" H 2400 6450 50 0001 C CNN F 3 "~" H 2400 6450 50 0001 C CNN @@ -1501,10 +1501,10 @@ F 3 "~" H 2400 6450 50 0001 C CNN 0 -1 -1 0 $EndComp $Comp -L Device:C C? +L Device:C C13 U 1 1 61581FD6 P 2750 6650 -F 0 "C?" H 2865 6696 50 0000 L CNN +F 0 "C13" H 2865 6696 50 0000 L CNN F 1 "10uF" H 2865 6605 50 0000 L CNN F 2 "" H 2788 6500 50 0001 C CNN F 3 "~" H 2750 6650 50 0001 C CNN @@ -1519,10 +1519,10 @@ Wire Wire Line 2050 6900 2750 6900 Connection ~ 2050 6900 $Comp -L power:+3.3VA #PWR? +L power:+3.3VA #PWR025 U 1 1 615D19F4 P 2750 6250 -F 0 "#PWR?" H 2750 6100 50 0001 C CNN +F 0 "#PWR025" H 2750 6100 50 0001 C CNN F 1 "+3.3VA" H 2765 6423 50 0000 C CNN F 2 "" H 2750 6250 50 0001 C CNN F 3 "" H 2750 6250 50 0001 C CNN @@ -1539,10 +1539,10 @@ Wire Wire Line Wire Wire Line 2050 6500 2050 6450 $Comp -L power:+6V #PWR? +L power:+6V #PWR021 U 1 1 6163BB75 P 1000 6250 -F 0 "#PWR?" H 1000 6100 50 0001 C CNN +F 0 "#PWR021" H 1000 6100 50 0001 C CNN F 1 "+6V" H 1015 6423 50 0000 C CNN F 2 "" H 1000 6250 50 0001 C CNN F 3 "" H 1000 6250 50 0001 C CNN @@ -1559,10 +1559,10 @@ Wire Wire Line 1000 6550 1000 6450 Connection ~ 1000 6450 $Comp -L power:+6V #PWR? +L power:+6V #PWR030 U 1 1 616BECB9 P 5400 6200 -F 0 "#PWR?" H 5400 6050 50 0001 C CNN +F 0 "#PWR030" H 5400 6050 50 0001 C CNN F 1 "+6V" H 5415 6373 50 0000 C CNN F 2 "" H 5400 6200 50 0001 C CNN F 3 "" H 5400 6200 50 0001 C CNN @@ -1591,10 +1591,10 @@ Wire Wire Line Wire Wire Line 3150 2450 3150 2600 $Comp -L Device:C C? +L Device:C C9 U 1 1 617DF3E6 P 1000 6700 -F 0 "C?" H 1115 6746 50 0000 L CNN +F 0 "C9" H 1115 6746 50 0000 L CNN F 1 "10uF" H 1115 6655 50 0000 L CNN F 2 "" H 1038 6550 50 0001 C CNN F 3 "~" H 1000 6700 50 0001 C CNN @@ -1603,10 +1603,10 @@ F 3 "~" H 1000 6700 50 0001 C CNN $EndComp Connection ~ 1000 6550 $Comp -L Device:C C? +L Device:C C15 U 1 1 617E0843 P 3550 6650 -F 0 "C?" H 3665 6696 50 0000 L CNN +F 0 "C15" H 3665 6696 50 0000 L CNN F 1 "10uF" H 3665 6605 50 0000 L CNN F 2 "" H 3588 6500 50 0001 C CNN F 3 "~" H 3550 6650 50 0001 C CNN @@ -1614,10 +1614,10 @@ F 3 "~" H 3550 6650 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C18 U 1 1 617E2129 P 5400 6650 -F 0 "C?" H 5515 6696 50 0000 L CNN +F 0 "C18" H 5515 6696 50 0000 L CNN F 1 "10uF" H 5515 6605 50 0000 L CNN F 2 "" H 5438 6500 50 0001 C CNN F 3 "~" H 5400 6650 50 0001 C CNN @@ -1636,10 +1636,10 @@ Wire Wire Line Wire Wire Line 3800 6500 3550 6500 $Comp -L power:+6V #PWR? +L power:+6V #PWR026 U 1 1 61813378 P 3550 6350 -F 0 "#PWR?" H 3550 6200 50 0001 C CNN +F 0 "#PWR026" H 3550 6200 50 0001 C CNN F 1 "+6V" H 3565 6523 50 0000 C CNN F 2 "" H 3550 6350 50 0001 C CNN F 3 "" H 3550 6350 50 0001 C CNN diff --git a/Power.sch-bak b/Power.sch-bak index b7c8b97..f1b64cf 100644 --- a/Power.sch-bak +++ b/Power.sch-bak @@ -3,7 +3,7 @@ EELAYER 30 0 EELAYER END $Descr A4 11693 8268 encoding utf-8 -Sheet 4 5 +Sheet 3 6 Title "" Date "" Rev "" @@ -897,184 +897,184 @@ Connection ~ 7450 1100 Wire Wire Line 7450 1100 7800 1100 $Comp -L Regulator_Linear:TLV75712PDBV U? +L Regulator_Linear:TLV75712PDBV U11 U 1 1 60D06FBA -P 5750 6500 -F 0 "U?" H 5750 6842 50 0000 C CNN -F 1 "TLV75712PDBV_1.2V" H 5750 6751 50 0000 C CNN -F 2 "Package_TO_SOT_SMD:SOT-23-5" H 5750 6825 50 0001 C CIN -F 3 "https://www.ti.com/lit/ds/symlink/tlv757p.pdf" H 5750 6550 50 0001 C CNN - 1 5750 6500 +P 5950 6500 +F 0 "U11" H 5950 6842 50 0000 C CNN +F 1 "TLV75712PDBV_1.2V" H 5950 6751 50 0000 C CNN +F 2 "Package_TO_SOT_SMD:SOT-23-5" H 5950 6825 50 0001 C CIN +F 3 "https://www.ti.com/lit/ds/symlink/tlv757p.pdf" H 5950 6550 50 0001 C CNN + 1 5950 6500 1 0 0 -1 $EndComp $Comp -L Regulator_Linear:TLV75733PDBV U? +L Regulator_Linear:TLV75733PDBV U8 U 1 1 60D16596 -P 1350 6550 -F 0 "U?" H 1350 6892 50 0000 C CNN -F 1 "TLV75733PDBV_3.3V" H 1350 6801 50 0000 C CNN -F 2 "Package_TO_SOT_SMD:SOT-23-5" H 1350 6875 50 0001 C CIN -F 3 "https://www.ti.com/lit/ds/symlink/tlv757p.pdf" H 1350 6600 50 0001 C CNN - 1 1350 6550 +P 1550 6550 +F 0 "U8" H 1550 6892 50 0000 C CNN +F 1 "TLV75733PDBV_3.3V" H 1550 6801 50 0000 C CNN +F 2 "Package_TO_SOT_SMD:SOT-23-5" H 1550 6875 50 0001 C CIN +F 3 "https://www.ti.com/lit/ds/symlink/tlv757p.pdf" H 1550 6600 50 0001 C CNN + 1 1550 6550 1 0 0 -1 $EndComp $Comp -L power:GND #PWR? +L power:GND #PWR022 U 1 1 60D4777F -P 1350 7050 -F 0 "#PWR?" H 1350 6800 50 0001 C CNN -F 1 "GND" H 1355 6877 50 0000 C CNN -F 2 "" H 1350 7050 50 0001 C CNN -F 3 "" H 1350 7050 50 0001 C CNN - 1 1350 7050 +P 1550 7050 +F 0 "#PWR022" H 1550 6800 50 0001 C CNN +F 1 "GND" H 1555 6877 50 0000 C CNN +F 2 "" H 1550 7050 50 0001 C CNN +F 3 "" H 1550 7050 50 0001 C CNN + 1 1550 7050 1 0 0 -1 $EndComp $Comp -L power:GND #PWR? +L power:GND #PWR031 U 1 1 60D49270 -P 5750 6950 -F 0 "#PWR?" H 5750 6700 50 0001 C CNN -F 1 "GND" H 5755 6777 50 0000 C CNN -F 2 "" H 5750 6950 50 0001 C CNN -F 3 "" H 5750 6950 50 0001 C CNN - 1 5750 6950 +P 5950 6950 +F 0 "#PWR031" H 5950 6700 50 0001 C CNN +F 1 "GND" H 5955 6777 50 0000 C CNN +F 2 "" H 5950 6950 50 0001 C CNN +F 3 "" H 5950 6950 50 0001 C CNN + 1 5950 6950 1 0 0 -1 $EndComp Wire Wire Line - 5750 6950 5750 6850 + 5950 6950 5950 6850 Wire Wire Line - 1350 7050 1350 6900 + 1550 7050 1550 6900 $Comp -L power:+2V5 #PWR? +L power:+2V5 #PWR029 U 1 1 60D69FD7 -P 4350 6350 -F 0 "#PWR?" H 4350 6200 50 0001 C CNN -F 1 "+2V5" H 4365 6523 50 0000 C CNN -F 2 "" H 4350 6350 50 0001 C CNN -F 3 "" H 4350 6350 50 0001 C CNN - 1 4350 6350 +P 4550 6350 +F 0 "#PWR029" H 4550 6200 50 0001 C CNN +F 1 "+2V5" H 4565 6523 50 0000 C CNN +F 2 "" H 4550 6350 50 0001 C CNN +F 3 "" H 4550 6350 50 0001 C CNN + 1 4550 6350 1 0 0 -1 $EndComp $Comp -L power:+1V2 #PWR? +L power:+1V2 #PWR032 U 1 1 60D6B008 -P 6200 6250 -F 0 "#PWR?" H 6200 6100 50 0001 C CNN -F 1 "+1V2" H 6215 6423 50 0000 C CNN -F 2 "" H 6200 6250 50 0001 C CNN -F 3 "" H 6200 6250 50 0001 C CNN - 1 6200 6250 +P 6400 6250 +F 0 "#PWR032" H 6400 6100 50 0001 C CNN +F 1 "+1V2" H 6415 6423 50 0000 C CNN +F 2 "" H 6400 6250 50 0001 C CNN +F 3 "" H 6400 6250 50 0001 C CNN + 1 6400 6250 1 0 0 -1 $EndComp $Comp -L power:+3V3 #PWR? +L power:+3V3 #PWR024 U 1 1 60D7EA93 -P 1850 6250 -F 0 "#PWR?" H 1850 6100 50 0001 C CNN -F 1 "+3V3" H 1865 6423 50 0000 C CNN -F 2 "" H 1850 6250 50 0001 C CNN -F 3 "" H 1850 6250 50 0001 C CNN - 1 1850 6250 +P 2050 6250 +F 0 "#PWR024" H 2050 6100 50 0001 C CNN +F 1 "+3V3" H 2065 6423 50 0000 C CNN +F 2 "" H 2050 6250 50 0001 C CNN +F 3 "" H 2050 6250 50 0001 C CNN + 1 2050 6250 1 0 0 -1 $EndComp $Comp -L Regulator_Linear:TLV1117-25 U? +L Regulator_Linear:TLV1117-25 U10 U 1 1 60D80B31 -P 3900 6500 -F 0 "U?" H 3900 6742 50 0000 C CNN -F 1 "TLV1117-25" H 3900 6651 50 0000 C CNN -F 2 "" H 3900 6500 50 0001 C CNN -F 3 "http://www.ti.com/lit/ds/symlink/tlv1117.pdf" H 3900 6500 50 0001 C CNN - 1 3900 6500 +P 4100 6500 +F 0 "U10" H 4100 6742 50 0000 C CNN +F 1 "TLV1117-25" H 4100 6651 50 0000 C CNN +F 2 "" H 4100 6500 50 0001 C CNN +F 3 "http://www.ti.com/lit/ds/symlink/tlv1117.pdf" H 4100 6500 50 0001 C CNN + 1 4100 6500 1 0 0 -1 $EndComp Wire Wire Line - 6050 6400 6200 6400 + 6250 6400 6400 6400 Wire Wire Line - 6200 6400 6200 6250 + 6400 6400 6400 6250 Wire Wire Line - 4200 6500 4350 6500 + 4400 6500 4550 6500 Wire Wire Line - 4350 6500 4350 6350 + 4550 6500 4550 6350 $Comp -L power:GND #PWR? +L power:GND #PWR028 U 1 1 60DAC354 -P 3900 6950 -F 0 "#PWR?" H 3900 6700 50 0001 C CNN -F 1 "GND" H 3905 6777 50 0000 C CNN -F 2 "" H 3900 6950 50 0001 C CNN -F 3 "" H 3900 6950 50 0001 C CNN - 1 3900 6950 +P 4100 6950 +F 0 "#PWR028" H 4100 6700 50 0001 C CNN +F 1 "GND" H 4105 6777 50 0000 C CNN +F 2 "" H 4100 6950 50 0001 C CNN +F 3 "" H 4100 6950 50 0001 C CNN + 1 4100 6950 1 0 0 -1 $EndComp Wire Wire Line - 3900 6950 3900 6850 + 4100 6950 4100 6850 Wire Wire Line - 1650 6450 1850 6450 + 1850 6450 2050 6450 Wire Wire Line - 1850 6450 1850 6250 + 2050 6450 2050 6250 $Comp -L Device:C C? +L Device:C C16 U 1 1 60DBAAB6 -P 4350 6650 -F 0 "C?" H 4465 6696 50 0000 L CNN -F 1 "1uF" H 4465 6605 50 0000 L CNN -F 2 "" H 4388 6500 50 0001 C CNN -F 3 "~" H 4350 6650 50 0001 C CNN - 1 4350 6650 +P 4550 6650 +F 0 "C16" H 4665 6696 50 0000 L CNN +F 1 "1uF" H 4665 6605 50 0000 L CNN +F 2 "" H 4588 6500 50 0001 C CNN +F 3 "~" H 4550 6650 50 0001 C CNN + 1 4550 6650 1 0 0 -1 $EndComp -Connection ~ 4350 6500 +Connection ~ 4550 6500 $Comp -L Device:C C? +L Device:C C21 U 1 1 60DBCC8A -P 6200 6550 -F 0 "C?" H 6315 6596 50 0000 L CNN -F 1 "1uF" H 6315 6505 50 0000 L CNN -F 2 "" H 6238 6400 50 0001 C CNN -F 3 "~" H 6200 6550 50 0001 C CNN - 1 6200 6550 +P 6400 6550 +F 0 "C21" H 6515 6596 50 0000 L CNN +F 1 "1uF" H 6515 6505 50 0000 L CNN +F 2 "" H 6438 6400 50 0001 C CNN +F 3 "~" H 6400 6550 50 0001 C CNN + 1 6400 6550 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C11 U 1 1 60DC27D9 -P 1850 6650 -F 0 "C?" H 1965 6696 50 0000 L CNN -F 1 "1uF" H 1965 6605 50 0000 L CNN -F 2 "" H 1888 6500 50 0001 C CNN -F 3 "~" H 1850 6650 50 0001 C CNN - 1 1850 6650 +P 2050 6650 +F 0 "C11" H 2165 6696 50 0000 L CNN +F 1 "1uF" H 2165 6605 50 0000 L CNN +F 2 "" H 2088 6500 50 0001 C CNN +F 3 "~" H 2050 6650 50 0001 C CNN + 1 2050 6650 1 0 0 -1 $EndComp Wire Wire Line - 4350 6800 4350 6850 + 4550 6800 4550 6850 Wire Wire Line - 4350 6850 3900 6850 -Connection ~ 3900 6850 + 4550 6850 4100 6850 +Connection ~ 4100 6850 Wire Wire Line - 3900 6850 3900 6800 + 4100 6850 4100 6800 Wire Wire Line - 6200 6700 6200 6850 + 6400 6700 6400 6850 Wire Wire Line - 6200 6850 5750 6850 -Connection ~ 5750 6850 + 6400 6850 5950 6850 +Connection ~ 5950 6850 Wire Wire Line - 5750 6850 5750 6800 -Connection ~ 6200 6400 + 5950 6850 5950 6800 +Connection ~ 6400 6400 Wire Wire Line - 1850 6800 1850 6900 + 2050 6800 2050 6900 Wire Wire Line - 1850 6900 1350 6900 -Connection ~ 1350 6900 + 2050 6900 1550 6900 +Connection ~ 1550 6900 Wire Wire Line - 1350 6900 1350 6850 -Connection ~ 1850 6450 + 1550 6900 1550 6850 +Connection ~ 2050 6450 $Comp -L Regulator_Switching:TPS563200 U? +L Regulator_Switching:TPS563200 U9 U 1 1 60E2CF85 P 3950 4850 -F 0 "U?" H 3950 5217 50 0000 C CNN +F 0 "U9" H 3950 5217 50 0000 C CNN F 1 "TPS563200" H 3950 5126 50 0000 C CNN F 2 "Package_TO_SOT_SMD:SOT-23-6" H 4000 4600 50 0001 L CNN F 3 "http://www.ti.com/lit/ds/symlink/tps563200.pdf" H 3950 4850 50 0001 C CNN @@ -1082,10 +1082,10 @@ F 3 "http://www.ti.com/lit/ds/symlink/tps563200.pdf" H 3950 4850 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C10 U 1 1 60E308D7 P 2050 5000 -F 0 "C?" H 2165 5046 50 0000 L CNN +F 0 "C10" H 2165 5046 50 0000 L CNN F 1 "10uF" H 2165 4955 50 0000 L CNN F 2 "" H 2088 4850 50 0001 C CNN F 3 "~" H 2050 5000 50 0001 C CNN @@ -1093,10 +1093,10 @@ F 3 "~" H 2050 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C12 U 1 1 60E31215 P 2450 5000 -F 0 "C?" H 2565 5046 50 0000 L CNN +F 0 "C12" H 2565 5046 50 0000 L CNN F 1 "10uF" H 2565 4955 50 0000 L CNN F 2 "" H 2488 4850 50 0001 C CNN F 3 "~" H 2450 5000 50 0001 C CNN @@ -1104,10 +1104,10 @@ F 3 "~" H 2450 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C14 U 1 1 60E322B0 P 2850 5000 -F 0 "C?" H 2965 5046 50 0000 L CNN +F 0 "C14" H 2965 5046 50 0000 L CNN F 1 "0.1uF" H 2965 4955 50 0000 L CNN F 2 "" H 2888 4850 50 0001 C CNN F 3 "~" H 2850 5000 50 0001 C CNN @@ -1115,10 +1115,10 @@ F 3 "~" H 2850 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C19 U 1 1 60E36C99 P 5600 5000 -F 0 "C?" H 5715 5046 50 0000 L CNN +F 0 "C19" H 5715 5046 50 0000 L CNN F 1 "22uF" H 5715 4955 50 0000 L CNN F 2 "" H 5638 4850 50 0001 C CNN F 3 "~" H 5600 5000 50 0001 C CNN @@ -1126,10 +1126,10 @@ F 3 "~" H 5600 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C20 U 1 1 60E37BA0 P 6050 5000 -F 0 "C?" H 6165 5046 50 0000 L CNN +F 0 "C20" H 6165 5046 50 0000 L CNN F 1 "22uF" H 6165 4955 50 0000 L CNN F 2 "" H 6088 4850 50 0001 C CNN F 3 "~" H 6050 5000 50 0001 C CNN @@ -1137,10 +1137,10 @@ F 3 "~" H 6050 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C22 U 1 1 60E3E156 P 6450 5000 -F 0 "C?" H 6565 5046 50 0000 L CNN +F 0 "C22" H 6565 5046 50 0000 L CNN F 1 "22uF" H 6565 4955 50 0000 L CNN F 2 "" H 6488 4850 50 0001 C CNN F 3 "~" H 6450 5000 50 0001 C CNN @@ -1148,10 +1148,10 @@ F 3 "~" H 6450 5000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C17 U 1 1 60E6D8EA P 4650 4850 -F 0 "C?" H 4765 4896 50 0000 L CNN +F 0 "C17" H 4765 4896 50 0000 L CNN F 1 "0.1uF" H 4765 4805 50 0000 L CNN F 2 "" H 4688 4700 50 0001 C CNN F 3 "~" H 4650 4850 50 0001 C CNN @@ -1159,10 +1159,10 @@ F 3 "~" H 4650 4850 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L Device:L L? +L Device:L L4 U 1 1 60E74209 P 5150 4750 -F 0 "L?" V 5340 4750 50 0000 C CNN +F 0 "L4" V 5340 4750 50 0000 C CNN F 1 "3.3uH" V 5249 4750 50 0000 C CNN F 2 "" H 5150 4750 50 0001 C CNN F 3 "~" H 5150 4750 50 0001 C CNN @@ -1170,10 +1170,10 @@ F 3 "~" H 5150 4750 50 0001 C CNN 0 -1 -1 0 $EndComp $Comp -L Device:R R? +L Device:R R19 U 1 1 60E752D9 P 5150 4950 -F 0 "R?" H 5220 4996 50 0000 L CNN +F 0 "R19" H 5220 4996 50 0000 L CNN F 1 "75k" H 5220 4905 50 0000 L CNN F 2 "" V 5080 4950 50 0001 C CNN F 3 "~" H 5150 4950 50 0001 C CNN @@ -1181,10 +1181,10 @@ F 3 "~" H 5150 4950 50 0001 C CNN 0 -1 -1 0 $EndComp $Comp -L Device:R R? +L Device:R R20 U 1 1 60E75952 P 5150 5100 -F 0 "R?" H 5220 5146 50 0000 L CNN +F 0 "R20" H 5220 5146 50 0000 L CNN F 1 "10k" H 5220 5055 50 0000 L CNN F 2 "" V 5080 5100 50 0001 C CNN F 3 "~" H 5150 5100 50 0001 C CNN @@ -1192,10 +1192,10 @@ F 3 "~" H 5150 5100 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L Device:R R? +L Device:R R18 U 1 1 60E75ECA P 3350 4950 -F 0 "R?" V 3143 4950 50 0000 C CNN +F 0 "R18" V 3143 4950 50 0000 C CNN F 1 "10k" V 3234 4950 50 0000 C CNN F 2 "" V 3280 4950 50 0001 C CNN F 3 "~" H 3350 4950 50 0001 C CNN @@ -1238,10 +1238,10 @@ Connection ~ 4900 4750 Wire Wire Line 4900 4750 5000 4750 $Comp -L power:GND #PWR? +L power:GND #PWR027 U 1 1 60E2F440 P 3950 5450 -F 0 "#PWR?" H 3950 5200 50 0001 C CNN +F 0 "#PWR027" H 3950 5200 50 0001 C CNN F 1 "GND" H 3955 5277 50 0000 C CNN F 2 "" H 3950 5450 50 0001 C CNN F 3 "" H 3950 5450 50 0001 C CNN @@ -1318,10 +1318,10 @@ Wire Wire Line Wire Wire Line 2850 5150 2850 5250 $Comp -L power:+12V #PWR? +L power:+12V #PWR023 U 1 1 6113D244 P 2050 4550 -F 0 "#PWR?" H 2050 4400 50 0001 C CNN +F 0 "#PWR023" H 2050 4400 50 0001 C CNN F 1 "+12V" H 2065 4723 50 0000 C CNN F 2 "" H 2050 4550 50 0001 C CNN F 3 "" H 2050 4550 50 0001 C CNN @@ -1335,10 +1335,10 @@ Wire Wire Line 6450 4600 6450 4750 Connection ~ 6450 4750 $Comp -L power:+6V #PWR? +L power:+6V #PWR033 U 1 1 61198CDB P 6450 4600 -F 0 "#PWR?" H 6450 4450 50 0001 C CNN +F 0 "#PWR033" H 6450 4450 50 0001 C CNN F 1 "+6V" H 6465 4773 50 0000 C CNN F 2 "" H 6450 4600 50 0001 C CNN F 3 "" H 6450 4600 50 0001 C CNN @@ -1350,10 +1350,10 @@ Should be 6.5V Wire Wire Line 9800 4950 9900 4950 $Comp -L LP38692MPX-5.0:LP38692MPX-5.0_NOPB U? +L LP38692MPX-5.0:LP38692MPX-5.0_NOPB U12 U 1 1 611B55BA P 9900 4950 -F 0 "U?" H 10700 5337 60 0000 C CNN +F 0 "U12" H 10700 5337 60 0000 C CNN F 1 "LP38692MPX-5.0_NOPB" H 10700 5231 60 0000 C CNN F 2 "MP05A" H 10700 5190 60 0001 C CNN F 3 "" H 9900 4950 60 0000 C CNN @@ -1361,10 +1361,10 @@ F 3 "" H 9900 4950 60 0000 C CNN -1 0 0 -1 $EndComp $Comp -L power:+6V #PWR? +L power:+6V #PWR034 U 1 1 61295F72 P 7350 4650 -F 0 "#PWR?" H 7350 4500 50 0001 C CNN +F 0 "#PWR034" H 7350 4500 50 0001 C CNN F 1 "+6V" H 7365 4823 50 0000 C CNN F 2 "" H 7350 4650 50 0001 C CNN F 3 "" H 7350 4650 50 0001 C CNN @@ -1376,10 +1376,10 @@ Wire Wire Line Wire Wire Line 7350 5050 7350 4650 $Comp -L power:GND #PWR? +L power:GND #PWR036 U 1 1 612AE901 P 8200 5550 -F 0 "#PWR?" H 8200 5300 50 0001 C CNN +F 0 "#PWR036" H 8200 5300 50 0001 C CNN F 1 "GND" H 8205 5377 50 0000 C CNN F 2 "" H 8200 5550 50 0001 C CNN F 3 "" H 8200 5550 50 0001 C CNN @@ -1389,10 +1389,10 @@ $EndComp Wire Wire Line 8300 5150 8200 5150 $Comp -L Device:C C? +L Device:C C23 U 1 1 612F997C P 7350 5200 -F 0 "C?" H 7465 5246 50 0000 L CNN +F 0 "C23" H 7465 5246 50 0000 L CNN F 1 "10uF" H 7465 5155 50 0000 L CNN F 2 "" H 7388 5050 50 0001 C CNN F 3 "~" H 7350 5200 50 0001 C CNN @@ -1400,10 +1400,10 @@ F 3 "~" H 7350 5200 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C24 U 1 1 612F9982 P 7600 4750 -F 0 "C?" H 7715 4796 50 0000 L CNN +F 0 "C24" H 7715 4796 50 0000 L CNN F 1 "22uF" H 7715 4705 50 0000 L CNN F 2 "" H 7638 4600 50 0001 C CNN F 3 "~" H 7600 4750 50 0001 C CNN @@ -1413,10 +1413,10 @@ $EndComp Wire Wire Line 7350 5350 7350 5450 $Comp -L Device:C C? +L Device:C C25 U 1 1 6134ED9B P 7950 4750 -F 0 "C?" H 8065 4796 50 0000 L CNN +F 0 "C25" H 8065 4796 50 0000 L CNN F 1 "22uF" H 8065 4705 50 0000 L CNN F 2 "" H 7988 4600 50 0001 C CNN F 3 "~" H 7950 4750 50 0001 C CNN @@ -1462,10 +1462,10 @@ Connection ~ 7800 5450 Wire Wire Line 7800 5450 8200 5450 $Comp -L power:+5VA #PWR? +L power:+5VA #PWR035 U 1 1 6146C8A3 P 8200 4450 -F 0 "#PWR?" H 8200 4300 50 0001 C CNN +F 0 "#PWR035" H 8200 4300 50 0001 C CNN F 1 "+5VA" H 8215 4623 50 0000 C CNN F 2 "" H 8200 4450 50 0001 C CNN F 3 "" H 8200 4450 50 0001 C CNN @@ -1473,10 +1473,10 @@ F 3 "" H 8200 4450 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L power:+6V #PWR? +L power:+6V #PWR037 U 1 1 6148E6C5 P 10000 4650 -F 0 "#PWR?" H 10000 4500 50 0001 C CNN +F 0 "#PWR037" H 10000 4500 50 0001 C CNN F 1 "+6V" H 10015 4823 50 0000 C CNN F 2 "" H 10000 4650 50 0001 C CNN F 3 "" H 10000 4650 50 0001 C CNN @@ -1490,94 +1490,94 @@ Wire Wire Line 10000 4950 9900 4950 Connection ~ 9900 4950 $Comp -L Device:L L? +L Device:L L3 U 1 1 61573A50 -P 2200 6450 -F 0 "L?" V 2390 6450 50 0000 C CNN -F 1 "10uH" V 2299 6450 50 0000 C CNN -F 2 "" H 2200 6450 50 0001 C CNN -F 3 "~" H 2200 6450 50 0001 C CNN - 1 2200 6450 +P 2400 6450 +F 0 "L3" V 2590 6450 50 0000 C CNN +F 1 "10uH" V 2499 6450 50 0000 C CNN +F 2 "" H 2400 6450 50 0001 C CNN +F 3 "~" H 2400 6450 50 0001 C CNN + 1 2400 6450 0 -1 -1 0 $EndComp $Comp -L Device:C C? +L Device:C C13 U 1 1 61581FD6 -P 2550 6650 -F 0 "C?" H 2665 6696 50 0000 L CNN -F 1 "10uF" H 2665 6605 50 0000 L CNN -F 2 "" H 2588 6500 50 0001 C CNN -F 3 "~" H 2550 6650 50 0001 C CNN - 1 2550 6650 +P 2750 6650 +F 0 "C13" H 2865 6696 50 0000 L CNN +F 1 "10uF" H 2865 6605 50 0000 L CNN +F 2 "" H 2788 6500 50 0001 C CNN +F 3 "~" H 2750 6650 50 0001 C CNN + 1 2750 6650 1 0 0 -1 $EndComp Wire Wire Line - 2050 6450 1850 6450 + 2250 6450 2050 6450 Wire Wire Line - 2350 6450 2550 6450 + 2550 6450 2750 6450 Wire Wire Line - 1850 6900 2550 6900 -Connection ~ 1850 6900 + 2050 6900 2750 6900 +Connection ~ 2050 6900 $Comp -L power:+3.3VA #PWR? +L power:+3.3VA #PWR025 U 1 1 615D19F4 -P 2550 6250 -F 0 "#PWR?" H 2550 6100 50 0001 C CNN -F 1 "+3.3VA" H 2565 6423 50 0000 C CNN -F 2 "" H 2550 6250 50 0001 C CNN -F 3 "" H 2550 6250 50 0001 C CNN - 1 2550 6250 +P 2750 6250 +F 0 "#PWR025" H 2750 6100 50 0001 C CNN +F 1 "+3.3VA" H 2765 6423 50 0000 C CNN +F 2 "" H 2750 6250 50 0001 C CNN +F 3 "" H 2750 6250 50 0001 C CNN + 1 2750 6250 1 0 0 -1 $EndComp Wire Wire Line - 2550 6900 2550 6800 + 2750 6900 2750 6800 Wire Wire Line - 2550 6500 2550 6450 -Connection ~ 2550 6450 + 2750 6500 2750 6450 +Connection ~ 2750 6450 Wire Wire Line - 2550 6450 2550 6250 + 2750 6450 2750 6250 Wire Wire Line - 1850 6500 1850 6450 + 2050 6500 2050 6450 $Comp -L power:+6V #PWR? +L power:+6V #PWR021 U 1 1 6163BB75 -P 800 6250 -F 0 "#PWR?" H 800 6100 50 0001 C CNN -F 1 "+6V" H 815 6423 50 0000 C CNN -F 2 "" H 800 6250 50 0001 C CNN -F 3 "" H 800 6250 50 0001 C CNN - 1 800 6250 +P 1000 6250 +F 0 "#PWR021" H 1000 6100 50 0001 C CNN +F 1 "+6V" H 1015 6423 50 0000 C CNN +F 2 "" H 1000 6250 50 0001 C CNN +F 3 "" H 1000 6250 50 0001 C CNN + 1 1000 6250 1 0 0 -1 $EndComp Wire Wire Line - 1050 6450 800 6450 + 1250 6450 1000 6450 Wire Wire Line - 800 6450 800 6250 + 1000 6450 1000 6250 Wire Wire Line - 1050 6550 800 6550 + 1250 6550 1000 6550 Wire Wire Line - 800 6550 800 6450 -Connection ~ 800 6450 + 1000 6550 1000 6450 +Connection ~ 1000 6450 $Comp -L power:+6V #PWR? +L power:+6V #PWR030 U 1 1 616BECB9 -P 5200 6200 -F 0 "#PWR?" H 5200 6050 50 0001 C CNN -F 1 "+6V" H 5215 6373 50 0000 C CNN -F 2 "" H 5200 6200 50 0001 C CNN -F 3 "" H 5200 6200 50 0001 C CNN - 1 5200 6200 +P 5400 6200 +F 0 "#PWR030" H 5400 6050 50 0001 C CNN +F 1 "+6V" H 5415 6373 50 0000 C CNN +F 2 "" H 5400 6200 50 0001 C CNN +F 3 "" H 5400 6200 50 0001 C CNN + 1 5400 6200 1 0 0 -1 $EndComp Wire Wire Line - 5450 6400 5200 6400 + 5650 6400 5400 6400 Wire Wire Line - 5200 6400 5200 6200 + 5400 6400 5400 6200 Wire Wire Line - 5450 6500 5200 6500 + 5650 6500 5400 6500 Wire Wire Line - 5200 6500 5200 6400 -Connection ~ 5200 6400 + 5400 6500 5400 6400 +Connection ~ 5400 6400 Wire Wire Line 4750 2450 4750 2600 Wire Wire Line @@ -1591,66 +1591,66 @@ Wire Wire Line Wire Wire Line 3150 2450 3150 2600 $Comp -L Device:C C? +L Device:C C9 U 1 1 617DF3E6 -P 800 6700 -F 0 "C?" H 915 6746 50 0000 L CNN -F 1 "10uF" H 915 6655 50 0000 L CNN -F 2 "" H 838 6550 50 0001 C CNN -F 3 "~" H 800 6700 50 0001 C CNN - 1 800 6700 +P 1000 6700 +F 0 "C9" H 1115 6746 50 0000 L CNN +F 1 "10uF" H 1115 6655 50 0000 L CNN +F 2 "" H 1038 6550 50 0001 C CNN +F 3 "~" H 1000 6700 50 0001 C CNN + 1 1000 6700 1 0 0 -1 $EndComp -Connection ~ 800 6550 +Connection ~ 1000 6550 $Comp -L Device:C C? +L Device:C C15 U 1 1 617E0843 -P 3350 6650 -F 0 "C?" H 3465 6696 50 0000 L CNN -F 1 "10uF" H 3465 6605 50 0000 L CNN -F 2 "" H 3388 6500 50 0001 C CNN -F 3 "~" H 3350 6650 50 0001 C CNN - 1 3350 6650 +P 3550 6650 +F 0 "C15" H 3665 6696 50 0000 L CNN +F 1 "10uF" H 3665 6605 50 0000 L CNN +F 2 "" H 3588 6500 50 0001 C CNN +F 3 "~" H 3550 6650 50 0001 C CNN + 1 3550 6650 1 0 0 -1 $EndComp $Comp -L Device:C C? +L Device:C C18 U 1 1 617E2129 -P 5200 6650 -F 0 "C?" H 5315 6696 50 0000 L CNN -F 1 "10uF" H 5315 6605 50 0000 L CNN -F 2 "" H 5238 6500 50 0001 C CNN -F 3 "~" H 5200 6650 50 0001 C CNN - 1 5200 6650 +P 5400 6650 +F 0 "C18" H 5515 6696 50 0000 L CNN +F 1 "10uF" H 5515 6605 50 0000 L CNN +F 2 "" H 5438 6500 50 0001 C CNN +F 3 "~" H 5400 6650 50 0001 C CNN + 1 5400 6650 1 0 0 -1 $EndComp -Connection ~ 5200 6500 +Connection ~ 5400 6500 Wire Wire Line - 5750 6850 5200 6850 + 5950 6850 5400 6850 Wire Wire Line - 5200 6850 5200 6800 + 5400 6850 5400 6800 Wire Wire Line - 3350 6800 3350 6850 + 3550 6800 3550 6850 Wire Wire Line - 3350 6850 3900 6850 + 3550 6850 4100 6850 Wire Wire Line - 3600 6500 3350 6500 + 3800 6500 3550 6500 $Comp -L power:+6V #PWR? +L power:+6V #PWR026 U 1 1 61813378 -P 3350 6350 -F 0 "#PWR?" H 3350 6200 50 0001 C CNN -F 1 "+6V" H 3365 6523 50 0000 C CNN -F 2 "" H 3350 6350 50 0001 C CNN -F 3 "" H 3350 6350 50 0001 C CNN - 1 3350 6350 +P 3550 6350 +F 0 "#PWR026" H 3550 6200 50 0001 C CNN +F 1 "+6V" H 3565 6523 50 0000 C CNN +F 2 "" H 3550 6350 50 0001 C CNN +F 3 "" H 3550 6350 50 0001 C CNN + 1 3550 6350 1 0 0 -1 $EndComp Wire Wire Line - 3350 6350 3350 6500 -Connection ~ 3350 6500 + 3550 6350 3550 6500 +Connection ~ 3550 6500 Wire Wire Line - 1350 6900 800 6900 + 1550 6900 1000 6900 Wire Wire Line - 800 6900 800 6850 + 1000 6900 1000 6850 $EndSCHEMATC diff --git a/TestAutomation-cache.lib b/TestAutomation-cache.lib index 53e973b..a0e3373 100644 --- a/TestAutomation-cache.lib +++ b/TestAutomation-cache.lib @@ -141,6 +141,30 @@ X ADJ 9 1200 -500 100 L 40 40 1 1 I ENDDRAW ENDDEF # +# Amplifier_Operational_OPA847xD +# +DEF Amplifier_Operational_OPA847xD U 0 5 Y Y 1 F N +F0 "U" -50 250 50 H V L CNN +F1 "Amplifier_Operational_OPA847xD" -50 150 50 H V L CNN +F2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" 0 0 50 H I C CNN +F3 "" 0 200 50 H I C CNN +ALIAS OPA847xD +$FPLIST + SOIC*3.9x4.9mm*P1.27mm* +$ENDFPLIST +DRAW +P 4 0 1 10 -200 200 200 0 -200 -200 -200 200 f +X V- 4 -100 -300 150 U 50 50 0 1 W +X V+ 7 -100 300 150 D 50 50 0 1 W +X NC 1 -200 200 100 D 50 50 1 1 N N +X - 2 -300 -100 100 R 50 50 1 1 I +X + 3 -300 100 100 R 50 50 1 1 I +X NC 5 -200 -200 150 U 50 50 1 1 N N +X ~ 6 300 0 100 L 50 50 1 1 O +X ~DIS 8 0 -300 200 U 50 50 1 1 I +ENDDRAW +ENDDEF +# # Connector_Barrel_Jack_Switch # DEF Connector_Barrel_Jack_Switch J 0 20 Y N 1 F N @@ -166,6 +190,65 @@ X ~ 3 300 0 100 L 50 50 1 1 P ENDDRAW ENDDEF # +# Connector_RJ45_LED_Shielded +# +DEF Connector_RJ45_LED_Shielded J 0 40 Y Y 1 F N +F0 "J" -200 550 50 H V R CNN +F1 "Connector_RJ45_LED_Shielded" 50 550 50 H V L CNN +F2 "" 0 25 50 V I C CNN +F3 "" 0 25 50 V I C CNN +ALIAS RJ45_LED_Shielded +$FPLIST + 8P8C* + RJ45* +$ENDFPLIST +DRAW +S 300 500 -300 -400 0 1 10 f +P 2 0 1 0 -300 -300 -250 -300 N +P 2 0 1 0 -300 -200 -250 -200 N +P 2 0 1 0 -300 300 -250 300 N +P 2 0 1 0 -300 400 -250 400 N +P 2 0 1 0 -270 -230 -230 -230 N +P 2 0 1 0 -270 370 -230 370 N +P 2 0 1 0 -250 -300 -250 -270 N +P 2 0 1 0 -250 -200 -250 -230 N +P 2 0 1 0 -250 300 -250 330 N +P 2 0 1 0 -250 400 -250 370 N +P 2 0 1 0 -200 -245 -205 -260 N +P 2 0 1 0 -200 -220 -205 -235 N +P 2 0 1 0 -200 175 -250 175 N +P 2 0 1 0 -200 225 -250 225 N +P 2 0 1 0 -200 355 -205 340 N +P 2 0 1 0 -200 380 -205 365 N +P 3 0 1 0 -250 -125 -200 -125 -200 -125 N +P 3 0 1 0 -250 -75 -200 -75 -200 -75 N +P 3 0 1 0 -250 -25 -200 -25 -200 -25 N +P 3 0 1 0 -250 25 -200 25 -200 25 N +P 3 0 1 0 -250 75 -200 75 -200 75 N +P 3 0 1 0 -220 -265 -200 -245 -215 -250 N +P 3 0 1 0 -220 -240 -200 -220 -215 -225 N +P 3 0 1 0 -220 335 -200 355 -215 350 N +P 3 0 1 0 -220 360 -200 380 -215 375 N +P 3 0 1 0 -200 125 -250 125 -250 125 N +P 4 0 1 0 -250 -230 -270 -270 -230 -270 -250 -230 N +P 4 0 1 0 -250 370 -270 330 -230 330 -250 370 N +P 14 0 1 0 -250 -175 -250 275 150 275 150 175 200 175 200 125 250 125 250 -25 200 -25 200 -75 150 -75 150 -175 -250 -175 -250 -175 N +X ~ 1 400 -300 100 L 50 50 1 1 P +X ~ 10 -400 300 100 R 50 50 1 1 P +X ~ 11 -400 -200 100 R 50 50 1 1 P +X ~ 12 -400 -300 100 R 50 50 1 1 P +X ~ 2 400 -200 100 L 50 50 1 1 P +X ~ 3 400 -100 100 L 50 50 1 1 P +X ~ 4 400 0 100 L 50 50 1 1 P +X ~ 5 400 100 100 L 50 50 1 1 P +X ~ 6 400 200 100 L 50 50 1 1 P +X ~ 7 400 300 100 L 50 50 1 1 P +X ~ 8 400 400 100 L 50 50 1 1 P +X ~ 9 -400 400 100 R 50 50 1 1 P +X ~ SH 0 -500 100 U 50 50 1 1 P +ENDDRAW +ENDDEF +# # Device_C # DEF Device_C C 0 10 N Y 1 F N @@ -204,6 +287,55 @@ X ~ 2 0 -150 110 U 50 50 1 1 P ENDDRAW ENDDEF # +# Device_Crystal_GND24 +# +DEF Device_Crystal_GND24 Y 0 40 Y N 1 F N +F0 "Y" 125 200 50 H V L CNN +F1 "Device_Crystal_GND24" 125 125 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Crystal* +$ENDFPLIST +DRAW +S -45 100 45 -100 0 1 12 N +P 2 0 1 0 -100 0 -80 0 N +P 2 0 1 20 -80 -50 -80 50 N +P 2 0 1 0 0 -150 0 -140 N +P 2 0 1 0 0 140 0 150 N +P 2 0 1 20 80 -50 80 50 N +P 2 0 1 0 80 0 100 0 N +P 4 0 1 0 -100 -90 -100 -140 100 -140 100 -90 N +P 4 0 1 0 -100 90 -100 140 100 140 100 90 N +X 1 1 -150 0 50 R 50 50 1 1 P +X 2 2 0 200 50 D 50 50 1 1 P +X 3 3 150 0 50 L 50 50 1 1 P +X 4 4 0 -200 50 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_D_Schottky +# +DEF Device_D_Schottky D 0 40 N N 1 F N +F0 "D" 0 100 50 H V C CNN +F1 "Device_D_Schottky" 0 -100 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + TO-???* + *_Diode_* + *SingleDiode* + D_* +$ENDFPLIST +DRAW +P 2 0 1 0 50 0 -50 0 N +P 4 0 1 10 50 50 50 -50 -50 0 50 50 N +P 6 0 1 10 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N +X K 1 -150 0 100 R 50 50 1 1 P +X A 2 150 0 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# # Device_L # DEF Device_L L 0 40 N N 1 F N @@ -1043,6 +1175,93 @@ X D 8 700 -400 100 U 40 0 1 1 P ENDDRAW ENDDEF # +# Transformer_PT61017PEL +# +DEF Transformer_PT61017PEL TR 0 20 Y N 1 F N +F0 "TR" 0 425 50 H V C CNN +F1 "Transformer_PT61017PEL" 0 -425 50 H V C CNN +F2 "Transformer_SMD:Transformer_Ethernet_Bourns_PT61017PEL" 0 -500 50 H I C CNN +F3 "" -700 350 50 H I C CNN +$FPLIST + Transformer*Ethernet*Bourns*PT61017PEL* +$ENDFPLIST +DRAW +A -200 275 25 -899 899 0 1 0 N -200 250 -200 300 +A -200 -275 25 -899 899 1 1 0 N -200 -300 -200 -250 +A -200 -225 25 -899 899 1 1 0 N -200 -250 -200 -200 +A -200 -175 25 -899 899 1 1 0 N -200 -200 -200 -150 +A -200 -125 25 -899 899 1 1 0 N -200 -150 -200 -100 +A -200 125 25 -899 899 1 1 0 N -200 100 -200 150 +A -200 175 25 -899 899 1 1 0 N -200 150 -200 200 +A -200 225 25 -899 899 1 1 0 N -200 200 -200 250 +A -50 -275 25 901 -901 1 1 0 N -50 -250 -50 -300 +A -50 -225 25 901 -901 1 1 0 N -50 -200 -50 -250 +A -50 -175 25 901 -901 1 1 0 N -50 -150 -50 -200 +A -50 -125 25 901 -901 1 1 0 N -50 -100 -50 -150 +A -50 125 25 901 -901 1 1 0 N -50 150 -50 100 +A -50 175 25 901 -901 1 1 0 N -50 200 -50 150 +A -50 225 25 901 -901 1 1 0 N -50 250 -50 200 +A -50 275 25 901 -901 1 1 0 N -50 300 -50 250 +A 75 -275 25 1 1799 1 1 0 N 100 -275 50 -275 +A 75 -125 25 -1799 -1 1 1 0 N 50 -125 100 -125 +A 75 125 25 1 1799 1 1 0 N 100 125 50 125 +A 75 275 25 -1799 -1 1 1 0 N 50 275 100 275 +A 125 -275 25 1 1799 1 1 0 N 150 -275 100 -275 +A 125 -125 25 -1799 -1 1 1 0 N 100 -125 150 -125 +A 125 125 25 1 1799 1 1 0 N 150 125 100 125 +A 125 275 25 -1799 -1 1 1 0 N 100 275 150 275 +A 175 -275 25 1 1799 1 1 0 N 200 -275 150 -275 +A 175 -125 25 -1799 -1 1 1 0 N 150 -125 200 -125 +A 175 125 25 1 1799 1 1 0 N 200 125 150 125 +A 175 275 25 -1799 -1 1 1 0 N 150 275 200 275 +C -205 275 7 0 1 0 N +C -205 -125 7 1 1 0 N +C -45 -125 7 1 1 0 N +C -45 275 7 1 1 0 N +C 75 -280 7 1 1 0 N +C 75 -120 7 1 1 0 N +C 75 120 7 1 1 0 N +C 75 280 7 1 1 0 N +S -300 375 300 -375 0 1 10 f +P 2 0 1 0 -200 100 -300 100 N +P 2 0 1 0 -200 200 -300 200 N +P 2 0 1 0 -200 300 -300 300 N +P 2 0 1 0 -140 -100 -140 -300 N +P 2 0 1 0 -140 100 -140 300 N +P 2 0 1 0 -110 -300 -110 -100 N +P 2 0 1 0 -110 300 -110 100 N +P 2 0 1 0 50 185 200 185 N +P 2 0 1 0 50 215 200 215 N +P 3 0 1 0 -50 100 50 100 50 125 N +P 3 0 1 0 50 275 50 300 -50 300 N +P 3 0 1 0 200 125 200 100 300 100 N +P 3 0 1 0 200 275 200 300 300 300 N +P 6 0 1 0 -50 200 0 200 0 350 250 350 250 200 300 200 N +P 2 1 1 0 -200 -300 -300 -300 N +P 2 1 1 0 -200 -200 -300 -200 N +P 2 1 1 0 -200 -100 -300 -100 N +P 2 1 1 0 50 -215 200 -215 N +P 2 1 1 0 50 -185 200 -185 N +P 3 1 1 0 -50 -300 50 -300 50 -275 N +P 3 1 1 0 50 -125 50 -100 -50 -100 N +P 3 1 1 0 200 -275 200 -300 300 -300 N +P 3 1 1 0 200 -125 200 -100 300 -100 N +P 6 1 1 0 300 -200 250 -200 250 -350 0 -350 0 -200 -50 -200 N +X TD+ 1 -400 -300 100 R 50 50 1 1 P +X C_RX 10 400 200 100 L 50 50 1 1 P +X RX+ 11 400 100 100 L 50 50 1 1 P +X TX- 14 400 -100 100 L 50 50 1 1 P +X C_TX 15 400 -200 100 L 50 50 1 1 P +X TX+ 16 400 -300 100 L 50 50 1 1 P +X C_TD 2 -400 -200 100 R 50 50 1 1 P +X TD- 3 -400 -100 100 R 50 50 1 1 P +X RD+ 6 -400 100 100 R 50 50 1 1 P +X C_RD 7 -400 200 100 R 50 50 1 1 P +X RD- 8 -400 300 100 R 50 50 1 1 P +X RX- 9 400 300 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# # Transistor_BJT_BC857 # DEF Transistor_BJT_BC857 Q 0 0 Y N 1 F N diff --git a/TestAutomation.sch b/TestAutomation.sch index 86f7878..36cf98e 100644 --- a/TestAutomation.sch +++ b/TestAutomation.sch @@ -32,6 +32,9 @@ S 5600 5000 2000 1450 U 60FB17F2 F0 "Analog_LVDS" 50 F1 "Analog_LVDS.sch" 50 +F2 "ADC_DATA_BUS" I L 5600 5150 50 +F3 "ADC_IN" I L 5600 5550 50 +F4 "ADC_CLK" I L 5600 5250 50 $EndSheet $Sheet S 2150 1100 1950 2550 @@ -62,4 +65,24 @@ F6 "ENC_SPI_MISO" I L 9150 2550 50 F7 "ENC_INT" I L 9150 2350 50 F8 "ENC_SPI_CS" I L 9150 2450 50 $EndSheet +Wire Bus Line + 5600 5150 5450 5150 +Entry Wire Line + 5350 4450 5450 4550 +Entry Wire Line + 5350 4550 5450 4650 +Entry Wire Line + 5350 4650 5450 4750 +Entry Wire Line + 5350 4750 5450 4850 +Entry Wire Line + 5350 4850 5450 4950 +Entry Wire Line + 5350 4950 5450 5050 +Entry Wire Line + 5350 5050 5450 5150 +Entry Wire Line + 5350 4350 5450 4450 +Wire Bus Line + 5450 4400 5450 5150 $EndSCHEMATC diff --git a/TestAutomation.sch-bak b/TestAutomation.sch-bak index 81ddffc..4bb71be 100644 --- a/TestAutomation.sch-bak +++ b/TestAutomation.sch-bak @@ -32,6 +32,7 @@ S 5600 5000 2000 1450 U 60FB17F2 F0 "Analog_LVDS" 50 F1 "Analog_LVDS.sch" 50 +F2 "ADC_DATA_BUS" I L 5600 5150 50 $EndSheet $Sheet S 2150 1100 1950 2550 @@ -54,5 +55,38 @@ S 9150 2050 1200 1400 U 60E4702B F0 "Ethernet" 50 F1 "Ethernet.sch" 50 +F2 "POE_VC+" I L 9150 3300 50 +F3 "POE_VC-" I L 9150 3150 50 +F4 "ENC_SPI_SCK" I L 9150 2750 50 +F5 "ENC_SPI_MOSI" I L 9150 2650 50 +F6 "ENC_SPI_MISO" I L 9150 2550 50 +F7 "ENC_INT" I L 9150 2350 50 +F8 "ENC_SPI_CS" I L 9150 2450 50 $EndSheet +Wire Bus Line + 5600 5150 5450 5150 +Entry Wire Line + 5350 4450 5450 4550 +Entry Wire Line + 5350 4550 5450 4650 +Entry Wire Line + 5350 4650 5450 4750 +Entry Wire Line + 5350 4750 5450 4850 +Entry Wire Line + 5350 4850 5450 4950 +Entry Wire Line + 5350 4950 5450 5050 +Entry Wire Line + 5350 5050 5450 5150 +Entry Wire Line + 5350 4350 5450 4450 +Wire Wire Line + 5350 4350 5100 4350 +Wire Wire Line + 5100 4350 5100 4300 +Wire Wire Line + 5350 4450 5100 4450 +Wire Bus Line + 5450 4400 5450 5150 $EndSCHEMATC diff --git a/docs/C363355_RJ45+百兆TRANSFORMER_2019-01-09.PDF b/docs/C363355_RJ45+百兆TRANSFORMER_2019-01-09.PDF new file mode 100644 index 0000000..8d86a76 Binary files /dev/null and b/docs/C363355_RJ45+百兆TRANSFORMER_2019-01-09.PDF differ diff --git a/docs/C363361_RJ45+百兆TRANSFORMER_2019-01-09.PDF b/docs/C363361_RJ45+百兆TRANSFORMER_2019-01-09.PDF new file mode 100644 index 0000000..54fd1b5 Binary files /dev/null and b/docs/C363361_RJ45+百兆TRANSFORMER_2019-01-09.PDF differ diff --git a/docs/Datasheet - STM32F103xC.pdf b/docs/Datasheet - STM32F103xC.pdf new file mode 100644 index 0000000..46762ef Binary files /dev/null and b/docs/Datasheet - STM32F103xC.pdf differ diff --git a/docs/OPA681.pdf b/docs/OPA681.pdf new file mode 100644 index 0000000..9ee5036 Binary files /dev/null and b/docs/OPA681.pdf differ diff --git a/docs/STM32F103xx_RM.pdf b/docs/STM32F103xx_RM.pdf new file mode 100644 index 0000000..50dc919 Binary files /dev/null and b/docs/STM32F103xx_RM.pdf differ diff --git a/docs/sm13126pel.pdf b/docs/sm13126pel.pdf new file mode 100644 index 0000000..349e257 Binary files /dev/null and b/docs/sm13126pel.pdf differ diff --git a/fp-info-cache b/fp-info-cache index 798a381..42cc801 100644 --- a/fp-info-cache +++ b/fp-info-cache @@ -1,4 +1,4 @@ -19220133840571266 +19221757210762127 ACPL-217-500E Broadcom-SO-4-0-0-0 @@ -56251,6 +56251,13 @@ Yellow 5381 Series LED 0 2 2 +LP38692MPX-5.0 +LP38692MPX-5.0&slash_NOPB + + +0 +4 +4 Module A20_OLINUXINO_LIME2 A20 Olinuxino LIME2, 1.2GHz, 512-1024MB RAM, Micro-SD, NAND or eMMC, 1000Mbit Ethernet diff --git a/fp-lib-table b/fp-lib-table index a7d956f..4d88a69 100644 --- a/fp-lib-table +++ b/fp-lib-table @@ -5,4 +5,5 @@ (lib (name ENC624J600)(type KiCad)(uri ${KIPRJMOD}/libs/ENC624J600/ENC624J600.pretty)(options "")(descr "")) (lib (name SI4425DDY)(type KiCad)(uri ${KIPRJMOD}/libs/SI4425DDY/SI4425DDY.pretty)(options "")(descr "")) (lib (name ACPL-217-500E)(type KiCad)(uri ${KIPRJMOD}/libs/ACPL-217-500E/ACPL-217-500E.pretty)(options "")(descr "")) + (lib (name LP38692MPX-5.0)(type KiCad)(uri ${KIPRJMOD}/libs/LP38692/LP38692MPX-5.0.pretty)(options "")(descr "")) )