Syrostan-MCU-C/FPGA/pinmap.pcf

130 lines
3.9 KiB
Plaintext

# example.pcf
set_io --warn-no-port CLK_25M R9
set_io --warn-no-port LED T15
set_io --warn-no-port KEY T16
# set_io --warn-no-port io0 R6
# set_io --warn-no-port io1 T8
# set_io --warn-no-port io2 T5
# set_io --warn-no-port io3 R9
# set_io --warn-no-port io4 R5
# set_io --warn-no-port io5 T9
# set_io --warn-no-port io6 T3
# set_io --warn-no-port io7 R10
# set_io --warn-no-port io8 R3
# set_io --warn-no-port io9 T10
# set_io --warn-no-port io10 T2
# set_io --warn-no-port io11 T11
# set_io --warn-no-port io12 R2
# set_io --warn-no-port io13 T13
# set_io --warn-no-port io14 T1
# set_io --warn-no-port io15 T14
set_io --warn-no-port DIO_OUT T10
set_io --warn-no-port DIO_IO_SEL R10
set_io --warn-no-port DIO_CH_SEL[0] T14
set_io --warn-no-port DIO_CH_SEL[1] T13
set_io --warn-no-port DIO_CH_SEL[2] T11
set_io --warn-no-port ADC_DAT[7] J15
set_io --warn-no-port ADC_DAT[6] K16
set_io --warn-no-port ADC_DAT[5] K15
set_io --warn-no-port ADC_DAT[4] L16
set_io --warn-no-port ADC_DAT[3] M16
set_io --warn-no-port ADC_DAT[2] M15
set_io --warn-no-port ADC_DAT[1] N16
set_io --warn-no-port ADC_DAT[0] P16
set_io --warn-no-port ADC_CLK P15
set_io --warn-no-port FSMC_ADD[0] B4
set_io --warn-no-port FSMC_ADD[1] A5
set_io --warn-no-port FSMC_ADD[2] B5
set_io --warn-no-port FSMC_ADD[3] A6
set_io --warn-no-port FSMC_ADD[4] B6
set_io --warn-no-port FSMC_ADD[5] A7
set_io --warn-no-port FSMC_ADD[6] B7
set_io --warn-no-port FSMC_ADD[7] B8
set_io --warn-no-port FSMC_ADD[8] A9
set_io --warn-no-port FSMC_ADD[9] B9
set_io --warn-no-port FSMC_ADD[10] A10
set_io --warn-no-port FSMC_ADD[11] C10
set_io --warn-no-port FSMC_ADD[12] C9
set_io --warn-no-port FSMC_ADD[13] C8
set_io --warn-no-port FSMC_ADD[14] C7
set_io --warn-no-port FSMC_ADD[15] C11
set_io --warn-no-port FSMC_DAT[0] B10
set_io --warn-no-port FSMC_DAT[1] A11
set_io --warn-no-port FSMC_DAT[2] B11
set_io --warn-no-port FSMC_DAT[3] B12
set_io --warn-no-port FSMC_DAT[4] A1
set_io --warn-no-port FSMC_DAT[5] A2
set_io --warn-no-port FSMC_DAT[6] C3
set_io --warn-no-port FSMC_DAT[7] B3
set_io --warn-no-port FSMC_NL C14
set_io --warn-no-port FSMC_NWAIT B15
set_io --warn-no-port FSMC_NOE B14
set_io --warn-no-port FSMC_NWE A15
set_io --warn-no-port FSMC_NBL[0] C13
set_io --warn-no-port FSMC_NBL[1] C12
set_io --warn-no-port FSMC_CLK B13
set_io --warn-no-port FSMC_NE1 A16
set_io --warn-no-port eem0_n_0 N4
set_io --warn-no-port eem0_p_0 R1
set_io --warn-no-port eem0_n_1 N2
set_io --warn-no-port eem0_p_1 L7
set_io --warn-no-port eem0_n_2 G2
set_io --warn-no-port eem0_p_2 H4
set_io --warn-no-port eem0_n_3 L3
set_io --warn-no-port eem0_p_3 L6
set_io --warn-no-port eem0_n_4 F2
set_io --warn-no-port eem0_p_4 H3
set_io --warn-no-port eem0_n_5 L1
set_io --warn-no-port eem0_p_5 L4
set_io --warn-no-port eem0_n_6 E3
set_io --warn-no-port eem0_p_6 G4
set_io --warn-no-port eem0_n_7 H2
set_io --warn-no-port eem0_p_7 J4
set_io --warn-no-port eem1_n_0 M5
set_io --warn-no-port eem1_p_0 P2
set_io --warn-no-port eem1_n_1 M4
set_io --warn-no-port eem1_p_1 P1
set_io --warn-no-port eem1_n_2 M2
set_io --warn-no-port eem1_p_2 K5
set_io --warn-no-port eem1_n_3 M1
set_io --warn-no-port eem1_p_3 K4
set_io --warn-no-port eem1_n_4 K3
set_io --warn-no-port eem1_p_4 K1
set_io --warn-no-port eem1_n_5 J1
set_io --warn-no-port eem1_p_5 J2
set_io --warn-no-port eem1_n_6 H1
set_io --warn-no-port eem1_p_6 J3
set_io --warn-no-port eem1_n_7 G1
set_io --warn-no-port eem1_p_7 J5
set_io --warn-no-port eem2_n_0 F1
set_io --warn-no-port eem2_p_0 H6
set_io --warn-no-port eem2_n_1 E2
set_io --warn-no-port eem2_p_1 H5
set_io --warn-no-port eem2_n_2 D2
set_io --warn-no-port eem2_p_2 F4
set_io --warn-no-port eem2_n_3 D1
set_io --warn-no-port eem2_p_3 G5
set_io --warn-no-port eem2_n_4 C2
set_io --warn-no-port eem2_p_4 C1
set_io --warn-no-port eem2_n_5 B1
set_io --warn-no-port eem2_p_5 F5
set_io --warn-no-port eem2_n_6 F3
set_io --warn-no-port eem2_p_6 G3
set_io --warn-no-port eem2_n_7 B2
set_io --warn-no-port eem2_p_7 E4