From d45bbd37280087de9de9922ef74f94cbd93a2484 Mon Sep 17 00:00:00 2001 From: Jack-Zheng Date: Fri, 20 Aug 2021 16:17:48 +0800 Subject: [PATCH] finish schematic --- Syrostan-Ext-DIO-cache.lib | 418 +++ Syrostan-Ext-DIO.bck | 3 + Syrostan-Ext-DIO.dcm | 3 + Syrostan-Ext-DIO.kicad_pcb | 2798 ++++++++++++++++--- Syrostan-Ext-DIO.lib | 70 + Syrostan-Ext-DIO.pretty/PogoPin.kicad_mod | 2 +- Syrostan-Ext-DIO.sch | 3006 +++++++++++++++++---- sym-lib-table | 3 + 8 files changed, 5347 insertions(+), 956 deletions(-) create mode 100644 Syrostan-Ext-DIO.bck create mode 100644 Syrostan-Ext-DIO.dcm create mode 100644 Syrostan-Ext-DIO.lib create mode 100644 sym-lib-table diff --git a/Syrostan-Ext-DIO-cache.lib b/Syrostan-Ext-DIO-cache.lib index 631949d..e8c487a 100644 --- a/Syrostan-Ext-DIO-cache.lib +++ b/Syrostan-Ext-DIO-cache.lib @@ -1,6 +1,128 @@ EESchema-LIBRARY Version 2.4 #encoding utf-8 # +# Connector_Generic_Conn_02x11_Odd_Even +# +DEF Connector_Generic_Conn_02x11_Odd_Even J 0 40 Y N 1 F N +F0 "J" 50 600 50 H V C CNN +F1 "Connector_Generic_Conn_02x11_Odd_Even" 50 -600 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_2x??_* +$ENDFPLIST +DRAW +S -50 -495 0 -505 1 1 6 N +S -50 -395 0 -405 1 1 6 N +S -50 -295 0 -305 1 1 6 N +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 305 0 295 1 1 6 N +S -50 405 0 395 1 1 6 N +S -50 505 0 495 1 1 6 N +S -50 550 150 -550 1 1 10 f +S 150 -495 100 -505 1 1 6 N +S 150 -395 100 -405 1 1 6 N +S 150 -295 100 -305 1 1 6 N +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +S 150 305 100 295 1 1 6 N +S 150 405 100 395 1 1 6 N +S 150 505 100 495 1 1 6 N +X Pin_1 1 -200 500 150 R 50 50 1 1 P +X Pin_10 10 300 100 150 L 50 50 1 1 P +X Pin_11 11 -200 0 150 R 50 50 1 1 P +X Pin_12 12 300 0 150 L 50 50 1 1 P +X Pin_13 13 -200 -100 150 R 50 50 1 1 P +X Pin_14 14 300 -100 150 L 50 50 1 1 P +X Pin_15 15 -200 -200 150 R 50 50 1 1 P +X Pin_16 16 300 -200 150 L 50 50 1 1 P +X Pin_17 17 -200 -300 150 R 50 50 1 1 P +X Pin_18 18 300 -300 150 L 50 50 1 1 P +X Pin_19 19 -200 -400 150 R 50 50 1 1 P +X Pin_2 2 300 500 150 L 50 50 1 1 P +X Pin_20 20 300 -400 150 L 50 50 1 1 P +X Pin_21 21 -200 -500 150 R 50 50 1 1 P +X Pin_22 22 300 -500 150 L 50 50 1 1 P +X Pin_3 3 -200 400 150 R 50 50 1 1 P +X Pin_4 4 300 400 150 L 50 50 1 1 P +X Pin_5 5 -200 300 150 R 50 50 1 1 P +X Pin_6 6 300 300 150 L 50 50 1 1 P +X Pin_7 7 -200 200 150 R 50 50 1 1 P +X Pin_8 8 300 200 150 L 50 50 1 1 P +X Pin_9 9 -200 100 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Connector_Generic_Conn_02x12_Odd_Even +# +DEF Connector_Generic_Conn_02x12_Odd_Even J 0 40 Y N 1 F N +F0 "J" 50 600 50 H V C CNN +F1 "Connector_Generic_Conn_02x12_Odd_Even" 50 -700 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_2x??_* +$ENDFPLIST +DRAW +S -50 -595 0 -605 1 1 6 N +S -50 -495 0 -505 1 1 6 N +S -50 -395 0 -405 1 1 6 N +S -50 -295 0 -305 1 1 6 N +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 305 0 295 1 1 6 N +S -50 405 0 395 1 1 6 N +S -50 505 0 495 1 1 6 N +S -50 550 150 -650 1 1 10 f +S 150 -595 100 -605 1 1 6 N +S 150 -495 100 -505 1 1 6 N +S 150 -395 100 -405 1 1 6 N +S 150 -295 100 -305 1 1 6 N +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +S 150 305 100 295 1 1 6 N +S 150 405 100 395 1 1 6 N +S 150 505 100 495 1 1 6 N +X Pin_1 1 -200 500 150 R 50 50 1 1 P +X Pin_10 10 300 100 150 L 50 50 1 1 P +X Pin_11 11 -200 0 150 R 50 50 1 1 P +X Pin_12 12 300 0 150 L 50 50 1 1 P +X Pin_13 13 -200 -100 150 R 50 50 1 1 P +X Pin_14 14 300 -100 150 L 50 50 1 1 P +X Pin_15 15 -200 -200 150 R 50 50 1 1 P +X Pin_16 16 300 -200 150 L 50 50 1 1 P +X Pin_17 17 -200 -300 150 R 50 50 1 1 P +X Pin_18 18 300 -300 150 L 50 50 1 1 P +X Pin_19 19 -200 -400 150 R 50 50 1 1 P +X Pin_2 2 300 500 150 L 50 50 1 1 P +X Pin_20 20 300 -400 150 L 50 50 1 1 P +X Pin_21 21 -200 -500 150 R 50 50 1 1 P +X Pin_22 22 300 -500 150 L 50 50 1 1 P +X Pin_23 23 -200 -600 150 R 50 50 1 1 P +X Pin_24 24 300 -600 150 L 50 50 1 1 P +X Pin_3 3 -200 400 150 R 50 50 1 1 P +X Pin_4 4 300 400 150 L 50 50 1 1 P +X Pin_5 5 -200 300 150 R 50 50 1 1 P +X Pin_6 6 300 300 150 L 50 50 1 1 P +X Pin_7 7 -200 200 150 R 50 50 1 1 P +X Pin_8 8 300 200 150 L 50 50 1 1 P +X Pin_9 9 -200 100 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# # Connector_Generic_Conn_02x15_Odd_Even # DEF Connector_Generic_Conn_02x15_Odd_Even J 0 40 Y N 1 F N @@ -76,6 +198,131 @@ X Pin_9 9 -200 300 150 R 50 50 1 1 P ENDDRAW ENDDEF # +# Connector_Generic_Conn_02x16_Odd_Even +# +DEF Connector_Generic_Conn_02x16_Odd_Even J 0 40 Y N 1 F N +F0 "J" 50 800 50 H V C CNN +F1 "Connector_Generic_Conn_02x16_Odd_Even" 50 -900 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_2x??_* +$ENDFPLIST +DRAW +S -50 -795 0 -805 1 1 6 N +S -50 -695 0 -705 1 1 6 N +S -50 -595 0 -605 1 1 6 N +S -50 -495 0 -505 1 1 6 N +S -50 -395 0 -405 1 1 6 N +S -50 -295 0 -305 1 1 6 N +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 305 0 295 1 1 6 N +S -50 405 0 395 1 1 6 N +S -50 505 0 495 1 1 6 N +S -50 605 0 595 1 1 6 N +S -50 705 0 695 1 1 6 N +S -50 750 150 -850 1 1 10 f +S 150 -795 100 -805 1 1 6 N +S 150 -695 100 -705 1 1 6 N +S 150 -595 100 -605 1 1 6 N +S 150 -495 100 -505 1 1 6 N +S 150 -395 100 -405 1 1 6 N +S 150 -295 100 -305 1 1 6 N +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +S 150 305 100 295 1 1 6 N +S 150 405 100 395 1 1 6 N +S 150 505 100 495 1 1 6 N +S 150 605 100 595 1 1 6 N +S 150 705 100 695 1 1 6 N +X Pin_1 1 -200 700 150 R 50 50 1 1 P +X Pin_10 10 300 300 150 L 50 50 1 1 P +X Pin_11 11 -200 200 150 R 50 50 1 1 P +X Pin_12 12 300 200 150 L 50 50 1 1 P +X Pin_13 13 -200 100 150 R 50 50 1 1 P +X Pin_14 14 300 100 150 L 50 50 1 1 P +X Pin_15 15 -200 0 150 R 50 50 1 1 P +X Pin_16 16 300 0 150 L 50 50 1 1 P +X Pin_17 17 -200 -100 150 R 50 50 1 1 P +X Pin_18 18 300 -100 150 L 50 50 1 1 P +X Pin_19 19 -200 -200 150 R 50 50 1 1 P +X Pin_2 2 300 700 150 L 50 50 1 1 P +X Pin_20 20 300 -200 150 L 50 50 1 1 P +X Pin_21 21 -200 -300 150 R 50 50 1 1 P +X Pin_22 22 300 -300 150 L 50 50 1 1 P +X Pin_23 23 -200 -400 150 R 50 50 1 1 P +X Pin_24 24 300 -400 150 L 50 50 1 1 P +X Pin_25 25 -200 -500 150 R 50 50 1 1 P +X Pin_26 26 300 -500 150 L 50 50 1 1 P +X Pin_27 27 -200 -600 150 R 50 50 1 1 P +X Pin_28 28 300 -600 150 L 50 50 1 1 P +X Pin_29 29 -200 -700 150 R 50 50 1 1 P +X Pin_3 3 -200 600 150 R 50 50 1 1 P +X Pin_30 30 300 -700 150 L 50 50 1 1 P +X Pin_31 31 -200 -800 150 R 50 50 1 1 P +X Pin_32 32 300 -800 150 L 50 50 1 1 P +X Pin_4 4 300 600 150 L 50 50 1 1 P +X Pin_5 5 -200 500 150 R 50 50 1 1 P +X Pin_6 6 300 500 150 L 50 50 1 1 P +X Pin_7 7 -200 400 150 R 50 50 1 1 P +X Pin_8 8 300 400 150 L 50 50 1 1 P +X Pin_9 9 -200 300 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Connector_Generic_MountingPin_Conn_01x02_MountingPin +# +DEF Connector_Generic_MountingPin_Conn_01x02_MountingPin J 0 40 Y N 1 F N +F0 "J" 0 100 50 H V C CNN +F1 "Connector_Generic_MountingPin_Conn_01x02_MountingPin" 50 -200 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_1x??-1MP* +$ENDFPLIST +DRAW +T 0 0 -165 15 0 1 1 Mounting Normal 0 C C +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 50 50 -150 1 1 10 f +P 2 1 1 6 -40 -180 40 -180 N +X Pin_1 1 -200 0 150 R 50 50 1 1 P +X Pin_2 2 -200 -100 150 R 50 50 1 1 P +X MountPin MP 0 -300 120 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Connector_Generic_MountingPin_Conn_01x03_MountingPin +# +DEF Connector_Generic_MountingPin_Conn_01x03_MountingPin J 0 40 Y N 1 F N +F0 "J" 0 200 50 H V C CNN +F1 "Connector_Generic_MountingPin_Conn_01x03_MountingPin" 50 -200 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_1x??-1MP* +$ENDFPLIST +DRAW +T 0 0 -165 15 0 1 1 Mounting Normal 0 C C +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 150 50 -150 1 1 10 f +P 2 1 1 6 -40 -180 40 -180 N +X Pin_1 1 -200 100 150 R 50 50 1 1 P +X Pin_2 2 -200 0 150 R 50 50 1 1 P +X Pin_3 3 -200 -100 150 R 50 50 1 1 P +X MountPin MP 0 -300 120 U 50 50 1 1 P +ENDDRAW +ENDDEF +# # Connector_TestPoint # DEF Connector_TestPoint TP 0 30 N N 1 F N @@ -93,6 +340,107 @@ X 1 1 0 0 100 U 50 50 1 1 P ENDDRAW ENDDEF # +# Device_C +# +DEF Device_C C 0 10 N Y 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "Device_C" 25 -100 50 H V L CNN +F2 "" 38 -150 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 20 -80 -30 80 -30 N +P 2 0 1 20 -80 30 80 30 N +X ~ 1 0 150 110 D 50 50 1 1 P +X ~ 2 0 -150 110 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_R +# +DEF Device_R R 0 0 N Y 1 F N +F0 "R" 80 0 50 V V C CNN +F1 "Device_R" 0 0 50 V V C CNN +F2 "" -70 0 50 V I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* +$ENDFPLIST +DRAW +S -40 -100 40 100 0 1 10 N +X ~ 1 0 150 50 D 50 50 1 1 P +X ~ 2 0 -150 50 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Syrostan-Ext-DIO_SN74CBT3251 +# +DEF Syrostan-Ext-DIO_SN74CBT3251 U 0 40 Y Y 1 F N +F0 "U" -200 100 50 H V C CNN +F1 "Syrostan-Ext-DIO_SN74CBT3251" 50 0 50 H V C CNN +F2 "Package_SO:SOP-16_3.9x9.9mm_P1.27mm" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -150 -100 350 -900 0 1 0 N +X B4 1 -250 -150 100 R 50 50 1 1 B +X S1 10 450 -750 100 L 50 50 1 1 I +X S0 11 450 -650 100 L 50 50 1 1 I +X B8 12 450 -550 100 L 50 50 1 1 B +X B7 13 450 -450 100 L 50 50 1 1 B +X B6 14 450 -350 100 L 50 50 1 1 B +X B5 15 450 -250 100 L 50 50 1 1 B +X VCC 16 450 -150 100 L 50 50 1 1 I +X B3 2 -250 -250 100 R 50 50 1 1 B +X B2 3 -250 -350 100 R 50 50 1 1 B +X B1 4 -250 -450 100 R 50 50 1 1 B +X A 5 -250 -550 100 R 50 50 1 1 B +X NC 6 -250 -650 100 R 50 50 1 1 N +X ~OE 7 -250 -750 100 R 50 50 1 1 I +X GND 8 -250 -850 100 R 50 50 1 1 I +X S2 9 450 -850 100 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Syrostan-Ext-DIO_TS5A3159 +# +DEF Syrostan-Ext-DIO_TS5A3159 U 0 40 Y Y 1 F N +F0 "U" -150 50 50 H V C CNN +F1 "Syrostan-Ext-DIO_TS5A3159" 0 -50 50 H V C CNN +F2 "Package_TO_SOT_SMD:SOT-23-6" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -200 -100 300 -400 0 1 0 N +X NO 1 -300 -150 100 R 50 50 1 1 B +X GND 2 -300 -250 100 R 50 50 1 1 I +X NC 3 -300 -350 100 R 50 50 1 1 B +X COM 4 400 -350 100 L 50 50 1 1 B +X VCC 5 400 -250 100 L 50 50 1 1 I +X IN 6 400 -150 100 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Syrostan-Ext-DIO_TS5A3359 +# +DEF Syrostan-Ext-DIO_TS5A3359 U 0 40 Y Y 1 F N +F0 "U" -150 50 50 H V C CNN +F1 "Syrostan-Ext-DIO_TS5A3359" 0 -50 50 H V C CNN +F2 "Package_SO:VSSOP-8_2.4x2.1mm_P0.5mm" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -200 -100 300 -500 0 1 0 N +X NO0 1 -300 -150 100 R 50 50 1 1 B +X NO1 2 -300 -250 100 R 50 50 1 1 B +X NO2 3 -300 -350 100 R 50 50 1 1 B +X GND 4 -300 -450 100 R 50 50 1 1 I +X IN2 5 400 -450 100 L 50 50 1 1 I +X IN1 6 400 -350 100 L 50 50 1 1 I +X COM 7 400 -250 100 L 50 50 1 1 B +X VCC 8 400 -150 100 L 50 50 1 1 I +ENDDRAW +ENDDEF +# # power_+12V # DEF power_+12V #PWR 0 0 Y Y 1 F P @@ -108,6 +456,37 @@ X +12V 1 0 0 0 U 50 50 1 1 W N ENDDRAW ENDDEF # +# power_+3V3 +# +DEF power_+3V3 #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+3V3" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS +3.3V +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +3V3 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+5V +# +DEF power_+5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+5V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +5V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# # power_GND # DEF power_GND #PWR 0 0 Y Y 1 F P @@ -121,4 +500,43 @@ X GND 1 0 0 0 D 50 50 1 1 W N ENDDRAW ENDDEF # +# power_GND1 +# +DEF power_GND1 #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND1" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND1 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_GND2 +# +DEF power_GND2 #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND2" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND2 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_GND3 +# +DEF power_GND3 #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND3" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND3 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# #End Library diff --git a/Syrostan-Ext-DIO.bck b/Syrostan-Ext-DIO.bck new file mode 100644 index 0000000..5f3ed79 --- /dev/null +++ b/Syrostan-Ext-DIO.bck @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/Syrostan-Ext-DIO.dcm b/Syrostan-Ext-DIO.dcm new file mode 100644 index 0000000..5f3ed79 --- /dev/null +++ b/Syrostan-Ext-DIO.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/Syrostan-Ext-DIO.kicad_pcb b/Syrostan-Ext-DIO.kicad_pcb index fd5fc07..c37c0f8 100644 --- a/Syrostan-Ext-DIO.kicad_pcb +++ b/Syrostan-Ext-DIO.kicad_pcb @@ -2,11 +2,11 @@ (general (thickness 1.6) - (drawings 107) + (drawings 108) (tracks 0) (zones 0) - (modules 31) - (nets 61) + (modules 64) + (nets 84) ) (page A4) @@ -59,7 +59,7 @@ (pad_drill 0.762) (pad_to_mask_clearance 0) (aux_axis_origin 0 0) - (grid_origin 65 124.800031) + (grid_origin 100 105) (visible_elements FFFFFF7F) (pcbplotparams (layerselection 0x010fc_ffffffff) @@ -91,66 +91,89 @@ ) (net 0 "") - (net 1 "Net-(J1-Pad30)") - (net 2 "Net-(J1-Pad28)") - (net 3 "Net-(J1-Pad26)") - (net 4 "Net-(J1-Pad24)") - (net 5 "Net-(J1-Pad22)") - (net 6 "Net-(J1-Pad20)") - (net 7 "Net-(J1-Pad18)") - (net 8 "Net-(J1-Pad16)") - (net 9 "Net-(J1-Pad14)") - (net 10 "Net-(J1-Pad12)") - (net 11 "Net-(J1-Pad10)") - (net 12 "Net-(J1-Pad8)") - (net 13 "Net-(J1-Pad6)") - (net 14 "Net-(J1-Pad4)") - (net 15 "Net-(J1-Pad2)") - (net 16 "Net-(J1-Pad29)") - (net 17 "Net-(J1-Pad27)") - (net 18 "Net-(J1-Pad25)") - (net 19 "Net-(J1-Pad23)") - (net 20 "Net-(J1-Pad21)") - (net 21 "Net-(J1-Pad19)") - (net 22 "Net-(J1-Pad17)") - (net 23 "Net-(J1-Pad15)") - (net 24 "Net-(J1-Pad13)") - (net 25 "Net-(J1-Pad11)") - (net 26 "Net-(J1-Pad9)") - (net 27 "Net-(J1-Pad7)") - (net 28 "Net-(J1-Pad5)") - (net 29 "Net-(J1-Pad3)") - (net 30 "Net-(J1-Pad1)") - (net 31 "Net-(TP1-Pad1)") - (net 32 "Net-(TP2-Pad1)") - (net 33 "Net-(TP3-Pad1)") - (net 34 "Net-(TP4-Pad1)") - (net 35 "Net-(TP5-Pad1)") - (net 36 "Net-(TP6-Pad1)") - (net 37 "Net-(TP7-Pad1)") - (net 38 "Net-(TP8-Pad1)") - (net 39 "Net-(TP9-Pad1)") - (net 40 "Net-(TP10-Pad1)") - (net 41 "Net-(TP11-Pad1)") - (net 42 "Net-(TP12-Pad1)") - (net 43 "Net-(TP13-Pad1)") - (net 44 "Net-(TP14-Pad1)") - (net 45 "Net-(TP15-Pad1)") - (net 46 "Net-(TP16-Pad1)") - (net 47 "Net-(TP17-Pad1)") - (net 48 "Net-(TP18-Pad1)") - (net 49 "Net-(TP19-Pad1)") - (net 50 "Net-(TP20-Pad1)") - (net 51 "Net-(TP21-Pad1)") - (net 52 "Net-(TP22-Pad1)") - (net 53 "Net-(TP23-Pad1)") - (net 54 "Net-(TP24-Pad1)") - (net 55 "Net-(TP25-Pad1)") - (net 56 "Net-(TP26-Pad1)") - (net 57 "Net-(TP27-Pad1)") - (net 58 "Net-(TP28-Pad1)") - (net 59 "Net-(TP29-Pad1)") - (net 60 "Net-(TP30-Pad1)") + (net 1 /+3V3MP) + (net 2 +12V) + (net 3 /IIC_SCL) + (net 4 /IIC_SDA) + (net 5 GND) + (net 6 /LVDS7_N) + (net 7 /LVDS7_P) + (net 8 /LVDS6_N) + (net 9 /LVDS6_P) + (net 10 /LVDS5_N) + (net 11 /LVDS5_P) + (net 12 /LVDS4_N) + (net 13 /LVDS4_P) + (net 14 /LVDS3_N) + (net 15 /LVDS3_P) + (net 16 /LVDS2_N) + (net 17 /LVDS2_P) + (net 18 /LVDS1_N) + (net 19 /LVDS1_P) + (net 20 /LVDS0_N) + (net 21 /LVDS0_P) + (net 22 +5V) + (net 23 +3V3) + (net 24 /DAC1) + (net 25 /DAC0) + (net 26 /ADC0) + (net 27 /ADC1) + (net 28 /ADC2) + (net 29 /ADC3) + (net 30 /ADC4) + (net 31 /ADC5) + (net 32 /ADC6) + (net 33 /ADC7) + (net 34 /HSADC) + (net 35 /TP_12V) + (net 36 /TP_3V3) + (net 37 /TP_3V3MP) + (net 38 /MCU_IO3) + (net 39 /MCU_IO2) + (net 40 /MCU_IO1) + (net 41 /MCU_IO0) + (net 42 GND3) + (net 43 /TP_5V_1) + (net 44 /TP_5V_2) + (net 45 GND1) + (net 46 GND2) + (net 47 /MCU_IO4) + (net 48 /MCU_IO11) + (net 49 /MCU_IO10) + (net 50 /MCU_IO5) + (net 51 /MCU_IO9) + (net 52 /MCU_IO8) + (net 53 /MCU_IO7) + (net 54 /MCU_IO6) + (net 55 /TP_IO1) + (net 56 /TP_IO2) + (net 57 /TP_IO3) + (net 58 /TP_IO4) + (net 59 /TP_IO5) + (net 60 /TP_IO6) + (net 61 /TP_IO7) + (net 62 /TP_IO8) + (net 63 "Net-(U1-Pad6)") + (net 64 /IO_MUX) + (net 65 "Net-(J6-Pad1)") + (net 66 "Net-(J7-Pad1)") + (net 67 /FPGA_IO15) + (net 68 /FPGA_IO14) + (net 69 /FPGA_IO13) + (net 70 /FPGA_IO12) + (net 71 /FPGA_IO11) + (net 72 /FPGA_IO10) + (net 73 /FPGA_IO9) + (net 74 /FPGA_IO8) + (net 75 /FPGA_IO7) + (net 76 /FPGA_IO6) + (net 77 /FPGA_IO5) + (net 78 /FPGA_IO4) + (net 79 /FPGA_IO3) + (net 80 /FPGA_IO2) + (net 81 /FPGA_IO1) + (net 82 /FPGA_IO0) + (net 83 "Net-(R13-Pad1)") (net_class Default "This is the default net class." (clearance 0.2) @@ -159,159 +182,2105 @@ (via_drill 0.4) (uvia_dia 0.3) (uvia_drill 0.1) - (add_net "Net-(J1-Pad1)") - (add_net "Net-(J1-Pad10)") - (add_net "Net-(J1-Pad11)") - (add_net "Net-(J1-Pad12)") - (add_net "Net-(J1-Pad13)") - (add_net "Net-(J1-Pad14)") - (add_net "Net-(J1-Pad15)") - (add_net "Net-(J1-Pad16)") - (add_net "Net-(J1-Pad17)") - (add_net "Net-(J1-Pad18)") - (add_net "Net-(J1-Pad19)") - (add_net "Net-(J1-Pad2)") - (add_net "Net-(J1-Pad20)") - (add_net "Net-(J1-Pad21)") - (add_net "Net-(J1-Pad22)") - (add_net "Net-(J1-Pad23)") - (add_net "Net-(J1-Pad24)") - (add_net "Net-(J1-Pad25)") - (add_net "Net-(J1-Pad26)") - (add_net "Net-(J1-Pad27)") - (add_net "Net-(J1-Pad28)") - (add_net "Net-(J1-Pad29)") - (add_net "Net-(J1-Pad3)") - (add_net "Net-(J1-Pad30)") - (add_net "Net-(J1-Pad4)") - (add_net "Net-(J1-Pad5)") - (add_net "Net-(J1-Pad6)") - (add_net "Net-(J1-Pad7)") - (add_net "Net-(J1-Pad8)") - (add_net "Net-(J1-Pad9)") - (add_net "Net-(TP1-Pad1)") - (add_net "Net-(TP10-Pad1)") - (add_net "Net-(TP11-Pad1)") - (add_net "Net-(TP12-Pad1)") - (add_net "Net-(TP13-Pad1)") - (add_net "Net-(TP14-Pad1)") - (add_net "Net-(TP15-Pad1)") - (add_net "Net-(TP16-Pad1)") - (add_net "Net-(TP17-Pad1)") - (add_net "Net-(TP18-Pad1)") - (add_net "Net-(TP19-Pad1)") - (add_net "Net-(TP2-Pad1)") - (add_net "Net-(TP20-Pad1)") - (add_net "Net-(TP21-Pad1)") - (add_net "Net-(TP22-Pad1)") - (add_net "Net-(TP23-Pad1)") - (add_net "Net-(TP24-Pad1)") - (add_net "Net-(TP25-Pad1)") - (add_net "Net-(TP26-Pad1)") - (add_net "Net-(TP27-Pad1)") - (add_net "Net-(TP28-Pad1)") - (add_net "Net-(TP29-Pad1)") - (add_net "Net-(TP3-Pad1)") - (add_net "Net-(TP30-Pad1)") - (add_net "Net-(TP4-Pad1)") - (add_net "Net-(TP5-Pad1)") - (add_net "Net-(TP6-Pad1)") - (add_net "Net-(TP7-Pad1)") - (add_net "Net-(TP8-Pad1)") - (add_net "Net-(TP9-Pad1)") + (add_net +12V) + (add_net +3V3) + (add_net +5V) + (add_net /+3V3MP) + (add_net /ADC0) + (add_net /ADC1) + (add_net /ADC2) + (add_net /ADC3) + (add_net /ADC4) + (add_net /ADC5) + (add_net /ADC6) + (add_net /ADC7) + (add_net /DAC0) + (add_net /DAC1) + (add_net /FPGA_IO0) + (add_net /FPGA_IO1) + (add_net /FPGA_IO10) + (add_net /FPGA_IO11) + (add_net /FPGA_IO12) + (add_net /FPGA_IO13) + (add_net /FPGA_IO14) + (add_net /FPGA_IO15) + (add_net /FPGA_IO2) + (add_net /FPGA_IO3) + (add_net /FPGA_IO4) + (add_net /FPGA_IO5) + (add_net /FPGA_IO6) + (add_net /FPGA_IO7) + (add_net /FPGA_IO8) + (add_net /FPGA_IO9) + (add_net /HSADC) + (add_net /IIC_SCL) + (add_net /IIC_SDA) + (add_net /IO_MUX) + (add_net /LVDS0_N) + (add_net /LVDS0_P) + (add_net /LVDS1_N) + (add_net /LVDS1_P) + (add_net /LVDS2_N) + (add_net /LVDS2_P) + (add_net /LVDS3_N) + (add_net /LVDS3_P) + (add_net /LVDS4_N) + (add_net /LVDS4_P) + (add_net /LVDS5_N) + (add_net /LVDS5_P) + (add_net /LVDS6_N) + (add_net /LVDS6_P) + (add_net /LVDS7_N) + (add_net /LVDS7_P) + (add_net /MCU_IO0) + (add_net /MCU_IO1) + (add_net /MCU_IO10) + (add_net /MCU_IO11) + (add_net /MCU_IO2) + (add_net /MCU_IO3) + (add_net /MCU_IO4) + (add_net /MCU_IO5) + (add_net /MCU_IO6) + (add_net /MCU_IO7) + (add_net /MCU_IO8) + (add_net /MCU_IO9) + (add_net /TP_12V) + (add_net /TP_3V3) + (add_net /TP_3V3MP) + (add_net /TP_5V_1) + (add_net /TP_5V_2) + (add_net /TP_IO1) + (add_net /TP_IO2) + (add_net /TP_IO3) + (add_net /TP_IO4) + (add_net /TP_IO5) + (add_net /TP_IO6) + (add_net /TP_IO7) + (add_net /TP_IO8) + (add_net GND) + (add_net GND1) + (add_net GND2) + (add_net GND3) + (add_net "Net-(J6-Pad1)") + (add_net "Net-(J7-Pad1)") + (add_net "Net-(R13-Pad1)") + (add_net "Net-(U1-Pad6)") + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611FBDB7) + (at 143 98.99 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /64A178E5) + (attr smd) + (fp_text reference R14 (at 0 1.43 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 /HSADC)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611FBDA6) + (at 143 103 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /64A178EB) + (attr smd) + (fp_text reference R13 (at 0 1.43 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 51k (at 0 -1.43 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 34 /HSADC)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 83 "Net-(R13-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_JST:JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical (layer B.Cu) (tedit 5B78AD87) (tstamp 611F1C4D) + (at 209.01 116.596699 90) + (descr "JST GH series connector, BM02B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator") + (tags "connector JST GH side entry") + (path /636DD07C) + (attr smd) + (fp_text reference J10 (at 0 4 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value SW5 (at 0 -4 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.625 -1.042893) (end -0.125 -1.75) (layer B.Fab) (width 0.1)) + (fp_line (start -1.125 -1.75) (end -0.625 -1.042893) (layer B.Fab) (width 0.1)) + (fp_line (start 3.48 3.3) (end -3.48 3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.48 -3.3) (end 3.48 3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.48 -3.3) (end 3.48 -3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.48 3.3) (end -3.48 -3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.875 0.5) (end 0.375 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 0.875 0) (end 0.875 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 0.375 0) (end 0.875 0) (layer B.Fab) (width 0.1)) + (fp_line (start 0.375 0.5) (end 0.375 0) (layer B.Fab) (width 0.1)) + (fp_line (start -0.375 0.5) (end -0.875 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -0.375 0) (end -0.375 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -0.875 0) (end -0.375 0) (layer B.Fab) (width 0.1)) + (fp_line (start -0.875 0.5) (end -0.875 0) (layer B.Fab) (width 0.1)) + (fp_line (start 2.875 -1.75) (end 2.875 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -2.875 -1.75) (end -2.875 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -2.875 2.5) (end 2.875 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -1.715 2.61) (end 1.715 2.61) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.985 -1.86) (end 1.185 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.985 -0.26) (end 2.985 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.185 -1.86) (end -1.185 -2.8) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.985 -1.86) (end -1.185 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.985 -0.26) (end -2.985 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.875 -1.75) (end 2.875 -1.75) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 1.5 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad MP smd roundrect (at 2.475 1.4 90) (size 1 2.8) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad MP smd roundrect (at -2.475 1.4 90) (size 1 2.8) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 2 smd roundrect (at 0.625 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 1 smd roundrect (at -0.625 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 51 /MCU_IO9)) + (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_JST:JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical (layer B.Cu) (tedit 5B78AD87) (tstamp 611F1C2C) + (at 209.01 126.753365 90) + (descr "JST GH series connector, BM02B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator") + (tags "connector JST GH side entry") + (path /636C40FA) + (attr smd) + (fp_text reference J9 (at 0 4 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value SW4 (at 0 -4 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.625 -1.042893) (end -0.125 -1.75) (layer B.Fab) (width 0.1)) + (fp_line (start -1.125 -1.75) (end -0.625 -1.042893) (layer B.Fab) (width 0.1)) + (fp_line (start 3.48 3.3) (end -3.48 3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.48 -3.3) (end 3.48 3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.48 -3.3) (end 3.48 -3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.48 3.3) (end -3.48 -3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.875 0.5) (end 0.375 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 0.875 0) (end 0.875 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 0.375 0) (end 0.875 0) (layer B.Fab) (width 0.1)) + (fp_line (start 0.375 0.5) (end 0.375 0) (layer B.Fab) (width 0.1)) + (fp_line (start -0.375 0.5) (end -0.875 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -0.375 0) (end -0.375 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -0.875 0) (end -0.375 0) (layer B.Fab) (width 0.1)) + (fp_line (start -0.875 0.5) (end -0.875 0) (layer B.Fab) (width 0.1)) + (fp_line (start 2.875 -1.75) (end 2.875 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -2.875 -1.75) (end -2.875 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -2.875 2.5) (end 2.875 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -1.715 2.61) (end 1.715 2.61) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.985 -1.86) (end 1.185 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.985 -0.26) (end 2.985 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.185 -1.86) (end -1.185 -2.8) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.985 -1.86) (end -1.185 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.985 -0.26) (end -2.985 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.875 -1.75) (end 2.875 -1.75) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 1.5 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad MP smd roundrect (at 2.475 1.4 90) (size 1 2.8) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad MP smd roundrect (at -2.475 1.4 90) (size 1 2.8) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 2 smd roundrect (at 0.625 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 1 smd roundrect (at -0.625 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 52 /MCU_IO8)) + (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_JST:JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical (layer B.Cu) (tedit 5B78AD87) (tstamp 611F1C0B) + (at 209.01 136.910031 90) + (descr "JST GH series connector, BM02B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator") + (tags "connector JST GH side entry") + (path /635D7408) + (attr smd) + (fp_text reference J8 (at 0 4 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value SW3 (at 0 -4 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.625 -1.042893) (end -0.125 -1.75) (layer B.Fab) (width 0.1)) + (fp_line (start -1.125 -1.75) (end -0.625 -1.042893) (layer B.Fab) (width 0.1)) + (fp_line (start 3.48 3.3) (end -3.48 3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.48 -3.3) (end 3.48 3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.48 -3.3) (end 3.48 -3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.48 3.3) (end -3.48 -3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.875 0.5) (end 0.375 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 0.875 0) (end 0.875 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 0.375 0) (end 0.875 0) (layer B.Fab) (width 0.1)) + (fp_line (start 0.375 0.5) (end 0.375 0) (layer B.Fab) (width 0.1)) + (fp_line (start -0.375 0.5) (end -0.875 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -0.375 0) (end -0.375 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -0.875 0) (end -0.375 0) (layer B.Fab) (width 0.1)) + (fp_line (start -0.875 0.5) (end -0.875 0) (layer B.Fab) (width 0.1)) + (fp_line (start 2.875 -1.75) (end 2.875 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -2.875 -1.75) (end -2.875 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -2.875 2.5) (end 2.875 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -1.715 2.61) (end 1.715 2.61) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.985 -1.86) (end 1.185 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.985 -0.26) (end 2.985 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.185 -1.86) (end -1.185 -2.8) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.985 -1.86) (end -1.185 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.985 -0.26) (end -2.985 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.875 -1.75) (end 2.875 -1.75) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 1.5 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad MP smd roundrect (at 2.475 1.4 90) (size 1 2.8) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad MP smd roundrect (at -2.475 1.4 90) (size 1 2.8) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 2 smd roundrect (at 0.625 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 1 smd roundrect (at -0.625 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 53 /MCU_IO7)) + (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_JST:JST_GH_BM03B-GHS-TBT_1x03-1MP_P1.25mm_Vertical (layer B.Cu) (tedit 5B78AD87) (tstamp 611F1BEA) + (at 209.01 71.710031 90) + (descr "JST GH series connector, BM03B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator") + (tags "connector JST GH side entry") + (path /636AACE0) + (attr smd) + (fp_text reference J7 (at 0 4 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value SW2 (at 0 -4 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.25 -1.042893) (end -0.75 -1.75) (layer B.Fab) (width 0.1)) + (fp_line (start -1.75 -1.75) (end -1.25 -1.042893) (layer B.Fab) (width 0.1)) + (fp_line (start 4.1 3.3) (end -4.1 3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4.1 -3.3) (end 4.1 3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.1 -3.3) (end 4.1 -3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.1 3.3) (end -4.1 -3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.5 0.5) (end 1 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 1.5 0) (end 1.5 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0) (end 1.5 0) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0.5) (end 1 0) (layer B.Fab) (width 0.1)) + (fp_line (start 0.25 0.5) (end -0.25 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 0.25 0) (end 0.25 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -0.25 0) (end 0.25 0) (layer B.Fab) (width 0.1)) + (fp_line (start -0.25 0.5) (end -0.25 0) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0.5) (end -1.5 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0) (end -1 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -1.5 0) (end -1 0) (layer B.Fab) (width 0.1)) + (fp_line (start -1.5 0.5) (end -1.5 0) (layer B.Fab) (width 0.1)) + (fp_line (start 3.5 -1.75) (end 3.5 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -3.5 -1.75) (end -3.5 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -3.5 2.5) (end 3.5 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -2.34 2.61) (end 2.34 2.61) (layer B.SilkS) (width 0.12)) + (fp_line (start 3.61 -1.86) (end 1.81 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start 3.61 -0.26) (end 3.61 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.81 -1.86) (end -1.81 -2.8) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.61 -1.86) (end -1.81 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.61 -0.26) (end -3.61 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 1.5 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad MP smd roundrect (at 3.1 1.4 90) (size 1 2.8) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad MP smd roundrect (at -3.1 1.4 90) (size 1 2.8) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 3 smd roundrect (at 1.25 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 2 smd roundrect (at 0 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 54 /MCU_IO6)) + (pad 1 smd roundrect (at -1.25 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 66 "Net-(J7-Pad1)")) + (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_GH_BM03B-GHS-TBT_1x03-1MP_P1.25mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_JST:JST_GH_BM03B-GHS-TBT_1x03-1MP_P1.25mm_Vertical (layer B.Cu) (tedit 5B78AD87) (tstamp 611F1BC4) + (at 209.01 91.830031 90) + (descr "JST GH series connector, BM03B-GHS-TBT (http://www.jst-mfg.com/product/pdf/eng/eGH.pdf), generated with kicad-footprint-generator") + (tags "connector JST GH side entry") + (path /635D81CA) + (attr smd) + (fp_text reference J6 (at 0 4 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value SW1 (at 0 -4 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.25 -1.042893) (end -0.75 -1.75) (layer B.Fab) (width 0.1)) + (fp_line (start -1.75 -1.75) (end -1.25 -1.042893) (layer B.Fab) (width 0.1)) + (fp_line (start 4.1 3.3) (end -4.1 3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4.1 -3.3) (end 4.1 3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.1 -3.3) (end 4.1 -3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.1 3.3) (end -4.1 -3.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.5 0.5) (end 1 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 1.5 0) (end 1.5 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0) (end 1.5 0) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0.5) (end 1 0) (layer B.Fab) (width 0.1)) + (fp_line (start 0.25 0.5) (end -0.25 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start 0.25 0) (end 0.25 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -0.25 0) (end 0.25 0) (layer B.Fab) (width 0.1)) + (fp_line (start -0.25 0.5) (end -0.25 0) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0.5) (end -1.5 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0) (end -1 0.5) (layer B.Fab) (width 0.1)) + (fp_line (start -1.5 0) (end -1 0) (layer B.Fab) (width 0.1)) + (fp_line (start -1.5 0.5) (end -1.5 0) (layer B.Fab) (width 0.1)) + (fp_line (start 3.5 -1.75) (end 3.5 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -3.5 -1.75) (end -3.5 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -3.5 2.5) (end 3.5 2.5) (layer B.Fab) (width 0.1)) + (fp_line (start -2.34 2.61) (end 2.34 2.61) (layer B.SilkS) (width 0.12)) + (fp_line (start 3.61 -1.86) (end 1.81 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start 3.61 -0.26) (end 3.61 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.81 -1.86) (end -1.81 -2.8) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.61 -1.86) (end -1.81 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.61 -0.26) (end -3.61 -1.86) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 1.5 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad MP smd roundrect (at 3.1 1.4 90) (size 1 2.8) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad MP smd roundrect (at -3.1 1.4 90) (size 1 2.8) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 3 smd roundrect (at 1.25 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 2 smd roundrect (at 0 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 50 /MCU_IO5)) + (pad 1 smd roundrect (at -1.25 -1.95 90) (size 0.6 1.7) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 65 "Net-(J6-Pad1)")) + (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_GH_BM03B-GHS-TBT_1x03-1MP_P1.25mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_1.27mm:PinHeader_2x16_P1.27mm_Vertical_SMD (layer B.Cu) (tedit 59FED6E3) (tstamp 611F1B9E) + (at 183 87 270) + (descr "surface-mounted straight pin header, 2x16, 1.27mm pitch, double rows") + (tags "Surface mounted pin header SMD 2x16 1.27mm double row") + (path /635CF05C) + (attr smd) + (fp_text reference J5 (at 0 11.22 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value FPGA_IO (at 0 -11.22 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 4.3 10.7) (end -4.3 10.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4.3 -10.7) (end 4.3 10.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.3 -10.7) (end 4.3 -10.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.3 10.7) (end -4.3 -10.7) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.765 -10.155) (end 1.765 -10.22) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 -10.155) (end -1.765 -10.22) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.765 10.22) (end 1.765 10.155) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 10.22) (end -1.765 10.155) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.09 10.155) (end -1.765 10.155) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 -10.22) (end 1.765 -10.22) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 10.22) (end 1.765 10.22) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.75 -9.725) (end 1.705 -9.725) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -9.325) (end 2.75 -9.725) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -9.325) (end 2.75 -9.325) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -9.725) (end -1.705 -9.725) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -9.325) (end -2.75 -9.725) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -9.325) (end -2.75 -9.325) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -8.455) (end 1.705 -8.455) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -8.055) (end 2.75 -8.455) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -8.055) (end 2.75 -8.055) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -8.455) (end -1.705 -8.455) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -8.055) (end -2.75 -8.455) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -8.055) (end -2.75 -8.055) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -7.185) (end 1.705 -7.185) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -6.785) (end 2.75 -7.185) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -6.785) (end 2.75 -6.785) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -7.185) (end -1.705 -7.185) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -6.785) (end -2.75 -7.185) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -6.785) (end -2.75 -6.785) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -5.915) (end 1.705 -5.915) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -5.515) (end 2.75 -5.915) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -5.515) (end 2.75 -5.515) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -5.915) (end -1.705 -5.915) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -5.515) (end -2.75 -5.915) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -5.515) (end -2.75 -5.515) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -4.645) (end 1.705 -4.645) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -4.245) (end 2.75 -4.645) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -4.245) (end 2.75 -4.245) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -4.645) (end -1.705 -4.645) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -4.245) (end -2.75 -4.645) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -4.245) (end -2.75 -4.245) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -3.375) (end 1.705 -3.375) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -2.975) (end 2.75 -3.375) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -2.975) (end 2.75 -2.975) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -3.375) (end -1.705 -3.375) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -2.975) (end -2.75 -3.375) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -2.975) (end -2.75 -2.975) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -2.105) (end 1.705 -2.105) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -1.705) (end 2.75 -2.105) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -1.705) (end 2.75 -1.705) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -2.105) (end -1.705 -2.105) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -1.705) (end -2.75 -2.105) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -1.705) (end -2.75 -1.705) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -0.835) (end 1.705 -0.835) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -0.435) (end 2.75 -0.835) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -0.435) (end 2.75 -0.435) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -0.835) (end -1.705 -0.835) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -0.435) (end -2.75 -0.835) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -0.435) (end -2.75 -0.435) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 0.435) (end 1.705 0.435) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 0.835) (end 2.75 0.435) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 0.835) (end 2.75 0.835) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 0.435) (end -1.705 0.435) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 0.835) (end -2.75 0.435) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 0.835) (end -2.75 0.835) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 1.705) (end 1.705 1.705) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 2.105) (end 2.75 1.705) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 2.105) (end 2.75 2.105) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 1.705) (end -1.705 1.705) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 2.105) (end -2.75 1.705) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 2.105) (end -2.75 2.105) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 2.975) (end 1.705 2.975) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 3.375) (end 2.75 2.975) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 3.375) (end 2.75 3.375) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 2.975) (end -1.705 2.975) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 3.375) (end -2.75 2.975) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 3.375) (end -2.75 3.375) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 4.245) (end 1.705 4.245) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 4.645) (end 2.75 4.245) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 4.645) (end 2.75 4.645) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 4.245) (end -1.705 4.245) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 4.645) (end -2.75 4.245) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 4.645) (end -2.75 4.645) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 5.515) (end 1.705 5.515) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 5.915) (end 2.75 5.515) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 5.915) (end 2.75 5.915) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 5.515) (end -1.705 5.515) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 5.915) (end -2.75 5.515) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 5.915) (end -2.75 5.915) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 6.785) (end 1.705 6.785) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 7.185) (end 2.75 6.785) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 7.185) (end 2.75 7.185) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 6.785) (end -1.705 6.785) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 7.185) (end -2.75 6.785) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 7.185) (end -2.75 7.185) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 8.055) (end 1.705 8.055) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 8.455) (end 2.75 8.055) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 8.455) (end 2.75 8.455) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 8.055) (end -1.705 8.055) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 8.455) (end -2.75 8.055) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 8.455) (end -2.75 8.455) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 9.325) (end 1.705 9.325) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 9.725) (end 2.75 9.325) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 9.725) (end 2.75 9.725) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 9.325) (end -1.705 9.325) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 9.725) (end -2.75 9.325) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 9.725) (end -2.75 9.725) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 10.16) (end 1.705 -10.16) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 9.725) (end -1.27 10.16) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -10.16) (end -1.705 9.725) (layer B.Fab) (width 0.1)) + (fp_line (start -1.27 10.16) (end 1.705 10.16) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -10.16) (end -1.705 -10.16) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad 32 smd rect (at 1.95 -9.525 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 67 /FPGA_IO15)) + (pad 31 smd rect (at -1.95 -9.525 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 30 smd rect (at 1.95 -8.255 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 23 +3V3)) + (pad 29 smd rect (at -1.95 -8.255 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 68 /FPGA_IO14)) + (pad 28 smd rect (at 1.95 -6.985 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 69 /FPGA_IO13)) + (pad 27 smd rect (at -1.95 -6.985 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 26 smd rect (at 1.95 -5.715 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 23 +3V3)) + (pad 25 smd rect (at -1.95 -5.715 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 70 /FPGA_IO12)) + (pad 24 smd rect (at 1.95 -4.445 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 71 /FPGA_IO11)) + (pad 23 smd rect (at -1.95 -4.445 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 22 smd rect (at 1.95 -3.175 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 23 +3V3)) + (pad 21 smd rect (at -1.95 -3.175 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 72 /FPGA_IO10)) + (pad 20 smd rect (at 1.95 -1.905 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 73 /FPGA_IO9)) + (pad 19 smd rect (at -1.95 -1.905 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 18 smd rect (at 1.95 -0.635 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 23 +3V3)) + (pad 17 smd rect (at -1.95 -0.635 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 74 /FPGA_IO8)) + (pad 16 smd rect (at 1.95 0.635 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 75 /FPGA_IO7)) + (pad 15 smd rect (at -1.95 0.635 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 14 smd rect (at 1.95 1.905 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 23 +3V3)) + (pad 13 smd rect (at -1.95 1.905 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 76 /FPGA_IO6)) + (pad 12 smd rect (at 1.95 3.175 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 77 /FPGA_IO5)) + (pad 11 smd rect (at -1.95 3.175 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 10 smd rect (at 1.95 4.445 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 23 +3V3)) + (pad 9 smd rect (at -1.95 4.445 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 78 /FPGA_IO4)) + (pad 8 smd rect (at 1.95 5.715 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 79 /FPGA_IO3)) + (pad 7 smd rect (at -1.95 5.715 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 6 smd rect (at 1.95 6.985 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 23 +3V3)) + (pad 5 smd rect (at -1.95 6.985 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 80 /FPGA_IO2)) + (pad 4 smd rect (at 1.95 8.255 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 81 /FPGA_IO1)) + (pad 3 smd rect (at -1.95 8.255 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 2 smd rect (at 1.95 9.525 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 23 +3V3)) + (pad 1 smd rect (at -1.95 9.525 270) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 82 /FPGA_IO0)) + (model ${KISYS3DMOD}/Connector_PinHeader_1.27mm.3dshapes/PinHeader_2x16_P1.27mm_Vertical_SMD.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E9DC9) + (at 203.06 71.460031 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /63281EC4) + (attr smd) + (fp_text reference R12 (at 0 1.43 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 66 "Net-(J7-Pad1)")) + (pad 1 smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 23 +3V3)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E9DB8) + (at 202.94 92.480031 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /632C4040) + (attr smd) + (fp_text reference R11 (at 0 1.43 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 65 "Net-(J6-Pad1)")) + (pad 1 smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 23 +3V3)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_TO_SOT_SMD:SOT-23-6 (layer B.Cu) (tedit 5A02FF57) (tstamp 611E5B58) + (at 184.71 100.110031) + (descr "6-pin SOT-23 package") + (tags SOT-23-6) + (path /62FDE208) + (attr smd) + (fp_text reference U5 (at 0 2.9) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value TS5A3159 (at 0 -2.9) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.9 -1.61) (end 0.9 -1.61) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.9 1.61) (end -1.55 1.61) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.9 0.9) (end -0.25 1.55) (layer B.Fab) (width 0.1)) + (fp_line (start 0.9 1.55) (end -0.25 1.55) (layer B.Fab) (width 0.1)) + (fp_line (start -0.9 0.9) (end -0.9 -1.55) (layer B.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end -0.9 -1.55) (layer B.Fab) (width 0.1)) + (fp_line (start 0.9 1.55) (end 0.9 -1.55) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 -90) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + ) + (pad 5 smd rect (at 1.1 0) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 22 +5V)) + (pad 6 smd rect (at 1.1 0.95) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 80 /FPGA_IO2)) + (pad 4 smd rect (at 1.1 -0.95) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 64 /IO_MUX)) + (pad 3 smd rect (at -1.1 -0.95) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 83 "Net-(R13-Pad1)")) + (pad 2 smd rect (at -1.1 0) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 1 smd rect (at -1.1 0.95) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 82 /FPGA_IO0)) + (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_SO:SOP-16_3.9x9.9mm_P1.27mm (layer B.Cu) (tedit 5F476169) (tstamp 611E5AA8) + (at 121.44 112.300031 90) + (descr "SOP, 16 Pin (https://www.diodes.com/assets/Datasheets/PAM8403.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOP SO") + (path /62FB6D8E) + (attr smd) + (fp_text reference U1 (at 0 5.9 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value SN74CBT3251 (at 0 -5.9 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 0 -5.06) (end 1.95 -5.06) (layer B.SilkS) (width 0.12)) + (fp_line (start 0 -5.06) (end -1.95 -5.06) (layer B.SilkS) (width 0.12)) + (fp_line (start 0 5.06) (end 1.95 5.06) (layer B.SilkS) (width 0.12)) + (fp_line (start 0 5.06) (end -3.5 5.06) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.975 4.95) (end 1.95 4.95) (layer B.Fab) (width 0.1)) + (fp_line (start 1.95 4.95) (end 1.95 -4.95) (layer B.Fab) (width 0.1)) + (fp_line (start 1.95 -4.95) (end -1.95 -4.95) (layer B.Fab) (width 0.1)) + (fp_line (start -1.95 -4.95) (end -1.95 3.975) (layer B.Fab) (width 0.1)) + (fp_line (start -1.95 3.975) (end -0.975 4.95) (layer B.Fab) (width 0.1)) + (fp_line (start -3.75 5.2) (end -3.75 -5.2) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.75 -5.2) (end 3.75 -5.2) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.75 -5.2) (end 3.75 5.2) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.75 5.2) (end -3.75 5.2) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.98 0.98) (thickness 0.15)) (justify mirror)) + ) + (pad 16 smd roundrect (at 2.5 4.445 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 22 +5V)) + (pad 15 smd roundrect (at 2.5 3.175 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 59 /TP_IO5)) + (pad 14 smd roundrect (at 2.5 1.905 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 60 /TP_IO6)) + (pad 13 smd roundrect (at 2.5 0.635 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 61 /TP_IO7)) + (pad 12 smd roundrect (at 2.5 -0.635 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 62 /TP_IO8)) + (pad 11 smd roundrect (at 2.5 -1.905 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 78 /FPGA_IO4)) + (pad 10 smd roundrect (at 2.5 -3.175 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 76 /FPGA_IO6)) + (pad 9 smd roundrect (at 2.5 -4.445 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 74 /FPGA_IO8)) + (pad 8 smd roundrect (at -2.5 -4.445 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 7 smd roundrect (at -2.5 -3.175 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 6 smd roundrect (at -2.5 -1.905 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 63 "Net-(U1-Pad6)")) + (pad 5 smd roundrect (at -2.5 -0.635 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 64 /IO_MUX)) + (pad 4 smd roundrect (at -2.5 0.635 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 55 /TP_IO1)) + (pad 3 smd roundrect (at -2.5 1.905 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 56 /TP_IO2)) + (pad 2 smd roundrect (at -2.5 3.175 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 57 /TP_IO3)) + (pad 1 smd roundrect (at -2.5 4.445 90) (size 2 0.6) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 58 /TP_IO4)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOP-16_3.9x9.9mm_P1.27mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E5518) + (at 185.66 104.810031) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /62FDE20E) + (attr smd) + (fp_text reference C5 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 0.1uF (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.775 0) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 1 smd roundrect (at -0.775 0) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 22 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E5507) + (at 130.26 113.020031 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /62A02041) + (attr smd) + (fp_text reference C4 (at 0 1.43 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 0.1uF (at 0 -1.43 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 1 smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 22 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_SO:VSSOP-8_2.4x2.1mm_P0.5mm (layer B.Cu) (tedit 5A02F25C) (tstamp 611E309C) + (at 156 99.800031) + (descr http://www.ti.com/lit/ml/mpds050d/mpds050d.pdf) + (tags "VSSOP DCU R-PDSO-G8 Pitch0.5mm") + (path /6236E1FF) + (attr smd) + (fp_text reference U4 (at 0 2.54) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value TS5A3359 (at 0 -2.413) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.2 -1.05) (end 1.2 -1.05) (layer B.Fab) (width 0.1)) + (fp_line (start 1.2 -1.05) (end 1.2 1.05) (layer B.Fab) (width 0.1)) + (fp_line (start 1.2 1.05) (end -0.9 1.05) (layer B.Fab) (width 0.1)) + (fp_line (start -0.9 1.05) (end -1.2 0.7) (layer B.Fab) (width 0.1)) + (fp_line (start -1.2 0.7) (end -1.2 -1.05) (layer B.Fab) (width 0.1)) + (fp_line (start -1.3 -1.2) (end 1.3 -1.2) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.3 -1.2) (end 1.3 -1) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.3 1) (end 1.3 1.2) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.3 1.2) (end -1.3 1.2) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.3 1.2) (end -1.3 1.1) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.3 1.1) (end -1.7 1.1) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.3 -1.2) (end -1.3 -1) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.18 1.3) (end 2.18 1.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 2.18 1.3) (end 2.18 -1.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 2.18 -1.3) (end -2.18 -1.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.18 -1.3) (end -2.18 1.3) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror)) + ) + (pad 8 smd rect (at 1.55 0.75) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 22 +5V)) + (pad 7 smd rect (at 1.55 0.25) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 46 GND2)) + (pad 6 smd rect (at 1.55 -0.25) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 39 /MCU_IO2)) + (pad 5 smd rect (at 1.55 -0.75) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 38 /MCU_IO3)) + (pad 4 smd rect (at -1.55 -0.75) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 3 smd rect (at -1.55 -0.25) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 2 smd rect (at -1.55 0.25) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 32 /ADC6)) + (pad 1 smd rect (at -1.55 0.75) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 24 /DAC1)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/VSSOP-8_2.4x2.1mm_P0.5mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_TO_SOT_SMD:SOT-23-6 (layer B.Cu) (tedit 5A02FF57) (tstamp 611E307F) + (at 175.83 100.030031) + (descr "6-pin SOT-23 package") + (tags SOT-23-6) + (path /627BA854) + (attr smd) + (fp_text reference U3 (at 0 2.9) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value TS5A3159 (at 0 -2.9) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 0.9 1.55) (end 0.9 -1.55) (layer B.Fab) (width 0.1)) + (fp_line (start 0.9 -1.55) (end -0.9 -1.55) (layer B.Fab) (width 0.1)) + (fp_line (start -0.9 0.9) (end -0.9 -1.55) (layer B.Fab) (width 0.1)) + (fp_line (start 0.9 1.55) (end -0.25 1.55) (layer B.Fab) (width 0.1)) + (fp_line (start -0.9 0.9) (end -0.25 1.55) (layer B.Fab) (width 0.1)) + (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.9 1.61) (end -1.55 1.61) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.9 -1.61) (end 0.9 -1.61) (layer B.SilkS) (width 0.12)) + (fp_text user %R (at 0 0 -90) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075)) (justify mirror)) + ) + (pad 5 smd rect (at 1.1 0) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 22 +5V)) + (pad 6 smd rect (at 1.1 0.95) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 47 /MCU_IO4)) + (pad 4 smd rect (at 1.1 -0.95) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 42 GND3)) + (pad 3 smd rect (at -1.1 -0.95) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 2 smd rect (at -1.1 0) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 1 smd rect (at -1.1 0.95) (size 1.06 0.65) (layers B.Cu B.Paste B.Mask) + (net 33 /ADC7)) + (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_SO:VSSOP-8_2.4x2.1mm_P0.5mm (layer B.Cu) (tedit 5A02F25C) (tstamp 611E3069) + (at 166 99.800031) + (descr http://www.ti.com/lit/ml/mpds050d/mpds050d.pdf) + (tags "VSSOP DCU R-PDSO-G8 Pitch0.5mm") + (path /6222A382) + (attr smd) + (fp_text reference U2 (at 0 2.54) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value TS5A3359 (at 0 -2.413) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.2 -1.05) (end 1.2 -1.05) (layer B.Fab) (width 0.1)) + (fp_line (start 1.2 -1.05) (end 1.2 1.05) (layer B.Fab) (width 0.1)) + (fp_line (start 1.2 1.05) (end -0.9 1.05) (layer B.Fab) (width 0.1)) + (fp_line (start -0.9 1.05) (end -1.2 0.7) (layer B.Fab) (width 0.1)) + (fp_line (start -1.2 0.7) (end -1.2 -1.05) (layer B.Fab) (width 0.1)) + (fp_line (start -1.3 -1.2) (end 1.3 -1.2) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.3 -1.2) (end 1.3 -1) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.3 1) (end 1.3 1.2) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.3 1.2) (end -1.3 1.2) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.3 1.2) (end -1.3 1.1) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.3 1.1) (end -1.7 1.1) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.3 -1.2) (end -1.3 -1) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.18 1.3) (end 2.18 1.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 2.18 1.3) (end 2.18 -1.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 2.18 -1.3) (end -2.18 -1.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.18 -1.3) (end -2.18 1.3) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror)) + ) + (pad 8 smd rect (at 1.55 0.75) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 22 +5V)) + (pad 7 smd rect (at 1.55 0.25) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 45 GND1)) + (pad 6 smd rect (at 1.55 -0.25) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 41 /MCU_IO0)) + (pad 5 smd rect (at 1.55 -0.75) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 40 /MCU_IO1)) + (pad 4 smd rect (at -1.55 -0.75) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 3 smd rect (at -1.55 -0.25) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 2 smd rect (at -1.55 0.25) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 31 /ADC5)) + (pad 1 smd rect (at -1.55 0.75) (size 0.75 0.25) (layers B.Cu B.Paste B.Mask) + (net 25 /DAC0)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/VSSOP-8_2.4x2.1mm_P0.5mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E2F5C) + (at 133 98.800031 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /61D61A71) + (attr smd) + (fp_text reference R10 (at 0 1.43 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 46 GND2)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 30 /ADC4)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E2F4B) + (at 138 98.800031 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /61D4E494) + (attr smd) + (fp_text reference R9 (at 0 1.43 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 45 GND1)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 29 /ADC3)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E2F3A) + (at 133 102.975031 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /61D61A77) + (attr smd) + (fp_text reference R8 (at 0 1.43 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 30 /ADC4)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 44 /TP_5V_2)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E2F29) + (at 138 102.800031 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /61D4E49A) + (attr smd) + (fp_text reference R7 (at 0 1.43 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 29 /ADC3)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 43 /TP_5V_1)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E2B1A) + (at 156 103.800031) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /6236E228) + (attr smd) + (fp_text reference C3 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 0.1uF (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.775 0) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 1 smd roundrect (at -0.775 0) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 22 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E2B09) + (at 176 103.800031) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /6284142E) + (attr smd) + (fp_text reference C2 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 0.1uF (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.775 0) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 1 smd roundrect (at -0.775 0) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 22 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611E2AF8) + (at 166 103.800031) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags capacitor) + (path /623408F9) + (attr smd) + (fp_text reference C1 (at 0 1.43) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 0.1uF (at 0 -1.43) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.775 0) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 GND)) + (pad 1 smd roundrect (at -0.775 0) (size 0.9 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 22 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_1.27mm:PinHeader_2x15_P1.27mm_Vertical_SMD (layer B.Cu) (tedit 59FED6E3) (tstamp 611CB243) + (at 156.116666 87 90) + (descr "surface-mounted straight pin header, 2x15, 1.27mm pitch, double rows") + (tags "Surface mounted pin header SMD 2x15 1.27mm double row") + (path /6127DBBD) + (attr smd) + (fp_text reference J2 (at 0 10.585 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value EEM (at 0 -10.585 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 4.3 10.05) (end -4.3 10.05) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4.3 -10.05) (end 4.3 10.05) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.3 -10.05) (end 4.3 -10.05) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.3 10.05) (end -4.3 -10.05) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.765 -9.52) (end 1.765 -9.585) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 -9.52) (end -1.765 -9.585) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.765 9.585) (end 1.765 9.52) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 9.585) (end -1.765 9.52) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.09 9.52) (end -1.765 9.52) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 -9.585) (end 1.765 -9.585) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 9.585) (end 1.765 9.585) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.75 -9.09) (end 1.705 -9.09) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -8.69) (end 2.75 -9.09) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -8.69) (end 2.75 -8.69) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -9.09) (end -1.705 -9.09) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -8.69) (end -2.75 -9.09) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -8.69) (end -2.75 -8.69) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -7.82) (end 1.705 -7.82) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -7.42) (end 2.75 -7.82) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -7.42) (end 2.75 -7.42) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -7.82) (end -1.705 -7.82) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -7.42) (end -2.75 -7.82) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -7.42) (end -2.75 -7.42) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -6.55) (end 1.705 -6.55) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -6.15) (end 2.75 -6.55) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -6.15) (end 2.75 -6.15) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -6.55) (end -1.705 -6.55) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -6.15) (end -2.75 -6.55) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -6.15) (end -2.75 -6.15) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -5.28) (end 1.705 -5.28) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -4.88) (end 2.75 -5.28) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -4.88) (end 2.75 -4.88) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -5.28) (end -1.705 -5.28) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -4.88) (end -2.75 -5.28) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -4.88) (end -2.75 -4.88) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -4.01) (end 1.705 -4.01) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -3.61) (end 2.75 -4.01) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -3.61) (end 2.75 -3.61) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -4.01) (end -1.705 -4.01) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -3.61) (end -2.75 -4.01) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -3.61) (end -2.75 -3.61) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -2.74) (end 1.705 -2.74) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -2.34) (end 2.75 -2.74) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -2.34) (end 2.75 -2.34) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -2.74) (end -1.705 -2.74) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -2.34) (end -2.75 -2.74) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -2.34) (end -2.75 -2.34) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -1.47) (end 1.705 -1.47) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -1.07) (end 2.75 -1.47) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -1.07) (end 2.75 -1.07) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -1.47) (end -1.705 -1.47) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -1.07) (end -2.75 -1.47) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -1.07) (end -2.75 -1.07) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -0.2) (end 1.705 -0.2) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 0.2) (end 2.75 -0.2) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 0.2) (end 2.75 0.2) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -0.2) (end -1.705 -0.2) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 0.2) (end -2.75 -0.2) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 0.2) (end -2.75 0.2) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 1.07) (end 1.705 1.07) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 1.47) (end 2.75 1.07) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 1.47) (end 2.75 1.47) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 1.07) (end -1.705 1.07) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 1.47) (end -2.75 1.07) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 1.47) (end -2.75 1.47) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 2.34) (end 1.705 2.34) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 2.74) (end 2.75 2.34) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 2.74) (end 2.75 2.74) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 2.34) (end -1.705 2.34) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 2.74) (end -2.75 2.34) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 2.74) (end -2.75 2.74) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 3.61) (end 1.705 3.61) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 4.01) (end 2.75 3.61) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 4.01) (end 2.75 4.01) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 3.61) (end -1.705 3.61) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 4.01) (end -2.75 3.61) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 4.01) (end -2.75 4.01) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 4.88) (end 1.705 4.88) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 5.28) (end 2.75 4.88) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 5.28) (end 2.75 5.28) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 4.88) (end -1.705 4.88) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 5.28) (end -2.75 4.88) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 5.28) (end -2.75 5.28) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 6.15) (end 1.705 6.15) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 6.55) (end 2.75 6.15) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 6.55) (end 2.75 6.55) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 6.15) (end -1.705 6.15) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 6.55) (end -2.75 6.15) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 6.55) (end -2.75 6.55) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 7.42) (end 1.705 7.42) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 7.82) (end 2.75 7.42) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 7.82) (end 2.75 7.82) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 7.42) (end -1.705 7.42) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 7.82) (end -2.75 7.42) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 7.82) (end -2.75 7.82) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 8.69) (end 1.705 8.69) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 9.09) (end 2.75 8.69) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 9.09) (end 2.75 9.09) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 8.69) (end -1.705 8.69) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 9.09) (end -2.75 8.69) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 9.09) (end -2.75 9.09) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 9.525) (end 1.705 -9.525) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 9.09) (end -1.27 9.525) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -9.525) (end -1.705 9.09) (layer B.Fab) (width 0.1)) + (fp_line (start -1.27 9.525) (end 1.705 9.525) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -9.525) (end -1.705 -9.525) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad 30 smd rect (at 1.95 -8.89 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 1 /+3V3MP)) + (pad 29 smd rect (at -1.95 -8.89 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 2 +12V)) + (pad 28 smd rect (at 1.95 -7.62 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 2 +12V)) + (pad 27 smd rect (at -1.95 -7.62 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 3 /IIC_SCL)) + (pad 26 smd rect (at 1.95 -6.35 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 4 /IIC_SDA)) + (pad 25 smd rect (at -1.95 -6.35 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 24 smd rect (at 1.95 -5.08 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 6 /LVDS7_N)) + (pad 23 smd rect (at -1.95 -5.08 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 7 /LVDS7_P)) + (pad 22 smd rect (at 1.95 -3.81 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 21 smd rect (at -1.95 -3.81 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 8 /LVDS6_N)) + (pad 20 smd rect (at 1.95 -2.54 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 9 /LVDS6_P)) + (pad 19 smd rect (at -1.95 -2.54 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 18 smd rect (at 1.95 -1.27 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 10 /LVDS5_N)) + (pad 17 smd rect (at -1.95 -1.27 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 11 /LVDS5_P)) + (pad 16 smd rect (at 1.95 0 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 15 smd rect (at -1.95 0 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 12 /LVDS4_N)) + (pad 14 smd rect (at 1.95 1.27 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 13 /LVDS4_P)) + (pad 13 smd rect (at -1.95 1.27 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 12 smd rect (at 1.95 2.54 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 14 /LVDS3_N)) + (pad 11 smd rect (at -1.95 2.54 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 15 /LVDS3_P)) + (pad 10 smd rect (at 1.95 3.81 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 9 smd rect (at -1.95 3.81 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 16 /LVDS2_N)) + (pad 8 smd rect (at 1.95 5.08 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 17 /LVDS2_P)) + (pad 7 smd rect (at -1.95 5.08 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 6 smd rect (at 1.95 6.35 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 18 /LVDS1_N)) + (pad 5 smd rect (at -1.95 6.35 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 19 /LVDS1_P)) + (pad 4 smd rect (at 1.95 7.62 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 3 smd rect (at -1.95 7.62 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 20 /LVDS0_N)) + (pad 2 smd rect (at 1.95 8.89 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 21 /LVDS0_P)) + (pad 1 smd rect (at -1.95 8.89 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Connector_PinHeader_1.27mm.3dshapes/PinHeader_2x15_P1.27mm_Vertical_SMD.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611CB38B) + (at 128 98.800031 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /61B7574E) + (attr smd) + (fp_text reference R6 (at 0 1.43 -90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 -90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 -90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 42 GND3)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 28 /ADC2)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611CB37A) + (at 123 98.800031 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /61B6B5D2) + (attr smd) + (fp_text reference R5 (at 0 1.43 -90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 -90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 -90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 42 GND3)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 27 /ADC1)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611CB369) + (at 118 98.800031 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /619B8BBC) + (attr smd) + (fp_text reference R4 (at 0 1.43 -90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 -90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 -90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 42 GND3)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 26 /ADC0)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611CB358) + (at 128 102.800031 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /61B75754) + (attr smd) + (fp_text reference R3 (at 0 1.43 -90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 -90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 -90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 28 /ADC2)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 37 /TP_3V3MP)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611CB347) + (at 123 102.800031 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /61B6B5D8) + (attr smd) + (fp_text reference R2 (at 0 1.43 -90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 0 -1.43 -90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 -90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 27 /ADC1)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 36 /TP_3V3)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric (layer B.Cu) (tedit 5F68FEEE) (tstamp 611CB336) + (at 118 102.800031 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags resistor) + (path /619CCA40) + (attr smd) + (fp_text reference R1 (at 0 1.43 -90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 51k (at 0 -1.43 -90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0 -90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 2 smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 26 /ADC0)) + (pad 1 smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 35 /TP_12V)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_1.27mm:PinHeader_2x11_P1.27mm_Vertical_SMD (layer B.Cu) (tedit 59FED6E3) (tstamp 611CB325) + (at 110 87 90) + (descr "surface-mounted straight pin header, 2x11, 1.27mm pitch, double rows") + (tags "Surface mounted pin header SMD 2x11 1.27mm double row") + (path /613DC56A) + (attr smd) + (fp_text reference J4 (at 0 8.045 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Analog (at 0 -8.045 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 4.3 7.5) (end -4.3 7.5) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4.3 -7.5) (end 4.3 7.5) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.3 -7.5) (end 4.3 -7.5) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.3 7.5) (end -4.3 -7.5) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.765 -6.98) (end 1.765 -7.045) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 -6.98) (end -1.765 -7.045) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.765 7.045) (end 1.765 6.98) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 7.045) (end -1.765 6.98) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.09 6.98) (end -1.765 6.98) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 -7.045) (end 1.765 -7.045) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 7.045) (end 1.765 7.045) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.75 -6.55) (end 1.705 -6.55) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -6.15) (end 2.75 -6.55) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -6.15) (end 2.75 -6.15) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -6.55) (end -1.705 -6.55) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -6.15) (end -2.75 -6.55) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -6.15) (end -2.75 -6.15) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -5.28) (end 1.705 -5.28) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -4.88) (end 2.75 -5.28) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -4.88) (end 2.75 -4.88) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -5.28) (end -1.705 -5.28) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -4.88) (end -2.75 -5.28) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -4.88) (end -2.75 -4.88) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -4.01) (end 1.705 -4.01) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -3.61) (end 2.75 -4.01) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -3.61) (end 2.75 -3.61) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -4.01) (end -1.705 -4.01) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -3.61) (end -2.75 -4.01) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -3.61) (end -2.75 -3.61) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -2.74) (end 1.705 -2.74) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -2.34) (end 2.75 -2.74) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -2.34) (end 2.75 -2.34) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -2.74) (end -1.705 -2.74) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -2.34) (end -2.75 -2.74) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -2.34) (end -2.75 -2.34) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -1.47) (end 1.705 -1.47) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -1.07) (end 2.75 -1.47) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -1.07) (end 2.75 -1.07) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -1.47) (end -1.705 -1.47) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -1.07) (end -2.75 -1.47) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -1.07) (end -2.75 -1.07) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -0.2) (end 1.705 -0.2) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 0.2) (end 2.75 -0.2) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 0.2) (end 2.75 0.2) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -0.2) (end -1.705 -0.2) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 0.2) (end -2.75 -0.2) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 0.2) (end -2.75 0.2) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 1.07) (end 1.705 1.07) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 1.47) (end 2.75 1.07) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 1.47) (end 2.75 1.47) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 1.07) (end -1.705 1.07) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 1.47) (end -2.75 1.07) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 1.47) (end -2.75 1.47) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 2.34) (end 1.705 2.34) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 2.74) (end 2.75 2.34) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 2.74) (end 2.75 2.74) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 2.34) (end -1.705 2.34) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 2.74) (end -2.75 2.34) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 2.74) (end -2.75 2.74) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 3.61) (end 1.705 3.61) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 4.01) (end 2.75 3.61) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 4.01) (end 2.75 4.01) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 3.61) (end -1.705 3.61) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 4.01) (end -2.75 3.61) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 4.01) (end -2.75 4.01) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 4.88) (end 1.705 4.88) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 5.28) (end 2.75 4.88) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 5.28) (end 2.75 5.28) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 4.88) (end -1.705 4.88) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 5.28) (end -2.75 4.88) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 5.28) (end -2.75 5.28) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 6.15) (end 1.705 6.15) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 6.55) (end 2.75 6.15) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 6.55) (end 2.75 6.55) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 6.15) (end -1.705 6.15) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 6.55) (end -2.75 6.15) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 6.55) (end -2.75 6.55) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 6.985) (end 1.705 -6.985) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 6.55) (end -1.27 6.985) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -6.985) (end -1.705 6.55) (layer B.Fab) (width 0.1)) + (fp_line (start -1.27 6.985) (end 1.705 6.985) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -6.985) (end -1.705 -6.985) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad 22 smd rect (at 1.95 -6.35 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 21 smd rect (at -1.95 -6.35 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 24 /DAC1)) + (pad 20 smd rect (at 1.95 -5.08 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 19 smd rect (at -1.95 -5.08 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 25 /DAC0)) + (pad 18 smd rect (at 1.95 -3.81 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 17 smd rect (at -1.95 -3.81 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 26 /ADC0)) + (pad 16 smd rect (at 1.95 -2.54 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 15 smd rect (at -1.95 -2.54 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 27 /ADC1)) + (pad 14 smd rect (at 1.95 -1.27 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 13 smd rect (at -1.95 -1.27 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 28 /ADC2)) + (pad 12 smd rect (at 1.95 0 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 11 smd rect (at -1.95 0 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 29 /ADC3)) + (pad 10 smd rect (at 1.95 1.27 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 9 smd rect (at -1.95 1.27 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 30 /ADC4)) + (pad 8 smd rect (at 1.95 2.54 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 7 smd rect (at -1.95 2.54 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 31 /ADC5)) + (pad 6 smd rect (at 1.95 3.81 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 5 smd rect (at -1.95 3.81 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 32 /ADC6)) + (pad 4 smd rect (at 1.95 5.08 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 3 smd rect (at -1.95 5.08 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 33 /ADC7)) + (pad 2 smd rect (at 1.95 6.35 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 34 /HSADC)) + (pad 1 smd rect (at -1.95 6.35 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Connector_PinHeader_1.27mm.3dshapes/PinHeader_2x11_P1.27mm_Vertical_SMD.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_1.27mm:PinHeader_2x12_P1.27mm_Vertical_SMD (layer B.Cu) (tedit 59FED6E3) (tstamp 611CB2B8) + (at 131.783333 87 90) + (descr "surface-mounted straight pin header, 2x12, 1.27mm pitch, double rows") + (tags "Surface mounted pin header SMD 2x12 1.27mm double row") + (path /613E8DE2) + (attr smd) + (fp_text reference J3 (at 0 8.68 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value MCU_IO (at 0 -8.68 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 4.3 8.15) (end -4.3 8.15) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4.3 -8.15) (end 4.3 8.15) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.3 -8.15) (end 4.3 -8.15) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.3 8.15) (end -4.3 -8.15) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.765 -7.615) (end 1.765 -7.68) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 -7.615) (end -1.765 -7.68) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.765 7.68) (end 1.765 7.615) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 7.68) (end -1.765 7.615) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.09 7.615) (end -1.765 7.615) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 -7.68) (end 1.765 -7.68) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.765 7.68) (end 1.765 7.68) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.75 -7.185) (end 1.705 -7.185) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -6.785) (end 2.75 -7.185) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -6.785) (end 2.75 -6.785) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -7.185) (end -1.705 -7.185) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -6.785) (end -2.75 -7.185) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -6.785) (end -2.75 -6.785) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -5.915) (end 1.705 -5.915) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -5.515) (end 2.75 -5.915) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -5.515) (end 2.75 -5.515) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -5.915) (end -1.705 -5.915) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -5.515) (end -2.75 -5.915) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -5.515) (end -2.75 -5.515) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -4.645) (end 1.705 -4.645) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -4.245) (end 2.75 -4.645) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -4.245) (end 2.75 -4.245) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -4.645) (end -1.705 -4.645) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -4.245) (end -2.75 -4.645) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -4.245) (end -2.75 -4.245) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -3.375) (end 1.705 -3.375) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -2.975) (end 2.75 -3.375) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -2.975) (end 2.75 -2.975) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -3.375) (end -1.705 -3.375) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -2.975) (end -2.75 -3.375) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -2.975) (end -2.75 -2.975) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -2.105) (end 1.705 -2.105) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -1.705) (end 2.75 -2.105) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -1.705) (end 2.75 -1.705) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -2.105) (end -1.705 -2.105) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -1.705) (end -2.75 -2.105) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -1.705) (end -2.75 -1.705) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -0.835) (end 1.705 -0.835) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 -0.435) (end 2.75 -0.835) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -0.435) (end 2.75 -0.435) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -0.835) (end -1.705 -0.835) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 -0.435) (end -2.75 -0.835) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -0.435) (end -2.75 -0.435) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 0.435) (end 1.705 0.435) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 0.835) (end 2.75 0.435) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 0.835) (end 2.75 0.835) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 0.435) (end -1.705 0.435) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 0.835) (end -2.75 0.435) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 0.835) (end -2.75 0.835) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 1.705) (end 1.705 1.705) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 2.105) (end 2.75 1.705) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 2.105) (end 2.75 2.105) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 1.705) (end -1.705 1.705) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 2.105) (end -2.75 1.705) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 2.105) (end -2.75 2.105) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 2.975) (end 1.705 2.975) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 3.375) (end 2.75 2.975) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 3.375) (end 2.75 3.375) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 2.975) (end -1.705 2.975) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 3.375) (end -2.75 2.975) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 3.375) (end -2.75 3.375) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 4.245) (end 1.705 4.245) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 4.645) (end 2.75 4.245) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 4.645) (end 2.75 4.645) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 4.245) (end -1.705 4.245) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 4.645) (end -2.75 4.245) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 4.645) (end -2.75 4.645) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 5.515) (end 1.705 5.515) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 5.915) (end 2.75 5.515) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 5.915) (end 2.75 5.915) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 5.515) (end -1.705 5.515) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 5.915) (end -2.75 5.515) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 5.915) (end -2.75 5.915) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 6.785) (end 1.705 6.785) (layer B.Fab) (width 0.1)) + (fp_line (start 2.75 7.185) (end 2.75 6.785) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 7.185) (end 2.75 7.185) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 6.785) (end -1.705 6.785) (layer B.Fab) (width 0.1)) + (fp_line (start -2.75 7.185) (end -2.75 6.785) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 7.185) (end -2.75 7.185) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 7.62) (end 1.705 -7.62) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 7.185) (end -1.27 7.62) (layer B.Fab) (width 0.1)) + (fp_line (start -1.705 -7.62) (end -1.705 7.185) (layer B.Fab) (width 0.1)) + (fp_line (start -1.27 7.62) (end 1.705 7.62) (layer B.Fab) (width 0.1)) + (fp_line (start 1.705 -7.62) (end -1.705 -7.62) (layer B.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad 24 smd rect (at 1.95 -6.985 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 22 +5V)) + (pad 23 smd rect (at -1.95 -6.985 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 22 smd rect (at 1.95 -5.715 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 22 +5V)) + (pad 21 smd rect (at -1.95 -5.715 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 4 /IIC_SDA)) + (pad 20 smd rect (at 1.95 -4.445 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 19 smd rect (at -1.95 -4.445 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 3 /IIC_SCL)) + (pad 18 smd rect (at 1.95 -3.175 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 48 /MCU_IO11)) + (pad 17 smd rect (at -1.95 -3.175 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 16 smd rect (at 1.95 -1.905 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 49 /MCU_IO10)) + (pad 15 smd rect (at -1.95 -1.905 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 50 /MCU_IO5)) + (pad 14 smd rect (at 1.95 -0.635 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 13 smd rect (at -1.95 -0.635 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 47 /MCU_IO4)) + (pad 12 smd rect (at 1.95 0.635 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 51 /MCU_IO9)) + (pad 11 smd rect (at -1.95 0.635 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 10 smd rect (at 1.95 1.905 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 52 /MCU_IO8)) + (pad 9 smd rect (at -1.95 1.905 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 38 /MCU_IO3)) + (pad 8 smd rect (at 1.95 3.175 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 53 /MCU_IO7)) + (pad 7 smd rect (at -1.95 3.175 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 39 /MCU_IO2)) + (pad 6 smd rect (at 1.95 4.445 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 54 /MCU_IO6)) + (pad 5 smd rect (at -1.95 4.445 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 40 /MCU_IO1)) + (pad 4 smd rect (at 1.95 5.715 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 23 +3V3)) + (pad 3 smd rect (at -1.95 5.715 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 41 /MCU_IO0)) + (pad 2 smd rect (at 1.95 6.985 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 5 GND)) + (pad 1 smd rect (at -1.95 6.985 90) (size 2.4 0.74) (layers B.Cu B.Paste B.Mask) + (net 23 +3V3)) + (model ${KISYS3DMOD}/Connector_PinHeader_1.27mm.3dshapes/PinHeader_2x12_P1.27mm_Vertical_SMD.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) (module Connector_PinSocket_2.54mm:PinSocket_2x15_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A42E) (tstamp 611A2FD4) - (at 117.929972 53.33229 90) + (at 152.929972 72.33229 90) (descr "Through hole straight socket strip, 2x15, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated") (tags "Through hole socket strip THT 2x15 2.54mm double row") (path /611DA97D) (fp_text reference J1 (at -1.27 -2.77 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value Conn_02x15_Odd_Even (at -1.27 38.33 90) (layer F.Fab) + (fp_text value EEM_Pogo (at -1.27 38.33 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) + (fp_line (start -4.34 37.3) (end -4.34 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.76 37.3) (end -4.34 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.76 -1.8) (end 1.76 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.34 -1.8) (end 1.76 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 -1.33) (end -1.27 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.87 36.89) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.87 -1.33) (end -3.87 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.87 -1.33) (end -1.27 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.81 36.83) (end -3.81 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 36.83) (end -3.81 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.27) (end 1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 0.27 -1.27) (end 1.27 -0.27) (layer F.Fab) (width 0.1)) + (fp_line (start -3.81 -1.27) (end 0.27 -1.27) (layer F.Fab) (width 0.1)) (fp_text user %R (at -1.27 17.78) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -3.81 -1.27) (end 0.27 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start 0.27 -1.27) (end 1.27 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 1.27 -0.27) (end 1.27 36.83) (layer F.Fab) (width 0.1)) - (fp_line (start 1.27 36.83) (end -3.81 36.83) (layer F.Fab) (width 0.1)) - (fp_line (start -3.81 36.83) (end -3.81 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start -3.87 -1.33) (end -1.27 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.87 -1.33) (end -3.87 36.89) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.87 36.89) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.33 1.27) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.27 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.27 -1.33) (end -1.27 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) - (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -4.34 -1.8) (end 1.76 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.76 -1.8) (end 1.76 37.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.76 37.3) (end -4.34 37.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -4.34 37.3) (end -4.34 -1.8) (layer F.CrtYd) (width 0.05)) (pad 30 thru_hole oval (at -2.54 35.56 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 1 "Net-(J1-Pad30)")) + (net 2 +12V)) (pad 29 thru_hole oval (at 0 35.56 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 16 "Net-(J1-Pad29)")) + (net 1 /+3V3MP)) (pad 28 thru_hole oval (at -2.54 33.02 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 2 "Net-(J1-Pad28)")) + (net 3 /IIC_SCL)) (pad 27 thru_hole oval (at 0 33.02 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 17 "Net-(J1-Pad27)")) + (net 2 +12V)) (pad 26 thru_hole oval (at -2.54 30.48 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 3 "Net-(J1-Pad26)")) + (net 5 GND)) (pad 25 thru_hole oval (at 0 30.48 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 18 "Net-(J1-Pad25)")) + (net 4 /IIC_SDA)) (pad 24 thru_hole oval (at -2.54 27.94 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 4 "Net-(J1-Pad24)")) + (net 7 /LVDS7_P)) (pad 23 thru_hole oval (at 0 27.94 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 19 "Net-(J1-Pad23)")) + (net 6 /LVDS7_N)) (pad 22 thru_hole oval (at -2.54 25.4 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 5 "Net-(J1-Pad22)")) + (net 8 /LVDS6_N)) (pad 21 thru_hole oval (at 0 25.4 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 20 "Net-(J1-Pad21)")) + (net 5 GND)) (pad 20 thru_hole oval (at -2.54 22.86 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 6 "Net-(J1-Pad20)")) + (net 5 GND)) (pad 19 thru_hole oval (at 0 22.86 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 21 "Net-(J1-Pad19)")) + (net 9 /LVDS6_P)) (pad 18 thru_hole oval (at -2.54 20.32 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 7 "Net-(J1-Pad18)")) + (net 11 /LVDS5_P)) (pad 17 thru_hole oval (at 0 20.32 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 22 "Net-(J1-Pad17)")) + (net 10 /LVDS5_N)) (pad 16 thru_hole oval (at -2.54 17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 8 "Net-(J1-Pad16)")) + (net 12 /LVDS4_N)) (pad 15 thru_hole oval (at 0 17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 23 "Net-(J1-Pad15)")) + (net 5 GND)) (pad 14 thru_hole oval (at -2.54 15.24 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 9 "Net-(J1-Pad14)")) + (net 5 GND)) (pad 13 thru_hole oval (at 0 15.24 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 24 "Net-(J1-Pad13)")) + (net 13 /LVDS4_P)) (pad 12 thru_hole oval (at -2.54 12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 10 "Net-(J1-Pad12)")) + (net 15 /LVDS3_P)) (pad 11 thru_hole oval (at 0 12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 25 "Net-(J1-Pad11)")) + (net 14 /LVDS3_N)) (pad 10 thru_hole oval (at -2.54 10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 11 "Net-(J1-Pad10)")) + (net 16 /LVDS2_N)) (pad 9 thru_hole oval (at 0 10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 26 "Net-(J1-Pad9)")) + (net 5 GND)) (pad 8 thru_hole oval (at -2.54 7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 12 "Net-(J1-Pad8)")) + (net 5 GND)) (pad 7 thru_hole oval (at 0 7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 27 "Net-(J1-Pad7)")) + (net 17 /LVDS2_P)) (pad 6 thru_hole oval (at -2.54 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 13 "Net-(J1-Pad6)")) + (net 19 /LVDS1_P)) (pad 5 thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 28 "Net-(J1-Pad5)")) + (net 18 /LVDS1_N)) (pad 4 thru_hole oval (at -2.54 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 14 "Net-(J1-Pad4)")) + (net 20 /LVDS0_N)) (pad 3 thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 29 "Net-(J1-Pad3)")) + (net 5 GND)) (pad 2 thru_hole oval (at -2.54 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 15 "Net-(J1-Pad2)")) + (net 5 GND)) (pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 30 "Net-(J1-Pad1)")) + (net 21 /LVDS0_P)) (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x15_P2.54mm_Vertical.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -319,526 +2288,527 @@ ) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A306A) - (at 149.621295 113.54405) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A306A) + (at 184.621295 132.54405) (path /611F69B4) - (fp_text reference TP30 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP30 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_Panel (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 60 "Net-(TP30-Pad1)")) + (net 42 GND3)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3065) - (at 90.378705 113.54405) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3065) + (at 125.378705 132.54405) (path /611F69BA) - (fp_text reference TP29 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP29 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_Panel (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 59 "Net-(TP29-Pad1)")) + (net 42 GND3)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3060) - (at 103.249999 52.599972) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3060) + (at 138.249999 71.599972) (path /611A0A16) - (fp_text reference TP28 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP28 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_SCL (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 58 "Net-(TP28-Pad1)")) + (net 3 /IIC_SCL)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A305B) - (at 103.249999 54.84997) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A305B) + (at 138.249999 73.84997) (path /611A0A1C) - (fp_text reference TP27 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP27 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_SDA (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 57 "Net-(TP27-Pad1)")) + (net 4 /IIC_SDA)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3056) - (at 99.750001 51.599971 90) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3056) + (at 134.750001 70.599971 90) (path /6119E1A8) - (fp_text reference TP26 (at 0 0.5 90) (layer F.SilkS) + (fp_text reference TP26 (at -3 -0.5 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_3V3MP (at 0 -0.5 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 56 "Net-(TP26-Pad1)")) + (net 37 /TP_3V3MP)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3051) - (at 96.749999 51.599971 90) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3051) + (at 131.749999 70.599971 90) (path /6119D5AD) - (fp_text reference TP25 (at 0 0.5 90) (layer F.SilkS) + (fp_text reference TP25 (at -3 -0.5 90) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND (at 0 -0.5 90) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 55 "Net-(TP25-Pad1)")) + (net 42 GND3)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A304C) - (at 154.575001 60.349972) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A304C) + (at 189.575001 79.349972) (path /6119E030) - (fp_text reference TP24 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP24 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_3V3 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 54 "Net-(TP24-Pad1)")) + (net 36 /TP_3V3)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3047) - (at 164.75 66.669692) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3047) + (at 199.75 85.669692) (path /6119D2CF) - (fp_text reference TP23 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP23 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 53 "Net-(TP23-Pad1)")) + (net 42 GND3)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3042) - (at 75.249999 57.849972) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3042) + (at 110.249999 76.849972) (path /6119C89C) - (fp_text reference TP22 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP22 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 52 "Net-(TP22-Pad1)")) + (net 42 GND3)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A303D) - (at 164.75 64.099972) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A303D) + (at 199.75 83.099972) (path /6119DB0F) - (fp_text reference TP21 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP21 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_12V (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 51 "Net-(TP21-Pad1)")) + (net 35 /TP_12V)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3038) - (at 133.632902 108.838874) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3038) + (at 168.632902 127.838874) (path /6119D7FE) - (fp_text reference TP20 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP20 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND_2 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 50 "Net-(TP20-Pad1)")) + (net 46 GND2)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3033) - (at 149.5 92.84997) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3033) + (at 184.5 111.84997) (path /6119EF8C) - (fp_text reference TP19 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP19 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_5V_2 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 49 "Net-(TP19-Pad1)")) + (net 44 /TP_5V_2)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A302E) - (at 110.732908 108.838874) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A302E) + (at 145.732908 127.838874) (path /6119D6BD) - (fp_text reference TP18 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP18 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND_1 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 48 "Net-(TP18-Pad1)")) + (net 45 GND1)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3029) - (at 116.999999 94.599972) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3029) + (at 151.999999 113.599972) (path /6119EB32) - (fp_text reference TP17 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP17 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_5V_1 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 47 "Net-(TP17-Pad1)")) + (net 43 /TP_5V_1)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3024) - (at 123.460068 105.760044) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3024) + (at 158.460068 124.760044) (path /611C6127) - (fp_text reference TP16 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP16 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND_2 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 46 "Net-(TP16-Pad1)")) + (net 46 GND2)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A301F) - (at 157.300131 105.837988) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A301F) + (at 192.300131 124.837988) (path /611C612D) - (fp_text reference TP15 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP15 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_IO8 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 45 "Net-(TP15-Pad1)")) + (net 62 /TP_IO8)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A301A) - (at 154.232908 108.838874) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A301A) + (at 189.232908 127.838874) (path /611C611B) - (fp_text reference TP14 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP14 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND_2 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 44 "Net-(TP14-Pad1)")) + (net 46 GND2)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3015) - (at 143.914252 102.459692) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3015) + (at 178.914252 121.459692) (path /611C6121) - (fp_text reference TP13 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP13 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_IO7 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 43 "Net-(TP13-Pad1)")) + (net 61 /TP_IO7)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3010) - (at 149.5 95.099971) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3010) + (at 184.5 114.099971) (path /611C610F) - (fp_text reference TP12 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP12 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND_2 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 42 "Net-(TP12-Pad1)")) + (net 46 GND2)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A300B) - (at 136.218146 105.584028) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A300B) + (at 171.218146 124.584028) (path /611C6115) - (fp_text reference TP11 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP11 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_IO6 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 41 "Net-(TP11-Pad1)")) + (net 60 /TP_IO6)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3006) - (at 144.060001 105.75997) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3006) + (at 179.060001 124.75997) (path /611C6103) - (fp_text reference TP10 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP10 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND_2 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 40 "Net-(TP10-Pad1)")) + (net 46 GND2)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A3001) - (at 124.635657 102.510496) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A3001) + (at 159.635657 121.510496) (path /611C6109) - (fp_text reference TP9 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP9 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_IO5 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 39 "Net-(TP9-Pad1)")) + (net 59 /TP_IO5)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A2FFC) - (at 116.999999 96.84997) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A2FFC) + (at 151.999999 115.84997) (path /611BBCF4) - (fp_text reference TP8 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP8 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND_1 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 38 "Net-(TP8-Pad1)")) + (net 45 GND1)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A2FF7) - (at 113.604056 106.092047) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A2FF7) + (at 148.604056 125.092047) (path /611BBCFA) - (fp_text reference TP7 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP7 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_IO4 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 37 "Net-(TP7-Pad1)")) + (net 58 /TP_IO4)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A2FF2) - (at 100.560057 105.760044) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A2FF2) + (at 135.560057 124.760044) (path /611BB7BE) - (fp_text reference TP6 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP6 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND_1 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 36 "Net-(TP6-Pad1)")) + (net 45 GND1)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A2FED) - (at 100.759665 102.713698) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A2FED) + (at 135.759665 121.713698) (path /611BB7C4) - (fp_text reference TP5 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP5 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_IO3 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 35 "Net-(TP5-Pad1)")) + (net 57 /TP_IO3)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A2FE8) - (at 79.96 105.75997) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A2FE8) + (at 114.96 124.75997) (path /611BB486) - (fp_text reference TP4 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP4 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND_1 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 34 "Net-(TP4-Pad1)")) + (net 45 GND1)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A2FE3) - (at 92.784064 106.092047) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A2FE3) + (at 127.784064 125.092047) (path /611BB48C) - (fp_text reference TP3 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP3 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_IO2 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 33 "Net-(TP3-Pad1)")) + (net 56 /TP_IO2)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A2FDE) - (at 90.132902 108.838874) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A2FDE) + (at 125.132902 127.838874) (path /611B9162) - (fp_text reference TP2 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP2 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_GND_1 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 32 "Net-(TP2-Pad1)")) + (net 45 GND1)) ) - (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611A14BA) (tstamp 611A2FD9) - (at 80.769858 102.866095) + (module Syrostan-Ext-DIO:PogoPin (layer F.Cu) (tedit 611E077F) (tstamp 611A2FD9) + (at 115.769858 121.866095) (path /611B9168) - (fp_text reference TP1 (at 0 0.5) (layer F.SilkS) + (fp_text reference TP1 (at 3 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TP_IO1 (at 0 -0.5) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 31 "Net-(TP1-Pad1)")) + (net 55 /TP_IO1)) ) - (gr_circle (center 65 86) (end 68 86) (layer Edge.Cuts) (width 0.05) (tstamp 611B3E03)) - (gr_circle (center 175 86) (end 178 86) (layer Edge.Cuts) (width 0.05) (tstamp 611B3E03)) - (gr_arc (start 175 46.800031) (end 180 46.800031) (angle -90) (layer Edge.Cuts) (width 0.05)) - (gr_arc (start 175 124.800031) (end 175 129.800031) (angle -90) (layer Edge.Cuts) (width 0.05)) - (gr_arc (start 65 124.800031) (end 60 124.800031) (angle -90) (layer Edge.Cuts) (width 0.05)) - (gr_arc (start 65 46.800031) (end 65 41.800031) (angle -90) (layer Edge.Cuts) (width 0.05)) + (gr_circle (center 155 41.33229) (end 167 41.33229) (layer Edge.Cuts) (width 0.05)) + (gr_circle (center 100 105) (end 103 105) (layer Edge.Cuts) (width 0.05) (tstamp 611B3E03)) + (gr_circle (center 210 105) (end 213 105) (layer Edge.Cuts) (width 0.05) (tstamp 611B3E03)) + (gr_arc (start 210 65.800031) (end 215 65.800031) (angle -90) (layer Edge.Cuts) (width 0.05)) + (gr_arc (start 210 143.800031) (end 210 148.800031) (angle -90) (layer Edge.Cuts) (width 0.05)) + (gr_arc (start 100 143.800031) (end 95 143.800031) (angle -90) (layer Edge.Cuts) (width 0.05)) + (gr_arc (start 100 65.800031) (end 100 60.800031) (angle -90) (layer Edge.Cuts) (width 0.05)) (dimension 88 (width 0.15) (layer Dwgs.User) - (gr_text "88.000 mm" (at 51.7 85.800031 270) (layer Dwgs.User) + (gr_text "88.000 mm" (at 86.7 104.800031 270) (layer Dwgs.User) (effects (font (size 1 1) (thickness 0.15))) ) - (feature1 (pts (xy 60 129.800031) (xy 52.413579 129.800031))) - (feature2 (pts (xy 60 41.800031) (xy 52.413579 41.800031))) - (crossbar (pts (xy 53 41.800031) (xy 53 129.800031))) - (arrow1a (pts (xy 53 129.800031) (xy 52.413579 128.673527))) - (arrow1b (pts (xy 53 129.800031) (xy 53.586421 128.673527))) - (arrow2a (pts (xy 53 41.800031) (xy 52.413579 42.926535))) - (arrow2b (pts (xy 53 41.800031) (xy 53.586421 42.926535))) + (feature1 (pts (xy 95 148.800031) (xy 87.413579 148.800031))) + (feature2 (pts (xy 95 60.800031) (xy 87.413579 60.800031))) + (crossbar (pts (xy 88 60.800031) (xy 88 148.800031))) + (arrow1a (pts (xy 88 148.800031) (xy 87.413579 147.673527))) + (arrow1b (pts (xy 88 148.800031) (xy 88.586421 147.673527))) + (arrow2a (pts (xy 88 60.800031) (xy 87.413579 61.926535))) + (arrow2b (pts (xy 88 60.800031) (xy 88.586421 61.926535))) ) (dimension 120 (width 0.15) (layer Dwgs.User) - (gr_text "120.000 mm" (at 120 137.100031) (layer Dwgs.User) + (gr_text "120.000 mm" (at 155 156.100031) (layer Dwgs.User) (effects (font (size 1 1) (thickness 0.15))) ) - (feature1 (pts (xy 180 129.800031) (xy 180 136.386452))) - (feature2 (pts (xy 60 129.800031) (xy 60 136.386452))) - (crossbar (pts (xy 60 135.800031) (xy 180 135.800031))) - (arrow1a (pts (xy 180 135.800031) (xy 178.873496 136.386452))) - (arrow1b (pts (xy 180 135.800031) (xy 178.873496 135.21361))) - (arrow2a (pts (xy 60 135.800031) (xy 61.126504 136.386452))) - (arrow2b (pts (xy 60 135.800031) (xy 61.126504 135.21361))) + (feature1 (pts (xy 215 148.800031) (xy 215 155.386452))) + (feature2 (pts (xy 95 148.800031) (xy 95 155.386452))) + (crossbar (pts (xy 95 154.800031) (xy 215 154.800031))) + (arrow1a (pts (xy 215 154.800031) (xy 213.873496 155.386452))) + (arrow1b (pts (xy 215 154.800031) (xy 213.873496 154.21361))) + (arrow2a (pts (xy 95 154.800031) (xy 96.126504 155.386452))) + (arrow2b (pts (xy 95 154.800031) (xy 96.126504 154.21361))) ) - (gr_line (start 60 46.800031) (end 60 124.800031) (layer Edge.Cuts) (width 0.05)) - (gr_line (start 175 41.800031) (end 65 41.800031) (layer Edge.Cuts) (width 0.05)) - (gr_line (start 180 124.800031) (end 180 46.800031) (layer Edge.Cuts) (width 0.05)) - (gr_line (start 65 129.800031) (end 175 129.800031) (layer Edge.Cuts) (width 0.05)) - (gr_text 67*96mm (at 199.81 93.7) (layer Dwgs.User) + (gr_line (start 95 65.800031) (end 95 143.800031) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 210 60.800031) (end 100 60.800031) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 215 143.800031) (end 215 65.800031) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 100 148.800031) (end 210 148.800031) (layer Edge.Cuts) (width 0.05)) + (gr_text 67*96mm (at 234.81 112.7) (layer Dwgs.User) (effects (font (size 1 1) (thickness 0.15))) ) - (gr_line (start 78.135 100.809692) (end 158.950131 100.809692) (layer Dwgs.User) (width 0.2)) - (gr_line (start 158.950131 100.809692) (end 158.950131 110.663874) (layer Dwgs.User) (width 0.2)) - (gr_line (start 158.950131 110.663874) (end 78.135 110.663874) (layer Dwgs.User) (width 0.2)) - (gr_line (start 78.135 110.663874) (end 78.135 100.809692) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 123.009972 53.33229) (end 123.834972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 125.549972 53.33229) (end 126.374972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 90.378705 113.54405) (end 92.378705 113.54405) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 149.621295 113.54405) (end 151.621295 113.54405) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 144.060001 105.75997) (end 144.885001 105.75997) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 90.132902 108.838874) (end 90.957902 108.838874) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 110.732908 108.838874) (end 111.557908 108.838874) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 164.75 66.669692) (end 165.575 66.669692) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 164.75 64.099972) (end 165.575 64.099972) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 140.789972 55.87229) (end 141.614972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 143.329972 55.87229) (end 144.154972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_line (start 159.417704 57.666284) (end 159.417704 51.538284) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 164.697533 97.250513) (end 167.172533 97.250513) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 74.994949 97.250513) (end 77.469949 97.250513) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 80.769858 102.866095) (end 81.419858 102.866095) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 92.784064 106.092047) (end 93.434064 106.092047) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 77.4 53.199971) (end 79.875 53.199971) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 117.929972 53.33229) (end 118.754972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 120.469972 53.33229) (end 121.294972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 143.914252 102.459692) (end 144.564252 102.459692) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 113.604056 106.092047) (end 114.254056 106.092047) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 77.4 83.199972) (end 79.875 83.199972) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 145.869972 55.87229) (end 146.694972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 148.409972 55.87229) (end 149.234972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 135.709972 55.87229) (end 136.534972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 138.249972 55.87229) (end 139.074972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_line (start 169.216174 42.199969) (end 70.783826 42.199969) (layer Dwgs.User) (width 0.2)) - (gr_arc (start 169.216174 47.199969) (end 173.43274 44.512857) (angle -57.49165851) (layer Dwgs.User) (width 0.2)) - (gr_line (start 179.216566 53.588729) (end 173.43274 44.512857) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 99.750001 51.599971) (end 100.575001 51.599971) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 117.929972 55.87229) (end 118.754972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 128.089972 53.33229) (end 128.914972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 130.629972 53.33229) (end 131.454972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 138.249972 53.33229) (end 139.074972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 140.789972 53.33229) (end 141.614972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 153.489972 53.33229) (end 154.314972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 133.632902 108.838874) (end 134.457902 108.838874) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 154.232908 108.838874) (end 155.057908 108.838874) (layer Dwgs.User) (width 0.2)) - (gr_arc (start 65 56.275841) (end 60.783434 53.588729) (angle -32.50834149) (layer Dwgs.User) (width 0.2)) - (gr_line (start 66.567259 44.512857) (end 60.783434 53.588729) (layer Dwgs.User) (width 0.2)) - (gr_arc (start 70.783826 47.199969) (end 70.783826 42.199969) (angle -57.49165851) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 65 86) (end 68 86) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 175 86) (end 178 86) (layer Dwgs.User) (width 0.2)) - (gr_line (start 60 56.275841) (end 60 124.800031) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 130.629972 55.87229) (end 131.454972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 133.169972 55.87229) (end 133.994972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 149.5 95.099971) (end 150.325 95.099971) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 149.5 92.84997) (end 150.325 92.84997) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 120.469972 55.87229) (end 121.294972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 123.009972 55.87229) (end 123.834972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 162.4 53.199971) (end 164.875 53.199971) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 116.999999 96.84997) (end 117.824999 96.84997) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 116.999999 94.599972) (end 117.824999 94.599972) (layer Dwgs.User) (width 0.2)) - (gr_line (start 113.417704 59.666284) (end 157.417704 59.666284) (layer Dwgs.User) (width 0.2)) - (gr_arc (start 113.417704 57.666284) (end 111.417704 57.666284) (angle -90) (layer Dwgs.User) (width 0.2)) - (gr_line (start 111.417704 51.538284) (end 111.417704 57.666284) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 148.409972 53.33229) (end 149.234972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 103.249999 54.84997) (end 104.074999 54.84997) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 103.249999 52.599972) (end 104.074999 52.599972) (layer Dwgs.User) (width 0.2)) - (gr_arc (start 113.417704 51.538284) (end 113.417704 49.538284) (angle -90) (layer Dwgs.User) (width 0.2)) - (gr_line (start 157.417704 49.538284) (end 113.417704 49.538284) (layer Dwgs.User) (width 0.2)) - (gr_arc (start 157.417704 51.538284) (end 159.417704 51.538284) (angle -90) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 75.249999 57.849972) (end 76.074999 57.849972) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 96.749999 51.599971) (end 97.574999 51.599971) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 125.549972 55.87229) (end 126.374972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 128.089972 55.87229) (end 128.914972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 162.4 83.199972) (end 164.875 83.199972) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 154.5 60.349972) (end 155.325 60.349972) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 90.378705 113.54405) (end 91.203705 113.54405) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 149.621295 113.54405) (end 150.446295 113.54405) (layer Dwgs.User) (width 0.2)) - (gr_arc (start 175 56.275841) (end 180 56.275841) (angle -32.50834149) (layer Dwgs.User) (width 0.2)) - (gr_line (start 180 124.800031) (end 180 56.275841) (layer Dwgs.User) (width 0.2)) - (gr_arc (start 175 124.800031) (end 175 129.800031) (angle -90) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 133.169972 53.33229) (end 133.994972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 135.709972 53.33229) (end 136.534972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 150.949972 53.33229) (end 151.774972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 150.949972 55.87229) (end 151.774972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 153.489972 55.87229) (end 154.314972 55.87229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 143.329972 53.33229) (end 144.154972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 145.869972 53.33229) (end 146.694972 53.33229) (layer Dwgs.User) (width 0.2)) - (gr_line (start 65 129.800031) (end 175 129.800031) (layer Dwgs.User) (width 0.2)) - (gr_arc (start 65 124.800031) (end 60 124.800031) (angle -90) (layer Dwgs.User) (width 0.2)) - (gr_arc (start 157.417704 57.666284) (end 157.417704 59.666284) (angle -90) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 136.218146 105.584028) (end 136.868146 105.584028) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 157.300131 105.837988) (end 157.950131 105.837988) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 79.96 105.75997) (end 80.785 105.75997) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 100.560057 105.760044) (end 101.385057 105.760044) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 123.460068 105.760044) (end 124.285068 105.760044) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 124.635657 102.510496) (end 125.285657 102.510496) (layer Dwgs.User) (width 0.2)) - (gr_circle (center 100.759665 102.713698) (end 101.409665 102.713698) (layer Dwgs.User) (width 0.2)) + (gr_line (start 113.135 119.809692) (end 193.950131 119.809692) (layer Dwgs.User) (width 0.2)) + (gr_line (start 193.950131 119.809692) (end 193.950131 129.663874) (layer Dwgs.User) (width 0.2)) + (gr_line (start 193.950131 129.663874) (end 113.135 129.663874) (layer Dwgs.User) (width 0.2)) + (gr_line (start 113.135 129.663874) (end 113.135 119.809692) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 158.009972 72.33229) (end 158.834972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 160.549972 72.33229) (end 161.374972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 125.378705 132.54405) (end 127.378705 132.54405) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 184.621295 132.54405) (end 186.621295 132.54405) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 179.060001 124.75997) (end 179.885001 124.75997) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 125.132902 127.838874) (end 125.957902 127.838874) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 145.732908 127.838874) (end 146.557908 127.838874) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 199.75 85.669692) (end 200.575 85.669692) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 199.75 83.099972) (end 200.575 83.099972) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 175.789972 74.87229) (end 176.614972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 178.329972 74.87229) (end 179.154972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_line (start 194.417704 76.666284) (end 194.417704 70.538284) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 199.697533 116.250513) (end 202.172533 116.250513) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 109.994949 116.250513) (end 112.469949 116.250513) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 115.769858 121.866095) (end 116.419858 121.866095) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 127.784064 125.092047) (end 128.434064 125.092047) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 112.4 72.199971) (end 114.875 72.199971) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 152.929972 72.33229) (end 153.754972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 155.469972 72.33229) (end 156.294972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 178.914252 121.459692) (end 179.564252 121.459692) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 148.604056 125.092047) (end 149.254056 125.092047) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 112.4 102.199972) (end 114.875 102.199972) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 180.869972 74.87229) (end 181.694972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 183.409972 74.87229) (end 184.234972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 170.709972 74.87229) (end 171.534972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 173.249972 74.87229) (end 174.074972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_line (start 204.216174 61.199969) (end 105.783826 61.199969) (layer Dwgs.User) (width 0.2)) + (gr_arc (start 204.216174 66.199969) (end 208.43274 63.512857) (angle -57.49165851) (layer Dwgs.User) (width 0.2)) + (gr_line (start 214.216566 72.588729) (end 208.43274 63.512857) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 134.750001 70.599971) (end 135.575001 70.599971) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 152.929972 74.87229) (end 153.754972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 163.089972 72.33229) (end 163.914972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 165.629972 72.33229) (end 166.454972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 173.249972 72.33229) (end 174.074972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 175.789972 72.33229) (end 176.614972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 188.489972 72.33229) (end 189.314972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 168.632902 127.838874) (end 169.457902 127.838874) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 189.232908 127.838874) (end 190.057908 127.838874) (layer Dwgs.User) (width 0.2)) + (gr_arc (start 100 75.275841) (end 95.783434 72.588729) (angle -32.50834149) (layer Dwgs.User) (width 0.2)) + (gr_line (start 101.567259 63.512857) (end 95.783434 72.588729) (layer Dwgs.User) (width 0.2)) + (gr_arc (start 105.783826 66.199969) (end 105.783826 61.199969) (angle -57.49165851) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 100 105) (end 103 105) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 210 105) (end 213 105) (layer Dwgs.User) (width 0.2)) + (gr_line (start 95 75.275841) (end 95 143.800031) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 165.629972 74.87229) (end 166.454972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 168.169972 74.87229) (end 168.994972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 184.5 114.099971) (end 185.325 114.099971) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 184.5 111.84997) (end 185.325 111.84997) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 155.469972 74.87229) (end 156.294972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 158.009972 74.87229) (end 158.834972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 197.4 72.199971) (end 199.875 72.199971) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 151.999999 115.84997) (end 152.824999 115.84997) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 151.999999 113.599972) (end 152.824999 113.599972) (layer Dwgs.User) (width 0.2)) + (gr_line (start 148.417704 78.666284) (end 192.417704 78.666284) (layer Dwgs.User) (width 0.2)) + (gr_arc (start 148.417704 76.666284) (end 146.417704 76.666284) (angle -90) (layer Dwgs.User) (width 0.2)) + (gr_line (start 146.417704 70.538284) (end 146.417704 76.666284) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 183.409972 72.33229) (end 184.234972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 138.249999 73.84997) (end 139.074999 73.84997) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 138.249999 71.599972) (end 139.074999 71.599972) (layer Dwgs.User) (width 0.2)) + (gr_arc (start 148.417704 70.538284) (end 148.417704 68.538284) (angle -90) (layer Dwgs.User) (width 0.2)) + (gr_line (start 192.417704 68.538284) (end 148.417704 68.538284) (layer Dwgs.User) (width 0.2)) + (gr_arc (start 192.417704 70.538284) (end 194.417704 70.538284) (angle -90) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 110.249999 76.849972) (end 111.074999 76.849972) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 131.749999 70.599971) (end 132.574999 70.599971) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 160.549972 74.87229) (end 161.374972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 163.089972 74.87229) (end 163.914972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 197.4 102.199972) (end 199.875 102.199972) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 189.5 79.349972) (end 190.325 79.349972) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 125.378705 132.54405) (end 126.203705 132.54405) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 184.621295 132.54405) (end 185.446295 132.54405) (layer Dwgs.User) (width 0.2)) + (gr_arc (start 210 75.275841) (end 215 75.275841) (angle -32.50834149) (layer Dwgs.User) (width 0.2)) + (gr_line (start 215 143.800031) (end 215 75.275841) (layer Dwgs.User) (width 0.2)) + (gr_arc (start 210 143.800031) (end 210 148.800031) (angle -90) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 168.169972 72.33229) (end 168.994972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 170.709972 72.33229) (end 171.534972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 185.949972 72.33229) (end 186.774972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 185.949972 74.87229) (end 186.774972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 188.489972 74.87229) (end 189.314972 74.87229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 178.329972 72.33229) (end 179.154972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 180.869972 72.33229) (end 181.694972 72.33229) (layer Dwgs.User) (width 0.2)) + (gr_line (start 100 148.800031) (end 210 148.800031) (layer Dwgs.User) (width 0.2)) + (gr_arc (start 100 143.800031) (end 95 143.800031) (angle -90) (layer Dwgs.User) (width 0.2)) + (gr_arc (start 192.417704 76.666284) (end 192.417704 78.666284) (angle -90) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 171.218146 124.584028) (end 171.868146 124.584028) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 192.300131 124.837988) (end 192.950131 124.837988) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 114.96 124.75997) (end 115.785 124.75997) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 135.560057 124.760044) (end 136.385057 124.760044) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 158.460068 124.760044) (end 159.285068 124.760044) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 159.635657 121.510496) (end 160.285657 121.510496) (layer Dwgs.User) (width 0.2)) + (gr_circle (center 135.759665 121.713698) (end 136.409665 121.713698) (layer Dwgs.User) (width 0.2)) ) diff --git a/Syrostan-Ext-DIO.lib b/Syrostan-Ext-DIO.lib new file mode 100644 index 0000000..cebe58c --- /dev/null +++ b/Syrostan-Ext-DIO.lib @@ -0,0 +1,70 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# SN74CBT3251 +# +DEF SN74CBT3251 U 0 40 Y Y 1 F N +F0 "U" -200 100 50 H V C CNN +F1 "SN74CBT3251" 50 0 50 H V C CNN +F2 "Package_SO:SOP-16_3.9x9.9mm_P1.27mm" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -150 -100 350 -900 0 1 0 N +X B4 1 -250 -150 100 R 50 50 1 1 B +X S1 10 450 -750 100 L 50 50 1 1 I +X S0 11 450 -650 100 L 50 50 1 1 I +X B8 12 450 -550 100 L 50 50 1 1 B +X B7 13 450 -450 100 L 50 50 1 1 B +X B6 14 450 -350 100 L 50 50 1 1 B +X B5 15 450 -250 100 L 50 50 1 1 B +X VCC 16 450 -150 100 L 50 50 1 1 I +X B3 2 -250 -250 100 R 50 50 1 1 B +X B2 3 -250 -350 100 R 50 50 1 1 B +X B1 4 -250 -450 100 R 50 50 1 1 B +X A 5 -250 -550 100 R 50 50 1 1 B +X NC 6 -250 -650 100 R 50 50 1 1 N +X ~OE 7 -250 -750 100 R 50 50 1 1 I +X GND 8 -250 -850 100 R 50 50 1 1 I +X S2 9 450 -850 100 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# TS5A3159 +# +DEF TS5A3159 U 0 40 Y Y 1 F N +F0 "U" -150 50 50 H V C CNN +F1 "TS5A3159" 0 -50 50 H V C CNN +F2 "Package_TO_SOT_SMD:SOT-23-6" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -200 -100 300 -400 0 1 0 N +X NO 1 -300 -150 100 R 50 50 1 1 B +X GND 2 -300 -250 100 R 50 50 1 1 I +X NC 3 -300 -350 100 R 50 50 1 1 B +X COM 4 400 -350 100 L 50 50 1 1 B +X VCC 5 400 -250 100 L 50 50 1 1 I +X IN 6 400 -150 100 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# TS5A3359 +# +DEF TS5A3359 U 0 40 Y Y 1 F N +F0 "U" -150 50 50 H V C CNN +F1 "TS5A3359" 0 -50 50 H V C CNN +F2 "Package_SO:VSSOP-8_2.4x2.1mm_P0.5mm" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -200 -100 300 -500 0 1 0 N +X NO0 1 -300 -150 100 R 50 50 1 1 B +X NO1 2 -300 -250 100 R 50 50 1 1 B +X NO2 3 -300 -350 100 R 50 50 1 1 B +X GND 4 -300 -450 100 R 50 50 1 1 I +X IN2 5 400 -450 100 L 50 50 1 1 I +X IN1 6 400 -350 100 L 50 50 1 1 I +X COM 7 400 -250 100 L 50 50 1 1 B +X VCC 8 400 -150 100 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +#End Library diff --git a/Syrostan-Ext-DIO.pretty/PogoPin.kicad_mod b/Syrostan-Ext-DIO.pretty/PogoPin.kicad_mod index 4a1905e..dc75ace 100644 --- a/Syrostan-Ext-DIO.pretty/PogoPin.kicad_mod +++ b/Syrostan-Ext-DIO.pretty/PogoPin.kicad_mod @@ -1,4 +1,4 @@ -(module PogoPin (layer F.Cu) (tedit 611A14BA) +(module PogoPin (layer F.Cu) (tedit 611E077F) (fp_text reference REF** (at 0 0.5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) diff --git a/Syrostan-Ext-DIO.sch b/Syrostan-Ext-DIO.sch index e22c0b0..f2bfbfa 100644 --- a/Syrostan-Ext-DIO.sch +++ b/Syrostan-Ext-DIO.sch @@ -16,41 +16,294 @@ $EndDescr $Comp L Connector:TestPoint TP22 U 1 1 6119C89C -P 5600 6450 -F 0 "TP22" H 5658 6568 50 0000 L CNN -F 1 "TP_GND" H 5658 6477 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 5800 6450 50 0001 C CNN -F 3 "~" H 5800 6450 50 0001 C CNN - 1 5600 6450 +P 4450 6550 +F 0 "TP22" H 4508 6668 50 0000 L CNN +F 1 "TP_GND" H 4508 6577 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 4650 6550 50 0001 C CNN +F 3 "~" H 4650 6550 50 0001 C CNN + 1 4450 6550 1 0 0 -1 $EndComp $Comp L Connector:TestPoint TP23 U 1 1 6119D2CF -P 2050 6950 -F 0 "TP23" H 2108 7068 50 0000 L CNN -F 1 "TP_GND" H 2108 6977 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 2250 6950 50 0001 C CNN -F 3 "~" H 2250 6950 50 0001 C CNN - 1 2050 6950 +P 750 6550 +F 0 "TP23" H 808 6668 50 0000 L CNN +F 1 "TP_GND" H 808 6577 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 950 6550 50 0001 C CNN +F 3 "~" H 950 6550 50 0001 C CNN + 1 750 6550 1 0 0 -1 $EndComp $Comp L Connector:TestPoint TP18 U 1 1 6119D6BD -P 2850 5850 -F 0 "TP18" H 2908 5968 50 0000 L CNN -F 1 "TP_GND_1" H 2908 5877 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 3050 5850 50 0001 C CNN -F 3 "~" H 3050 5850 50 0001 C CNN - 1 2850 5850 +P 1750 6550 +F 0 "TP18" H 1808 6668 50 0000 L CNN +F 1 "TP_GND_1" H 1808 6577 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 1950 6550 50 0001 C CNN +F 3 "~" H 1950 6550 50 0001 C CNN + 1 1750 6550 1 0 0 -1 $EndComp $Comp L Connector:TestPoint TP20 U 1 1 6119D7FE +P 2900 6550 +F 0 "TP20" H 2958 6668 50 0000 L CNN +F 1 "TP_GND_2" H 2958 6577 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 3100 6550 50 0001 C CNN +F 3 "~" H 3100 6550 50 0001 C CNN + 1 2900 6550 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP21 +U 1 1 6119DB0F +P 4000 6550 +F 0 "TP21" H 4058 6668 50 0000 L CNN +F 1 "TP_12V" H 4058 6577 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 4200 6550 50 0001 C CNN +F 3 "~" H 4200 6550 50 0001 C CNN + 1 4000 6550 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP24 +U 1 1 6119E030 +P 3400 6550 +F 0 "TP24" H 3458 6668 50 0000 L CNN +F 1 "TP_3V3" H 3458 6577 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 3600 6550 50 0001 C CNN +F 3 "~" H 3600 6550 50 0001 C CNN + 1 3400 6550 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP26 +U 1 1 6119E1A8 +P 2150 7250 +F 0 "TP26" H 2208 7368 50 0000 L CNN +F 1 "TP_3V3MP" H 2208 7277 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 2350 7250 50 0001 C CNN +F 3 "~" H 2350 7250 50 0001 C CNN + 1 2150 7250 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP17 +U 1 1 6119EB32 +P 1250 6550 +F 0 "TP17" H 1308 6668 50 0000 L CNN +F 1 "TP_5V_1" H 1308 6577 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 1450 6550 50 0001 C CNN +F 3 "~" H 1450 6550 50 0001 C CNN + 1 1250 6550 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP19 +U 1 1 6119EF8C +P 2400 6550 +F 0 "TP19" H 2458 6668 50 0000 L CNN +F 1 "TP_5V_2" H 2458 6577 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 2600 6550 50 0001 C CNN +F 3 "~" H 2600 6550 50 0001 C CNN + 1 2400 6550 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP28 +U 1 1 611A0A16 +P 3300 7250 +F 0 "TP28" H 3358 7368 50 0000 L CNN +F 1 "TP_SCL" H 3358 7277 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 3500 7250 50 0001 C CNN +F 3 "~" H 3500 7250 50 0001 C CNN + 1 3300 7250 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP27 +U 1 1 611A0A1C +P 2900 7250 +F 0 "TP27" H 2958 7368 50 0000 L CNN +F 1 "TP_SDA" H 2958 7277 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 3100 7250 50 0001 C CNN +F 3 "~" H 3100 7250 50 0001 C CNN + 1 2900 7250 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP25 +U 1 1 6119D5AD +P 1800 7250 +F 0 "TP25" H 1858 7368 50 0000 L CNN +F 1 "TP_GND" H 1858 7277 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 2000 7250 50 0001 C CNN +F 3 "~" H 2000 7250 50 0001 C CNN + 1 1800 7250 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP2 +U 1 1 611B9162 +P 1200 5250 +F 0 "TP2" H 1258 5368 50 0000 L CNN +F 1 "TP_GND_1" H 1258 5277 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 1400 5250 50 0001 C CNN +F 3 "~" H 1400 5250 50 0001 C CNN + 1 1200 5250 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP1 +U 1 1 611B9168 +P 800 5250 +F 0 "TP1" H 858 5368 50 0000 L CNN +F 1 "TP_IO1" H 858 5277 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 1000 5250 50 0001 C CNN +F 3 "~" H 1000 5250 50 0001 C CNN + 1 800 5250 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP4 +U 1 1 611BB486 +P 2250 5250 +F 0 "TP4" H 2308 5368 50 0000 L CNN +F 1 "TP_GND_1" H 2308 5277 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 2450 5250 50 0001 C CNN +F 3 "~" H 2450 5250 50 0001 C CNN + 1 2250 5250 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP3 +U 1 1 611BB48C +P 1850 5250 +F 0 "TP3" H 1908 5368 50 0000 L CNN +F 1 "TP_IO2" H 1908 5277 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 2050 5250 50 0001 C CNN +F 3 "~" H 2050 5250 50 0001 C CNN + 1 1850 5250 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP6 +U 1 1 611BB7BE +P 3300 5300 +F 0 "TP6" H 3358 5418 50 0000 L CNN +F 1 "TP_GND_1" H 3358 5327 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 3500 5300 50 0001 C CNN +F 3 "~" H 3500 5300 50 0001 C CNN + 1 3300 5300 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP5 +U 1 1 611BB7C4 +P 2900 5300 +F 0 "TP5" H 2958 5418 50 0000 L CNN +F 1 "TP_IO3" H 2958 5327 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 3100 5300 50 0001 C CNN +F 3 "~" H 3100 5300 50 0001 C CNN + 1 2900 5300 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP8 +U 1 1 611BBCF4 +P 4350 5300 +F 0 "TP8" H 4408 5418 50 0000 L CNN +F 1 "TP_GND_1" H 4408 5327 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 4550 5300 50 0001 C CNN +F 3 "~" H 4550 5300 50 0001 C CNN + 1 4350 5300 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP7 +U 1 1 611BBCFA +P 3950 5300 +F 0 "TP7" H 4008 5418 50 0000 L CNN +F 1 "TP_IO4" H 4008 5327 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 4150 5300 50 0001 C CNN +F 3 "~" H 4150 5300 50 0001 C CNN + 1 3950 5300 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP10 +U 1 1 611C6103 +P 1200 5800 +F 0 "TP10" H 1258 5918 50 0000 L CNN +F 1 "TP_GND_2" H 1258 5827 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 1400 5800 50 0001 C CNN +F 3 "~" H 1400 5800 50 0001 C CNN + 1 1200 5800 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP9 +U 1 1 611C6109 +P 800 5800 +F 0 "TP9" H 858 5918 50 0000 L CNN +F 1 "TP_IO5" H 858 5827 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 1000 5800 50 0001 C CNN +F 3 "~" H 1000 5800 50 0001 C CNN + 1 800 5800 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP12 +U 1 1 611C610F +P 2250 5800 +F 0 "TP12" H 2308 5918 50 0000 L CNN +F 1 "TP_GND_2" H 2308 5827 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 2450 5800 50 0001 C CNN +F 3 "~" H 2450 5800 50 0001 C CNN + 1 2250 5800 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP11 +U 1 1 611C6115 +P 1850 5800 +F 0 "TP11" H 1908 5918 50 0000 L CNN +F 1 "TP_IO6" H 1908 5827 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 2050 5800 50 0001 C CNN +F 3 "~" H 2050 5800 50 0001 C CNN + 1 1850 5800 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP14 +U 1 1 611C611B +P 3300 5850 +F 0 "TP14" H 3358 5968 50 0000 L CNN +F 1 "TP_GND_2" H 3358 5877 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 3500 5850 50 0001 C CNN +F 3 "~" H 3500 5850 50 0001 C CNN + 1 3300 5850 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP13 +U 1 1 611C6121 +P 2900 5850 +F 0 "TP13" H 2958 5968 50 0000 L CNN +F 1 "TP_IO7" H 2958 5877 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 3100 5850 50 0001 C CNN +F 3 "~" H 3100 5850 50 0001 C CNN + 1 2900 5850 + 1 0 0 -1 +$EndComp +$Comp +L Connector:TestPoint TP16 +U 1 1 611C6127 P 4350 5850 -F 0 "TP20" H 4408 5968 50 0000 L CNN +F 0 "TP16" H 4408 5968 50 0000 L CNN F 1 "TP_GND_2" H 4408 5877 50 0000 L CNN F 2 "Syrostan-Ext-DIO:PogoPin" H 4550 5850 50 0001 C CNN F 3 "~" H 4550 5850 50 0001 C CNN @@ -58,749 +311,2420 @@ F 3 "~" H 4550 5850 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L Connector:TestPoint TP21 -U 1 1 6119DB0F -P 5250 6450 -F 0 "TP21" H 5308 6568 50 0000 L CNN -F 1 "TP_12V" H 5308 6477 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 5450 6450 50 0001 C CNN -F 3 "~" H 5450 6450 50 0001 C CNN - 1 5250 6450 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP24 -U 1 1 6119E030 -P 4800 7050 -F 0 "TP24" H 4858 7168 50 0000 L CNN -F 1 "TP_3V3" H 4858 7077 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 5000 7050 50 0001 C CNN -F 3 "~" H 5000 7050 50 0001 C CNN - 1 4800 7050 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP26 -U 1 1 6119E1A8 -P 3000 7400 -F 0 "TP26" H 3058 7518 50 0000 L CNN -F 1 "TP_3V3MP" H 3058 7427 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 3200 7400 50 0001 C CNN -F 3 "~" H 3200 7400 50 0001 C CNN - 1 3000 7400 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP17 -U 1 1 6119EB32 -P 2450 5850 -F 0 "TP17" H 2508 5968 50 0000 L CNN -F 1 "TP_5V_1" H 2508 5877 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 2650 5850 50 0001 C CNN -F 3 "~" H 2650 5850 50 0001 C CNN - 1 2450 5850 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP19 -U 1 1 6119EF8C +L Connector:TestPoint TP15 +U 1 1 611C612D P 3950 5850 -F 0 "TP19" H 4008 5968 50 0000 L CNN -F 1 "TP_5V_2" H 4008 5877 50 0000 L CNN +F 0 "TP15" H 4008 5968 50 0000 L CNN +F 1 "TP_IO8" H 4008 5877 50 0000 L CNN F 2 "Syrostan-Ext-DIO:PogoPin" H 4150 5850 50 0001 C CNN F 3 "~" H 4150 5850 50 0001 C CNN 1 3950 5850 1 0 0 -1 $EndComp $Comp -L Connector:TestPoint TP28 -U 1 1 611A0A16 -P 4100 7400 -F 0 "TP28" H 4158 7518 50 0000 L CNN -F 1 "TP_SCL" H 4158 7427 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 4300 7400 50 0001 C CNN -F 3 "~" H 4300 7400 50 0001 C CNN - 1 4100 7400 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP27 -U 1 1 611A0A1C -P 3750 7400 -F 0 "TP27" H 3808 7518 50 0000 L CNN -F 1 "TP_SDA" H 3808 7427 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 3950 7400 50 0001 C CNN -F 3 "~" H 3950 7400 50 0001 C CNN - 1 3750 7400 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP25 -U 1 1 6119D5AD -P 2650 7400 -F 0 "TP25" H 2708 7518 50 0000 L CNN -F 1 "TP_GND" H 2708 7427 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 2850 7400 50 0001 C CNN -F 3 "~" H 2850 7400 50 0001 C CNN - 1 2650 7400 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP2 -U 1 1 611B9162 -P 2150 4750 -F 0 "TP2" H 2208 4868 50 0000 L CNN -F 1 "TP_GND_1" H 2208 4777 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 2350 4750 50 0001 C CNN -F 3 "~" H 2350 4750 50 0001 C CNN - 1 2150 4750 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP1 -U 1 1 611B9168 -P 1750 4750 -F 0 "TP1" H 1808 4868 50 0000 L CNN -F 1 "TP_IO1" H 1808 4777 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 1950 4750 50 0001 C CNN -F 3 "~" H 1950 4750 50 0001 C CNN - 1 1750 4750 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP4 -U 1 1 611BB486 -P 3350 4750 -F 0 "TP4" H 3408 4868 50 0000 L CNN -F 1 "TP_GND_1" H 3408 4777 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 3550 4750 50 0001 C CNN -F 3 "~" H 3550 4750 50 0001 C CNN - 1 3350 4750 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP3 -U 1 1 611BB48C -P 2950 4750 -F 0 "TP3" H 3008 4868 50 0000 L CNN -F 1 "TP_IO2" H 3008 4777 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 3150 4750 50 0001 C CNN -F 3 "~" H 3150 4750 50 0001 C CNN - 1 2950 4750 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP6 -U 1 1 611BB7BE -P 4500 4750 -F 0 "TP6" H 4558 4868 50 0000 L CNN -F 1 "TP_GND_1" H 4558 4777 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 4700 4750 50 0001 C CNN -F 3 "~" H 4700 4750 50 0001 C CNN - 1 4500 4750 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP5 -U 1 1 611BB7C4 -P 4100 4750 -F 0 "TP5" H 4158 4868 50 0000 L CNN -F 1 "TP_IO3" H 4158 4777 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 4300 4750 50 0001 C CNN -F 3 "~" H 4300 4750 50 0001 C CNN - 1 4100 4750 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP8 -U 1 1 611BBCF4 -P 5600 4750 -F 0 "TP8" H 5658 4868 50 0000 L CNN -F 1 "TP_GND_1" H 5658 4777 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 5800 4750 50 0001 C CNN -F 3 "~" H 5800 4750 50 0001 C CNN - 1 5600 4750 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP7 -U 1 1 611BBCFA -P 5200 4750 -F 0 "TP7" H 5258 4868 50 0000 L CNN -F 1 "TP_IO4" H 5258 4777 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 5400 4750 50 0001 C CNN -F 3 "~" H 5400 4750 50 0001 C CNN - 1 5200 4750 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP10 -U 1 1 611C6103 -P 2150 5200 -F 0 "TP10" H 2208 5318 50 0000 L CNN -F 1 "TP_GND_2" H 2208 5227 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 2350 5200 50 0001 C CNN -F 3 "~" H 2350 5200 50 0001 C CNN - 1 2150 5200 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP9 -U 1 1 611C6109 -P 1750 5200 -F 0 "TP9" H 1808 5318 50 0000 L CNN -F 1 "TP_IO5" H 1808 5227 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 1950 5200 50 0001 C CNN -F 3 "~" H 1950 5200 50 0001 C CNN - 1 1750 5200 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP12 -U 1 1 611C610F -P 3350 5200 -F 0 "TP12" H 3408 5318 50 0000 L CNN -F 1 "TP_GND_2" H 3408 5227 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 3550 5200 50 0001 C CNN -F 3 "~" H 3550 5200 50 0001 C CNN - 1 3350 5200 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP11 -U 1 1 611C6115 -P 2950 5200 -F 0 "TP11" H 3008 5318 50 0000 L CNN -F 1 "TP_IO6" H 3008 5227 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 3150 5200 50 0001 C CNN -F 3 "~" H 3150 5200 50 0001 C CNN - 1 2950 5200 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP14 -U 1 1 611C611B -P 4500 5200 -F 0 "TP14" H 4558 5318 50 0000 L CNN -F 1 "TP_GND_2" H 4558 5227 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 4700 5200 50 0001 C CNN -F 3 "~" H 4700 5200 50 0001 C CNN - 1 4500 5200 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP13 -U 1 1 611C6121 -P 4100 5200 -F 0 "TP13" H 4158 5318 50 0000 L CNN -F 1 "TP_IO7" H 4158 5227 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 4300 5200 50 0001 C CNN -F 3 "~" H 4300 5200 50 0001 C CNN - 1 4100 5200 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP16 -U 1 1 611C6127 -P 5600 5200 -F 0 "TP16" H 5658 5318 50 0000 L CNN -F 1 "TP_GND_2" H 5658 5227 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 5800 5200 50 0001 C CNN -F 3 "~" H 5800 5200 50 0001 C CNN - 1 5600 5200 - 1 0 0 -1 -$EndComp -$Comp -L Connector:TestPoint TP15 -U 1 1 611C612D -P 5200 5200 -F 0 "TP15" H 5258 5318 50 0000 L CNN -F 1 "TP_IO8" H 5258 5227 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 5400 5200 50 0001 C CNN -F 3 "~" H 5400 5200 50 0001 C CNN - 1 5200 5200 - 1 0 0 -1 -$EndComp -$Comp L Connector:TestPoint TP30 U 1 1 611F69B4 -P 3950 4150 -F 0 "TP30" H 4008 4268 50 0000 L CNN -F 1 "TP_Panel" H 4008 4177 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 4150 4150 50 0001 C CNN -F 3 "~" H 4150 4150 50 0001 C CNN - 1 3950 4150 +P 2950 4650 +F 0 "TP30" H 3008 4768 50 0000 L CNN +F 1 "TP_Panel" H 3008 4677 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 3150 4650 50 0001 C CNN +F 3 "~" H 3150 4650 50 0001 C CNN + 1 2950 4650 1 0 0 -1 $EndComp $Comp L Connector:TestPoint TP29 U 1 1 611F69BA -P 3300 4150 -F 0 "TP29" H 3358 4268 50 0000 L CNN -F 1 "TP_Panel" H 3358 4177 50 0000 L CNN -F 2 "Syrostan-Ext-DIO:PogoPin" H 3500 4150 50 0001 C CNN -F 3 "~" H 3500 4150 50 0001 C CNN - 1 3300 4150 +P 2250 4650 +F 0 "TP29" H 2308 4768 50 0000 L CNN +F 1 "TP_Panel" H 2308 4677 50 0000 L CNN +F 2 "Syrostan-Ext-DIO:PogoPin" H 2450 4650 50 0001 C CNN +F 3 "~" H 2450 4650 50 0001 C CNN + 1 2250 4650 1 0 0 -1 $EndComp $Comp L Connector_Generic:Conn_02x15_Odd_Even J2 U 1 1 6127DBBD -P 9700 5350 -F 0 "J2" H 9750 6267 50 0000 C CNN -F 1 "Conn_02x15_Odd_Even" H 9750 6176 50 0000 C CNN -F 2 "Connector_PinSocket_1.27mm:PinSocket_2x15_P1.27mm_Vertical_SMD" H 9700 5350 50 0001 C CNN -F 3 "~" H 9700 5350 50 0001 C CNN - 1 9700 5350 +P 6500 1500 +F 0 "J2" H 6550 2417 50 0000 C CNN +F 1 "EEM" H 6550 2326 50 0000 C CNN +F 2 "Connector_PinHeader_1.27mm:PinHeader_2x15_P1.27mm_Vertical_SMD" H 6500 1500 50 0001 C CNN +F 3 "~" H 6500 1500 50 0001 C CNN + 1 6500 1500 1 0 0 -1 $EndComp Wire Wire Line - 7500 4650 7900 4650 -Text Label 7900 4650 2 50 ~ 0 + 5650 6000 5250 6000 +Text Label 5250 6000 0 50 ~ 0 LVDS0_P Wire Wire Line - 7000 4750 6600 4750 -Text Label 6600 4750 0 50 ~ 0 + 6150 6100 6550 6100 +Text Label 6550 6100 2 50 ~ 0 LVDS0_N Wire Wire Line - 7000 4650 6550 4650 + 6150 6000 6600 6000 Wire Wire Line - 7000 4850 6600 4850 -Text Label 6600 4850 0 50 ~ 0 + 6150 6200 6550 6200 +Text Label 6550 6200 2 50 ~ 0 LVDS1_P Wire Wire Line - 7500 4950 7900 4950 -Text Label 7900 4950 2 50 ~ 0 + 5650 6300 5250 6300 +Text Label 5250 6300 0 50 ~ 0 LVDS2_P Wire Wire Line - 7000 5150 6600 5150 -Text Label 6600 5150 0 50 ~ 0 + 6150 6500 6550 6500 +Text Label 6550 6500 2 50 ~ 0 LVDS3_P Wire Wire Line - 7500 5250 7900 5250 -Text Label 7900 5250 2 50 ~ 0 + 5650 6600 5250 6600 +Text Label 5250 6600 0 50 ~ 0 LVDS4_P Wire Wire Line - 7000 5450 6600 5450 -Text Label 6600 5450 0 50 ~ 0 + 6150 6800 6550 6800 +Text Label 6550 6800 2 50 ~ 0 LVDS5_P Wire Wire Line - 7500 5550 7900 5550 -Text Label 7900 5550 2 50 ~ 0 + 5650 6900 5250 6900 +Text Label 5250 6900 0 50 ~ 0 LVDS6_P Wire Wire Line - 7000 5750 6600 5750 -Text Label 6600 5750 0 50 ~ 0 + 6150 7100 6550 7100 +Text Label 6550 7100 2 50 ~ 0 LVDS7_P Wire Wire Line - 7500 4850 7900 4850 -Text Label 7900 4850 2 50 ~ 0 + 5650 6200 5250 6200 +Text Label 5250 6200 0 50 ~ 0 LVDS1_N $Comp L Connector_Generic:Conn_02x15_Odd_Even J1 U 1 1 611DA97D -P 7200 5350 -F 0 "J1" H 7250 6267 50 0000 C CNN -F 1 "Conn_02x15_Odd_Even" H 7250 6176 50 0000 C CNN -F 2 "Connector_PinSocket_2.54mm:PinSocket_2x15_P2.54mm_Vertical" H 7200 5350 50 0001 C CNN -F 3 "~" H 7200 5350 50 0001 C CNN - 1 7200 5350 +P 5850 6700 +F 0 "J1" H 5900 7617 50 0000 C CNN +F 1 "EEM_Pogo" H 5900 7526 50 0000 C CNN +F 2 "Connector_PinSocket_2.54mm:PinSocket_2x15_P2.54mm_Vertical" H 5850 6700 50 0001 C CNN +F 3 "~" H 5850 6700 50 0001 C CNN + 1 5850 6700 1 0 0 -1 $EndComp Wire Wire Line - 7000 4950 6550 4950 + 6150 6300 6600 6300 Wire Wire Line - 7950 4750 7500 4750 + 5200 6100 5650 6100 Wire Wire Line - 7950 5050 7500 5050 + 5200 6400 5650 6400 Wire Wire Line - 7000 5250 6550 5250 + 6150 6600 6600 6600 Wire Wire Line - 7950 5350 7500 5350 + 5200 6700 5650 6700 Wire Wire Line - 7000 5550 6550 5550 + 6150 6900 6600 6900 Wire Wire Line - 7950 5650 7500 5650 + 5200 7000 5650 7000 Wire Wire Line - 7000 5850 6550 5850 + 6150 7200 6600 7200 Wire Wire Line - 7000 5050 6600 5050 -Text Label 6600 5050 0 50 ~ 0 + 6150 6400 6550 6400 +Text Label 6550 6400 2 50 ~ 0 LVDS2_N Wire Wire Line - 7500 5150 7900 5150 -Text Label 7900 5150 2 50 ~ 0 + 5650 6500 5250 6500 +Text Label 5250 6500 0 50 ~ 0 LVDS3_N Wire Wire Line - 7000 5350 6600 5350 -Text Label 6600 5350 0 50 ~ 0 + 6150 6700 6550 6700 +Text Label 6550 6700 2 50 ~ 0 LVDS4_N Wire Wire Line - 7500 5450 7900 5450 -Text Label 7900 5450 2 50 ~ 0 + 5650 6800 5250 6800 +Text Label 5250 6800 0 50 ~ 0 LVDS5_N Wire Wire Line - 7000 5650 6600 5650 -Text Label 6600 5650 0 50 ~ 0 + 6150 7000 6550 7000 +Text Label 6550 7000 2 50 ~ 0 LVDS6_N Wire Wire Line - 7500 5750 7900 5750 -Text Label 7900 5750 2 50 ~ 0 + 5650 7100 5250 7100 +Text Label 5250 7100 0 50 ~ 0 LVDS7_N $Comp L power:GND #PWR01 U 1 1 612E2118 -P 6550 4650 -F 0 "#PWR01" H 6550 4400 50 0001 C CNN -F 1 "GND" V 6555 4522 50 0000 R CNN -F 2 "" H 6550 4650 50 0001 C CNN -F 3 "" H 6550 4650 50 0001 C CNN - 1 6550 4650 - 0 1 1 0 +P 6600 6000 +F 0 "#PWR01" H 6600 5750 50 0001 C CNN +F 1 "GND" V 6605 5872 50 0000 R CNN +F 2 "" H 6600 6000 50 0001 C CNN +F 3 "" H 6600 6000 50 0001 C CNN + 1 6600 6000 + 0 -1 1 0 $EndComp $Comp L power:GND #PWR05 U 1 1 612E39CE -P 6550 4950 -F 0 "#PWR05" H 6550 4700 50 0001 C CNN -F 1 "GND" V 6555 4822 50 0000 R CNN -F 2 "" H 6550 4950 50 0001 C CNN -F 3 "" H 6550 4950 50 0001 C CNN - 1 6550 4950 - 0 1 1 0 +P 6600 6300 +F 0 "#PWR05" H 6600 6050 50 0001 C CNN +F 1 "GND" V 6605 6172 50 0000 R CNN +F 2 "" H 6600 6300 50 0001 C CNN +F 3 "" H 6600 6300 50 0001 C CNN + 1 6600 6300 + 0 -1 1 0 $EndComp $Comp L power:GND #PWR09 U 1 1 612E4336 -P 6550 5250 -F 0 "#PWR09" H 6550 5000 50 0001 C CNN -F 1 "GND" V 6555 5122 50 0000 R CNN -F 2 "" H 6550 5250 50 0001 C CNN -F 3 "" H 6550 5250 50 0001 C CNN - 1 6550 5250 - 0 1 1 0 +P 6600 6600 +F 0 "#PWR09" H 6600 6350 50 0001 C CNN +F 1 "GND" V 6605 6472 50 0000 R CNN +F 2 "" H 6600 6600 50 0001 C CNN +F 3 "" H 6600 6600 50 0001 C CNN + 1 6600 6600 + 0 -1 1 0 $EndComp $Comp L power:GND #PWR013 U 1 1 612E4CB0 -P 6550 5550 -F 0 "#PWR013" H 6550 5300 50 0001 C CNN -F 1 "GND" V 6555 5422 50 0000 R CNN -F 2 "" H 6550 5550 50 0001 C CNN -F 3 "" H 6550 5550 50 0001 C CNN - 1 6550 5550 - 0 1 1 0 +P 6600 6900 +F 0 "#PWR013" H 6600 6650 50 0001 C CNN +F 1 "GND" V 6605 6772 50 0000 R CNN +F 2 "" H 6600 6900 50 0001 C CNN +F 3 "" H 6600 6900 50 0001 C CNN + 1 6600 6900 + 0 -1 1 0 $EndComp $Comp L power:GND #PWR017 U 1 1 612E5636 -P 6550 5850 -F 0 "#PWR017" H 6550 5600 50 0001 C CNN -F 1 "GND" V 6555 5722 50 0000 R CNN -F 2 "" H 6550 5850 50 0001 C CNN -F 3 "" H 6550 5850 50 0001 C CNN - 1 6550 5850 - 0 1 1 0 +P 6600 7200 +F 0 "#PWR017" H 6600 6950 50 0001 C CNN +F 1 "GND" V 6605 7072 50 0000 R CNN +F 2 "" H 6600 7200 50 0001 C CNN +F 3 "" H 6600 7200 50 0001 C CNN + 1 6600 7200 + 0 -1 1 0 $EndComp $Comp L power:GND #PWR015 U 1 1 612E8F1A -P 7950 5650 -F 0 "#PWR015" H 7950 5400 50 0001 C CNN -F 1 "GND" V 7955 5522 50 0000 R CNN -F 2 "" H 7950 5650 50 0001 C CNN -F 3 "" H 7950 5650 50 0001 C CNN - 1 7950 5650 - 0 -1 -1 0 +P 5200 7000 +F 0 "#PWR015" H 5200 6750 50 0001 C CNN +F 1 "GND" V 5205 6872 50 0000 R CNN +F 2 "" H 5200 7000 50 0001 C CNN +F 3 "" H 5200 7000 50 0001 C CNN + 1 5200 7000 + 0 1 -1 0 $EndComp $Comp L power:GND #PWR011 U 1 1 612E8F20 -P 7950 5350 -F 0 "#PWR011" H 7950 5100 50 0001 C CNN -F 1 "GND" V 7955 5222 50 0000 R CNN -F 2 "" H 7950 5350 50 0001 C CNN -F 3 "" H 7950 5350 50 0001 C CNN - 1 7950 5350 - 0 -1 -1 0 +P 5200 6700 +F 0 "#PWR011" H 5200 6450 50 0001 C CNN +F 1 "GND" V 5205 6572 50 0000 R CNN +F 2 "" H 5200 6700 50 0001 C CNN +F 3 "" H 5200 6700 50 0001 C CNN + 1 5200 6700 + 0 1 -1 0 $EndComp $Comp L power:GND #PWR07 U 1 1 612E8F26 -P 7950 5050 -F 0 "#PWR07" H 7950 4800 50 0001 C CNN -F 1 "GND" V 7955 4922 50 0000 R CNN -F 2 "" H 7950 5050 50 0001 C CNN -F 3 "" H 7950 5050 50 0001 C CNN - 1 7950 5050 - 0 -1 -1 0 +P 5200 6400 +F 0 "#PWR07" H 5200 6150 50 0001 C CNN +F 1 "GND" V 5205 6272 50 0000 R CNN +F 2 "" H 5200 6400 50 0001 C CNN +F 3 "" H 5200 6400 50 0001 C CNN + 1 5200 6400 + 0 1 -1 0 $EndComp $Comp L power:GND #PWR03 U 1 1 612E8F2C -P 7950 4750 -F 0 "#PWR03" H 7950 4500 50 0001 C CNN -F 1 "GND" V 7955 4622 50 0000 R CNN -F 2 "" H 7950 4750 50 0001 C CNN -F 3 "" H 7950 4750 50 0001 C CNN - 1 7950 4750 - 0 -1 -1 0 +P 5200 6100 +F 0 "#PWR03" H 5200 5850 50 0001 C CNN +F 1 "GND" V 5205 5972 50 0000 R CNN +F 2 "" H 5200 6100 50 0001 C CNN +F 3 "" H 5200 6100 50 0001 C CNN + 1 5200 6100 + 0 1 -1 0 $EndComp Wire Wire Line - 7500 5850 7900 5850 -Text Label 7900 5850 2 50 ~ 0 + 5650 7200 5250 7200 +Text Label 5250 7200 0 50 ~ 0 IIC_SDA Wire Wire Line - 7000 5950 6600 5950 -Text Label 6600 5950 0 50 ~ 0 + 6150 7300 6550 7300 +Text Label 6550 7300 2 50 ~ 0 IIC_SCL $Comp L power:+12V #PWR019 U 1 1 612F0D23 -P 7950 5950 -F 0 "#PWR019" H 7950 5800 50 0001 C CNN -F 1 "+12V" V 7965 6078 50 0000 L CNN -F 2 "" H 7950 5950 50 0001 C CNN -F 3 "" H 7950 5950 50 0001 C CNN - 1 7950 5950 - 0 1 1 0 +P 5200 7300 +F 0 "#PWR019" H 5200 7150 50 0001 C CNN +F 1 "+12V" V 5215 7428 50 0000 L CNN +F 2 "" H 5200 7300 50 0001 C CNN +F 3 "" H 5200 7300 50 0001 C CNN + 1 5200 7300 + 0 -1 1 0 $EndComp $Comp L power:+12V #PWR021 U 1 1 612F23E2 -P 6550 6050 -F 0 "#PWR021" H 6550 5900 50 0001 C CNN -F 1 "+12V" V 6565 6178 50 0000 L CNN -F 2 "" H 6550 6050 50 0001 C CNN -F 3 "" H 6550 6050 50 0001 C CNN - 1 6550 6050 - 0 -1 -1 0 +P 6600 7400 +F 0 "#PWR021" H 6600 7250 50 0001 C CNN +F 1 "+12V" V 6615 7528 50 0000 L CNN +F 2 "" H 6600 7400 50 0001 C CNN +F 3 "" H 6600 7400 50 0001 C CNN + 1 6600 7400 + 0 1 -1 0 $EndComp -Text Label 7950 6050 0 50 ~ 0 +Text Label 5200 7400 2 50 ~ 0 +3V3MP Wire Wire Line - 7500 6050 7950 6050 + 5650 7400 5200 7400 Wire Wire Line - 7950 5950 7500 5950 + 5200 7300 5650 7300 Wire Wire Line - 7000 6050 6550 6050 + 6150 7400 6600 7400 Wire Wire Line - 10000 4650 10400 4650 -Text Label 10400 4650 2 50 ~ 0 + 6800 800 7200 800 +Text Label 7200 800 2 50 ~ 0 LVDS0_P Wire Wire Line - 10000 4950 10400 4950 -Text Label 10400 4950 2 50 ~ 0 + 6800 1100 7200 1100 +Text Label 7200 1100 2 50 ~ 0 LVDS2_P Wire Wire Line - 10000 5250 10400 5250 -Text Label 10400 5250 2 50 ~ 0 + 6800 1400 7200 1400 +Text Label 7200 1400 2 50 ~ 0 LVDS4_P Wire Wire Line - 10000 5550 10400 5550 -Text Label 10400 5550 2 50 ~ 0 + 6800 1700 7200 1700 +Text Label 7200 1700 2 50 ~ 0 LVDS6_P Wire Wire Line - 10000 4850 10400 4850 -Text Label 10400 4850 2 50 ~ 0 + 6800 1000 7200 1000 +Text Label 7200 1000 2 50 ~ 0 LVDS1_N Wire Wire Line - 10450 4750 10000 4750 + 7250 900 6800 900 Wire Wire Line - 10450 5050 10000 5050 + 7250 1200 6800 1200 Wire Wire Line - 10450 5350 10000 5350 + 7250 1500 6800 1500 Wire Wire Line - 10450 5650 10000 5650 + 7250 1800 6800 1800 Wire Wire Line - 10000 5150 10400 5150 -Text Label 10400 5150 2 50 ~ 0 + 6800 1300 7200 1300 +Text Label 7200 1300 2 50 ~ 0 LVDS3_N Wire Wire Line - 10000 5450 10400 5450 -Text Label 10400 5450 2 50 ~ 0 + 6800 1600 7200 1600 +Text Label 7200 1600 2 50 ~ 0 LVDS5_N Wire Wire Line - 10000 5750 10400 5750 -Text Label 10400 5750 2 50 ~ 0 + 6800 1900 7200 1900 +Text Label 7200 1900 2 50 ~ 0 LVDS7_N $Comp L power:GND #PWR016 U 1 1 612F9F55 -P 10450 5650 -F 0 "#PWR016" H 10450 5400 50 0001 C CNN -F 1 "GND" V 10455 5522 50 0000 R CNN -F 2 "" H 10450 5650 50 0001 C CNN -F 3 "" H 10450 5650 50 0001 C CNN - 1 10450 5650 +P 7250 1800 +F 0 "#PWR016" H 7250 1550 50 0001 C CNN +F 1 "GND" V 7255 1672 50 0000 R CNN +F 2 "" H 7250 1800 50 0001 C CNN +F 3 "" H 7250 1800 50 0001 C CNN + 1 7250 1800 0 -1 -1 0 $EndComp $Comp L power:GND #PWR012 U 1 1 612F9F5B -P 10450 5350 -F 0 "#PWR012" H 10450 5100 50 0001 C CNN -F 1 "GND" V 10455 5222 50 0000 R CNN -F 2 "" H 10450 5350 50 0001 C CNN -F 3 "" H 10450 5350 50 0001 C CNN - 1 10450 5350 +P 7250 1500 +F 0 "#PWR012" H 7250 1250 50 0001 C CNN +F 1 "GND" V 7255 1372 50 0000 R CNN +F 2 "" H 7250 1500 50 0001 C CNN +F 3 "" H 7250 1500 50 0001 C CNN + 1 7250 1500 0 -1 -1 0 $EndComp $Comp L power:GND #PWR08 U 1 1 612F9F61 -P 10450 5050 -F 0 "#PWR08" H 10450 4800 50 0001 C CNN -F 1 "GND" V 10455 4922 50 0000 R CNN -F 2 "" H 10450 5050 50 0001 C CNN -F 3 "" H 10450 5050 50 0001 C CNN - 1 10450 5050 +P 7250 1200 +F 0 "#PWR08" H 7250 950 50 0001 C CNN +F 1 "GND" V 7255 1072 50 0000 R CNN +F 2 "" H 7250 1200 50 0001 C CNN +F 3 "" H 7250 1200 50 0001 C CNN + 1 7250 1200 0 -1 -1 0 $EndComp $Comp L power:GND #PWR04 U 1 1 612F9F67 -P 10450 4750 -F 0 "#PWR04" H 10450 4500 50 0001 C CNN -F 1 "GND" V 10455 4622 50 0000 R CNN -F 2 "" H 10450 4750 50 0001 C CNN -F 3 "" H 10450 4750 50 0001 C CNN - 1 10450 4750 +P 7250 900 +F 0 "#PWR04" H 7250 650 50 0001 C CNN +F 1 "GND" V 7255 772 50 0000 R CNN +F 2 "" H 7250 900 50 0001 C CNN +F 3 "" H 7250 900 50 0001 C CNN + 1 7250 900 0 -1 -1 0 $EndComp Wire Wire Line - 10000 5850 10400 5850 -Text Label 10400 5850 2 50 ~ 0 + 6800 2000 7200 2000 +Text Label 7200 2000 2 50 ~ 0 IIC_SDA $Comp L power:+12V #PWR020 U 1 1 612F9F6F -P 10450 5950 -F 0 "#PWR020" H 10450 5800 50 0001 C CNN -F 1 "+12V" V 10465 6078 50 0000 L CNN -F 2 "" H 10450 5950 50 0001 C CNN -F 3 "" H 10450 5950 50 0001 C CNN - 1 10450 5950 +P 7250 2100 +F 0 "#PWR020" H 7250 1950 50 0001 C CNN +F 1 "+12V" V 7265 2228 50 0000 L CNN +F 2 "" H 7250 2100 50 0001 C CNN +F 3 "" H 7250 2100 50 0001 C CNN + 1 7250 2100 0 1 1 0 $EndComp -Text Label 10450 6050 0 50 ~ 0 +Text Label 7250 2200 0 50 ~ 0 +3V3MP Wire Wire Line - 10000 6050 10450 6050 + 6800 2200 7250 2200 Wire Wire Line - 10450 5950 10000 5950 + 7250 2100 6800 2100 Wire Wire Line - 9500 4750 9100 4750 -Text Label 9100 4750 0 50 ~ 0 + 6300 900 5900 900 +Text Label 5900 900 0 50 ~ 0 LVDS0_N Wire Wire Line - 9500 4650 9050 4650 + 6300 800 5850 800 Wire Wire Line - 9500 4850 9100 4850 -Text Label 9100 4850 0 50 ~ 0 + 6300 1000 5900 1000 +Text Label 5900 1000 0 50 ~ 0 LVDS1_P Wire Wire Line - 9500 5150 9100 5150 -Text Label 9100 5150 0 50 ~ 0 + 6300 1300 5900 1300 +Text Label 5900 1300 0 50 ~ 0 LVDS3_P Wire Wire Line - 9500 5450 9100 5450 -Text Label 9100 5450 0 50 ~ 0 + 6300 1600 5900 1600 +Text Label 5900 1600 0 50 ~ 0 LVDS5_P Wire Wire Line - 9500 5750 9100 5750 -Text Label 9100 5750 0 50 ~ 0 + 6300 1900 5900 1900 +Text Label 5900 1900 0 50 ~ 0 LVDS7_P Wire Wire Line - 9500 4950 9050 4950 + 6300 1100 5850 1100 Wire Wire Line - 9500 5250 9050 5250 + 6300 1400 5850 1400 Wire Wire Line - 9500 5550 9050 5550 + 6300 1700 5850 1700 Wire Wire Line - 9500 5850 9050 5850 + 6300 2000 5850 2000 Wire Wire Line - 9500 5050 9100 5050 -Text Label 9100 5050 0 50 ~ 0 + 6300 1200 5900 1200 +Text Label 5900 1200 0 50 ~ 0 LVDS2_N Wire Wire Line - 9500 5350 9100 5350 -Text Label 9100 5350 0 50 ~ 0 + 6300 1500 5900 1500 +Text Label 5900 1500 0 50 ~ 0 LVDS4_N Wire Wire Line - 9500 5650 9100 5650 -Text Label 9100 5650 0 50 ~ 0 + 6300 1800 5900 1800 +Text Label 5900 1800 0 50 ~ 0 LVDS6_N $Comp L power:GND #PWR02 U 1 1 61301E7E -P 9050 4650 -F 0 "#PWR02" H 9050 4400 50 0001 C CNN -F 1 "GND" V 9055 4522 50 0000 R CNN -F 2 "" H 9050 4650 50 0001 C CNN -F 3 "" H 9050 4650 50 0001 C CNN - 1 9050 4650 +P 5850 800 +F 0 "#PWR02" H 5850 550 50 0001 C CNN +F 1 "GND" V 5855 672 50 0000 R CNN +F 2 "" H 5850 800 50 0001 C CNN +F 3 "" H 5850 800 50 0001 C CNN + 1 5850 800 0 1 1 0 $EndComp $Comp L power:GND #PWR06 U 1 1 61301E84 -P 9050 4950 -F 0 "#PWR06" H 9050 4700 50 0001 C CNN -F 1 "GND" V 9055 4822 50 0000 R CNN -F 2 "" H 9050 4950 50 0001 C CNN -F 3 "" H 9050 4950 50 0001 C CNN - 1 9050 4950 +P 5850 1100 +F 0 "#PWR06" H 5850 850 50 0001 C CNN +F 1 "GND" V 5855 972 50 0000 R CNN +F 2 "" H 5850 1100 50 0001 C CNN +F 3 "" H 5850 1100 50 0001 C CNN + 1 5850 1100 0 1 1 0 $EndComp $Comp L power:GND #PWR010 U 1 1 61301E8A -P 9050 5250 -F 0 "#PWR010" H 9050 5000 50 0001 C CNN -F 1 "GND" V 9055 5122 50 0000 R CNN -F 2 "" H 9050 5250 50 0001 C CNN -F 3 "" H 9050 5250 50 0001 C CNN - 1 9050 5250 +P 5850 1400 +F 0 "#PWR010" H 5850 1150 50 0001 C CNN +F 1 "GND" V 5855 1272 50 0000 R CNN +F 2 "" H 5850 1400 50 0001 C CNN +F 3 "" H 5850 1400 50 0001 C CNN + 1 5850 1400 0 1 1 0 $EndComp $Comp L power:GND #PWR014 U 1 1 61301E90 -P 9050 5550 -F 0 "#PWR014" H 9050 5300 50 0001 C CNN -F 1 "GND" V 9055 5422 50 0000 R CNN -F 2 "" H 9050 5550 50 0001 C CNN -F 3 "" H 9050 5550 50 0001 C CNN - 1 9050 5550 +P 5850 1700 +F 0 "#PWR014" H 5850 1450 50 0001 C CNN +F 1 "GND" V 5855 1572 50 0000 R CNN +F 2 "" H 5850 1700 50 0001 C CNN +F 3 "" H 5850 1700 50 0001 C CNN + 1 5850 1700 0 1 1 0 $EndComp $Comp L power:GND #PWR018 U 1 1 61301E96 -P 9050 5850 -F 0 "#PWR018" H 9050 5600 50 0001 C CNN -F 1 "GND" V 9055 5722 50 0000 R CNN -F 2 "" H 9050 5850 50 0001 C CNN -F 3 "" H 9050 5850 50 0001 C CNN - 1 9050 5850 +P 5850 2000 +F 0 "#PWR018" H 5850 1750 50 0001 C CNN +F 1 "GND" V 5855 1872 50 0000 R CNN +F 2 "" H 5850 2000 50 0001 C CNN +F 3 "" H 5850 2000 50 0001 C CNN + 1 5850 2000 0 1 1 0 $EndComp Wire Wire Line - 9500 5950 9100 5950 -Text Label 9100 5950 0 50 ~ 0 + 6300 2100 5900 2100 +Text Label 5900 2100 0 50 ~ 0 IIC_SCL $Comp L power:+12V #PWR022 U 1 1 61301E9E -P 9050 6050 -F 0 "#PWR022" H 9050 5900 50 0001 C CNN -F 1 "+12V" V 9065 6178 50 0000 L CNN -F 2 "" H 9050 6050 50 0001 C CNN -F 3 "" H 9050 6050 50 0001 C CNN - 1 9050 6050 +P 5850 2200 +F 0 "#PWR022" H 5850 2050 50 0001 C CNN +F 1 "+12V" V 5865 2328 50 0000 L CNN +F 2 "" H 5850 2200 50 0001 C CNN +F 3 "" H 5850 2200 50 0001 C CNN + 1 5850 2200 0 -1 -1 0 $EndComp Wire Wire Line - 9500 6050 9050 6050 + 6300 2200 5850 2200 +$Comp +L Connector_Generic:Conn_02x11_Odd_Even J4 +U 1 1 613DC56A +P 4200 1550 +F 0 "J4" H 4250 2267 50 0000 C CNN +F 1 "Analog" H 4250 2176 50 0000 C CNN +F 2 "Connector_PinHeader_1.27mm:PinHeader_2x11_P1.27mm_Vertical_SMD" H 4200 1550 50 0001 C CNN +F 3 "~" H 4200 1550 50 0001 C CNN + 1 4200 1550 + 1 0 0 -1 +$EndComp +$Comp +L Connector_Generic:Conn_02x12_Odd_Even J3 +U 1 1 613E8DE2 +P 2100 1500 +F 0 "J3" H 2150 2217 50 0000 C CNN +F 1 "MCU_IO" H 2150 2126 50 0000 C CNN +F 2 "Connector_PinHeader_1.27mm:PinHeader_2x12_P1.27mm_Vertical_SMD" H 2100 1500 50 0001 C CNN +F 3 "~" H 2100 1500 50 0001 C CNN + 1 2100 1500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2250 4650 2250 4750 +Wire Wire Line + 2250 4750 2600 4750 +Wire Wire Line + 2950 4750 2950 4650 +Wire Wire Line + 2600 4750 2600 4800 +Connection ~ 2600 4750 +Wire Wire Line + 2600 4750 2950 4750 +Wire Wire Line + 750 6550 750 6650 +Wire Wire Line + 1800 7250 1800 7350 +Wire Wire Line + 4450 6550 4450 6650 +Wire Wire Line + 800 5250 800 5450 +Wire Wire Line + 1850 5250 1850 5450 +Wire Wire Line + 2900 5300 2900 5500 +Wire Wire Line + 3950 5300 3950 5500 +Wire Wire Line + 800 5800 800 6000 +Wire Wire Line + 1850 5800 1850 6000 +Wire Wire Line + 2900 5850 2900 6050 +Wire Wire Line + 3950 5850 3950 6050 +Wire Wire Line + 1250 6550 1250 6750 +Wire Wire Line + 2400 6550 2400 6750 +Wire Wire Line + 4000 6550 4000 6750 +Wire Wire Line + 2150 7250 2150 7450 +Wire Wire Line + 2900 7250 2900 7450 +Wire Wire Line + 3300 7250 3300 7450 +Wire Wire Line + 3400 6550 3400 6750 +$Comp +L power:GND1 #PWR0105 +U 1 1 6159CB30 +P 1200 5400 +F 0 "#PWR0105" H 1200 5150 50 0001 C CNN +F 1 "GND1" H 1205 5227 50 0000 C CNN +F 2 "" H 1200 5400 50 0001 C CNN +F 3 "" H 1200 5400 50 0001 C CNN + 1 1200 5400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1200 5400 1200 5250 +$Comp +L power:GND1 #PWR0106 +U 1 1 615D2931 +P 2250 5400 +F 0 "#PWR0106" H 2250 5150 50 0001 C CNN +F 1 "GND1" H 2255 5227 50 0000 C CNN +F 2 "" H 2250 5400 50 0001 C CNN +F 3 "" H 2250 5400 50 0001 C CNN + 1 2250 5400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2250 5400 2250 5250 +$Comp +L power:GND1 #PWR0107 +U 1 1 615D75C6 +P 3300 5450 +F 0 "#PWR0107" H 3300 5200 50 0001 C CNN +F 1 "GND1" H 3305 5277 50 0000 C CNN +F 2 "" H 3300 5450 50 0001 C CNN +F 3 "" H 3300 5450 50 0001 C CNN + 1 3300 5450 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3300 5450 3300 5300 +$Comp +L power:GND1 #PWR0108 +U 1 1 615DBDFD +P 4350 5450 +F 0 "#PWR0108" H 4350 5200 50 0001 C CNN +F 1 "GND1" H 4355 5277 50 0000 C CNN +F 2 "" H 4350 5450 50 0001 C CNN +F 3 "" H 4350 5450 50 0001 C CNN + 1 4350 5450 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4350 5450 4350 5300 +$Comp +L power:GND2 #PWR0109 +U 1 1 615E1017 +P 1200 5950 +F 0 "#PWR0109" H 1200 5700 50 0001 C CNN +F 1 "GND2" H 1205 5777 50 0000 C CNN +F 2 "" H 1200 5950 50 0001 C CNN +F 3 "" H 1200 5950 50 0001 C CNN + 1 1200 5950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1200 5950 1200 5800 +$Comp +L power:GND2 #PWR0110 +U 1 1 615E77F6 +P 2250 5950 +F 0 "#PWR0110" H 2250 5700 50 0001 C CNN +F 1 "GND2" H 2255 5777 50 0000 C CNN +F 2 "" H 2250 5950 50 0001 C CNN +F 3 "" H 2250 5950 50 0001 C CNN + 1 2250 5950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2250 5950 2250 5800 +$Comp +L power:GND2 #PWR0111 +U 1 1 615EC7E7 +P 3300 6000 +F 0 "#PWR0111" H 3300 5750 50 0001 C CNN +F 1 "GND2" H 3305 5827 50 0000 C CNN +F 2 "" H 3300 6000 50 0001 C CNN +F 3 "" H 3300 6000 50 0001 C CNN + 1 3300 6000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3300 6000 3300 5850 +$Comp +L power:GND2 #PWR0112 +U 1 1 615F133B +P 4350 6000 +F 0 "#PWR0112" H 4350 5750 50 0001 C CNN +F 1 "GND2" H 4355 5827 50 0000 C CNN +F 2 "" H 4350 6000 50 0001 C CNN +F 3 "" H 4350 6000 50 0001 C CNN + 1 4350 6000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4350 6000 4350 5850 +$Comp +L power:GND2 #PWR0113 +U 1 1 616005C9 +P 2900 6700 +F 0 "#PWR0113" H 2900 6450 50 0001 C CNN +F 1 "GND2" H 2905 6527 50 0000 C CNN +F 2 "" H 2900 6700 50 0001 C CNN +F 3 "" H 2900 6700 50 0001 C CNN + 1 2900 6700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2900 6700 2900 6550 +$Comp +L power:GND1 #PWR0114 +U 1 1 616054DC +P 1750 6700 +F 0 "#PWR0114" H 1750 6450 50 0001 C CNN +F 1 "GND1" H 1755 6527 50 0000 C CNN +F 2 "" H 1750 6700 50 0001 C CNN +F 3 "" H 1750 6700 50 0001 C CNN + 1 1750 6700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1750 6700 1750 6550 +Text Label 2750 6750 2 50 ~ 0 +TP_5V_2 +Text Label 1600 6750 2 50 ~ 0 +TP_5V_1 +Wire Wire Line + 2750 6750 2400 6750 +Wire Wire Line + 1600 6750 1250 6750 +Text Label 1050 5450 2 50 ~ 0 +TP_IO1 +Text Label 2100 5450 2 50 ~ 0 +TP_IO2 +Text Label 3150 5500 2 50 ~ 0 +TP_IO3 +Text Label 4200 5500 2 50 ~ 0 +TP_IO4 +Text Label 1050 6000 2 50 ~ 0 +TP_IO5 +Text Label 2100 6000 2 50 ~ 0 +TP_IO6 +Text Label 3150 6050 2 50 ~ 0 +TP_IO7 +Text Label 4200 6050 2 50 ~ 0 +TP_IO8 +Wire Wire Line + 800 5450 1050 5450 +Wire Wire Line + 800 6000 1050 6000 +Wire Wire Line + 1850 6000 2100 6000 +Wire Wire Line + 1850 5450 2100 5450 +Wire Wire Line + 2900 5500 3150 5500 +Wire Wire Line + 2900 6050 3150 6050 +Wire Wire Line + 3950 5500 4200 5500 +Wire Wire Line + 3950 6050 4200 6050 +Text Label 3750 1850 0 50 ~ 0 +ADC0 +Text Label 3750 1750 0 50 ~ 0 +ADC1 +Text Label 3750 1650 0 50 ~ 0 +ADC2 +Text Label 3750 1550 0 50 ~ 0 +ADC3 +Text Label 3750 1450 0 50 ~ 0 +ADC4 +Text Label 3750 1350 0 50 ~ 0 +ADC5 +Text Label 3750 1250 0 50 ~ 0 +ADC6 +Text Label 3750 1150 0 50 ~ 0 +ADC7 +Wire Wire Line + 3750 1150 4000 1150 +Wire Wire Line + 4000 1250 3750 1250 +Wire Wire Line + 3750 1350 4000 1350 +Wire Wire Line + 4000 1450 3750 1450 +Wire Wire Line + 4000 1550 3750 1550 +Wire Wire Line + 4000 1650 3750 1650 +Wire Wire Line + 4000 1750 3750 1750 +Wire Wire Line + 4000 1850 3750 1850 +Wire Wire Line + 4000 1950 3750 1950 +Wire Wire Line + 4000 2050 3750 2050 +Text Label 3750 1950 0 50 ~ 0 +DAC0 +Text Label 3750 2050 0 50 ~ 0 +DAC1 +$Comp +L power:GND #PWR0115 +U 1 1 6182478F +P 3700 1050 +F 0 "#PWR0115" H 3700 800 50 0001 C CNN +F 1 "GND" V 3705 922 50 0000 R CNN +F 2 "" H 3700 1050 50 0001 C CNN +F 3 "" H 3700 1050 50 0001 C CNN + 1 3700 1050 + 0 1 1 0 +$EndComp +Wire Wire Line + 3700 1050 4000 1050 +Wire Wire Line + 4500 1150 4650 1150 +Wire Wire Line + 4650 1150 4650 1250 +Wire Wire Line + 4500 1250 4650 1250 +Connection ~ 4650 1250 +Wire Wire Line + 4650 1250 4650 1350 +Wire Wire Line + 4500 1350 4650 1350 +Connection ~ 4650 1350 +Wire Wire Line + 4650 1350 4650 1450 +Wire Wire Line + 4500 1450 4650 1450 +Wire Wire Line + 4500 1550 4650 1550 +Wire Wire Line + 4500 1650 4650 1650 +Wire Wire Line + 4500 1750 4650 1750 +Wire Wire Line + 4500 1850 4650 1850 +Wire Wire Line + 4500 1950 4650 1950 +Wire Wire Line + 4500 2050 4650 2050 +$Comp +L power:GND #PWR0116 +U 1 1 61853861 +P 4650 2150 +F 0 "#PWR0116" H 4650 1900 50 0001 C CNN +F 1 "GND" H 4655 1977 50 0000 C CNN +F 2 "" H 4650 2150 50 0001 C CNN +F 3 "" H 4650 2150 50 0001 C CNN + 1 4650 2150 + 1 0 0 -1 +$EndComp +Text Label 4750 1050 2 50 ~ 0 +HSADC +Wire Wire Line + 4500 1050 4750 1050 +Text Label 1300 3500 2 50 ~ 0 +ADC0 +$Comp +L power:GND #PWR0117 +U 1 1 618DC4F6 +P 1550 2100 +F 0 "#PWR0117" H 1550 1850 50 0001 C CNN +F 1 "GND" V 1555 1972 50 0000 R CNN +F 2 "" H 1550 2100 50 0001 C CNN +F 3 "" H 1550 2100 50 0001 C CNN + 1 1550 2100 + 0 1 1 0 +$EndComp +Wire Wire Line + 1900 2000 1600 2000 +Text Label 1600 1900 0 50 ~ 0 +IIC_SCL +Text Label 1600 2000 0 50 ~ 0 +IIC_SDA +Wire Wire Line + 1900 1900 1600 1900 +Wire Wire Line + 1900 2100 1550 2100 +Wire Wire Line + 2900 7450 3200 7450 +Text Label 3600 7450 2 50 ~ 0 +IIC_SCL +Text Label 3200 7450 2 50 ~ 0 +IIC_SDA +Wire Wire Line + 3300 7450 3600 7450 +$Comp +L power:GND #PWR0118 +U 1 1 6193F51A +P 1550 1800 +F 0 "#PWR0118" H 1550 1550 50 0001 C CNN +F 1 "GND" V 1555 1672 50 0000 R CNN +F 2 "" H 1550 1800 50 0001 C CNN +F 3 "" H 1550 1800 50 0001 C CNN + 1 1550 1800 + 0 1 1 0 +$EndComp +Wire Wire Line + 1900 1800 1550 1800 +$Comp +L power:GND #PWR0119 +U 1 1 61946E82 +P 1550 1500 +F 0 "#PWR0119" H 1550 1250 50 0001 C CNN +F 1 "GND" V 1555 1372 50 0000 R CNN +F 2 "" H 1550 1500 50 0001 C CNN +F 3 "" H 1550 1500 50 0001 C CNN + 1 1550 1500 + 0 1 1 0 +$EndComp +Wire Wire Line + 1900 1500 1550 1500 +Wire Wire Line + 1900 1000 1550 1000 +$Comp +L power:GND #PWR0120 +U 1 1 61956AFA +P 2750 1000 +F 0 "#PWR0120" H 2750 750 50 0001 C CNN +F 1 "GND" V 2755 872 50 0000 R CNN +F 2 "" H 2750 1000 50 0001 C CNN +F 3 "" H 2750 1000 50 0001 C CNN + 1 2750 1000 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 2400 1000 2750 1000 +Wire Wire Line + 2400 1600 2750 1600 +$Comp +L power:GND #PWR0121 +U 1 1 6195ECE4 +P 2750 1600 +F 0 "#PWR0121" H 2750 1350 50 0001 C CNN +F 1 "GND" V 2755 1472 50 0000 R CNN +F 2 "" H 2750 1600 50 0001 C CNN +F 3 "" H 2750 1600 50 0001 C CNN + 1 2750 1600 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 2400 1900 2750 1900 +$Comp +L power:GND #PWR0122 +U 1 1 619814AA +P 2750 1900 +F 0 "#PWR0122" H 2750 1650 50 0001 C CNN +F 1 "GND" V 2755 1772 50 0000 R CNN +F 2 "" H 2750 1900 50 0001 C CNN +F 3 "" H 2750 1900 50 0001 C CNN + 1 2750 1900 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 2400 2000 2750 2000 +Wire Wire Line + 2400 1100 2750 1100 +$Comp +L power:+3V3 #PWR0123 +U 1 1 619A4FF9 +P 2750 1100 +F 0 "#PWR0123" H 2750 950 50 0001 C CNN +F 1 "+3V3" V 2765 1228 50 0000 L CNN +F 2 "" H 2750 1100 50 0001 C CNN +F 3 "" H 2750 1100 50 0001 C CNN + 1 2750 1100 + 0 1 1 0 +$EndComp +$Comp +L power:+3V3 #PWR0124 +U 1 1 619A59CC +P 1550 1000 +F 0 "#PWR0124" H 1550 850 50 0001 C CNN +F 1 "+3V3" V 1565 1128 50 0000 L CNN +F 2 "" H 1550 1000 50 0001 C CNN +F 3 "" H 1550 1000 50 0001 C CNN + 1 1550 1000 + 0 -1 -1 0 +$EndComp +$Comp +L power:+5V #PWR0125 +U 1 1 619A6540 +P 2750 2000 +F 0 "#PWR0125" H 2750 1850 50 0001 C CNN +F 1 "+5V" V 2765 2128 50 0000 L CNN +F 2 "" H 2750 2000 50 0001 C CNN +F 3 "" H 2750 2000 50 0001 C CNN + 1 2750 2000 + 0 1 1 0 +$EndComp +Wire Wire Line + 2400 2100 2750 2100 +$Comp +L power:+5V #PWR0126 +U 1 1 619A85C8 +P 2750 2100 +F 0 "#PWR0126" H 2750 1950 50 0001 C CNN +F 1 "+5V" V 2765 2228 50 0000 L CNN +F 2 "" H 2750 2100 50 0001 C CNN +F 3 "" H 2750 2100 50 0001 C CNN + 1 2750 2100 + 0 1 1 0 +$EndComp +$Comp +L Device:R R4 +U 1 1 619B8BBC +P 1000 3700 +F 0 "R4" H 1070 3746 50 0000 L CNN +F 1 "10k" H 1070 3655 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 930 3700 50 0001 C CNN +F 3 "~" H 1000 3700 50 0001 C CNN + 1 1000 3700 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R1 +U 1 1 619CCA40 +P 1000 3300 +F 0 "R1" H 1070 3346 50 0000 L CNN +F 1 "51k" H 1070 3255 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 930 3300 50 0001 C CNN +F 3 "~" H 1000 3300 50 0001 C CNN + 1 1000 3300 + 1 0 0 -1 +$EndComp +Text Label 4300 6750 2 50 ~ 0 +TP_12V +Text Label 3700 6750 2 50 ~ 0 +TP_3V3 +Text Label 2550 7450 2 50 ~ 0 +TP_3V3MP +Wire Wire Line + 3400 6750 3700 6750 +Wire Wire Line + 2150 7450 2550 7450 +Wire Wire Line + 4000 6750 4300 6750 +Text Label 2150 3100 2 50 ~ 0 +TP_3V3 +Wire Wire Line + 1850 3100 2150 3100 +Text Label 3150 3100 2 50 ~ 0 +TP_3V3MP +Wire Wire Line + 2750 3100 3150 3100 +Text Label 3050 3500 2 50 ~ 0 +ADC2 +Text Label 2150 3500 2 50 ~ 0 +ADC1 +Wire Wire Line + 1000 3100 1300 3100 +Text Label 1300 3100 2 50 ~ 0 +TP_12V +Wire Wire Line + 1300 3500 1000 3500 +Wire Wire Line + 1000 3850 1000 3900 +Wire Wire Line + 1000 3150 1000 3100 +$Comp +L Device:R R5 +U 1 1 61B6B5D2 +P 1850 3700 +F 0 "R5" H 1920 3746 50 0000 L CNN +F 1 "10k" H 1920 3655 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 1780 3700 50 0001 C CNN +F 3 "~" H 1850 3700 50 0001 C CNN + 1 1850 3700 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R2 +U 1 1 61B6B5D8 +P 1850 3300 +F 0 "R2" H 1920 3346 50 0000 L CNN +F 1 "10k" H 1920 3255 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 1780 3300 50 0001 C CNN +F 3 "~" H 1850 3300 50 0001 C CNN + 1 1850 3300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1850 3450 1850 3500 +Wire Wire Line + 2150 3500 1850 3500 +Connection ~ 1850 3500 +Wire Wire Line + 1850 3500 1850 3550 +Wire Wire Line + 1850 3850 1850 3900 +Wire Wire Line + 1850 3150 1850 3100 +$Comp +L Device:R R6 +U 1 1 61B7574E +P 2750 3700 +F 0 "R6" H 2820 3746 50 0000 L CNN +F 1 "10k" H 2820 3655 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 2680 3700 50 0001 C CNN +F 3 "~" H 2750 3700 50 0001 C CNN + 1 2750 3700 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R3 +U 1 1 61B75754 +P 2750 3300 +F 0 "R3" H 2820 3346 50 0000 L CNN +F 1 "10k" H 2820 3255 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 2680 3300 50 0001 C CNN +F 3 "~" H 2750 3300 50 0001 C CNN + 1 2750 3300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2750 3450 2750 3500 +Wire Wire Line + 3050 3500 2750 3500 +Connection ~ 2750 3500 +Wire Wire Line + 2750 3500 2750 3550 +Wire Wire Line + 2750 3850 2750 3900 +Wire Wire Line + 2750 3150 2750 3100 +Wire Wire Line + 1000 3450 1000 3500 +Connection ~ 1000 3500 +Wire Wire Line + 1000 3500 1000 3550 +Text Label 3850 3100 2 50 ~ 0 +TP_5V_1 +Wire Wire Line + 3550 3100 3850 3100 +Text Label 3850 3500 2 50 ~ 0 +ADC3 +$Comp +L Device:R R9 +U 1 1 61D4E494 +P 3550 3700 +F 0 "R9" H 3620 3746 50 0000 L CNN +F 1 "10k" H 3620 3655 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 3480 3700 50 0001 C CNN +F 3 "~" H 3550 3700 50 0001 C CNN + 1 3550 3700 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R7 +U 1 1 61D4E49A +P 3550 3300 +F 0 "R7" H 3620 3346 50 0000 L CNN +F 1 "10k" H 3620 3255 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 3480 3300 50 0001 C CNN +F 3 "~" H 3550 3300 50 0001 C CNN + 1 3550 3300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3550 3450 3550 3500 +Wire Wire Line + 3850 3500 3550 3500 +Connection ~ 3550 3500 +Wire Wire Line + 3550 3500 3550 3550 +Wire Wire Line + 3550 3850 3550 3900 +Wire Wire Line + 3550 3150 3550 3100 +Text Label 4600 3100 2 50 ~ 0 +TP_5V_2 +Wire Wire Line + 4300 3100 4600 3100 +Text Label 4600 3500 2 50 ~ 0 +ADC4 +$Comp +L Device:R R10 +U 1 1 61D61A71 +P 4300 3700 +F 0 "R10" H 4370 3746 50 0000 L CNN +F 1 "10k" H 4370 3655 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 4230 3700 50 0001 C CNN +F 3 "~" H 4300 3700 50 0001 C CNN + 1 4300 3700 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R8 +U 1 1 61D61A77 +P 4300 3300 +F 0 "R8" H 4370 3346 50 0000 L CNN +F 1 "10k" H 4370 3255 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 4230 3300 50 0001 C CNN +F 3 "~" H 4300 3300 50 0001 C CNN + 1 4300 3300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4300 3450 4300 3500 +Wire Wire Line + 4600 3500 4300 3500 +Connection ~ 4300 3500 +Wire Wire Line + 4300 3500 4300 3550 +Wire Wire Line + 4300 3850 4300 3900 +Wire Wire Line + 4300 3150 4300 3100 +$Comp +L power:GND2 #PWR0101 +U 1 1 61CCF6C8 +P 6950 5300 +F 0 "#PWR0101" H 6950 5050 50 0001 C CNN +F 1 "GND2" H 6955 5127 50 0000 C CNN +F 2 "" H 6950 5300 50 0001 C CNN +F 3 "" H 6950 5300 50 0001 C CNN + 1 6950 5300 + 0 -1 1 0 +$EndComp +$Comp +L power:GND1 #PWR0102 +U 1 1 61C9BCD9 +P 6950 4450 +F 0 "#PWR0102" H 6950 4200 50 0001 C CNN +F 1 "GND1" H 6955 4277 50 0000 C CNN +F 2 "" H 6950 4450 50 0001 C CNN +F 3 "" H 6950 4450 50 0001 C CNN + 1 6950 4450 + 0 -1 1 0 +$EndComp +Text Label 7000 4550 2 50 ~ 0 +MCU_IO0 +Text Label 7000 4650 2 50 ~ 0 +MCU_IO1 +Connection ~ 4650 1450 +Wire Wire Line + 4650 1450 4650 1550 +Connection ~ 4650 1550 +Wire Wire Line + 4650 1550 4650 1650 +Connection ~ 4650 1650 +Wire Wire Line + 4650 1650 4650 1750 +Connection ~ 4650 1750 +Wire Wire Line + 4650 1750 4650 1850 +Connection ~ 4650 1850 +Wire Wire Line + 4650 1850 4650 1950 +Connection ~ 4650 1950 +Wire Wire Line + 4650 1950 4650 2050 +Connection ~ 4650 2050 +Wire Wire Line + 4650 2050 4650 2150 +Wire Wire Line + 6650 4650 7000 4650 +Wire Wire Line + 6650 4450 6950 4450 +Wire Wire Line + 6650 4550 7000 4550 +$Comp +L Syrostan-Ext-DIO:TS5A3359 U2 +U 1 1 6222A382 +P 6250 4200 +F 0 "U2" H 6300 4275 50 0000 C CNN +F 1 "TS5A3359" H 6300 4184 50 0000 C CNN +F 2 "Package_SO:VSSOP-8_2.4x2.1mm_P0.5mm" H 6250 4200 50 0001 C CNN +F 3 "" H 6250 4200 50 0001 C CNN + 1 6250 4200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0104 +U 1 1 622AFDCD +P 5750 4650 +F 0 "#PWR0104" H 5750 4400 50 0001 C CNN +F 1 "GND" V 5755 4522 50 0000 R CNN +F 2 "" H 5750 4650 50 0001 C CNN +F 3 "" H 5750 4650 50 0001 C CNN + 1 5750 4650 + 0 1 1 0 +$EndComp +Wire Wire Line + 5950 4650 5850 4650 +Wire Wire Line + 7200 4350 6650 4350 +$Comp +L Device:C C1 +U 1 1 623408F9 +P 7650 4500 +F 0 "C1" H 7765 4546 50 0000 L CNN +F 1 "0.1uF" H 7765 4455 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 7688 4350 50 0001 C CNN +F 3 "~" H 7650 4500 50 0001 C CNN + 1 7650 4500 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0128 +U 1 1 62342C52 +P 7650 4700 +F 0 "#PWR0128" H 7650 4450 50 0001 C CNN +F 1 "GND" H 7655 4527 50 0000 C CNN +F 2 "" H 7650 4700 50 0001 C CNN +F 3 "" H 7650 4700 50 0001 C CNN + 1 7650 4700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7650 4300 7650 4350 +Wire Wire Line + 7650 4650 7650 4700 +Text Label 7000 5400 2 50 ~ 0 +MCU_IO2 +Text Label 7000 5500 2 50 ~ 0 +MCU_IO3 +Wire Wire Line + 6650 5500 7000 5500 +Wire Wire Line + 6650 5300 6950 5300 +Wire Wire Line + 6650 5400 7000 5400 +$Comp +L Syrostan-Ext-DIO:TS5A3359 U4 +U 1 1 6236E1FF +P 6250 5050 +F 0 "U4" H 6300 5125 50 0000 C CNN +F 1 "TS5A3359" H 6300 5034 50 0000 C CNN +F 2 "Package_SO:VSSOP-8_2.4x2.1mm_P0.5mm" H 6250 5050 50 0001 C CNN +F 3 "" H 6250 5050 50 0001 C CNN + 1 6250 5050 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0131 +U 1 1 6236E211 +P 5750 5500 +F 0 "#PWR0131" H 5750 5250 50 0001 C CNN +F 1 "GND" V 5755 5372 50 0000 R CNN +F 2 "" H 5750 5500 50 0001 C CNN +F 3 "" H 5750 5500 50 0001 C CNN + 1 5750 5500 + 0 1 1 0 +$EndComp +Wire Wire Line + 5950 5500 5850 5500 +Wire Wire Line + 7200 5200 6650 5200 +$Comp +L Device:C C3 +U 1 1 6236E228 +P 7650 5350 +F 0 "C3" H 7765 5396 50 0000 L CNN +F 1 "0.1uF" H 7765 5305 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 7688 5200 50 0001 C CNN +F 3 "~" H 7650 5350 50 0001 C CNN + 1 7650 5350 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0133 +U 1 1 6236E22E +P 7650 5550 +F 0 "#PWR0133" H 7650 5300 50 0001 C CNN +F 1 "GND" H 7655 5377 50 0000 C CNN +F 2 "" H 7650 5550 50 0001 C CNN +F 3 "" H 7650 5550 50 0001 C CNN + 1 7650 5550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7650 5150 7650 5200 +Wire Wire Line + 7650 5500 7650 5550 +Text Label 1550 1100 0 50 ~ 0 +MCU_IO0 +Text Label 1550 1200 0 50 ~ 0 +MCU_IO1 +Text Label 1550 1300 0 50 ~ 0 +MCU_IO2 +Text Label 1550 1400 0 50 ~ 0 +MCU_IO3 +Wire Wire Line + 1550 1100 1900 1100 +Wire Wire Line + 1900 1200 1550 1200 +Wire Wire Line + 1550 1300 1900 1300 +Wire Wire Line + 1900 1400 1550 1400 +Text Label 5600 4450 0 50 ~ 0 +ADC5 +Text Label 5600 5300 0 50 ~ 0 +ADC6 +Text Label 1550 1600 0 50 ~ 0 +MCU_IO4 +Text Label 1550 1700 0 50 ~ 0 +MCU_IO5 +Wire Wire Line + 5950 4450 5600 4450 +Wire Wire Line + 5950 5300 5600 5300 +Text Label 5600 4350 0 50 ~ 0 +DAC0 +Wire Wire Line + 5950 4350 5600 4350 +Text Label 5600 5200 0 50 ~ 0 +DAC1 +Wire Wire Line + 5950 5200 5600 5200 +$Comp +L power:GND1 #PWR0135 +U 1 1 625C6693 +P 3550 3900 +F 0 "#PWR0135" H 3550 3650 50 0001 C CNN +F 1 "GND1" H 3555 3727 50 0000 C CNN +F 2 "" H 3550 3900 50 0001 C CNN +F 3 "" H 3550 3900 50 0001 C CNN + 1 3550 3900 + -1 0 0 -1 +$EndComp +$Comp +L power:GND2 #PWR0136 +U 1 1 625D69F6 +P 4300 3900 +F 0 "#PWR0136" H 4300 3650 50 0001 C CNN +F 1 "GND2" H 4305 3727 50 0000 C CNN +F 2 "" H 4300 3900 50 0001 C CNN +F 3 "" H 4300 3900 50 0001 C CNN + 1 4300 3900 + -1 0 0 -1 +$EndComp +$Comp +L power:GND3 #PWR0137 +U 1 1 625FA48C +P 1000 3900 +F 0 "#PWR0137" H 1000 3650 50 0001 C CNN +F 1 "GND3" H 1005 3727 50 0000 C CNN +F 2 "" H 1000 3900 50 0001 C CNN +F 3 "" H 1000 3900 50 0001 C CNN + 1 1000 3900 + 1 0 0 -1 +$EndComp +$Comp +L power:GND3 #PWR0138 +U 1 1 62631419 +P 1850 3900 +F 0 "#PWR0138" H 1850 3650 50 0001 C CNN +F 1 "GND3" H 1855 3727 50 0000 C CNN +F 2 "" H 1850 3900 50 0001 C CNN +F 3 "" H 1850 3900 50 0001 C CNN + 1 1850 3900 + 1 0 0 -1 +$EndComp +$Comp +L power:GND3 #PWR0139 +U 1 1 626400CE +P 2750 3900 +F 0 "#PWR0139" H 2750 3650 50 0001 C CNN +F 1 "GND3" H 2755 3727 50 0000 C CNN +F 2 "" H 2750 3900 50 0001 C CNN +F 3 "" H 2750 3900 50 0001 C CNN + 1 2750 3900 + 1 0 0 -1 +$EndComp +$Comp +L power:GND3 #PWR0140 +U 1 1 62662372 +P 2600 4800 +F 0 "#PWR0140" H 2600 4550 50 0001 C CNN +F 1 "GND3" H 2605 4627 50 0000 C CNN +F 2 "" H 2600 4800 50 0001 C CNN +F 3 "" H 2600 4800 50 0001 C CNN + 1 2600 4800 + 1 0 0 -1 +$EndComp +$Comp +L power:GND3 #PWR0141 +U 1 1 626A0E53 +P 750 6650 +F 0 "#PWR0141" H 750 6400 50 0001 C CNN +F 1 "GND3" H 755 6477 50 0000 C CNN +F 2 "" H 750 6650 50 0001 C CNN +F 3 "" H 750 6650 50 0001 C CNN + 1 750 6650 + 1 0 0 -1 +$EndComp +$Comp +L power:GND3 #PWR0142 +U 1 1 626AFA6A +P 1800 7350 +F 0 "#PWR0142" H 1800 7100 50 0001 C CNN +F 1 "GND3" H 1805 7177 50 0000 C CNN +F 2 "" H 1800 7350 50 0001 C CNN +F 3 "" H 1800 7350 50 0001 C CNN + 1 1800 7350 + 1 0 0 -1 +$EndComp +$Comp +L power:GND3 #PWR0143 +U 1 1 626BE7DF +P 4450 6650 +F 0 "#PWR0143" H 4450 6400 50 0001 C CNN +F 1 "GND3" H 4455 6477 50 0000 C CNN +F 2 "" H 4450 6650 50 0001 C CNN +F 3 "" H 4450 6650 50 0001 C CNN + 1 4450 6650 + 1 0 0 -1 +$EndComp +$Comp +L power:GND3 #PWR0144 +U 1 1 626F182A +P 6750 3850 +F 0 "#PWR0144" H 6750 3600 50 0001 C CNN +F 1 "GND3" H 6755 3677 50 0000 C CNN +F 2 "" H 6750 3850 50 0001 C CNN +F 3 "" H 6750 3850 50 0001 C CNN + 1 6750 3850 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 6750 3850 6650 3850 +$Comp +L power:GND #PWR0145 +U 1 1 62787069 +P 5750 3750 +F 0 "#PWR0145" H 5750 3500 50 0001 C CNN +F 1 "GND" V 5755 3622 50 0000 R CNN +F 2 "" H 5750 3750 50 0001 C CNN +F 3 "" H 5750 3750 50 0001 C CNN + 1 5750 3750 + 0 1 1 0 +$EndComp +$Comp +L Syrostan-Ext-DIO:TS5A3159 U3 +U 1 1 627BA854 +P 6250 3500 +F 0 "U3" H 6300 3575 50 0000 C CNN +F 1 "TS5A3159" H 6300 3484 50 0000 C CNN +F 2 "Package_TO_SOT_SMD:SOT-23-6" H 6250 3500 50 0001 C CNN +F 3 "" H 6250 3500 50 0001 C CNN + 1 6250 3500 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C2 +U 1 1 6284142E +P 7250 3750 +F 0 "C2" H 7365 3796 50 0000 L CNN +F 1 "0.1uF" H 7365 3705 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 7288 3600 50 0001 C CNN +F 3 "~" H 7250 3750 50 0001 C CNN + 1 7250 3750 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0146 +U 1 1 62841434 +P 7250 3950 +F 0 "#PWR0146" H 7250 3700 50 0001 C CNN +F 1 "GND" H 7255 3777 50 0000 C CNN +F 2 "" H 7250 3950 50 0001 C CNN +F 3 "" H 7250 3950 50 0001 C CNN + 1 7250 3950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7250 3550 7250 3600 +Wire Wire Line + 7250 3900 7250 3950 +Wire Wire Line + 6650 3750 6900 3750 +Wire Wire Line + 5750 3750 5850 3750 +Wire Wire Line + 5950 3850 5850 3850 +Wire Wire Line + 5850 3850 5850 3750 +Connection ~ 5850 3750 +Wire Wire Line + 5850 3750 5950 3750 +Wire Wire Line + 5950 3650 5700 3650 +Wire Wire Line + 6650 3650 6950 3650 +Text Label 6950 3650 2 50 ~ 0 +MCU_IO4 +Text Label 5700 3650 0 50 ~ 0 +ADC7 +$Comp +L Device:C C4 +U 1 1 62A02041 +P 10100 3050 +F 0 "C4" H 10215 3096 50 0000 L CNN +F 1 "0.1uF" H 10215 3005 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 10138 2900 50 0001 C CNN +F 3 "~" H 10100 3050 50 0001 C CNN + 1 10100 3050 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0127 +U 1 1 62A02047 +P 10100 3250 +F 0 "#PWR0127" H 10100 3000 50 0001 C CNN +F 1 "GND" H 10105 3077 50 0000 C CNN +F 2 "" H 10100 3250 50 0001 C CNN +F 3 "" H 10100 3250 50 0001 C CNN + 1 10100 3250 + 1 0 0 -1 +$EndComp +Wire Wire Line + 10100 2850 10100 2900 +Wire Wire Line + 10100 3200 10100 3250 +$Comp +L power:+5V #PWR0129 +U 1 1 62A23CD6 +P 7250 3550 +F 0 "#PWR0129" H 7250 3400 50 0001 C CNN +F 1 "+5V" H 7265 3723 50 0000 C CNN +F 2 "" H 7250 3550 50 0001 C CNN +F 3 "" H 7250 3550 50 0001 C CNN + 1 7250 3550 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0132 +U 1 1 62A69B67 +P 7650 4300 +F 0 "#PWR0132" H 7650 4150 50 0001 C CNN +F 1 "+5V" H 7665 4473 50 0000 C CNN +F 2 "" H 7650 4300 50 0001 C CNN +F 3 "" H 7650 4300 50 0001 C CNN + 1 7650 4300 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0134 +U 1 1 62A79A2E +P 7650 5150 +F 0 "#PWR0134" H 7650 5000 50 0001 C CNN +F 1 "+5V" H 7665 5323 50 0000 C CNN +F 2 "" H 7650 5150 50 0001 C CNN +F 3 "" H 7650 5150 50 0001 C CNN + 1 7650 5150 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0147 +U 1 1 62AAC0A0 +P 7200 4350 +F 0 "#PWR0147" H 7200 4200 50 0001 C CNN +F 1 "+5V" H 7215 4523 50 0000 C CNN +F 2 "" H 7200 4350 50 0001 C CNN +F 3 "" H 7200 4350 50 0001 C CNN + 1 7200 4350 + 0 1 1 0 +$EndComp +$Comp +L power:+5V #PWR0148 +U 1 1 62ABC030 +P 7200 5200 +F 0 "#PWR0148" H 7200 5050 50 0001 C CNN +F 1 "+5V" H 7215 5373 50 0000 C CNN +F 2 "" H 7200 5200 50 0001 C CNN +F 3 "" H 7200 5200 50 0001 C CNN + 1 7200 5200 + 0 1 1 0 +$EndComp +$Comp +L power:+5V #PWR0149 +U 1 1 62ADD6E6 +P 6900 3750 +F 0 "#PWR0149" H 6900 3600 50 0001 C CNN +F 1 "+5V" H 6915 3923 50 0000 C CNN +F 2 "" H 6900 3750 50 0001 C CNN +F 3 "" H 6900 3750 50 0001 C CNN + 1 6900 3750 + 0 1 1 0 +$EndComp +$Comp +L power:+5V #PWR0150 +U 1 1 62AEFC0B +P 10100 2850 +F 0 "#PWR0150" H 10100 2700 50 0001 C CNN +F 1 "+5V" H 10115 3023 50 0000 C CNN +F 2 "" H 10100 2850 50 0001 C CNN +F 3 "" H 10100 2850 50 0001 C CNN + 1 10100 2850 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0151 +U 1 1 62B0004F +P 9600 2750 +F 0 "#PWR0151" H 9600 2600 50 0001 C CNN +F 1 "+5V" H 9615 2923 50 0000 C CNN +F 2 "" H 9600 2750 50 0001 C CNN +F 3 "" H 9600 2750 50 0001 C CNN + 1 9600 2750 + 0 1 1 0 +$EndComp +Text Label 8400 2950 0 50 ~ 0 +TP_IO2 +Text Label 8400 2850 0 50 ~ 0 +TP_IO3 +Text Label 8400 2750 0 50 ~ 0 +TP_IO4 +Text Label 9700 2850 2 50 ~ 0 +TP_IO5 +Text Label 9700 2950 2 50 ~ 0 +TP_IO6 +Text Label 9700 3050 2 50 ~ 0 +TP_IO7 +Text Label 9700 3150 2 50 ~ 0 +TP_IO8 +Text Label 8400 3050 0 50 ~ 0 +TP_IO1 +Wire Wire Line + 8400 3050 8700 3050 +Wire Wire Line + 8700 2950 8400 2950 +Wire Wire Line + 8400 2850 8700 2850 +Wire Wire Line + 8400 2750 8700 2750 +Wire Wire Line + 1550 1600 1900 1600 +Wire Wire Line + 1900 1700 1550 1700 +Wire Wire Line + 2750 1500 2400 1500 +Wire Wire Line + 2400 1400 2750 1400 +Wire Wire Line + 2400 1200 2750 1200 +Wire Wire Line + 2400 1300 2750 1300 +Wire Wire Line + 2400 1700 2750 1700 +Wire Wire Line + 2400 1800 2750 1800 +Text Label 2750 1200 2 50 ~ 0 +MCU_IO6 +Text Label 2750 1300 2 50 ~ 0 +MCU_IO7 +Text Label 2750 1400 2 50 ~ 0 +MCU_IO8 +Text Label 2750 1500 2 50 ~ 0 +MCU_IO9 +Text Label 2750 1700 2 50 ~ 0 +MCU_IO10 +Text Label 2750 1800 2 50 ~ 0 +MCU_IO11 +Text Label 9050 5350 0 50 ~ 0 +MCU_IO8 +Text Label 9050 4650 0 50 ~ 0 +MCU_IO6 +Text Label 8250 5350 0 50 ~ 0 +MCU_IO7 +Wire Wire Line + 9400 2750 9600 2750 +Wire Wire Line + 9400 2850 9700 2850 +Wire Wire Line + 9400 2950 9700 2950 +Wire Wire Line + 9400 3050 9700 3050 +Wire Wire Line + 9400 3150 9700 3150 +Wire Wire Line + 9400 4650 9050 4650 +Wire Wire Line + 8250 5350 8600 5350 +Wire Wire Line + 9400 5350 9050 5350 +$Comp +L power:GND #PWR0152 +U 1 1 62F61FF6 +P 8500 3450 +F 0 "#PWR0152" H 8500 3200 50 0001 C CNN +F 1 "GND" H 8505 3277 50 0000 C CNN +F 2 "" H 8500 3450 50 0001 C CNN +F 3 "" H 8500 3450 50 0001 C CNN + 1 8500 3450 + 0 1 1 0 +$EndComp +Wire Wire Line + 8500 3450 8600 3450 +$Comp +L Syrostan-Ext-DIO:SN74CBT3251 U1 +U 1 1 62FB6D8E +P 8950 2600 +F 0 "U1" H 9050 2675 50 0000 C CNN +F 1 "SN74CBT3251" H 9050 2584 50 0000 C CNN +F 2 "Package_SO:SOP-16_3.9x9.9mm_P1.27mm" H 8950 2600 50 0001 C CNN +F 3 "" H 8950 2600 50 0001 C CNN + 1 8950 2600 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0153 +U 1 1 62FDE202 +P 5750 2900 +F 0 "#PWR0153" H 5750 2650 50 0001 C CNN +F 1 "GND" V 5755 2772 50 0000 R CNN +F 2 "" H 5750 2900 50 0001 C CNN +F 3 "" H 5750 2900 50 0001 C CNN + 1 5750 2900 + 0 1 1 0 +$EndComp +$Comp +L Syrostan-Ext-DIO:TS5A3159 U5 +U 1 1 62FDE208 +P 6250 2650 +F 0 "U5" H 6300 2725 50 0000 C CNN +F 1 "TS5A3159" H 6300 2634 50 0000 C CNN +F 2 "Package_TO_SOT_SMD:SOT-23-6" H 6250 2650 50 0001 C CNN +F 3 "" H 6250 2650 50 0001 C CNN + 1 6250 2650 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C5 +U 1 1 62FDE20E +P 7250 2900 +F 0 "C5" H 7365 2946 50 0000 L CNN +F 1 "0.1uF" H 7365 2855 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 7288 2750 50 0001 C CNN +F 3 "~" H 7250 2900 50 0001 C CNN + 1 7250 2900 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0154 +U 1 1 62FDE214 +P 7250 3100 +F 0 "#PWR0154" H 7250 2850 50 0001 C CNN +F 1 "GND" H 7255 2927 50 0000 C CNN +F 2 "" H 7250 3100 50 0001 C CNN +F 3 "" H 7250 3100 50 0001 C CNN + 1 7250 3100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7250 2700 7250 2750 +Wire Wire Line + 7250 3050 7250 3100 +Wire Wire Line + 6650 2900 6900 2900 +Wire Wire Line + 8600 4650 8300 4650 +Text Label 8300 4650 0 50 ~ 0 +MCU_IO5 +$Comp +L power:+5V #PWR0155 +U 1 1 62FDE226 +P 7250 2700 +F 0 "#PWR0155" H 7250 2550 50 0001 C CNN +F 1 "+5V" H 7265 2873 50 0000 C CNN +F 2 "" H 7250 2700 50 0001 C CNN +F 3 "" H 7250 2700 50 0001 C CNN + 1 7250 2700 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0156 +U 1 1 62FDE22C +P 6900 2900 +F 0 "#PWR0156" H 6900 2750 50 0001 C CNN +F 1 "+5V" H 6915 3073 50 0000 C CNN +F 2 "" H 6900 2900 50 0001 C CNN +F 3 "" H 6900 2900 50 0001 C CNN + 1 6900 2900 + 0 1 1 0 +$EndComp +Wire Wire Line + 5750 2900 5950 2900 +Wire Wire Line + 8700 3150 8400 3150 +Wire Wire Line + 8700 3350 8600 3350 +Wire Wire Line + 8600 3350 8600 3450 +Connection ~ 8600 3450 +Wire Wire Line + 8600 3450 8700 3450 +Text Label 8400 3150 0 50 ~ 0 +IO_MUX +Wire Wire Line + 6650 3000 6950 3000 +Text Label 6950 3000 2 50 ~ 0 +IO_MUX +Text Label 4950 3000 0 50 ~ 0 +HSADC +$Comp +L power:GND #PWR0157 +U 1 1 631E7FA7 +P 9300 5750 +F 0 "#PWR0157" H 9300 5500 50 0001 C CNN +F 1 "GND" H 9305 5577 50 0000 C CNN +F 2 "" H 9300 5750 50 0001 C CNN +F 3 "" H 9300 5750 50 0001 C CNN + 1 9300 5750 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0158 +U 1 1 631E87AB +P 9300 5050 +F 0 "#PWR0158" H 9300 4800 50 0001 C CNN +F 1 "GND" H 9305 4877 50 0000 C CNN +F 2 "" H 9300 5050 50 0001 C CNN +F 3 "" H 9300 5050 50 0001 C CNN + 1 9300 5050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9300 5050 9300 4950 +Connection ~ 9300 4950 +Wire Wire Line + 9300 5750 9300 5650 +Connection ~ 9300 5650 +Wire Wire Line + 9400 5450 9300 5450 +Wire Wire Line + 9400 4750 9300 4750 +Wire Wire Line + 9400 4550 9300 4550 +Wire Wire Line + 9300 4550 9300 4500 +$Comp +L Device:R R12 +U 1 1 63281EC4 +P 9300 4350 +F 0 "R12" H 9370 4396 50 0000 L CNN +F 1 "10k" H 9370 4305 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 9230 4350 50 0001 C CNN +F 3 "~" H 9300 4350 50 0001 C CNN + 1 9300 4350 + 1 0 0 -1 +$EndComp +$Comp +L power:+3V3 #PWR0159 +U 1 1 63283220 +P 9300 4150 +F 0 "#PWR0159" H 9300 4000 50 0001 C CNN +F 1 "+3V3" H 9315 4323 50 0000 C CNN +F 2 "" H 9300 4150 50 0001 C CNN +F 3 "" H 9300 4150 50 0001 C CNN + 1 9300 4150 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9300 4200 9300 4150 +$Comp +L power:GND #PWR0160 +U 1 1 632C4026 +P 8500 5750 +F 0 "#PWR0160" H 8500 5500 50 0001 C CNN +F 1 "GND" H 8505 5577 50 0000 C CNN +F 2 "" H 8500 5750 50 0001 C CNN +F 3 "" H 8500 5750 50 0001 C CNN + 1 8500 5750 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0161 +U 1 1 632C402C +P 8500 5050 +F 0 "#PWR0161" H 8500 4800 50 0001 C CNN +F 1 "GND" H 8505 4877 50 0000 C CNN +F 2 "" H 8500 5050 50 0001 C CNN +F 3 "" H 8500 5050 50 0001 C CNN + 1 8500 5050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8500 5050 8500 4950 +Connection ~ 8500 4950 +Wire Wire Line + 8500 5750 8500 5650 +Connection ~ 8500 5650 +Wire Wire Line + 8600 5450 8500 5450 +Wire Wire Line + 8600 4750 8500 4750 +Wire Wire Line + 8600 4550 8500 4550 +Wire Wire Line + 8500 4550 8500 4500 +$Comp +L Device:R R11 +U 1 1 632C4040 +P 8500 4350 +F 0 "R11" H 8570 4396 50 0000 L CNN +F 1 "10k" H 8570 4305 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 8430 4350 50 0001 C CNN +F 3 "~" H 8500 4350 50 0001 C CNN + 1 8500 4350 + 1 0 0 -1 +$EndComp +$Comp +L power:+3V3 #PWR0162 +U 1 1 632C4046 +P 8500 4150 +F 0 "#PWR0162" H 8500 4000 50 0001 C CNN +F 1 "+3V3" H 8515 4323 50 0000 C CNN +F 2 "" H 8500 4150 50 0001 C CNN +F 3 "" H 8500 4150 50 0001 C CNN + 1 8500 4150 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8500 4200 8500 4150 +$Comp +L power:GND #PWR0163 +U 1 1 634ECC6D +P 10100 5750 +F 0 "#PWR0163" H 10100 5500 50 0001 C CNN +F 1 "GND" H 10105 5577 50 0000 C CNN +F 2 "" H 10100 5750 50 0001 C CNN +F 3 "" H 10100 5750 50 0001 C CNN + 1 10100 5750 + 1 0 0 -1 +$EndComp +Wire Wire Line + 10100 5750 10100 5650 +Connection ~ 10100 5650 +Wire Wire Line + 10200 5450 10100 5450 +$Comp +L Connector_Generic:Conn_02x16_Odd_Even J5 +U 1 1 635CF05C +P 9000 1450 +F 0 "J5" H 9050 2367 50 0000 C CNN +F 1 "FPGA_IO" H 9050 2276 50 0000 C CNN +F 2 "Connector_PinHeader_1.27mm:PinHeader_2x16_P1.27mm_Vertical_SMD" H 9000 1450 50 0001 C CNN +F 3 "~" H 9000 1450 50 0001 C CNN + 1 9000 1450 + 1 0 0 -1 +$EndComp +$Comp +L Connector_Generic_MountingPin:Conn_01x02_MountingPin J8 +U 1 1 635D7408 +P 8800 5350 +F 0 "J8" H 8888 5264 50 0000 L CNN +F 1 "SW3" H 8888 5173 50 0000 L CNN +F 2 "Connector_JST:JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical" H 8800 5350 50 0001 C CNN +F 3 "~" H 8800 5350 50 0001 C CNN + 1 8800 5350 + 1 0 0 -1 +$EndComp +$Comp +L Connector_Generic_MountingPin:Conn_01x03_MountingPin J6 +U 1 1 635D81CA +P 8800 4650 +F 0 "J6" H 8888 4614 50 0000 L CNN +F 1 "SW1" H 8888 4523 50 0000 L CNN +F 2 "Connector_JST:JST_GH_BM03B-GHS-TBT_1x03-1MP_P1.25mm_Vertical" H 8800 4650 50 0001 C CNN +F 3 "~" H 8800 4650 50 0001 C CNN + 1 8800 4650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8500 4750 8500 4950 +$Comp +L Connector_Generic_MountingPin:Conn_01x03_MountingPin J7 +U 1 1 636AACE0 +P 9600 4650 +F 0 "J7" H 9688 4614 50 0000 L CNN +F 1 "SW2" H 9688 4523 50 0000 L CNN +F 2 "Connector_JST:JST_GH_BM03B-GHS-TBT_1x03-1MP_P1.25mm_Vertical" H 9600 4650 50 0001 C CNN +F 3 "~" H 9600 4650 50 0001 C CNN + 1 9600 4650 + 1 0 0 -1 +$EndComp +$Comp +L Connector_Generic_MountingPin:Conn_01x02_MountingPin J9 +U 1 1 636C40FA +P 9600 5350 +F 0 "J9" H 9688 5264 50 0000 L CNN +F 1 "SW4" H 9688 5173 50 0000 L CNN +F 2 "Connector_JST:JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical" H 9600 5350 50 0001 C CNN +F 3 "~" H 9600 5350 50 0001 C CNN + 1 9600 5350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8500 5450 8500 5650 +Wire Wire Line + 9300 4750 9300 4950 +Wire Wire Line + 9300 5450 9300 5650 +Wire Wire Line + 10100 5450 10100 5650 +Wire Wire Line + 8500 4950 8800 4950 +Wire Wire Line + 9300 4950 9600 4950 +Wire Wire Line + 8500 5650 8800 5650 +Wire Wire Line + 9300 5650 9600 5650 +Wire Wire Line + 10100 5650 10400 5650 +Text Label 5600 2800 0 50 ~ 0 +FPGA_IO0 +Wire Wire Line + 5600 2800 5950 2800 +Wire Wire Line + 5950 4550 5850 4550 +Wire Wire Line + 5850 4550 5850 4650 +Connection ~ 5850 4650 +Wire Wire Line + 5850 4650 5750 4650 +Wire Wire Line + 5950 5400 5850 5400 +Wire Wire Line + 5850 5400 5850 5500 +Connection ~ 5850 5500 +Wire Wire Line + 5850 5500 5750 5500 +Text Label 9850 5350 0 50 ~ 0 +MCU_IO9 +Wire Wire Line + 10200 5350 9850 5350 +$Comp +L Connector_Generic_MountingPin:Conn_01x02_MountingPin J10 +U 1 1 636DD07C +P 10400 5350 +F 0 "J10" H 10488 5264 50 0000 L CNN +F 1 "SW5" H 10488 5173 50 0000 L CNN +F 2 "Connector_JST:JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical" H 10400 5350 50 0001 C CNN +F 3 "~" H 10400 5350 50 0001 C CNN + 1 10400 5350 + 1 0 0 -1 +$EndComp +Text Label 7000 2800 2 50 ~ 0 +FPGA_IO2 +Text Label 9750 3250 2 50 ~ 0 +FPGA_IO4 +Text Label 9750 3350 2 50 ~ 0 +FPGA_IO6 +Text Label 9750 3450 2 50 ~ 0 +FPGA_IO8 +Wire Wire Line + 9750 3450 9400 3450 +Wire Wire Line + 9400 3350 9750 3350 +Wire Wire Line + 9400 3250 9750 3250 +Wire Wire Line + 6650 2800 7000 2800 +Wire Wire Line + 9700 2250 9300 2250 +Text Label 9700 2250 2 50 ~ 0 +FPGA_IO15 +$Comp +L power:+3V3 #PWR0179 +U 1 1 63FDD82E +P 9700 2150 +F 0 "#PWR0179" H 9700 2000 50 0001 C CNN +F 1 "+3V3" V 9715 2278 50 0000 L CNN +F 2 "" H 9700 2150 50 0001 C CNN +F 3 "" H 9700 2150 50 0001 C CNN + 1 9700 2150 + 0 1 1 0 +$EndComp +Wire Wire Line + 9300 2150 9700 2150 +$Comp +L power:+3V3 #PWR0178 +U 1 1 63FC0B04 +P 9700 1950 +F 0 "#PWR0178" H 9700 1800 50 0001 C CNN +F 1 "+3V3" V 9715 2078 50 0000 L CNN +F 2 "" H 9700 1950 50 0001 C CNN +F 3 "" H 9700 1950 50 0001 C CNN + 1 9700 1950 + 0 1 1 0 +$EndComp +Wire Wire Line + 9300 1950 9700 1950 +$Comp +L power:+3V3 #PWR0177 +U 1 1 63FA4203 +P 9700 1750 +F 0 "#PWR0177" H 9700 1600 50 0001 C CNN +F 1 "+3V3" V 9715 1878 50 0000 L CNN +F 2 "" H 9700 1750 50 0001 C CNN +F 3 "" H 9700 1750 50 0001 C CNN + 1 9700 1750 + 0 1 1 0 +$EndComp +Wire Wire Line + 9300 1750 9700 1750 +$Comp +L power:+3V3 #PWR0176 +U 1 1 63F87B4D +P 9700 1550 +F 0 "#PWR0176" H 9700 1400 50 0001 C CNN +F 1 "+3V3" V 9715 1678 50 0000 L CNN +F 2 "" H 9700 1550 50 0001 C CNN +F 3 "" H 9700 1550 50 0001 C CNN + 1 9700 1550 + 0 1 1 0 +$EndComp +Wire Wire Line + 9300 1550 9700 1550 +$Comp +L power:+3V3 #PWR0175 +U 1 1 63F6B81B +P 9700 1350 +F 0 "#PWR0175" H 9700 1200 50 0001 C CNN +F 1 "+3V3" V 9715 1478 50 0000 L CNN +F 2 "" H 9700 1350 50 0001 C CNN +F 3 "" H 9700 1350 50 0001 C CNN + 1 9700 1350 + 0 1 1 0 +$EndComp +Wire Wire Line + 9300 1350 9700 1350 +$Comp +L power:+3V3 #PWR0174 +U 1 1 63F4F78E +P 9700 1150 +F 0 "#PWR0174" H 9700 1000 50 0001 C CNN +F 1 "+3V3" V 9715 1278 50 0000 L CNN +F 2 "" H 9700 1150 50 0001 C CNN +F 3 "" H 9700 1150 50 0001 C CNN + 1 9700 1150 + 0 1 1 0 +$EndComp +Wire Wire Line + 9300 1150 9700 1150 +$Comp +L power:+3V3 #PWR0173 +U 1 1 63F339BD +P 9700 950 +F 0 "#PWR0173" H 9700 800 50 0001 C CNN +F 1 "+3V3" V 9715 1078 50 0000 L CNN +F 2 "" H 9700 950 50 0001 C CNN +F 3 "" H 9700 950 50 0001 C CNN + 1 9700 950 + 0 1 1 0 +$EndComp +Wire Wire Line + 9300 950 9700 950 +$Comp +L power:+3V3 #PWR0172 +U 1 1 63F31A09 +P 9700 750 +F 0 "#PWR0172" H 9700 600 50 0001 C CNN +F 1 "+3V3" V 9715 878 50 0000 L CNN +F 2 "" H 9700 750 50 0001 C CNN +F 3 "" H 9700 750 50 0001 C CNN + 1 9700 750 + 0 1 1 0 +$EndComp +Wire Wire Line + 9300 750 9700 750 +Wire Wire Line + 9700 2050 9300 2050 +Wire Wire Line + 9300 1850 9700 1850 +Wire Wire Line + 9300 1650 9650 1650 +Wire Wire Line + 9300 1450 9650 1450 +Wire Wire Line + 9650 1250 9300 1250 +Wire Wire Line + 9300 1050 9650 1050 +Wire Wire Line + 9650 850 9300 850 +Text Label 9700 2050 2 50 ~ 0 +FPGA_IO13 +Text Label 9700 1850 2 50 ~ 0 +FPGA_IO11 +Text Label 9650 1650 2 50 ~ 0 +FPGA_IO9 +Text Label 9650 1450 2 50 ~ 0 +FPGA_IO7 +Text Label 9650 1250 2 50 ~ 0 +FPGA_IO5 +Text Label 9650 1050 2 50 ~ 0 +FPGA_IO3 +Text Label 9650 850 2 50 ~ 0 +FPGA_IO1 +Text Label 8450 750 0 50 ~ 0 +FPGA_IO0 +Text Label 8450 950 0 50 ~ 0 +FPGA_IO2 +Text Label 8450 1150 0 50 ~ 0 +FPGA_IO4 +Text Label 8450 1350 0 50 ~ 0 +FPGA_IO6 +Text Label 8450 1550 0 50 ~ 0 +FPGA_IO8 +Text Label 8450 1750 0 50 ~ 0 +FPGA_IO10 +Text Label 8450 1950 0 50 ~ 0 +FPGA_IO12 +Text Label 8450 2150 0 50 ~ 0 +FPGA_IO14 +Wire Wire Line + 8800 2150 8450 2150 +Wire Wire Line + 8450 1950 8800 1950 +Wire Wire Line + 8800 1750 8450 1750 +Wire Wire Line + 8450 1550 8800 1550 +Wire Wire Line + 8800 1350 8450 1350 +Wire Wire Line + 8800 1150 8450 1150 +Wire Wire Line + 8800 950 8450 950 +Wire Wire Line + 8800 750 8450 750 +$Comp +L power:GND #PWR0164 +U 1 1 63E06787 +P 8400 850 +F 0 "#PWR0164" H 8400 600 50 0001 C CNN +F 1 "GND" V 8405 722 50 0000 R CNN +F 2 "" H 8400 850 50 0001 C CNN +F 3 "" H 8400 850 50 0001 C CNN + 1 8400 850 + 0 1 1 0 +$EndComp +Wire Wire Line + 8800 850 8400 850 +$Comp +L power:GND #PWR0165 +U 1 1 63E3D248 +P 8400 1050 +F 0 "#PWR0165" H 8400 800 50 0001 C CNN +F 1 "GND" V 8405 922 50 0000 R CNN +F 2 "" H 8400 1050 50 0001 C CNN +F 3 "" H 8400 1050 50 0001 C CNN + 1 8400 1050 + 0 1 1 0 +$EndComp +Wire Wire Line + 8800 1050 8400 1050 +$Comp +L power:GND #PWR0166 +U 1 1 63E5768C +P 8400 1250 +F 0 "#PWR0166" H 8400 1000 50 0001 C CNN +F 1 "GND" V 8405 1122 50 0000 R CNN +F 2 "" H 8400 1250 50 0001 C CNN +F 3 "" H 8400 1250 50 0001 C CNN + 1 8400 1250 + 0 1 1 0 +$EndComp +Wire Wire Line + 8800 1250 8400 1250 +$Comp +L power:GND #PWR0167 +U 1 1 63E71EA8 +P 8400 1450 +F 0 "#PWR0167" H 8400 1200 50 0001 C CNN +F 1 "GND" V 8405 1322 50 0000 R CNN +F 2 "" H 8400 1450 50 0001 C CNN +F 3 "" H 8400 1450 50 0001 C CNN + 1 8400 1450 + 0 1 1 0 +$EndComp +Wire Wire Line + 8800 1450 8400 1450 +$Comp +L power:GND #PWR0168 +U 1 1 63E8C96E +P 8400 1650 +F 0 "#PWR0168" H 8400 1400 50 0001 C CNN +F 1 "GND" V 8405 1522 50 0000 R CNN +F 2 "" H 8400 1650 50 0001 C CNN +F 3 "" H 8400 1650 50 0001 C CNN + 1 8400 1650 + 0 1 1 0 +$EndComp +Wire Wire Line + 8800 1650 8400 1650 +$Comp +L power:GND #PWR0169 +U 1 1 63EA77D8 +P 8400 1850 +F 0 "#PWR0169" H 8400 1600 50 0001 C CNN +F 1 "GND" V 8405 1722 50 0000 R CNN +F 2 "" H 8400 1850 50 0001 C CNN +F 3 "" H 8400 1850 50 0001 C CNN + 1 8400 1850 + 0 1 1 0 +$EndComp +Wire Wire Line + 8800 1850 8400 1850 +$Comp +L power:GND #PWR0170 +U 1 1 63EC28A0 +P 8400 2050 +F 0 "#PWR0170" H 8400 1800 50 0001 C CNN +F 1 "GND" V 8405 1922 50 0000 R CNN +F 2 "" H 8400 2050 50 0001 C CNN +F 3 "" H 8400 2050 50 0001 C CNN + 1 8400 2050 + 0 1 1 0 +$EndComp +Wire Wire Line + 8800 2050 8400 2050 +$Comp +L power:GND #PWR0171 +U 1 1 63EDDC9C +P 8400 2250 +F 0 "#PWR0171" H 8400 2000 50 0001 C CNN +F 1 "GND" V 8405 2122 50 0000 R CNN +F 2 "" H 8400 2250 50 0001 C CNN +F 3 "" H 8400 2250 50 0001 C CNN + 1 8400 2250 + 0 1 1 0 +$EndComp +Wire Wire Line + 8800 2250 8400 2250 +$Comp +L Device:R R13 +U 1 1 64A178EB +P 5400 3000 +F 0 "R13" H 5470 3046 50 0000 L CNN +F 1 "220" H 5470 2955 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 5330 3000 50 0001 C CNN +F 3 "~" H 5400 3000 50 0001 C CNN + 1 5400 3000 + 0 1 1 0 +$EndComp +Wire Wire Line + 5250 3000 4950 3000 +Wire Wire Line + 5550 3000 5950 3000 $EndSCHEMATC diff --git a/sym-lib-table b/sym-lib-table new file mode 100644 index 0000000..8d87a9a --- /dev/null +++ b/sym-lib-table @@ -0,0 +1,3 @@ +(sym_lib_table + (lib (name Syrostan-Ext-DIO)(type Legacy)(uri ${KIPRJMOD}/Syrostan-Ext-DIO.lib)(options "")(descr "")) +)