Initial Commit of KiCad Design Files

This commit is contained in:
linuswck 2024-10-08 14:55:03 +08:00
parent 7b58fc70c9
commit 94441f885e
41 changed files with 237821 additions and 6 deletions

13
.gitignore vendored
View File

@ -1,9 +1,11 @@
# ---> KiCad
# For PCBs designed using KiCad: https://www.kicad.org/
# Format documentation: https://kicad.org/help/file-formats/
# Temporary files
*.000
*.cache
*.config
*.local
*.bak
*.bck
*.kicad_pcb-bak
@ -17,6 +19,9 @@ _autosave-*
*-save.pro
*-save.kicad_pcb
fp-info-cache
*auto_saved_files*
~*
__pycache__
# Netlist files (exported from Eeschema)
*.net
@ -25,7 +30,5 @@ fp-info-cache
*.dsn
*.ses
# Exported BOM files
*.xml
*.csv
# Generated Production Files
result

View File

@ -1,3 +1,5 @@
# Shuttler_AFE_PSU
Power Supply Board for Shuttler Analog Front End Board
Shuttler_AFE_PSU is a 2 channel power supply board that provides +15V, -15V and 5V voltage rails for Shuttler Analog Front End (AFE) board.
This board can be installed inside the Hammond 1455C1201/1455C1202 Enclosure. At most two PSU boards can be mounted on a nVent Schroff 20848-664 3U, 4HP front panel.

44
flake.lock Normal file
View File

@ -0,0 +1,44 @@
{
"nodes": {
"kicad_bom_generator": {
"flake": false,
"locked": {
"lastModified": 1702353729,
"narHash": "sha256-NIM/GLC71VdGdMletBBv9lSPuHpgD9zzeGiVQLEAULA=",
"ref": "refs/heads/main",
"rev": "72686f5556785c9aa13678dc42757dddfb7d7c23",
"revCount": 2,
"type": "git",
"url": "https://git.m-labs.hk/M-Labs/KiCAD_BOM_Generator.git"
},
"original": {
"type": "git",
"url": "https://git.m-labs.hk/M-Labs/KiCAD_BOM_Generator.git"
}
},
"nixpkgs": {
"locked": {
"lastModified": 1720535198,
"narHash": "sha256-zwVvxrdIzralnSbcpghA92tWu2DV2lwv89xZc8MTrbg=",
"owner": "NixOS",
"repo": "nixpkgs",
"rev": "205fd4226592cc83fd4c0885a3e4c9c400efabb5",
"type": "github"
},
"original": {
"owner": "NixOS",
"ref": "nixos-23.11",
"repo": "nixpkgs",
"type": "github"
}
},
"root": {
"inputs": {
"kicad_bom_generator": "kicad_bom_generator",
"nixpkgs": "nixpkgs"
}
}
},
"root": "root",
"version": 7
}

67
flake.nix Normal file
View File

@ -0,0 +1,67 @@
{
inputs.nixpkgs.url = "github:NixOS/nixpkgs/nixos-23.11";
inputs.kicad_bom_generator = {
url = "git+https://git.m-labs.hk/M-Labs/KiCAD_BOM_Generator.git";
flake = false;
};
outputs = { self, nixpkgs, kicad_bom_generator }: {
formatter.x86_64-linux = nixpkgs.legacyPackages.x86_64-linux.nixfmt;
devShells.x86_64-linux.default =
let pkgs = nixpkgs.legacyPackages.x86_64-linux;
in pkgs.mkShell {
name = "kicad-dev-shell";
buildInputs = [ pkgs.kicad ];
};
defaultPackage.x86_64-linux =
with import nixpkgs { system = "x86_64-linux"; };
stdenv.mkDerivation {
name = "production_files";
src = ./src;
nativeBuildInputs = [ pkgs.kicad pkgs.zip pkgs.python3 ];
buildPhase = ''
# kicad-cli requires the use of $HOME
TMP_DIR="$(mktemp --tmpdir -d kicad-shuttler_afe_psu.XXXXXXX)"
export HOME=$TMP_DIR
SCH=shuttler_afe_psu.kicad_sch
PCB=shuttler_afe_psu.kicad_pcb
# Get Revision Number from the Title Block in KiCAD Top Schematics
REV=$(cat $SCH | grep rev | cut -d'"' -f 2)
PREFIX=shuttler_afe_psu_$REV
kicad-cli sch export python-bom $SCH -o $PREFIX"_bom".xml
export PYTHONPATH=${pkgs.kicad.base}/share/kicad/plugins
python ${kicad_bom_generator}/generate_bom_from_xml.py $PREFIX"_bom".xml $PREFIX"_bom".csv
kicad-cli sch export pdf $SCH -o $PREFIX.pdf
kicad-cli pcb export pos $PCB --format csv --units mm -o $PREFIX"_pos".csv
export KICAD7_3DMODEL_DIR=${pkgs.kicad.libraries.packages3d}/share/kicad/3dmodels
kicad-cli pcb export step $PCB --subst-models --force -o $PREFIX.step
mkdir -p $PREFIX"_gerber_drill"
kicad-cli pcb export gerbers $PCB -l 'F.Cu,In1.Cu,In2.Cu,B.Cu,F.Paste,B.Paste,F.Silkscreen,B.Silkscreen,F.Mask,B.Mask,Edge.Cuts' --no-x2 --subtract-soldermask -o ./$PREFIX"_gerber_drill"
# The additional trailing slash is due to a bug in the kicad-cli tool. https://gitlab.com/kicad/code/kicad/-/issues/14438
kicad-cli pcb export drill $PCB -u mm --generate-map --map-format gerberx2 -o ./$PREFIX"_gerber_drill"/
zip -r -j $PREFIX"_gerber_drill" $PREFIX"_gerber_drill"
'';
installPhase = ''
mkdir -p $out/production_files
cp $PREFIX"_bom".csv $out/production_files/$PREFIX"_bom".csv
cp $PREFIX.pdf $out/production_files/$PREFIX.pdf
cp $PREFIX"_pos".csv $out/production_files/$PREFIX"_pos.csv"
cp $PREFIX.step $out/production_files/$PREFIX.step
cp $PREFIX"_gerber_drill".zip $out/production_files/$PREFIX"_gerber_drill".zip
'';
};
};
}

2118
src/PSU_5V.kicad_sch Normal file

File diff suppressed because it is too large Load Diff

4396
src/PSU_P15V_N15V.kicad_sch Normal file

File diff suppressed because it is too large Load Diff

4
src/fp-lib-table Normal file
View File

@ -0,0 +1,4 @@
(fp_lib_table
(version 7)
(lib (name "shuttler_afe_psu")(type "KiCad")(uri "${KIPRJMOD}/shuttler_afe_psu.pretty")(options "")(descr ""))
)

10
src/psu.kicad_sch Normal file
View File

@ -0,0 +1,10 @@
(kicad_sch (version 20230121) (generator eeschema)
(uuid ab40be98-6574-4737-ac2e-7289a8fe3841)
(paper "A4")
(lib_symbols
)
)

42873
src/shuttler_afe_psu.kicad_pcb Normal file

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,521 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.09999999999999999,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.15,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 2.7,
"height": 4.5,
"width": 4.5
},
"silk_line_width": 0.15,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"min_clearance": 0.19999999999999998
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [
"courtyards_overlap|106610001|8150001|40ab3ac3-cb90-4c67-b630-8a937c26393f|f9e05130-f6e5-48ed-b6cb-f1ff9023fe5e",
"courtyards_overlap|113374387|42087911|093abb81-171f-4478-b196-92e43b8d0759|a7e249fd-0ef8-4620-a2b6-084fdd5f2abe",
"courtyards_overlap|116547602|6200001|40ab3ac3-cb90-4c67-b630-8a937c26393f|ded2862b-d487-4559-b7ad-b9822cbdd27e",
"courtyards_overlap|116900001|18850001|36de916f-da6b-4972-aa80-2239738f71d7|40ab3ac3-cb90-4c67-b630-8a937c26393f",
"courtyards_overlap|116900001|22450001|38806c41-f282-4891-aae5-120e4cf393e1|40ab3ac3-cb90-4c67-b630-8a937c26393f",
"courtyards_overlap|116900001|26050001|2f0117a0-b788-49e9-bfc3-e026bd23245c|a7e249fd-0ef8-4620-a2b6-084fdd5f2abe",
"courtyards_overlap|119999999|22450001|36de916f-da6b-4972-aa80-2239738f71d7|38806c41-f282-4891-aae5-120e4cf393e1",
"courtyards_overlap|119999999|24800001|38806c41-f282-4891-aae5-120e4cf393e1|a7e249fd-0ef8-4620-a2b6-084fdd5f2abe",
"courtyards_overlap|119999999|26050001|38806c41-f282-4891-aae5-120e4cf393e1|2f0117a0-b788-49e9-bfc3-e026bd23245c",
"courtyards_overlap|131149999|24800001|40ab3ac3-cb90-4c67-b630-8a937c26393f|a7e249fd-0ef8-4620-a2b6-084fdd5f2abe"
],
"meta": {
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"connection_width": "warning",
"copper_edge_clearance": "error",
"copper_sliver": "warning",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint": "error",
"footprint_type_mismatch": "ignore",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"isolated_copper": "warning",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"lib_footprint_issues": "warning",
"lib_footprint_mismatch": "warning",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "warning",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_edge_clearance": "ignore",
"silk_over_copper": "ignore",
"silk_overlap": "ignore",
"skew_out_of_range": "error",
"solder_mask_bridge": "error",
"starved_thermal": "error",
"text_height": "warning",
"text_thickness": "warning",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zones_intersect": "error"
},
"rules": {
"max_error": 0.005,
"min_clearance": 0.15,
"min_connection": 0.0,
"min_copper_edge_clearance": 0.0,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.19999999999999998,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_resolved_spokes": 2,
"min_silk_clearance": 0.0,
"min_text_height": 0.7999999999999999,
"min_text_thickness": 0.08,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.0,
"min_via_annular_width": 0.09999999999999999,
"min_via_diameter": 0.5,
"solder_mask_clearance": 0.0,
"solder_mask_min_width": 0.0,
"solder_mask_to_copper_clearance": 0.005,
"use_height_for_length_calcs": true
},
"teardrop_options": [
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 5,
"td_on_pad_in_zone": false,
"td_onpadsmd": true,
"td_onroundshapesonly": false,
"td_ontrackend": false,
"td_onviapad": true
}
],
"teardrop_parameters": [
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_round_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_rect_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_track_end",
"td_width_to_size_filter_ratio": 0.9
}
],
"track_widths": [
0.0,
0.2,
0.5,
1.0,
2.0
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.5,
"drill": 0.3
},
{
"diameter": 0.6,
"drill": 0.4
}
],
"zones_allow_external_fillets": false
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "shuttler_afe_psu.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.127,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.25,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"specctra_dsn": "",
"step": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"c97e48c6-1b91-46b1-9398-dc439d951902",
""
],
[
"cf31f862-314e-4364-a0a5-04d22def8b19",
"PSU_5V"
],
[
"1c9805e5-0721-4cff-90db-47e8e40f7e37",
"PSU_P15V_N15V"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,62 @@
(footprint "39-30-Y040" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "39-30-Y040")
(tags "Connector")
(attr through_hole)
(fp_text reference "REF**" (at -4.5 -9) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp bc953541-3590-442f-a013-a1af1b90a310)
)
(fp_text value "39-30-Y040" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp e0734bf8-bd82-413e-a8fe-1f2bfb041188)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp a75308b0-b029-4326-ac64-4875924b3d9e)
)
(fp_line (start -6.9 13.718) (end -6.9 -6.218)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp 73117799-afd9-43c8-80b3-6c9242d81811))
(fp_line (start 2.7 -6.218) (end 2.7 13.718)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp 2aa06061-64f3-48e1-837d-31998fe706d7))
(fp_line (start 2.7 13.718) (end -6.9 13.718)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp 52075d7f-50a0-4be8-a696-f66f90de870b))
(fp_line (start 3.5 0) (end 4.5 -0.5)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp 276e880d-a051-4333-ab39-4e766c755b76))
(fp_line (start 4.5 -0.5) (end 4.5 0.5)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp 8f9a184e-a519-4b00-9b15-d2565fc38c12))
(fp_line (start 4.5 0.5) (end 3.5 0)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp 59aa0811-a520-4a6f-a972-d9014a0bacd0))
(fp_line (start -8.17 -7.7962) (end 3.97 -7.7962)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 27c2565f-0359-4fee-beba-bb0e22264669))
(fp_line (start -8.17 14.988) (end -8.17 -7.7962)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65919f7d-5c9e-4302-b4cc-2b8071a84ca5))
(fp_line (start 3.97 -7.7962) (end 3.97 14.988)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c85aaee3-c6a9-46f2-8fa5-f3b96f0876d1))
(fp_line (start 3.97 14.988) (end -8.17 14.988)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0c8e332-913b-43f7-a4c7-72e2d1bee876))
(fp_line (start -6.9 -6.218) (end 2.7 -6.218)
(stroke (width 0.2) (type solid)) (layer "F.Fab") (tstamp 4fc96e95-f51c-40d1-9262-b49aee7f938a))
(fp_line (start -6.9 13.718) (end -6.9 -6.218)
(stroke (width 0.2) (type solid)) (layer "F.Fab") (tstamp 2a37d10c-8792-4e86-815b-02b8d1263e57))
(fp_line (start 2.7 -6.218) (end 2.7 13.718)
(stroke (width 0.2) (type solid)) (layer "F.Fab") (tstamp 0b1e0137-1293-4b1c-b515-23355d5b0eaa))
(fp_line (start 2.7 13.718) (end -6.9 13.718)
(stroke (width 0.2) (type solid)) (layer "F.Fab") (tstamp 6da73304-7cbe-4195-8cf3-683d13d7adf1))
(fp_line (start 3.5 0) (end 4.5 -0.5)
(stroke (width 0.2) (type solid)) (layer "F.Fab") (tstamp d3e4aa7c-515b-442f-9fe4-a38b0ba4078d))
(fp_line (start 4.5 -0.5) (end 4.5 0.5)
(stroke (width 0.2) (type solid)) (layer "F.Fab") (tstamp 6f300195-143a-4274-b027-59583086bea1))
(fp_line (start 4.5 0.5) (end 3.5 0)
(stroke (width 0.2) (type solid)) (layer "F.Fab") (tstamp 46723942-2e8c-462c-bd7e-8aa22ec171f0))
(pad "" np_thru_hole circle (at -2.1 7.3) (size 3 3) (drill 3) (layers "*.Cu" "*.Mask") (tstamp 8a5696f3-979f-4865-9936-21c5c0bf383b))
(pad "1" thru_hole rect (at 0 0) (size 2.3 2.3) (drill 1.8) (layers "*.Cu" "*.Mask") (tstamp cd6aa139-846b-4cd1-9464-03de42f9d66f))
(pad "2" thru_hole circle (at -4.2 0) (size 2.3 2.3) (drill 1.8) (layers "*.Cu" "*.Mask") (tstamp 7e79728a-9186-474d-8ab0-155cf5e37ac3))
(pad "3" thru_hole circle (at 0 -5.5) (size 2.3 2.3) (drill 1.8) (layers "*.Cu" "*.Mask") (tstamp 99a946a6-9d5c-44f1-aa9b-ebf5b9ca81e0))
(pad "4" thru_hole circle (at -4.2 -5.5) (size 2.3 2.3) (drill 1.8) (layers "*.Cu" "*.Mask") (tstamp 3c1ebc4e-2f5b-41b0-afdd-91dd1b4bebeb))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/39-30-0040.stp"
(offset (xyz -2.059999912 -13.98999956 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,130 @@
(footprint "BGA77C127P7X11_900X1500X262" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "77-Lead (15.00mm × 9.00mm × 2.42mm)")
(tags "Integrated Circuit")
(attr smd)
(fp_text reference "REF**" (at -2 -8.89) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 5750ae12-98be-4b7c-a949-c4c5db303360)
)
(fp_text value "BGA77C127P7X11_900X1500X262" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp ea0ac42e-e111-410a-9fe8-5758c0fdeb94)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp a1e67e07-45b1-400f-8f00-bebf2f33d89e)
)
(fp_line (start -4.5 -6.35) (end -3.35 -7.5)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp d4ddcfcd-5934-4684-b7c4-66f5bb72b5b9))
(fp_line (start -4.5 7.5) (end -4.5 -6.35)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp b1637490-e98d-44cd-b592-a71859970b9b))
(fp_line (start -3.35 -7.5) (end 4.5 -7.5)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 42832a0c-2ff9-49f2-bbe0-7cd3b8f83154))
(fp_line (start 4.5 -7.5) (end 4.5 7.5)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 01cab8a5-af12-44ef-bd9e-2e661d0ca783))
(fp_line (start 4.5 7.5) (end -4.5 7.5)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 2214fd6c-4615-4cba-a0e7-b17d40f1baf6))
(fp_circle (center -4.5 -7.5) (end -4.5 -7.4)
(stroke (width 0.2) (type solid)) (fill none) (layer "F.SilkS") (tstamp 2afc9161-05ba-4236-9d15-d6b6cdaaa8c6))
(fp_line (start -5 -8) (end 5 -8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d1f1bcfd-4ee5-4c00-9321-3823c273fa37))
(fp_line (start -5 8) (end -5 -8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d036626b-88d1-48e9-9664-b469abb3a0ee))
(fp_line (start 5 -8) (end 5 8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3cbf719c-5d7b-4678-8ffc-02f6ad56b67c))
(fp_line (start 5 8) (end -5 8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 089afbaa-1c00-450e-8b26-ecda51ca2043))
(fp_line (start -4.5 -7.5) (end 4.5 -7.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da19a113-0a61-460d-b838-465f6a4f839c))
(fp_line (start -4.5 -3.75) (end -0.75 -7.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9a32322c-2df5-44fe-9cd4-db9570af7f2b))
(fp_line (start -4.5 7.5) (end -4.5 -7.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a71b4841-91cb-4b48-825a-59f7414c2fa4))
(fp_line (start 4.5 -7.5) (end 4.5 7.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 87d4fa57-72ee-4a5f-95c2-2cfec01ccccc))
(fp_line (start 4.5 7.5) (end -4.5 7.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8af4fdcc-6abe-4350-8196-2b942d47f5e0))
(pad "A1" smd circle (at -3.81 -6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b8523f87-802b-4fb9-9b77-1e79cded26ff))
(pad "A2" smd circle (at -2.54 -6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8aee76c1-b928-48fa-a9f0-a0cfaef757aa))
(pad "A3" smd circle (at -1.27 -6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 91026034-36ee-42ee-81b2-e0a750650482))
(pad "A4" smd circle (at 0 -6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp aab054a3-589c-4053-9fa3-c18c0774c89e))
(pad "A5" smd circle (at 1.27 -6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4d8ac879-1ff9-4cf8-aa64-78e0bc853592))
(pad "A6" smd circle (at 2.54 -6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 892ba81b-3012-41b1-be96-5d896433552a))
(pad "A7" smd circle (at 3.81 -6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 886c5814-bf54-479f-9f57-61089a51d71f))
(pad "B1" smd circle (at -3.81 -5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5f81a148-6732-4f5a-bb3b-ac9a9f91c704))
(pad "B2" smd circle (at -2.54 -5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b37e46a1-d1d0-441d-9069-6272a51a649a))
(pad "B3" smd circle (at -1.27 -5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2ff737c2-8c74-41db-bd96-5bed8e7ca77c))
(pad "B4" smd circle (at 0 -5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp af5a2210-848c-4c0a-939c-e3df19dddfb0))
(pad "B5" smd circle (at 1.27 -5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 57207ec4-5dd5-473a-bb25-2a97c79f6153))
(pad "B6" smd circle (at 2.54 -5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 47a3e6ce-a100-486e-8eaf-699499120808))
(pad "B7" smd circle (at 3.81 -5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e9dad160-8b3d-4636-a951-73d84ce43cc3))
(pad "C1" smd circle (at -3.81 -3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1d972787-f914-4e30-b708-24c3e43317ad))
(pad "C2" smd circle (at -2.54 -3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 34a66f59-9e8b-4bae-a106-c4f674fbf315))
(pad "C3" smd circle (at -1.27 -3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp cd3baf63-f130-4087-a715-c7a4f2807cd6))
(pad "C4" smd circle (at 0 -3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 70729950-f22a-4df4-8155-02091dc8cc5a))
(pad "C5" smd circle (at 1.27 -3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 427b63ae-e793-4592-9cd0-513341bd4725))
(pad "C6" smd circle (at 2.54 -3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 038648b1-1436-4afb-bd56-110b3a3f710f))
(pad "C7" smd circle (at 3.81 -3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 931c55d6-75a3-4de2-b3fd-86fb7af542d8))
(pad "D1" smd circle (at -3.81 -2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5043cb38-737c-4992-b847-01d01681e290))
(pad "D2" smd circle (at -2.54 -2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 564661d3-f21b-49f6-a954-5c527f8ea7c3))
(pad "D3" smd circle (at -1.27 -2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 156f2d2b-a412-471c-8c7a-49d469993216))
(pad "D4" smd circle (at 0 -2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d5d11f02-cbed-4ca9-a44a-b4fbc4cfa468))
(pad "D5" smd circle (at 1.27 -2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f649e25f-5a45-4b13-a17b-1e0100e5d907))
(pad "D6" smd circle (at 2.54 -2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4b70d60e-fe2e-40ab-88be-6c2741546077))
(pad "D7" smd circle (at 3.81 -2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ea2cb9bb-7207-49a1-9be8-2ca76ee1e880))
(pad "E1" smd circle (at -3.81 -1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c787fb38-5990-42f2-95fe-a3453002ba48))
(pad "E2" smd circle (at -2.54 -1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c67bd7fe-2b14-4b51-98b1-b7c43a3734d7))
(pad "E3" smd circle (at -1.27 -1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f1ea72fe-6519-4f46-9bb6-3c710059f32d))
(pad "E4" smd circle (at 0 -1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c3e7d4f0-e4b5-4cac-9c2a-23269db64550))
(pad "E5" smd circle (at 1.27 -1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b9acff0d-ccba-4b2c-a6a5-3af65c1c522e))
(pad "E6" smd circle (at 2.54 -1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 40503f15-979d-471f-ae95-13764af9d3b3))
(pad "E7" smd circle (at 3.81 -1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ac2ae753-4772-4e6e-887a-66ee6ea5ac36))
(pad "F1" smd circle (at -3.81 0 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 61a39ca7-47d8-4735-9532-1eabf331591b))
(pad "F2" smd circle (at -2.54 0 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8158d758-a9da-4e14-8653-12fc035cb69c))
(pad "F3" smd circle (at -1.27 0 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8a274b9f-89c0-4d96-892c-8855b990922d))
(pad "F4" smd circle (at 0 0 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6df43347-23f3-4c1d-b538-08209b7bb5e6))
(pad "F5" smd circle (at 1.27 0 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6b7221c6-64b5-4b0d-8fcc-e6ad91f7fa70))
(pad "F6" smd circle (at 2.54 0 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 05d7fe09-dc2a-4c9a-be63-c5cbe4e266c4))
(pad "F7" smd circle (at 3.81 0 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6d02e065-2d63-4194-9036-a9d32d720bf6))
(pad "G1" smd circle (at -3.81 1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp cb486ce8-7627-4633-b957-2cc4af8a21ac))
(pad "G2" smd circle (at -2.54 1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 57648b51-36c5-40ca-b53c-06858ce1775f))
(pad "G3" smd circle (at -1.27 1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 39a906c7-4e23-40d3-9426-0ea9f6b09826))
(pad "G4" smd circle (at 0 1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9062554b-bd51-43df-a2bc-45ae0f3f595d))
(pad "G5" smd circle (at 1.27 1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 96171455-33ce-4fa2-acde-fb5b5daa2478))
(pad "G6" smd circle (at 2.54 1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 853e33be-d7ff-436d-9182-e5a31825790a))
(pad "G7" smd circle (at 3.81 1.27 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 07310a90-97d2-4961-8e7d-ab45a6b61f5b))
(pad "H1" smd circle (at -3.81 2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0c797087-b3f6-46c1-96e9-160715d775cd))
(pad "H2" smd circle (at -2.54 2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 77de71af-2999-4307-8da8-e3bcfd50ed9d))
(pad "H3" smd circle (at -1.27 2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d2a4a535-99c8-4605-807a-0d3c94508280))
(pad "H4" smd circle (at 0 2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 395f22c8-43b8-47e6-bcf7-f719b23fb14f))
(pad "H5" smd circle (at 1.27 2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c24f6670-436c-475a-955a-d95e3b68ca4c))
(pad "H6" smd circle (at 2.54 2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 03c2d571-0b1c-4e3a-9056-df0a8a91eb90))
(pad "H7" smd circle (at 3.81 2.54 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5412bdad-00b0-4276-932f-9b08f5106795))
(pad "J1" smd circle (at -3.81 3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d808ca7d-3da4-4768-b76d-6168043f8155))
(pad "J2" smd circle (at -2.54 3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3e654979-298d-4f5a-9370-c5ae40d350a1))
(pad "J3" smd circle (at -1.27 3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 297c4970-2e46-46b4-a642-a8559d6e9783))
(pad "J4" smd circle (at 0 3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 59056212-9b47-4a26-bb98-220c2c44e32f))
(pad "J5" smd circle (at 1.27 3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1a6dfded-c667-4b49-b83a-e8ebf635a48c))
(pad "J6" smd circle (at 2.54 3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d8486149-683a-462b-bc5c-26dc6d9ec937))
(pad "J7" smd circle (at 3.81 3.81 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5128da76-1eac-4a6f-adf9-f434e7f5a908))
(pad "K1" smd circle (at -3.81 5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f794968e-3099-4ceb-94b4-e94a1aecb647))
(pad "K2" smd circle (at -2.54 5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a24a10a7-7ef7-44e9-9f4c-48db43b1f043))
(pad "K3" smd circle (at -1.27 5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2a93c213-b91a-439e-89dd-8a16e8ef2252))
(pad "K4" smd circle (at 0 5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e70b9177-493d-4d46-97ea-ab00a2ae099a))
(pad "K5" smd circle (at 1.27 5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f0f6d85b-c7f6-4102-be3d-e413f52f4933))
(pad "K6" smd circle (at 2.54 5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp de22ffac-3569-4119-a2e5-a0f5989f30ef))
(pad "K7" smd circle (at 3.81 5.08 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fce94b8d-1ac0-4a08-882e-b114b2bcee9d))
(pad "L1" smd circle (at -3.81 6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 44013776-b506-4717-a729-5d90c76b44a1))
(pad "L2" smd circle (at -2.54 6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8cc92ac6-6efd-4497-949b-805c297fb821))
(pad "L3" smd circle (at -1.27 6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4c2a7ddc-78c5-4163-ab7a-987df0544098))
(pad "L4" smd circle (at 0 6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f28d27db-11a2-4efd-8eb3-3b44aeccc0d7))
(pad "L5" smd circle (at 1.27 6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5afbeca6-afd2-41b8-b6fd-095f66e7d936))
(pad "L6" smd circle (at 2.54 6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp dc5130f2-cff3-4e41-909e-ae895ceb0105))
(pad "L7" smd circle (at 3.81 6.35 90) (size 0.612 0.612) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9792cf62-6240-4243-9c0c-4a25ea894301))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/LTM8049EY#PBF.stp"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,69 @@
(footprint "LTSTS270EKT" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "LTST-S270EKT-3")
(tags "LED")
(attr smd)
(fp_text reference "REF**" (at 0 -3.6) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 7c86b950-6990-4042-81fb-6b63fa47ad2d)
)
(fp_text value "LTSTS270EKT" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 80b9e7a0-31a3-478d-86ff-b5ce42e5355e)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 921ab286-a7db-419f-b4b4-c33728582acd)
)
(fp_line (start -0.3 -0.2) (end -0.3 0.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 24b6ae8a-faae-44c1-8e53-70ff0f91672a))
(fp_line (start 0 1.9) (end 0 1.9)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp 48978c8b-8190-43d8-b247-6eecb175af60))
(fp_line (start 0 2) (end 0 2)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp c1e440aa-229e-42dc-ad7a-5e3ce4db7108))
(fp_line (start 0.3 -0.2) (end 0.3 0.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp f83de11b-058b-4c41-a401-0966e23ac5b0))
(fp_arc (start 0 1.9) (mid 0.05 1.95) (end 0 2)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp e93be93a-c833-4b22-8163-e98a0eba4b3d))
(fp_arc (start 0 2) (mid -0.05 1.95) (end 0 1.9)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp aa9b1b5e-bd4c-40cc-b7da-39c48bf7e9aa))
(fp_line (start -1.55 -2.55) (end -1.55 2.55)
(stroke (width 0.1) (type solid)) (layer "F.CrtYd") (tstamp 42a7562e-5ea6-4651-a5e2-5b5289489bea))
(fp_line (start -1.55 2.55) (end 1.55 2.55)
(stroke (width 0.1) (type solid)) (layer "F.CrtYd") (tstamp 93a76c8e-881b-4c7b-a515-ad025663a1cc))
(fp_line (start 1.55 -2.55) (end -1.55 -2.55)
(stroke (width 0.1) (type solid)) (layer "F.CrtYd") (tstamp f7b05912-b84b-48b7-a381-13905ac99957))
(fp_line (start 1.55 2.55) (end 1.55 -2.55)
(stroke (width 0.1) (type solid)) (layer "F.CrtYd") (tstamp f4ece7ab-54ac-4ca8-835c-60b919378631))
(fp_line (start -1.4 -1.8) (end -1.2 -2.2)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp d9fb4d1a-9754-44a1-9f1f-9a1f30b2cb9f))
(fp_line (start -1.4 -1.8) (end -1.2 -1.4)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp c8d571cf-1efa-4334-abbf-5bc41e18960c))
(fp_line (start -1.4 2) (end -1.2 1.6)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp 4a734372-2c9d-40aa-b8a9-a8b629f80d13))
(fp_line (start -1.4 2) (end -1.2 2.4)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp 754bfeae-003c-4eea-ba5b-7d20661af72d))
(fp_line (start -1.2 -2.2) (end -1.4 -1.8)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp c1134261-d8f2-4749-9148-e588f49ca684))
(fp_line (start -1.2 1.6) (end -1.4 2)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp 66bc3b72-552f-4791-a164-ca9dc95a7244))
(fp_line (start -0.8 -1.8) (end -1.4 -1.8)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp 6148647c-abd0-4558-a54d-45c818e30f2d))
(fp_line (start -0.8 2) (end -1.4 2)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp 5248de99-0a75-440a-b767-ed2149636294))
(fp_line (start -0.3 -0.8) (end -0.3 0.8)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71e0e15c-1f3d-4345-ad17-f36367220a4e))
(fp_line (start -0.3 0.8) (end 0.3 0.8)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 202798bc-1dab-4448-9727-1cdd85c55f52))
(fp_line (start 0.3 -0.8) (end -0.3 -0.8)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65448e6f-1803-4644-9f3f-de51d80a7b6c))
(fp_line (start 0.3 0.8) (end 0.3 -0.8)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1ecf4bca-f0f8-4948-89e9-d7e986ce453b))
(pad "1" smd rect (at 0 1 90) (size 1.1 1.1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0158482a-eee0-4b30-82f1-8c9982d28c3c))
(pad "2" smd rect (at 0 -1 90) (size 1.1 1.1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 48511bfb-f08a-48b7-ae81-67916ed54cbd))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/LTST-S270EKT.stp"
(offset (xyz 0.3 0 0.25))
(scale (xyz 1 1 1))
(rotate (xyz 90 -90 0))
)
)

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,68 @@
(footprint "MAXM17632AMET" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "MAXM17632AME+T-1")
(tags "Integrated Circuit")
(attr smd)
(fp_text reference "REF**" (at -0.5 -3.5) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b8a01a78-e04d-431f-8dac-fdbbf9512960)
)
(fp_text value "MAXM17632AMET" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 7f9df542-0bd6-4aff-83db-f12afd75067b)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 82283a8c-960a-40f9-9771-dcd6c60af52e)
)
(fp_line (start -2.2 -1.25) (end -2.2 -1.25)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 179eca84-f4b1-47d1-9ef3-17005ae7f796))
(fp_line (start -2.2 -1.25) (end -2.2 -1.25)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 2d0c8d53-d1e7-424b-b3c1-7562fe6e0f8a))
(fp_line (start -2.1 -1.25) (end -2.1 -1.25)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp d4cd3641-9468-4259-be11-3bd1816efb18))
(fp_arc (start -2.2 -1.25) (mid -2.15 -1.3) (end -2.1 -1.25)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp fd9e94eb-f1b3-4a1c-b91c-7be589c493d3))
(fp_arc (start -2.1 -1.25) (mid -2.15 -1.2) (end -2.2 -1.25)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 5ecd43c7-382c-4506-91dc-12c30b4f9f6c))
(fp_arc (start -2.1 -1.25) (mid -2.15 -1.2) (end -2.2 -1.25)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp cb99d775-4d02-4dfe-b77b-3ce77919f64d))
(fp_line (start -2.65 -2.65) (end 2.65 -2.65)
(stroke (width 0.1) (type solid)) (layer "F.CrtYd") (tstamp 27a504d1-12b5-4d39-82e4-b6d93be73fed))
(fp_line (start -2.65 2.65) (end -2.65 -2.65)
(stroke (width 0.1) (type solid)) (layer "F.CrtYd") (tstamp 28c12c0f-78c6-472c-93ac-c966d0ba71d6))
(fp_line (start 2.65 -2.65) (end 2.65 2.65)
(stroke (width 0.1) (type solid)) (layer "F.CrtYd") (tstamp 10a0f9e0-6410-4fe9-a66a-98f52226c4bb))
(fp_line (start 2.65 2.65) (end -2.65 2.65)
(stroke (width 0.1) (type solid)) (layer "F.CrtYd") (tstamp 1d3d731d-3354-4c5e-9af9-6a6b587e5d03))
(fp_line (start -1.5 -1.5) (end -1.5 1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0283b30d-f971-4b76-8c2b-310653d35486))
(fp_line (start -1.5 1.5) (end 1.5 1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2223ea7e-182f-4e28-a221-5679dc5f901f))
(fp_line (start 1.5 -1.5) (end -1.5 -1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 18d71619-e94b-4409-b9f3-2b7c8ae6e159))
(fp_line (start 1.5 1.5) (end 1.5 -1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ed884a44-4159-4963-ae8e-5061bb953fc0))
(pad "1" smd rect (at -1.35 -1.25 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a1d9af36-348d-4f25-8523-ef5ce46a45db))
(pad "2" smd rect (at -1.35 -0.75 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp cd6d1cc4-566c-45c4-a6c1-0c32654a9b37))
(pad "3" smd rect (at -1.35 -0.25 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 09e63e51-cce1-4b6f-8440-6c98f4baa336))
(pad "4" smd rect (at -1.35 0.25 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e58556b8-5228-4cba-9c79-ae837f85a4d9))
(pad "5" smd rect (at -1.35 0.75 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7aa217eb-f56a-4b98-acbb-43b7022ae502))
(pad "6" smd rect (at -1.35 1.25 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5cb2b2ab-c32e-4bbc-87a5-735753ceefcf))
(pad "7" smd rect (at -0.55 1.35) (size 0.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b775ff7c-4cd7-4b8d-b844-3e80bb22d161))
(pad "8" smd rect (at 0.55 1.35) (size 0.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ada38d9c-8d1e-4d0c-9944-e609f1ac2824))
(pad "9" smd rect (at 1.35 1.25 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4661da7e-1a13-40bf-8671-6b827f61f6b1))
(pad "10" smd rect (at 1.35 0.75 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 98c52a66-654c-4246-bf44-1dac4511b811))
(pad "11" smd rect (at 1.35 0.25 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 38d1dd2d-bd46-40de-9cbc-2494f97a3710))
(pad "12" smd rect (at 1.35 -0.25 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 42b2d9c5-675a-40f7-b422-8ec8c7077c30))
(pad "13" smd rect (at 1.35 -0.75 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7a23cfbe-3b26-4e6b-9ea8-728ec462e346))
(pad "14" smd rect (at 1.35 -1.25 90) (size 0.25 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4c7853b1-2ee9-4be4-a3fa-2aa503e97a44))
(pad "15" smd rect (at 0.55 -1.35) (size 0.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c974f035-5cf6-4e0b-8970-87829a43607e))
(pad "16" smd rect (at -0.55 -1.35) (size 0.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 82bad5fd-4489-4e30-98b3-0978e22750e4))
(pad "17" smd rect (at 0 0 90) (size 1.6 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2174b3e2-a386-4c6b-b1d2-3ef0a8415fe9))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/MAXM17632AME+T.stp"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,540 @@
(footprint "RDX0007A-MFG" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(tags "TPSM861257RDXR ")
(attr through_hole)
(fp_text reference "REF**" (at 0 -3.81 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp ef98c927-4316-42c2-8878-5d9a823ed363)
)
(fp_text value "RDX0007A-MFG" (at 0 0 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 66c40ef1-d01b-404e-800c-8a3da41c7b02)
)
(fp_text user "${REFERENCE}" (at 0 0 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 7e13abd1-1637-4ae3-bf3d-05c51de79355)
)
(fp_poly
(pts
(xy -1.849999 -1.575001)
(xy -1.799999 -1.625001)
(xy -1.45 -1.625001)
(xy -1.4 -1.575001)
(xy -1.4 -1.425001)
(xy -1.45 -1.375001)
(xy -1.799999 -1.375001)
(xy -1.849999 -1.425001)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp 041cb3d8-e3e3-4408-82da-1a524e9473b8))
(fp_poly
(pts
(xy -1.799999 -1.124999)
(xy -1.45 -1.124999)
(xy -1.4 -1.074999)
(xy -1.4 -0.924999)
(xy -1.45 -0.875)
(xy -1.799999 -0.875)
(xy -1.849999 -0.924999)
(xy -1.849999 -1.074999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp eadf27ea-a968-40cc-a4fb-542b69b31dfd))
(fp_poly
(pts
(xy 1.849999 -1.575001)
(xy 1.849999 -1.425001)
(xy 1.799999 -1.375001)
(xy 1.45 -1.375001)
(xy 1.4 -1.425001)
(xy 1.4 -1.575001)
(xy 1.45 -1.625001)
(xy 1.799999 -1.625001)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp c63f8057-8d8c-41b3-a299-f01f24a1f2e5))
(fp_poly
(pts
(xy 1.849999 -1.074999)
(xy 1.849999 -0.924999)
(xy 1.799999 -0.875)
(xy 1.45 -0.875)
(xy 1.4 -0.924999)
(xy 1.4 -1.074999)
(xy 1.45 -1.124999)
(xy 1.799999 -1.124999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp 73b08c96-1187-4d8a-aeec-26b73ffee2e2))
(fp_poly
(pts
(xy -0.950001 -1.839999)
(xy -0.899998 -1.789999)
(xy -0.900001 -1.595999)
(xy -0.884999 -1.559999)
(xy -0.525 -1.2)
(xy -0.488998 -1.184999)
(xy -0.399999 -1.184999)
(xy -0.349999 -1.134999)
(xy -0.349999 -0.835)
(xy -0.399999 -0.785)
(xy -1.15 -0.785)
(xy -1.2 -0.835)
(xy -1.2 -1.789999)
(xy -1.15 -1.839999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp da93c975-7384-4a99-8dfa-df31d88c5549))
(fp_poly
(pts
(xy 0.950001 -1.839999)
(xy 0.899998 -1.789999)
(xy 0.900001 -1.595999)
(xy 0.884999 -1.559999)
(xy 0.525 -1.2)
(xy 0.488998 -1.184999)
(xy 0.399999 -1.184999)
(xy 0.349999 -1.134999)
(xy 0.349999 -0.835)
(xy 0.399999 -0.785)
(xy 1.15 -0.785)
(xy 1.2 -0.835)
(xy 1.2 -1.790001)
(xy 1.15 -1.839999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp 1686a1e7-0065-4308-9fbc-404ac30eddb1))
(fp_poly
(pts
(xy -0.459997 1.655)
(xy -0.509999 1.705)
(xy -1.35 1.705)
(xy -1.4 1.655)
(xy -1.4 1.589999)
(xy -1.45 1.539999)
(xy -1.799999 1.539999)
(xy -1.849999 1.49)
(xy -1.849999 1.34)
(xy -1.799999 1.29)
(xy -1.3 1.29)
(xy -1.25 1.24)
(xy -1.25 1.09)
(xy -1.3 1.039998)
(xy -1.799999 1.04)
(xy -1.849999 0.990001)
(xy -1.849999 0.840001)
(xy -1.799999 0.789998)
(xy -1.3 0.789998)
(xy -1.25 0.740001)
(xy -1.25 0.589999)
(xy -1.3 0.539999)
(xy -1.799999 0.539999)
(xy -1.849999 0.489999)
(xy -1.849999 0.339999)
(xy -1.799999 0.289999)
(xy -1.3 0.289999)
(xy -1.25 0.239997)
(xy -1.25 0.09)
(xy -1.3 0.039992)
(xy -1.799999 0.04)
(xy -1.849996 -0.00999)
(xy -1.850001 -0.16)
(xy -1.799999 -0.209989)
(xy -1.45 -0.21)
(xy -1.4 -0.259999)
(xy -1.4 -0.325001)
(xy -1.35 -0.374998)
(xy -0.510002 -0.375001)
(xy -0.459999 -0.325001)
(xy -0.459999 0.014999)
(xy -0.509999 0.064996)
(xy -0.880001 0.065001)
(xy -0.930001 0.114998)
(xy -0.930001 0.215001)
(xy -0.880001 0.265001)
(xy -0.509999 0.265001)
(xy -0.459999 0.315001)
(xy -0.460002 0.514998)
(xy -0.509999 0.565)
(xy -0.880001 0.565)
(xy -0.930001 0.615)
(xy -0.930001 0.714997)
(xy -0.880001 0.765)
(xy -0.510002 0.765)
(xy -0.459999 0.815)
(xy -0.459999 1.014999)
(xy -0.509999 1.064999)
(xy -0.880001 1.065002)
(xy -0.930001 1.114999)
(xy -0.930001 1.214999)
(xy -0.880001 1.264999)
(xy -0.509999 1.264999)
(xy -0.459999 1.315001)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp fcaf68fd-14bd-4ba4-ad72-210cab629915))
(fp_poly
(pts
(xy 0.459997 1.655)
(xy 0.509999 1.705)
(xy 1.35 1.705)
(xy 1.4 1.655)
(xy 1.4 1.589999)
(xy 1.45 1.539999)
(xy 1.799999 1.539999)
(xy 1.849999 1.49)
(xy 1.849999 1.34)
(xy 1.799999 1.29)
(xy 1.3 1.29)
(xy 1.25 1.24)
(xy 1.25 1.09)
(xy 1.3 1.039998)
(xy 1.799999 1.04)
(xy 1.849999 0.990001)
(xy 1.849999 0.840001)
(xy 1.799999 0.789998)
(xy 1.3 0.789998)
(xy 1.25 0.740001)
(xy 1.25 0.590001)
(xy 1.3 0.539999)
(xy 1.799999 0.539999)
(xy 1.849999 0.489999)
(xy 1.849999 0.339999)
(xy 1.799999 0.289999)
(xy 1.3 0.289999)
(xy 1.25 0.239997)
(xy 1.25 0.09)
(xy 1.3 0.039992)
(xy 1.799999 0.04)
(xy 1.849996 -0.00999)
(xy 1.850001 -0.16)
(xy 1.799999 -0.209989)
(xy 1.45 -0.21)
(xy 1.4 -0.259997)
(xy 1.4 -0.325001)
(xy 1.35 -0.374998)
(xy 0.510002 -0.375001)
(xy 0.459999 -0.325001)
(xy 0.459999 0.014999)
(xy 0.509999 0.064996)
(xy 0.880001 0.065001)
(xy 0.930001 0.114998)
(xy 0.930001 0.215001)
(xy 0.880001 0.265001)
(xy 0.509999 0.265001)
(xy 0.459999 0.315001)
(xy 0.460002 0.514998)
(xy 0.509999 0.565)
(xy 0.880001 0.565)
(xy 0.930001 0.615)
(xy 0.930001 0.714997)
(xy 0.880001 0.765)
(xy 0.509999 0.765)
(xy 0.459999 0.815)
(xy 0.459999 1.014999)
(xy 0.509999 1.064999)
(xy 0.880001 1.065002)
(xy 0.930001 1.114999)
(xy 0.930001 1.214999)
(xy 0.880001 1.264999)
(xy 0.509999 1.265001)
(xy 0.459999 1.315001)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Paste") (tstamp bced0059-8eb5-4b99-a0e6-c8db037013c0))
(fp_line (start -2.075 -2.374999) (end -1.825 -2.374999)
(stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f4b61f9a-ae7f-4983-aae2-9a8fb8c3c0e4))
(fp_line (start -2.075 -2.125) (end -2.075 -2.374999)
(stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c788b308-48c3-4dd9-8792-20d8bd58f04b))
(fp_line (start -2.075 2.174999) (end -2.075 1.925)
(stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4347ef8a-c61f-4c33-a711-8a1b2990375d))
(fp_line (start -2.075 2.174999) (end -1.825 2.174999)
(stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 88b15db2-a7fe-4c67-b1dc-ba95efbaa4d7))
(fp_line (start -1.9 -1.8) (end -1.9 -3.1)
(stroke (width 0.1) (type default)) (layer "F.SilkS") (tstamp c824706d-a585-4ef7-98e7-84b748fe2e4b))
(fp_line (start 1.8 -1.9) (end 1.8 -3.1)
(stroke (width 0.1) (type default)) (layer "F.SilkS") (tstamp a38cca88-a979-4670-b7fc-967506093ae9))
(fp_line (start 1.825 -2.374999) (end 2.075 -2.374999)
(stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6d508325-e811-42a7-be1e-9305485114f4))
(fp_line (start 1.825 2.174999) (end 2.075 2.174999)
(stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 77bcf728-8e78-4462-a95f-c859713b3e5c))
(fp_line (start 2.075 -2.125) (end 2.075 -2.374999)
(stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2f83ba38-db18-46af-bce6-c6cc6c5d69e4))
(fp_line (start 2.075 2.174999) (end 2.075 1.925)
(stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 80c313f0-a2b1-454b-b71c-50450e370b94))
(fp_circle (center -2.4 -1.25) (end -2.274999 -1.25)
(stroke (width 0.25) (type solid)) (fill none) (layer "F.SilkS") (tstamp 1fcc54ac-b98d-4cde-85f8-519a26e25466))
(fp_poly
(pts
(xy -0.439999 -2.250001)
(xy -0.339999 -2.150001)
(xy -0.339999 -1.649999)
(xy -0.439999 -1.549999)
(xy -0.559999 -1.549999)
(xy -0.660001 -1.649999)
(xy -0.660001 -2.150001)
(xy -0.559999 -2.250001)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 4130aad7-aaf0-477e-b2e7-9ea91125448a))
(fp_poly
(pts
(xy -0.16 -2.150001)
(xy -0.06 -2.250001)
(xy 0.06 -2.250001)
(xy 0.16 -2.150001)
(xy 0.16 -1.649999)
(xy 0.06 -1.549999)
(xy -0.06 -1.549999)
(xy -0.16 -1.649999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 364f55d2-04ce-4cfd-b563-137fd9c230cd))
(fp_poly
(pts
(xy 0.559999 -1.549999)
(xy 0.439999 -1.549999)
(xy 0.339999 -1.649999)
(xy 0.339999 -2.150001)
(xy 0.439999 -2.250001)
(xy 0.559999 -2.250001)
(xy 0.660001 -2.150001)
(xy 0.660001 -1.649999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 92cc984e-53f0-4987-9fbf-b9469b2094fb))
(fp_poly
(pts
(xy -0.924999 -1.849999)
(xy -0.875 -1.799999)
(xy -0.875 -1.592999)
(xy -0.860001 -1.557)
(xy -0.512999 -1.21)
(xy -0.476999 -1.194999)
(xy -0.389999 -1.194999)
(xy -0.339997 -1.144999)
(xy -0.339999 -0.825)
(xy -0.389999 -0.775)
(xy -1.4 -0.775)
(xy -1.45 -0.825)
(xy -1.5 -0.875)
(xy -1.799999 -0.875)
(xy -1.849999 -0.924999)
(xy -1.849999 -1.074999)
(xy -1.799999 -1.124999)
(xy -1.5 -1.124999)
(xy -1.45 -1.174999)
(xy -1.45 -1.324999)
(xy -1.5 -1.375001)
(xy -1.799999 -1.375001)
(xy -1.849999 -1.425001)
(xy -1.849999 -1.575001)
(xy -1.799999 -1.625001)
(xy -1.5 -1.625001)
(xy -1.45 -1.675)
(xy -1.45 -1.799999)
(xy -1.4 -1.849999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp d00cd0bc-3bbc-4053-a15a-a8983e5dec93))
(fp_poly
(pts
(xy 0.924999 -1.849999)
(xy 0.875 -1.799999)
(xy 0.875 -1.592999)
(xy 0.860001 -1.557)
(xy 0.512999 -1.21)
(xy 0.476999 -1.194999)
(xy 0.389999 -1.194999)
(xy 0.339997 -1.144999)
(xy 0.339999 -0.825)
(xy 0.389999 -0.775)
(xy 1.4 -0.775)
(xy 1.45 -0.825)
(xy 1.5 -0.875)
(xy 1.799999 -0.875)
(xy 1.849999 -0.924999)
(xy 1.849999 -1.074999)
(xy 1.799999 -1.124999)
(xy 1.5 -1.124999)
(xy 1.45 -1.174999)
(xy 1.45 -1.324999)
(xy 1.5 -1.375001)
(xy 1.799999 -1.375001)
(xy 1.849999 -1.425001)
(xy 1.849999 -1.575001)
(xy 1.799999 -1.625001)
(xy 1.5 -1.625001)
(xy 1.45 -1.675)
(xy 1.45 -1.799999)
(xy 1.4 -1.849999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 17af7cf9-6f09-4ff6-8254-e62cd16afa17))
(fp_poly
(pts
(xy -0.500002 -0.425)
(xy -0.449999 -0.375001)
(xy -0.449999 1.724998)
(xy -0.499999 1.775)
(xy -1.4 1.775)
(xy -1.45 1.724998)
(xy -1.45 1.589999)
(xy -1.5 1.539999)
(xy -1.799999 1.539999)
(xy -1.849999 1.49)
(xy -1.849999 1.34)
(xy -1.799999 1.29)
(xy -1.5 1.29)
(xy -1.45 1.24)
(xy -1.45 1.09)
(xy -1.5 1.04)
(xy -1.799999 1.04)
(xy -1.849999 0.990001)
(xy -1.849999 0.840001)
(xy -1.799999 0.789998)
(xy -1.5 0.789998)
(xy -1.45 0.740001)
(xy -1.45 0.589999)
(xy -1.5 0.539999)
(xy -1.799999 0.539999)
(xy -1.849999 0.489999)
(xy -1.849999 0.339999)
(xy -1.799999 0.289999)
(xy -1.5 0.289999)
(xy -1.45 0.239997)
(xy -1.45 0.09)
(xy -1.5 0.039997)
(xy -1.799999 0.04)
(xy -1.849999 -0.009997)
(xy -1.850001 -0.16)
(xy -1.799999 -0.209997)
(xy -1.5 -0.21)
(xy -1.45 -0.259999)
(xy -1.45 -0.375001)
(xy -1.4 -0.424998)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 38e18082-595f-4093-8576-b05b5603fcd0))
(fp_poly
(pts
(xy 0.500002 -0.425)
(xy 0.449999 -0.375001)
(xy 0.449999 1.724998)
(xy 0.499996 1.775)
(xy 1.4 1.775)
(xy 1.45 1.724998)
(xy 1.45 1.589999)
(xy 1.5 1.539999)
(xy 1.800001 1.539999)
(xy 1.849999 1.49)
(xy 1.849999 1.34)
(xy 1.799999 1.29)
(xy 1.5 1.29)
(xy 1.45 1.24)
(xy 1.45 1.09)
(xy 1.5 1.04)
(xy 1.799999 1.04)
(xy 1.849999 0.990001)
(xy 1.849999 0.840001)
(xy 1.799999 0.789998)
(xy 1.5 0.790001)
(xy 1.45 0.739999)
(xy 1.45 0.590001)
(xy 1.5 0.539999)
(xy 1.799999 0.539999)
(xy 1.849999 0.489999)
(xy 1.849999 0.339999)
(xy 1.799999 0.289999)
(xy 1.5 0.289999)
(xy 1.45 0.239997)
(xy 1.45 0.09)
(xy 1.5 0.039995)
(xy 1.799999 0.04)
(xy 1.849999 -0.009997)
(xy 1.850001 -0.16)
(xy 1.799999 -0.209997)
(xy 1.5 -0.21)
(xy 1.45 -0.259999)
(xy 1.45 -0.375001)
(xy 1.399997 -0.424998)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp b7196099-bbb2-46f6-816a-eda70b9918e1))
(fp_rect (start -2.2 -2.5) (end 2.2 2.3)
(stroke (width 0.05) (type default)) (fill none) (layer "F.CrtYd") (tstamp d8640b29-51af-4257-bcf7-19d6ac4f2041))
(fp_line (start -1.649999 -2.000001) (end 1.649999 -2.000001)
(stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp eed7ec51-233f-4c46-bf4b-19d9496d5fe4))
(fp_line (start -1.649999 2.000001) (end -1.649999 -2.000001)
(stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3d18ed37-f25f-4707-b3d9-a1fe186507af))
(fp_line (start -1.649999 2.000001) (end 1.649999 2.000001)
(stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bdaa5208-1154-47bd-91dc-5c6b73637f30))
(fp_line (start 1.649999 2.000001) (end 1.649999 -2.000001)
(stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 938bf7ec-4861-4baa-aacf-d83f800bb290))
(pad "1" smd custom (at -1.312499 -1.312499) (size 1.024989 1.024989) (layers "F.Cu")
(options (clearance outline) (anchor circle))
(primitives
(gr_poly
(pts
(xy -0.5875 -0.4875)
(xy -0.4875 -0.5875)
(xy 0.3875 -0.587502)
(xy 0.487499 -0.4875)
(xy 0.487499 -0.321501)
(xy 0.516499 -0.2505)
(xy 0.8055 0.038499)
(xy 0.876498 0.0675)
(xy 0.9225 0.067498)
(xy 1.0225 0.1675)
(xy 1.0225 0.487499)
(xy 0.9225 0.587499)
(xy -0.4875 0.587499)
(xy -0.5875 0.487499)
)
(width 0) (fill yes))
) (tstamp 62732cc9-6be0-4ab0-9f9e-ee0b16f9dbf4))
(pad "2" thru_hole circle (at -1.649999 0.165001) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp 4318e029-1823-4bfc-9da2-60ecf89a68ad))
(pad "2" thru_hole circle (at -1.649999 0.665) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp 618a32f7-b095-451d-88a9-774625890ad1))
(pad "2" thru_hole circle (at -1.649999 1.164999) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp 52bdfeb0-d247-4cde-8768-a1232104622d))
(pad "2" smd rect (at -1.15 0.675) (size 1.5 2.3) (layers "F.Cu") (tstamp fe1da547-9c31-4f46-8fd0-9801ea88caa9))
(pad "2" thru_hole circle (at -0.650001 0.165001) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp 8eb7172a-7490-424a-b65d-ef11ce715339))
(pad "2" thru_hole circle (at -0.650001 0.665) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp c106de06-3f1a-47e4-9d36-26d1d97229e0))
(pad "2" thru_hole circle (at -0.650001 1.164999) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp 71d045b0-3962-49f1-9582-020ad6febc8e))
(pad "3" thru_hole circle (at 0.650001 0.165001) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp d6805e7c-7953-404b-9f37-05ae567df108))
(pad "3" thru_hole circle (at 0.650001 0.665) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp 8418b160-430a-4d4c-8fb5-9f024777bd6f))
(pad "3" thru_hole circle (at 0.650001 1.164999) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp 6b9fbcb0-da5d-4a78-9cab-c548fb94ef1b))
(pad "3" smd rect (at 1.15 0.675) (size 1.5 2.3) (layers "F.Cu") (tstamp c3a7dbcd-6204-42c3-acd5-752b47917ba3))
(pad "3" thru_hole circle (at 1.649999 0.165001) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp ca550dc9-8957-487c-996b-5f5f7e64444f))
(pad "3" thru_hole circle (at 1.649999 0.665) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp b5bbe2e0-c8b5-4220-978b-87b17926d430))
(pad "3" thru_hole circle (at 1.649999 1.164999) (size 0.5 0.5) (drill 0.3) (layers "*.Cu" "*.Mask") (tstamp dc740c51-adc9-42c2-9d20-7c3ecc153b18))
(pad "4" smd custom (at 1.31 -1.32) (size 1.024989 1.024989) (layers "F.Cu")
(options (clearance outline) (anchor circle))
(primitives
(gr_poly
(pts
(xy 0.5875 -0.4875)
(xy 0.4875 -0.5875)
(xy -0.3875 -0.587502)
(xy -0.487499 -0.4875)
(xy -0.487499 -0.321501)
(xy -0.516499 -0.2505)
(xy -0.8055 0.038499)
(xy -0.876498 0.0675)
(xy -0.9225 0.067498)
(xy -1.0225 0.1675)
(xy -1.0225 0.487499)
(xy -0.9225 0.587499)
(xy 0.4875 0.587499)
(xy 0.5875 0.487499)
)
(width 0) (fill yes))
) (tstamp bef11505-773c-45d2-b978-64d5be21abb7))
(pad "5" smd rect (at 0.499999 -1.899999) (size 0.22 0.599999) (layers "F.Cu" "F.Paste") (tstamp 298ac225-d545-4723-86d7-650d126f64e1))
(pad "6" smd rect (at 0 -1.899999) (size 0.22 0.599999) (layers "F.Cu" "F.Paste") (tstamp d0e6232f-ccc0-4027-839a-577b120d229e))
(pad "7" smd rect (at -0.499999 -1.899999) (size 0.22 0.599999) (layers "F.Cu" "F.Paste") (tstamp 6bee7437-ffa9-439e-976d-fd4c7285984f))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/TPSM861257RDXR.stp"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)

View File

@ -0,0 +1,47 @@
(footprint "SHDRRA2W64P0X254_1X2_508X1170X605P" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "22-05-7028")
(tags "Connector")
(attr through_hole)
(fp_text reference "REF**" (at 1.5 2.6) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 183097ce-eaaa-4f71-b7be-532a1a78bfc4)
)
(fp_text value "SHDRRA2W64P0X254_1X2_508X1170X605P" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp e35f06b4-30dd-42ef-8c95-1cd0466c5479)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 51ce812e-389d-44f0-969c-9f95fdb44a6a)
)
(fp_line (start -1.27 -14.67) (end 4.06 -14.67)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp aa3a2298-a306-48a5-8f76-4e32cc5b0e31))
(fp_line (start -1.27 0) (end -1.27 -14.67)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 0a26decc-3c4e-4dc7-b49a-59382997da7c))
(fp_line (start 4.06 -14.67) (end 4.06 -2.72)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 80ea3dc2-68cf-424f-9885-f72e0decdac6))
(fp_line (start -1.52 -14.92) (end -1.52 1.325)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 620ff1ed-91b6-4de9-93fd-d59681faed04))
(fp_line (start -1.52 1.325) (end 4.31 1.325)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1e89ed0-efc1-4e2b-8f89-69fe6a2ad421))
(fp_line (start 4.31 -14.92) (end -1.52 -14.92)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05068140-76ab-4100-9181-e70658cd7ba7))
(fp_line (start 4.31 1.325) (end 4.31 -14.92)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df6b5f7f-9b2a-4d05-b6d0-ae48a3b5e41e))
(fp_line (start -1.27 -14.67) (end 4.06 -14.67)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5287ea6-6629-4821-a1d7-12e9046e50f9))
(fp_line (start -1.27 -2.72) (end -1.27 -14.67)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f024a7dd-8df4-4584-8fd5-e39122e4e31d))
(fp_line (start 4.06 -14.67) (end 4.06 -2.72)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 07a4d96b-dd91-4553-99d8-636e2a36d429))
(fp_line (start 4.06 -2.72) (end -1.27 -2.72)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c851cbeb-ea55-4ef8-abeb-a917284fe425))
(pad "1" thru_hole rect (at 0 0) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") (tstamp 3d33de37-167b-4d1b-bf1e-2f01fc97b893))
(pad "2" thru_hole circle (at 2.54 0) (size 1.65 1.65) (drill 1.1) (layers "*.Cu" "*.Mask") (tstamp aec70da1-1d75-4ded-a47e-30afe5bb62a0))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/22-05-7028.stp"
(offset (xyz 1.279999952 4.280000146 2.880000071))
(scale (xyz 1 1 1))
(rotate (xyz -180 0 -180))
)
)

View File

@ -0,0 +1,54 @@
(footprint "SON50P250X250X80-11N-D" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "DSK(S-PDSO-N10)")
(tags "Integrated Circuit")
(attr smd)
(fp_text reference "REF**" (at 0 -3) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 6e823c93-987c-418c-93e8-f6f84f94a28f)
)
(fp_text value "SON50P250X250X80-11N-D" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 77b8f178-19e1-4730-aad6-e450ed19a756)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp e9edb0ca-16e8-47f1-8454-6b6ee9be50db)
)
(fp_circle (center -1.65 -1.65) (end -1.65 -1.525)
(stroke (width 0.25) (type solid)) (fill none) (layer "F.SilkS") (tstamp 6a7d029a-b459-4ff6-bf4f-e668f654dc11))
(fp_line (start -1.875 -1.55) (end 1.875 -1.55)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bf9ce4e5-e21f-44b6-9f0f-b43d6e4ba9fe))
(fp_line (start -1.875 1.55) (end -1.875 -1.55)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7d4edf3-062b-4a89-91fb-3e1cb355f6ae))
(fp_line (start 1.875 -1.55) (end 1.875 1.55)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8316285-c199-4dd2-ad31-ce4eaf303d72))
(fp_line (start 1.875 1.55) (end -1.875 1.55)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4df9d81-8cbf-41d2-8419-d22af5adb978))
(fp_line (start -1.25 -1.25) (end 1.25 -1.25)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0938edfb-f20c-48eb-a597-3788cc7fd51f))
(fp_line (start -1.25 -0.625) (end -0.625 -1.25)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9c584e8e-8dac-4cbd-a25d-71f5c93eac4a))
(fp_line (start -1.25 1.25) (end -1.25 -1.25)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b6bc6d7-1b41-4d3c-b295-c30a0cc3fefd))
(fp_line (start 1.25 -1.25) (end 1.25 1.25)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b524ab52-fed6-404e-972c-98ecae6113ce))
(fp_line (start 1.25 1.25) (end -1.25 1.25)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7523ad55-9885-41f9-ae78-c76d5b9c478f))
(pad "1" smd rect (at -1.25 -1 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0e02aba0-a3b2-4bc5-ae9b-19c01b350426))
(pad "2" smd rect (at -1.25 -0.5 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e576eb29-4611-4d58-a131-a8eea4d6a1c4))
(pad "3" smd rect (at -1.25 0 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c6c5709d-46d9-4619-a5d9-dc022683db24))
(pad "4" smd rect (at -1.25 0.5 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e80fcb99-c004-4bce-b623-e93719764773))
(pad "5" smd rect (at -1.25 1 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 57ad047d-c1e7-4a02-8a6c-9822e419c9d7))
(pad "6" smd rect (at 1.25 1 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ed8aa009-9f91-4cb5-bb76-9264af941d6b))
(pad "7" smd rect (at 1.25 0.5 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0c8dfed6-ad11-4a9f-9afe-e3f7bde18fe2))
(pad "8" smd rect (at 1.25 0 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 356b27c5-7464-463b-acf1-5e9822445518))
(pad "9" smd rect (at 1.25 -0.5 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 40d99b49-8c1a-42fc-a394-ee913f0c584e))
(pad "10" smd rect (at 1.25 -1 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f2d16837-916a-48ba-8b1f-3c612dfbec9f))
(pad "11" smd rect (at 0 0) (size 1.3 2.1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2ed9ba97-6800-4cd7-b6eb-61d0315a9c31))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/TPS7A9001DSKR.stp"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,52 @@
(footprint "SON50P300X300X80-9N-D" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "8-Lead LFCSP_WD(H=0.8mm)")
(tags "Integrated Circuit")
(attr smd)
(fp_text reference "REF**" (at 0 -2.5 180) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 64d4e049-46e5-4380-a275-d685a79de6b9)
)
(fp_text value "SON50P300X300X80-9N-D" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp ff7a36bb-65ec-4a1f-91e1-a6dc57ae02fc)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp f93502af-3079-4cff-be3e-b254068a6649)
)
(fp_circle (center -1.85 -1.4) (end -1.85 -1.275)
(stroke (width 0.25) (type solid)) (fill none) (layer "F.SilkS") (tstamp 3e0462e2-8fee-4ad3-a730-85cfa01d34f3))
(fp_line (start -2.125 -1.8) (end 2.125 -1.8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8ad5e78e-2802-4dba-9a02-bf98975f2c15))
(fp_line (start -2.125 1.8) (end -2.125 -1.8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 177ed9e0-f9c1-48f9-a863-7d5b537ddc02))
(fp_line (start 2.125 -1.8) (end 2.125 1.8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e29c0747-8146-4456-8c19-af7847f6f340))
(fp_line (start 2.125 1.8) (end -2.125 1.8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c23e458d-19bc-4dd1-88f2-a72d2b200f47))
(fp_line (start -1.5 -1.5) (end 1.5 -1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8ed59a2c-07ac-481a-a0f8-43f04a364e03))
(fp_line (start -1.5 -0.75) (end -0.75 -1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36885a8d-3d8b-4af4-b028-c8b19e2537cf))
(fp_line (start -1.5 1.5) (end -1.5 -1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 458bd478-c31d-4d75-8945-988d099eeff5))
(fp_line (start 1.5 -1.5) (end 1.5 1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c183644d-22d9-4799-b904-f37cdbf47c0d))
(fp_line (start 1.5 1.5) (end -1.5 1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cda211d3-5bb8-4bbc-a163-28c8ee533cd0))
(pad "1" smd rect (at -1.45 -0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3b954466-6588-48dd-911e-aeee0d89feea))
(pad "2" smd rect (at -1.45 -0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6c2d792e-eea3-4270-a298-af051687852b))
(pad "3" smd rect (at -1.45 0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f09d0ba7-d451-4d3d-b448-e28ec0f2a47f))
(pad "4" smd rect (at -1.45 0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 68429fe0-3fe5-4e70-934e-20f52b5229cd))
(pad "5" smd rect (at 1.45 0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4239b671-be8b-4362-965b-7bae8e22cae2))
(pad "6" smd rect (at 1.45 0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7ee90c62-815a-4715-abfa-fe97f583c187))
(pad "7" smd rect (at 1.45 -0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 553ac788-1db6-4fb8-a72b-452131cfb9f1))
(pad "8" smd rect (at 1.45 -0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f6872391-092d-4173-986f-cc6767b519b5))
(pad "9" smd rect (at 0 0) (size 1.74 2.48) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b5bb080a-77dc-4344-9e41-e1249c94a9fd))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/ADP7104ACPZ-R7.stp"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,54 @@
(footprint "SON50P300X300X85-11N-D" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "DD Package 10-Lead Plastic DFN (3mm × 3mm) (Reference LTC DWG # 05-08-1699 Rev C)")
(tags "Integrated Circuit")
(attr smd)
(fp_text reference "REF**" (at 0 -2.5) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 4e72b13d-815e-497c-8a57-9488de86df34)
)
(fp_text value "SON50P300X300X85-11N-D" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 8f279346-a0d3-43e9-8487-d7c308e2eb6b)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp b7d863c3-b072-429f-aba8-f0483da13459)
)
(fp_circle (center -1.9 -1.65) (end -1.9 -1.525)
(stroke (width 0.25) (type solid)) (fill none) (layer "F.SilkS") (tstamp 5a3cc759-3174-440a-9a4e-b73e14ee4e71))
(fp_line (start -2.125 -1.8) (end 2.125 -1.8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 167bc1ec-9fc9-41b6-8ab8-adcee4444933))
(fp_line (start -2.125 1.8) (end -2.125 -1.8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dc7ce6ee-3584-4fc0-b983-e05cc22eac7a))
(fp_line (start 2.125 -1.8) (end 2.125 1.8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f402d554-1dcb-4822-a2c2-5798180649f9))
(fp_line (start 2.125 1.8) (end -2.125 1.8)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4a40021d-3a39-4aef-ae5d-a75f2629b194))
(fp_line (start -1.5 -1.5) (end 1.5 -1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9b9dfd95-8993-4d38-b100-bbd86f9aadb5))
(fp_line (start -1.5 -0.75) (end -0.75 -1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 49ce1080-159b-49de-bdb9-545fdd84f0c7))
(fp_line (start -1.5 1.5) (end -1.5 -1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c936d60a-da9f-4ed2-9c70-9eef77aac1e0))
(fp_line (start 1.5 -1.5) (end 1.5 1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f2d27f9-6738-4724-bb60-d84e1df6a7b2))
(fp_line (start 1.5 1.5) (end -1.5 1.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0f4211af-750e-4d16-8e01-a8e01038a396))
(pad "1" smd rect (at -1.5 -1 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8788958b-d42f-4e1c-b4d6-47189b22fe52))
(pad "2" smd rect (at -1.5 -0.5 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b922a14f-bc10-4014-874f-44f2de2c5fa1))
(pad "3" smd rect (at -1.5 0 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a8becbde-4294-4bb4-bb42-ec5d3f29a7f4))
(pad "4" smd rect (at -1.5 0.5 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8a48bc1a-3d9e-4585-9f09-51e8c14b30d1))
(pad "5" smd rect (at -1.5 1 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9088d017-5feb-42d8-8e80-7a16ae32a620))
(pad "6" smd rect (at 1.5 1 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e8f3f8a8-3612-400a-a520-b1e58f8ceecc))
(pad "7" smd rect (at 1.5 0.5 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 42a9ef1b-aa43-47a0-8eb7-17c6677e2346))
(pad "8" smd rect (at 1.5 0 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4d538d78-75aa-4244-8b12-a084a208aef8))
(pad "9" smd rect (at 1.5 -0.5 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 63d75053-b380-4df0-b139-11d5194ca18c))
(pad "10" smd rect (at 1.5 -1 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 13ec7f12-74a3-4fdb-b914-d0043d830b8f))
(pad "11" smd rect (at 0 0) (size 1.75 2.48) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2f67ae17-b38a-4c20-a54e-7f30998304a8))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/LT3090EDD#PBF.stp"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,56 @@
(footprint "SON50P400X400X100-13N" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "DNT0012B_-")
(tags "Integrated Circuit")
(attr smd)
(fp_text reference "REF**" (at -0.5 -3) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 44c7fa13-da9f-4c19-94cf-565377c5ec5d)
)
(fp_text value "SON50P400X400X100-13N" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 839ae77d-8c05-4732-9e3f-b85c00291bd7)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 00fd12b6-729d-49bf-9176-8e79082c0651)
)
(fp_circle (center -2.375 -1.9) (end -2.375 -1.775)
(stroke (width 0.25) (type solid)) (fill none) (layer "F.SilkS") (tstamp 637ff8ae-49c1-40f9-84d2-adc0e6d0b73c))
(fp_line (start -2.625 -2.3) (end 2.625 -2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 828db0a0-fe39-4d29-80a7-bd79112f51f9))
(fp_line (start -2.625 2.3) (end -2.625 -2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1717c44a-1f67-4b1f-978d-c05adb420b98))
(fp_line (start 2.625 -2.3) (end 2.625 2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3df025d5-b588-4c93-a534-468d17459360))
(fp_line (start 2.625 2.3) (end -2.625 2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e1bd4be-002e-48cc-a469-1695a81ec04d))
(fp_line (start -2 -2) (end 2 -2)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f7478cfd-ccae-4e46-b6b5-aa29e6feed75))
(fp_line (start -2 -1) (end -1 -2)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d4b1a2a9-4d81-425f-8b8a-d0ccf6826524))
(fp_line (start -2 2) (end -2 -2)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e8e45a5d-b418-4da2-90c9-23c5d8a6b877))
(fp_line (start 2 -2) (end 2 2)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8ae842c-51f3-41b0-b4dd-945653c94575))
(fp_line (start 2 2) (end -2 2)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0019babc-b7d8-41fa-a6d1-e4ee8e3c5fb7))
(pad "1" smd rect (at -1.95 -1.25 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 653278e0-36a1-4ba3-bf95-6deb9f95224b))
(pad "2" smd rect (at -1.95 -0.75 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 526a7dc6-c230-471b-bc31-cd5eb919c400))
(pad "3" smd rect (at -1.95 -0.25 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c51920ea-6e8b-4349-b334-1acaddf9bd2f))
(pad "4" smd rect (at -1.95 0.25 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 658cbe47-dbcb-4a17-8c06-73c77ac27971))
(pad "5" smd rect (at -1.95 0.75 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e8eb811b-c07d-4122-93f6-9dc1b3438c29))
(pad "6" smd rect (at -1.95 1.25 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2fcde140-d422-4ae3-bbf7-f76e5aa8cb4a))
(pad "7" smd rect (at 1.95 1.25 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 25bdb52b-0ddc-45ec-ab9c-121ca22f896f))
(pad "8" smd rect (at 1.95 0.75 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2ff4e900-7117-4ce9-82e6-84fb90a6dcbc))
(pad "9" smd rect (at 1.95 0.25 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2fdd8bec-12fb-46da-86f5-a25687211667))
(pad "10" smd rect (at 1.95 -0.25 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0b9a6f29-8a93-4088-a67b-41df1ab4db5f))
(pad "11" smd rect (at 1.95 -0.75 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7853edf9-f14f-45ca-b15b-0b732b865695))
(pad "12" smd rect (at 1.95 -1.25 90) (size 0.3 0.85) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 70444630-55a3-46ff-a0fd-f057436a3de6))
(pad "13" smd rect (at 0 0) (size 2.7 3.1) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9043f370-10dd-42a1-84df-5cc5f4793a49))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/LP38798SD-ADJ_NOPB.stp"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,56 @@
(footprint "SON50P400X400X80-13N-D" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "DF Package 12-Lead Plastic DFN (4mm × 4mm)")
(tags "Integrated Circuit")
(attr smd)
(fp_text reference "REF**" (at -0.5 -3) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp d612ab83-d6c9-42ae-95c2-ed43a027c07e)
)
(fp_text value "SON50P400X400X80-13N-D" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 4fd99901-ea3f-4d93-9687-438911f6dff5)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 7b2bf5f6-1653-4899-9f08-8c4692a46009)
)
(fp_circle (center -2.4 -1.9) (end -2.4 -1.775)
(stroke (width 0.25) (type solid)) (fill none) (layer "F.SilkS") (tstamp ec897bdc-62e9-4071-b3e4-1a3117327194))
(fp_line (start -2.625 -2.3) (end 2.625 -2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a7e2ffc-43a0-467e-a017-b12c9516ef9f))
(fp_line (start -2.625 2.3) (end -2.625 -2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 71a46170-71a6-4d62-99a4-88d96da8b770))
(fp_line (start 2.625 -2.3) (end 2.625 2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46bd569d-1700-40bb-9124-f717cd17a560))
(fp_line (start 2.625 2.3) (end -2.625 2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6451dfff-e908-410a-be28-1293e82db6ad))
(fp_line (start -2 -2) (end 2 -2)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ec8b4f26-421c-4c48-bc51-7ac7aff78dc8))
(fp_line (start -2 -1) (end -1 -2)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b3c9e3a4-f853-40bb-90f2-9cce06030164))
(fp_line (start -2 2) (end -2 -2)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f811a501-1e56-4a30-b761-250a81f38220))
(fp_line (start 2 -2) (end 2 2)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 83447d1a-ec3c-424a-b663-893a0b040fb7))
(fp_line (start 2 2) (end -2 2)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50e4b0f7-3bf1-46fd-9939-d58829df8ef5))
(pad "1" smd rect (at -2 -1.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2e91145b-672b-4632-a4d8-85235e8ee52e))
(pad "2" smd rect (at -2 -0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 353ccca4-e6ec-4bc8-ba8d-1a851460f226))
(pad "3" smd rect (at -2 -0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 28c07fd0-11a1-4a4a-a54a-d23ca1f55e75))
(pad "4" smd rect (at -2 0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a3c74423-e4c9-4657-8cc5-27db763671eb))
(pad "5" smd rect (at -2 0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c6270736-0f12-4dea-a8f3-2ee2d9032d6a))
(pad "6" smd rect (at -2 1.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6305b5bc-fff6-4bec-b60c-b4ebe3507c9b))
(pad "7" smd rect (at 2 1.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d367ac94-e046-4130-bedf-98557c0c46a0))
(pad "8" smd rect (at 2 0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 39a72a75-861d-4c11-be3c-d35cf54e71b9))
(pad "9" smd rect (at 2 0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bafdabb2-82d8-49f8-af97-09103c3c61ae))
(pad "10" smd rect (at 2 -0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5be4aaf3-49eb-4d65-860a-ee620db32571))
(pad "11" smd rect (at 2 -0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e545691d-8ce1-4eb6-8f01-11a49d9db7c2))
(pad "12" smd rect (at 2 -1.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2276e29d-1dd4-4b6e-8271-ce7703b76a75))
(pad "13" smd rect (at 0 0) (size 2.75 3.48) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ca2f4167-4ff5-4a70-ae2e-2d2ac03a39b6))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/LT3089EDF#PBF.stp"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,57 @@
(footprint "SWITCHCRAFT_712RA" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(attr through_hole)
(fp_text reference "REF**" (at -11.5 -6.5) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b84c3321-c0d0-4508-a75f-b65df709700d)
)
(fp_text value "SWITCHCRAFT_712RA" (at -3.175 6.985) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 8dbcdc6f-0f86-48f8-995b-d16ea94aa111)
)
(fp_line (start -13.9446 -5.461) (end 0 -5.461)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp 50817f74-d5fd-4cf0-b738-6ea17817bf83))
(fp_line (start -13.9446 5.461) (end -13.9446 -5.461)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp e4fd3a02-339f-4f24-85ce-74c4fc5290ec))
(fp_line (start 0 -5.461) (end 0 -5)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp 5ba3b95a-3532-48e8-8c95-42528dc2f791))
(fp_line (start 0 -1.55) (end 0 1.55)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp 4ad09fc7-9a11-4efd-8347-7e3b9345633b))
(fp_line (start 0 5) (end 0 5.461)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp c6cb1eee-a692-44bf-ac29-db119d4e5374))
(fp_line (start 0 5.461) (end -13.9446 5.461)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp fc9e3dac-db6a-4bba-b08a-a7e82403feb1))
(fp_line (start -19.6556 -5.711) (end 1.55 -5.711)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp afa7eb34-ae4e-4717-b704-6dd1bb3c0643))
(fp_line (start -19.6556 5.711) (end -19.6556 -5.711)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5efa628c-832f-400b-92c7-4dc068f5e4f3))
(fp_line (start 1.55 -5.711) (end 1.55 5.711)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 322dda83-74b1-40f0-8fc4-16e010e5bfea))
(fp_line (start 1.55 5.711) (end -19.6556 5.711)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 605be630-ca2a-4a86-9645-799cb0b8e011))
(fp_line (start -19.4056 -5.461) (end -13.9446 -5.461)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 061971a4-4d8f-4e0c-94bc-2d0de98962ca))
(fp_line (start -19.4056 5.461) (end -19.4056 -5.461)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 94fa5400-455f-4c94-b386-22b1455ba170))
(fp_line (start -13.9446 -5.461) (end 0 -5.461)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp f34c96de-2e4d-4697-8dc8-07f2189196f5))
(fp_line (start -13.9446 5.461) (end -19.4056 5.461)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp e173d1fd-d59d-43f8-afb1-ada61fd84694))
(fp_line (start -13.9446 5.461) (end -13.9446 -5.461)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp cd2673c1-0180-44a9-b978-83721c60711b))
(fp_line (start 0 -5.461) (end 0 5.461)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 15ba55d3-8f7a-40ce-98bc-011a1d3d1fed))
(fp_line (start 0 5.461) (end -13.9446 5.461)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 8094c634-3b9a-4a9c-8155-d7a65a7efcab))
(pad "C" thru_hole circle (at 0 -3.302) (size 2.5908 2.5908) (drill 1.7272) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp f3aa326b-aac8-44f3-ab01-7bfe347eaae0))
(pad "S" thru_hole circle (at -5.588 0) (size 2.5908 2.5908) (drill 1.7272) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp e4de20dd-debc-4c71-b952-856986e0c9a3))
(pad "SS" thru_hole circle (at 0 3.302) (size 2.5908 2.5908) (drill 1.7272) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp 88a59fe4-d8db-48d3-b448-9b27ea57461f))
(model "${KIPRJMOD}/shuttler_afe_psu.pretty/3D/712RA.step"
(offset (xyz -14 0 8.4))
(scale (xyz 1 1 1))
(rotate (xyz -90 180 90))
)
)

4
src/sym-lib-table Normal file
View File

@ -0,0 +1,4 @@
(sym_lib_table
(version 7)
(lib (name "shuttler_afe_psu")(type "KiCad")(uri "${KIPRJMOD}/shuttler_afe_psu.kicad_sym")(options "")(descr ""))
)