1
0
Fork 0

Compare commits

...

10 Commits

Author SHA1 Message Date
linuswck 929ff58706 sch: Set DNP for DNP components 2023-10-25 17:36:20 +08:00
linuswck 57e013c9c5 sch: Support Temp ADC in alternate footprint
- Issue #12
- Add Alternate AD7172-4BCPZ circuitry, symbol, footprint and 3D model
2023-10-25 17:36:20 +08:00
linuswck d2c80458aa sch: Do not pass MCU RST to ETH
- D3 -> DNP
2023-10-25 17:36:20 +08:00
linuswck f732b9944a sch: Fix Issue #14 2023-10-25 17:36:20 +08:00
linuswck f1bda76636 sch: correct ethernet phy sigs connections to ESD
- Pull up eth signals with 100R instead of pull down
2023-10-25 17:36:20 +08:00
linuswck 3a1dce0107 sch: Tune LD- Out Series RC Network
- R98 3R3 -> 10R
- C192 100n PPS -> DNP
- Add C199 2n2 in parallel C192
2023-10-25 17:36:20 +08:00
linuswck 1fad3bf64d sch: Tune the LD V-I Output Stage Feedback Network
- R67 -> 0R
- C175 -> 100pF
2023-10-25 17:36:20 +08:00
linuswck e62bf3b8d6 footprint: Correct PM1202 footprint
- enlarge courtyard and silkscreen to reflect the clearance requirement so that it can be fully seated onto the PCB
2023-10-25 17:36:20 +08:00
linuswck dfeb1ec6a8 sch: LD DAC add parallel Cap to output resistor
- Increase the Mod_In Signal Bandwidth
- See Issue #22
2023-10-25 17:36:20 +08:00
linuswck 2df59fbce9 sch: Use TL082 for PD_Mon TIA and LPF Stage 2023-10-25 17:36:20 +08:00
9 changed files with 16776 additions and 1708 deletions

View File

@ -1279,9 +1279,6 @@
(junction (at 236.22 76.2) (diameter 0) (color 0 0 0 0)
(uuid 0895bf43-6e71-4c64-9792-f91041d36e7d)
)
(junction (at 238.76 107.95) (diameter 0) (color 0 0 0 0)
(uuid 0a3f8905-d30e-42b4-aa01-ca483f253b35)
)
(junction (at 210.82 201.93) (diameter 0) (color 0 0 0 0)
(uuid 0b0d0037-8e65-4c9c-87fb-04403bf345d3)
)
@ -1318,15 +1315,15 @@
(junction (at 147.32 44.45) (diameter 0) (color 0 0 0 0)
(uuid 1e4b1b14-7c16-431f-beb1-439301e43d46)
)
(junction (at 193.675 123.19) (diameter 0) (color 0 0 0 0)
(uuid 1ee85df4-bbba-43d2-82ed-e5e3f5d79793)
)
(junction (at 210.82 138.43) (diameter 0) (color 0 0 0 0)
(uuid 2235b3da-c207-4b86-b986-c3e7b4e59998)
)
(junction (at 254 91.44) (diameter 0) (color 0 0 0 0)
(uuid 243d913d-cee1-47ab-ab6c-e68709c31df9)
)
(junction (at 266.7 115.57) (diameter 0) (color 0 0 0 0)
(uuid 28ea61da-3239-477e-a7c9-1763d96c0537)
)
(junction (at 236.22 49.53) (diameter 0) (color 0 0 0 0)
(uuid 2c20006e-80ab-4b3b-8fdd-e14338aad2e7)
)
@ -1336,9 +1333,6 @@
(junction (at 196.85 184.15) (diameter 0) (color 0 0 0 0)
(uuid 2c91627c-b795-42bf-8c9b-a313ab7f1483)
)
(junction (at 266.7 123.19) (diameter 0) (color 0 0 0 0)
(uuid 2d80d8f2-def5-4055-a5a2-6e3701e81a4c)
)
(junction (at 88.9 237.49) (diameter 0) (color 0 0 0 0)
(uuid 309051ca-9b8d-4469-b04f-78c507cf6201)
)
@ -1357,6 +1351,9 @@
(junction (at 254 44.45) (diameter 0) (color 0 0 0 0)
(uuid 35a6280e-3762-477a-97fe-981dd097032f)
)
(junction (at 238.76 104.14) (diameter 0) (color 0 0 0 0)
(uuid 37b89567-b655-4f71-8509-acb37a2f61e9)
)
(junction (at 267.97 76.2) (diameter 0) (color 0 0 0 0)
(uuid 396ef4c0-b910-4a76-8f49-a543d9542def)
)
@ -1372,7 +1369,7 @@
(junction (at 163.83 76.2) (diameter 0) (color 0 0 0 0)
(uuid 3c151759-1b14-4df3-95f5-203201e527b9)
)
(junction (at 317.5 107.95) (diameter 0) (color 0 0 0 0)
(junction (at 306.705 107.95) (diameter 0) (color 0 0 0 0)
(uuid 3c91f41f-8513-4e4e-9707-901f5c8aa3fd)
)
(junction (at 99.06 44.45) (diameter 0) (color 0 0 0 0)
@ -1405,7 +1402,7 @@
(junction (at 267.97 138.43) (diameter 0) (color 0 0 0 0)
(uuid 52967ac8-168e-4530-a2f9-6763bc448862)
)
(junction (at 175.26 107.95) (diameter 0) (color 0 0 0 0)
(junction (at 172.085 104.14) (diameter 0) (color 0 0 0 0)
(uuid 53698e44-dc7f-47ea-9a70-c2eea206cc8b)
)
(junction (at 85.09 168.91) (diameter 0) (color 0 0 0 0)
@ -1459,10 +1456,10 @@
(junction (at 281.94 168.91) (diameter 0) (color 0 0 0 0)
(uuid 6657409d-bd0c-4094-92ee-964176391ddc)
)
(junction (at 186.69 107.95) (diameter 0) (color 0 0 0 0)
(junction (at 183.515 104.14) (diameter 0) (color 0 0 0 0)
(uuid 67f48482-e5cd-4750-998f-49c0804c9c30)
)
(junction (at 317.5 123.19) (diameter 0) (color 0 0 0 0)
(junction (at 306.705 123.19) (diameter 0) (color 0 0 0 0)
(uuid 695e16cb-d9c1-412a-9280-15e1c9fe5ffb)
)
(junction (at 281.94 44.45) (diameter 0) (color 0 0 0 0)
@ -1504,6 +1501,9 @@
(junction (at 135.89 201.93) (diameter 0) (color 0 0 0 0)
(uuid 8fa7739d-3e70-4d60-a3cc-8de6d58ab34c)
)
(junction (at 233.68 110.49) (diameter 0) (color 0 0 0 0)
(uuid 8fd5e44e-3e65-4674-a541-aa3ea5e62c9e)
)
(junction (at 186.69 91.44) (diameter 0) (color 0 0 0 0)
(uuid 9069f169-e5c4-4b6d-81c1-5cf8aa474121)
)
@ -1552,7 +1552,7 @@
(junction (at 267.97 184.15) (diameter 0) (color 0 0 0 0)
(uuid acafc507-6095-4070-a525-a5be22a85e44)
)
(junction (at 299.72 123.19) (diameter 0) (color 0 0 0 0)
(junction (at 288.925 123.19) (diameter 0) (color 0 0 0 0)
(uuid af0bbbe3-87a3-4bb7-85de-81c9eea8c804)
)
(junction (at 254 76.2) (diameter 0) (color 0 0 0 0)
@ -1564,7 +1564,7 @@
(junction (at 163.83 138.43) (diameter 0) (color 0 0 0 0)
(uuid b1f95f61-f631-4264-ab36-13ac097ef51c)
)
(junction (at 186.69 123.19) (diameter 0) (color 0 0 0 0)
(junction (at 183.515 123.19) (diameter 0) (color 0 0 0 0)
(uuid b24ac526-d912-44b1-b3ec-53e28b20e5a4)
)
(junction (at 175.26 252.73) (diameter 0) (color 0 0 0 0)
@ -1606,7 +1606,7 @@
(junction (at 175.26 184.15) (diameter 0) (color 0 0 0 0)
(uuid bf699847-80ce-42a3-b56b-779f49b37112)
)
(junction (at 163.83 107.95) (diameter 0) (color 0 0 0 0)
(junction (at 160.655 104.14) (diameter 0) (color 0 0 0 0)
(uuid c0609644-355a-4e91-a312-ef61a81b03a2)
)
(junction (at 186.69 153.67) (diameter 0) (color 0 0 0 0)
@ -1642,7 +1642,7 @@
(junction (at 73.66 201.93) (diameter 0) (color 0 0 0 0)
(uuid d289b8ca-bec2-4c54-961f-c38637745dcc)
)
(junction (at 175.26 123.19) (diameter 0) (color 0 0 0 0)
(junction (at 172.085 123.19) (diameter 0) (color 0 0 0 0)
(uuid d32d51c4-15f9-46ed-9ced-ce7e2d8b2e2f)
)
(junction (at 281.94 59.69) (diameter 0) (color 0 0 0 0)
@ -1669,7 +1669,7 @@
(junction (at 208.28 219.71) (diameter 0) (color 0 0 0 0)
(uuid e0eac3cf-7c0d-48e7-8fdb-4e617a4ec698)
)
(junction (at 299.72 107.95) (diameter 0) (color 0 0 0 0)
(junction (at 288.925 107.95) (diameter 0) (color 0 0 0 0)
(uuid e2f5a7c1-2902-4321-ad31-6c05f5839d9b)
)
(junction (at 175.26 76.2) (diameter 0) (color 0 0 0 0)
@ -1693,7 +1693,7 @@
(junction (at 267.97 91.44) (diameter 0) (color 0 0 0 0)
(uuid ea6489a6-e2fc-400a-af89-604b11739265)
)
(junction (at 281.94 123.19) (diameter 0) (color 0 0 0 0)
(junction (at 271.145 123.19) (diameter 0) (color 0 0 0 0)
(uuid eba77679-00b7-4f53-9b56-60cd0f0d49ca)
)
(junction (at 175.26 44.45) (diameter 0) (color 0 0 0 0)
@ -1732,7 +1732,7 @@
(junction (at 186.69 44.45) (diameter 0) (color 0 0 0 0)
(uuid f6ece454-bae9-4e69-97f6-d7305dc4d7d9)
)
(junction (at 281.94 107.95) (diameter 0) (color 0 0 0 0)
(junction (at 271.145 107.95) (diameter 0) (color 0 0 0 0)
(uuid f9088186-0edb-45df-a8e4-6ddaab90f3b9)
)
(junction (at 129.54 217.17) (diameter 0) (color 0 0 0 0)
@ -1750,6 +1750,7 @@
(no_connect (at 233.68 176.53) (uuid 0a19e655-c05a-4d7f-9eb2-b40fa3868067))
(no_connect (at 233.68 245.11) (uuid 4193e8fd-dc22-47a6-ae4f-ab8201b981e1))
(no_connect (at 261.62 115.57) (uuid 419cb2af-e65e-49cd-a4da-697aba891e31))
(no_connect (at 233.68 46.99) (uuid 46364999-f3cd-43ee-9367-ecc88c0241ff))
(no_connect (at 213.36 209.55) (uuid 8a0e283b-96a5-48b2-bd8b-a7e58f69710c))
(no_connect (at 213.36 207.01) (uuid 8a0e283b-96a5-48b2-bd8b-a7e58f69710d))
@ -1800,7 +1801,7 @@
(stroke (width 0) (type default))
(uuid 0343ebfa-0cf7-47bd-b762-0fcac344f80e)
)
(wire (pts (xy 281.94 107.95) (xy 281.94 111.76))
(wire (pts (xy 271.145 107.95) (xy 271.145 111.76))
(stroke (width 0) (type default))
(uuid 038e0f55-5823-407a-9427-ca2a5006e1c2)
)
@ -1832,7 +1833,7 @@
(stroke (width 0) (type default))
(uuid 06db20e9-c326-4227-aa19-357040e31e61)
)
(wire (pts (xy 299.72 107.95) (xy 299.72 111.76))
(wire (pts (xy 288.925 107.95) (xy 288.925 111.76))
(stroke (width 0) (type default))
(uuid 07919f73-6cd2-4895-8b0b-0c4075496941)
)
@ -1840,7 +1841,7 @@
(stroke (width 0) (type default))
(uuid 0800e97a-3671-4688-a1c3-ea9ff80f3760)
)
(wire (pts (xy 160.02 107.95) (xy 163.83 107.95))
(wire (pts (xy 156.845 104.14) (xy 160.655 104.14))
(stroke (width 0) (type default))
(uuid 08c6e6e0-243b-48dd-8183-646198320e9f)
)
@ -1856,7 +1857,7 @@
(stroke (width 0) (type default))
(uuid 0a9ee2c8-8f5b-43ae-9abb-c8a8171b146e)
)
(wire (pts (xy 175.26 123.19) (xy 186.69 123.19))
(wire (pts (xy 172.085 123.19) (xy 183.515 123.19))
(stroke (width 0) (type default))
(uuid 0aae7e2e-e12f-4045-b27a-765a97f3f244)
)
@ -1864,7 +1865,7 @@
(stroke (width 0) (type default))
(uuid 0c7bff21-2a87-4dcf-8596-27b412f9ed45)
)
(wire (pts (xy 186.69 123.19) (xy 196.85 123.19))
(wire (pts (xy 183.515 123.19) (xy 193.675 123.19))
(stroke (width 0) (type default))
(uuid 0c977c94-1d8a-4919-9669-57b753262e27)
)
@ -1888,7 +1889,7 @@
(stroke (width 0) (type default))
(uuid 0e78cff6-34ef-42a4-9684-d5cdea5780a8)
)
(wire (pts (xy 175.26 123.19) (xy 175.26 119.38))
(wire (pts (xy 172.085 123.19) (xy 172.085 119.38))
(stroke (width 0) (type default))
(uuid 0eb331e0-1bab-45ed-b39f-d4059c9fa705)
)
@ -1944,7 +1945,7 @@
(stroke (width 0) (type default))
(uuid 1b883435-8c01-4bfc-b409-4ef52a029609)
)
(wire (pts (xy 186.69 107.95) (xy 238.76 107.95))
(wire (pts (xy 183.515 104.14) (xy 238.76 104.14))
(stroke (width 0) (type default))
(uuid 1bd9a38b-e1df-434a-b6d1-04d27186d229)
)
@ -2060,11 +2061,7 @@
(stroke (width 0) (type default))
(uuid 2f46b466-c97c-4b01-aa39-494cc47deeb8)
)
(wire (pts (xy 241.3 110.49) (xy 238.76 110.49))
(stroke (width 0) (type default))
(uuid 2f597058-d4f0-46b6-8c5e-049b4cc5fd09)
)
(wire (pts (xy 281.94 107.95) (xy 299.72 107.95))
(wire (pts (xy 271.145 107.95) (xy 288.925 107.95))
(stroke (width 0) (type default))
(uuid 2f5b0881-fef4-4431-9bc8-a929267cbb9d)
)
@ -2076,7 +2073,7 @@
(stroke (width 0) (type default))
(uuid 300571f7-af13-4bc8-adc3-904e1dcfd701)
)
(wire (pts (xy 281.94 123.19) (xy 281.94 119.38))
(wire (pts (xy 271.145 123.19) (xy 271.145 119.38))
(stroke (width 0) (type default))
(uuid 307648c3-5962-4533-965c-d69202269cb3)
)
@ -2180,6 +2177,10 @@
(stroke (width 0) (type default))
(uuid 469fcdd7-cb0d-4c10-8814-61bb527bcc05)
)
(wire (pts (xy 199.39 107.95) (xy 193.675 107.95))
(stroke (width 0) (type default))
(uuid 476dc2ac-f49f-44f2-917f-fecf05edd37c)
)
(wire (pts (xy 113.03 44.45) (xy 124.46 44.45))
(stroke (width 0) (type default))
(uuid 47e2a3b9-6ad7-4ba7-a7d5-adff1caaa8f6)
@ -2212,7 +2213,7 @@
(stroke (width 0) (type default))
(uuid 4e49dd34-fb11-4098-be29-57a9b6d34931)
)
(wire (pts (xy 163.83 107.95) (xy 175.26 107.95))
(wire (pts (xy 160.655 104.14) (xy 172.085 104.14))
(stroke (width 0) (type default))
(uuid 4ea5a2b4-fd98-4c8a-b483-38f639de48fb)
)
@ -2220,10 +2221,6 @@
(stroke (width 0) (type default))
(uuid 4ecf0ec2-3542-493a-9761-9a1945fc8a56)
)
(wire (pts (xy 261.62 115.57) (xy 266.7 115.57))
(stroke (width 0) (type default))
(uuid 51371698-dd16-4db9-b1a4-756653377aed)
)
(wire (pts (xy 254 48.26) (xy 254 44.45))
(stroke (width 0) (type default))
(uuid 51c95379-76e8-4f03-9dec-3e903f959bb9)
@ -2276,6 +2273,10 @@
(stroke (width 0) (type default))
(uuid 57de892e-16ae-4c45-bcf7-2c00eb604273)
)
(wire (pts (xy 217.805 107.95) (xy 217.805 110.49))
(stroke (width 0) (type default))
(uuid 57fac1d6-dc49-4659-98a0-251332334724)
)
(wire (pts (xy 210.82 134.62) (xy 210.82 138.43))
(stroke (width 0) (type default))
(uuid 58d62f1a-1603-4607-8a67-9b2738b095db)
@ -2296,7 +2297,7 @@
(stroke (width 0) (type default))
(uuid 5b25ee4f-1c71-4765-bb1b-5b428ea2faf7)
)
(wire (pts (xy 299.72 123.19) (xy 299.72 119.38))
(wire (pts (xy 288.925 123.19) (xy 288.925 119.38))
(stroke (width 0) (type default))
(uuid 5c7ea8db-34d6-4e54-a266-96ec986635ed)
)
@ -2308,6 +2309,10 @@
(stroke (width 0) (type default))
(uuid 5e97a01c-c388-4848-803a-cc469705016e)
)
(wire (pts (xy 233.68 110.49) (xy 241.3 110.49))
(stroke (width 0) (type default))
(uuid 5f14cb98-eabd-4157-bf2e-ca7637d0b154)
)
(wire (pts (xy 233.68 138.43) (xy 236.22 138.43))
(stroke (width 0) (type default))
(uuid 5f704675-183d-4387-841d-6c9c415c2171)
@ -2324,7 +2329,7 @@
(stroke (width 0) (type default))
(uuid 60a0ba67-9c2b-4d8a-b1c1-7c7fa25074da)
)
(wire (pts (xy 163.83 123.19) (xy 175.26 123.19))
(wire (pts (xy 160.655 123.19) (xy 172.085 123.19))
(stroke (width 0) (type default))
(uuid 61211f06-c847-4aa4-8fe1-16ef7f24b96d)
)
@ -2348,7 +2353,7 @@
(stroke (width 0) (type default))
(uuid 6363dde5-44c8-4d2d-b9cf-b254e0475a55)
)
(wire (pts (xy 186.69 123.19) (xy 186.69 119.38))
(wire (pts (xy 183.515 123.19) (xy 183.515 119.38))
(stroke (width 0) (type default))
(uuid 63af9f30-ffe5-41e4-8817-3af2833b99ce)
)
@ -2448,15 +2453,11 @@
(stroke (width 0) (type default))
(uuid 732deb8b-aae3-4069-b9c0-d1b5dfd8ad44)
)
(wire (pts (xy 266.7 115.57) (xy 269.24 115.57))
(stroke (width 0) (type default))
(uuid 733ba295-fb1f-4f2e-93dc-1bf9641fb949)
)
(wire (pts (xy 236.22 49.53) (xy 233.68 49.53))
(stroke (width 0) (type default))
(uuid 7358df04-f670-4b7c-b1c6-e4e503382d67)
)
(wire (pts (xy 281.94 123.19) (xy 299.72 123.19))
(wire (pts (xy 271.145 123.19) (xy 288.925 123.19))
(stroke (width 0) (type default))
(uuid 736ca532-9354-4426-961d-cf07d682c25f)
)
@ -2484,10 +2485,6 @@
(stroke (width 0) (type default))
(uuid 78e1f4ff-831a-4279-8750-ac80e89fb13f)
)
(wire (pts (xy 251.46 123.19) (xy 266.7 123.19))
(stroke (width 0) (type default))
(uuid 796e3557-5033-443c-81f7-a9f9e9d169ec)
)
(wire (pts (xy 254 153.67) (xy 267.97 153.67))
(stroke (width 0) (type default))
(uuid 7c5abc0a-1fc2-4313-bace-dcfe3a296b84)
@ -2564,10 +2561,14 @@
(stroke (width 0) (type default))
(uuid 8a7a7376-d328-4542-ad5d-0ed4f879fe95)
)
(wire (pts (xy 175.26 111.76) (xy 175.26 107.95))
(wire (pts (xy 172.085 111.76) (xy 172.085 104.14))
(stroke (width 0) (type default))
(uuid 8a98561f-22a3-4374-a7c0-087fc10f4e77)
)
(wire (pts (xy 207.01 107.95) (xy 217.805 107.95))
(stroke (width 0) (type default))
(uuid 8b6a33f4-e426-4e77-a457-4791638f202f)
)
(wire (pts (xy 110.49 204.47) (xy 110.49 201.93))
(stroke (width 0) (type default))
(uuid 8c7a99d7-795b-4f15-91ce-7a5772779193)
@ -2600,10 +2601,6 @@
(stroke (width 0) (type default))
(uuid 8e7ba3af-8a70-499a-8027-495a4bb9e8d0)
)
(wire (pts (xy 238.76 110.49) (xy 238.76 107.95))
(stroke (width 0) (type default))
(uuid 8ea8f6a4-925f-49c9-a421-be88dce0bb2e)
)
(wire (pts (xy 33.02 44.45) (xy 41.91 44.45))
(stroke (width 0) (type default))
(uuid 8edcc807-c23c-41c8-8fe1-72e3274059c2)
@ -2620,7 +2617,7 @@
(stroke (width 0) (type default))
(uuid 90d394fc-67de-4aa7-9657-fc98a645ef92)
)
(wire (pts (xy 264.16 107.95) (xy 281.94 107.95))
(wire (pts (xy 264.16 107.95) (xy 271.145 107.95))
(stroke (width 0) (type default))
(uuid 913db7c0-51d0-431f-9ffd-6eb742aeacc7)
)
@ -2664,11 +2661,11 @@
(stroke (width 0) (type default))
(uuid 9d97688c-3f0a-4f22-8018-3f9b7665e7e1)
)
(wire (pts (xy 299.72 123.19) (xy 317.5 123.19))
(wire (pts (xy 288.925 123.19) (xy 306.705 123.19))
(stroke (width 0) (type default))
(uuid 9e67f726-585d-426d-9bc5-45d1ff4c0ad5)
)
(wire (pts (xy 175.26 107.95) (xy 186.69 107.95))
(wire (pts (xy 172.085 104.14) (xy 183.515 104.14))
(stroke (width 0) (type default))
(uuid a004ef9a-5006-4f21-a602-f4b818c119d7)
)
@ -2716,7 +2713,7 @@
(stroke (width 0) (type default))
(uuid a825f112-117a-4d08-8ad6-a511c8b11612)
)
(wire (pts (xy 146.05 107.95) (xy 154.94 107.95))
(wire (pts (xy 145.415 104.14) (xy 151.765 104.14))
(stroke (width 0) (type default))
(uuid a8272573-49aa-4f71-af28-ba029e9f02c6)
)
@ -2740,6 +2737,10 @@
(stroke (width 0) (type default))
(uuid aaa6b122-e559-4c93-bcfa-db66844088e5)
)
(wire (pts (xy 193.675 123.19) (xy 196.85 123.19))
(stroke (width 0) (type default))
(uuid ab0e7621-ff2b-4700-abb9-8cb85e70bd29)
)
(wire (pts (xy 196.85 123.19) (xy 199.39 123.19))
(stroke (width 0) (type default))
(uuid ab1f180f-b801-432a-8450-5dfda6be8a24)
@ -2788,6 +2789,10 @@
(stroke (width 0) (type default))
(uuid b6b52786-5ae0-4371-9038-90feb74eccb5)
)
(wire (pts (xy 230.505 107.95) (xy 233.68 107.95))
(stroke (width 0) (type default))
(uuid b703101c-c2cc-49bf-8875-1271d6508e7e)
)
(wire (pts (xy 238.76 207.01) (xy 238.76 198.12))
(stroke (width 0) (type default))
(uuid b7b5ea4c-4c36-457d-829b-cdd339e2a0be)
@ -2808,6 +2813,10 @@
(stroke (width 0) (type default))
(uuid bb48cbd5-1c8f-49be-bd4f-f7f44468ba16)
)
(wire (pts (xy 233.68 107.95) (xy 233.68 110.49))
(stroke (width 0) (type default))
(uuid bb4fe76b-80cc-4e6b-9df3-110224c121b1)
)
(wire (pts (xy 212.09 53.34) (xy 236.22 53.34))
(stroke (width 0) (type default))
(uuid bb7013ea-32f1-4ba6-b9c6-62b24f460439)
@ -2848,7 +2857,7 @@
(stroke (width 0) (type default))
(uuid bf888b4f-7984-46b0-887e-3e25b92f389b)
)
(wire (pts (xy 317.5 123.19) (xy 317.5 119.38))
(wire (pts (xy 306.705 123.19) (xy 306.705 119.38))
(stroke (width 0) (type default))
(uuid c0007656-4e5b-4a6b-9205-5618e1ff64a0)
)
@ -2920,7 +2929,7 @@
(stroke (width 0) (type default))
(uuid ce5b8190-8541-4680-ae78-d13ddb310718)
)
(wire (pts (xy 163.83 111.76) (xy 163.83 107.95))
(wire (pts (xy 160.655 111.76) (xy 160.655 104.14))
(stroke (width 0) (type default))
(uuid d0918234-18e6-4e6c-9564-392d6077579d)
)
@ -2952,7 +2961,7 @@
(stroke (width 0) (type default))
(uuid d78c6eb0-da8c-4498-ae2d-2d38aebf03f0)
)
(wire (pts (xy 299.72 107.95) (xy 317.5 107.95))
(wire (pts (xy 288.925 107.95) (xy 306.705 107.95))
(stroke (width 0) (type default))
(uuid d8cfb308-16fd-40af-8277-713d22a96149)
)
@ -2960,7 +2969,7 @@
(stroke (width 0) (type default))
(uuid d914f978-d804-4e02-9afe-c8e11b83e1df)
)
(wire (pts (xy 163.83 123.19) (xy 163.83 119.38))
(wire (pts (xy 160.655 123.19) (xy 160.655 119.38))
(stroke (width 0) (type default))
(uuid d9acb5d5-0378-4efa-874c-2dda84c2de99)
)
@ -2992,7 +3001,7 @@
(stroke (width 0) (type default))
(uuid e070b98f-69c8-49fc-ba7b-05c8d3f0db96)
)
(wire (pts (xy 186.69 111.76) (xy 186.69 107.95))
(wire (pts (xy 183.515 111.76) (xy 183.515 104.14))
(stroke (width 0) (type default))
(uuid e0b88d9b-9fc3-4fa7-8b24-5be6f4e73e5e)
)
@ -3056,6 +3065,10 @@
(stroke (width 0) (type default))
(uuid eafaa66d-dbf4-4b9d-84f3-cf96b171b932)
)
(wire (pts (xy 193.675 107.95) (xy 193.675 123.19))
(stroke (width 0) (type default))
(uuid eb51b8ed-c8ac-4834-954c-fa0c486bed3e)
)
(wire (pts (xy 236.22 44.45) (xy 254 44.45))
(stroke (width 0) (type default))
(uuid eb88f22f-09ae-4860-a970-85dc5d087501)
@ -3092,6 +3105,10 @@
(stroke (width 0) (type default))
(uuid f10cc836-6895-44f8-bf59-a943fc902133)
)
(wire (pts (xy 217.805 110.49) (xy 233.68 110.49))
(stroke (width 0) (type default))
(uuid f139a081-79b8-4bd9-a661-5532bb1fb2a2)
)
(wire (pts (xy 213.36 171.45) (xy 210.82 171.45))
(stroke (width 0) (type default))
(uuid f1425115-170a-4fb2-9870-74a8532adce4)
@ -3104,7 +3121,7 @@
(stroke (width 0) (type default))
(uuid f340fb25-5d68-4b59-a1b6-8ce8bde8e291)
)
(wire (pts (xy 266.7 123.19) (xy 281.94 123.19))
(wire (pts (xy 251.46 123.19) (xy 271.145 123.19))
(stroke (width 0) (type default))
(uuid f4da0399-c796-4112-9cce-49e04814e019)
)
@ -3132,7 +3149,7 @@
(stroke (width 0) (type default))
(uuid f6fd5cde-4ae1-46f3-a687-2589874d78d2)
)
(wire (pts (xy 317.5 107.95) (xy 317.5 111.76))
(wire (pts (xy 306.705 107.95) (xy 306.705 111.76))
(stroke (width 0) (type default))
(uuid f7af85f8-a569-4a91-a550-11d33ce8e42e)
)
@ -3197,9 +3214,9 @@
(uuid fff89582-00c0-4a5e-99ce-e2131114a3e2)
)
(hierarchical_label "LD_EN" (shape input) (at 269.24 115.57 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7c353842-0858-4342-a82b-4538a3f827f7)
(hierarchical_label "LD_EN" (shape input) (at 230.505 107.95 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6676b205-41c5-4d25-ae98-eb989ca5630e)
)
(symbol (lib_id "Device:R") (at 198.12 215.9 0) (unit 1)
@ -3299,7 +3316,7 @@
)
(symbol (lib_id "power:+5VA") (at 281.94 138.43 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(in_bom yes) (on_board yes) (dnp no)
(uuid 0d5998ad-bd50-4a57-9a12-ee1d57b32a01)
(property "Reference" "#PWR074" (at 281.94 142.24 0)
(effects (font (size 1.27 1.27)) hide)
@ -3313,7 +3330,7 @@
(property "Datasheet" "" (at 281.94 138.43 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e47c9507-f330-4b40-b202-90c36c3e864c))
(pin "1" (uuid cde29654-2035-4009-b49d-51249832c3ae))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"
@ -3572,22 +3589,22 @@
)
)
(symbol (lib_id "power:PWR_FLAG") (at 175.26 107.95 0) (unit 1)
(symbol (lib_id "power:PWR_FLAG") (at 172.085 104.14 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 140adfea-d673-4a4a-9d04-1089abd74ba4)
(property "Reference" "#FLG0108" (at 175.26 106.045 0)
(property "Reference" "#FLG0108" (at 172.085 102.235 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (at 175.26 102.87 0)
(property "Value" "PWR_FLAG" (at 172.085 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Footprint" "" (at 175.26 107.95 0)
(property "Footprint" "" (at 172.085 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 175.26 107.95 0)
(property "Datasheet" "~" (at 172.085 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7190a9a2-5da7-4c35-af00-1d88c388deac))
(pin "1" (uuid 6a307326-9a9a-4a7f-8eaa-44e1e2e10ff9))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"
@ -3597,38 +3614,6 @@
)
)
(symbol (lib_id "Device:R") (at 266.7 119.38 180) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 14e84f03-c298-4bbc-86cf-dce6f22e36bf)
(property "Reference" "R99" (at 262.89 120.65 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "51k" (at 262.89 118.11 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 268.478 119.38 90)
(effects (font (size 1.27 1.27) bold) hide)
)
(property "Datasheet" "~" (at 266.7 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "RMCF0603FT51K0" (at 266.7 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN_ALT" "CR0603-FX-5102ELF" (at 266.7 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e104ed37-d577-48d2-9d0e-44b2dd1ac383))
(pin "2" (uuid 2b6b1adf-6ef9-45a2-a136-d5b12890d897))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"
(reference "R99") (unit 1)
)
)
)
)
(symbol (lib_id "Device:FerriteBead_Small") (at 73.66 195.58 180) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 152b0ee7-7e1c-4036-925a-4bba41c4cc1d)
@ -3693,25 +3678,25 @@
)
)
(symbol (lib_id "Device:C") (at 186.69 115.57 0) (unit 1)
(symbol (lib_id "Device:C") (at 183.515 115.57 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 180bc09d-809d-43dd-927c-c38f570a5e2f)
(property "Reference" "C65" (at 190.5 114.2999 0)
(property "Reference" "C65" (at 187.325 114.2999 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "100n" (at 190.5 116.8399 0)
(property "Value" "100n" (at 187.325 116.8399 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 187.6552 119.38 0)
(property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 184.4802 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 186.69 115.57 0)
(property "Datasheet" "~" (at 183.515 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "CL10B104KB8NNWC" (at 186.69 115.57 0)
(property "MFR_PN" "CL10B104KB8NNWC" (at 183.515 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN_ALT" "CL10B104KB8NNNL" (at 186.69 115.57 0)
(property "MFR_PN_ALT" "CL10B104KB8NNNL" (at 183.515 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4a47c60c-c263-4129-9db3-f91458eebc2c))
@ -3789,22 +3774,22 @@
)
)
(symbol (lib_id "power:GND") (at 317.5 123.19 0) (unit 1)
(symbol (lib_id "power:GND") (at 306.705 123.19 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 1bd03e66-43cc-4cff-980e-08af2cf1259f)
(property "Reference" "#PWR073" (at 317.5 129.54 0)
(property "Reference" "#PWR073" (at 306.705 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 317.5 128.27 0)
(property "Value" "GND" (at 306.705 128.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 317.5 123.19 0)
(property "Footprint" "" (at 306.705 123.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 317.5 123.19 0)
(property "Datasheet" "" (at 306.705 123.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 626e9926-1b49-4777-81af-e2f9a55c9e0e))
(pin "1" (uuid 018b6d2a-8c35-4f3d-8f59-4f42e8dde7cb))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"
@ -4092,29 +4077,29 @@
)
)
(symbol (lib_id "Device:FerriteBead_Small") (at 157.48 107.95 90) (unit 1)
(symbol (lib_id "Device:FerriteBead_Small") (at 154.305 104.14 90) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 3209c1a3-7d70-4a04-91bc-ac4f8f77a257)
(property "Reference" "FB5" (at 157.4419 101.6 90)
(property "Reference" "FB5" (at 154.2669 97.79 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "1K@100MHz" (at 157.4419 104.14 90)
(property "Value" "1K@100MHz" (at 154.2669 100.33 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Inductor_SMD:L_1210_3225Metric" (at 157.48 109.728 90)
(property "Footprint" "Inductor_SMD:L_1210_3225Metric" (at 154.305 105.918 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 157.48 107.95 0)
(property "Datasheet" "~" (at 154.305 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "FBMH3225HM102NT" (at 157.48 107.95 0)
(property "MFR_PN" "FBMH3225HM102NT" (at 154.305 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN_ALT" "FBMH3225HM102NTV" (at 157.48 107.95 0)
(property "MFR_PN_ALT" "FBMH3225HM102NTV" (at 154.305 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 35e96982-c938-430d-b75d-59f70e1d10b5))
(pin "2" (uuid ef2ba119-7d05-47ab-882c-050febb017b0))
(pin "1" (uuid 98125d0a-6228-46f6-9a42-ddc3fa9e5f34))
(pin "2" (uuid a2de5cc4-4d3f-4683-a2c1-08764233633f))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"
@ -4381,26 +4366,26 @@
)
)
(symbol (lib_id "Device:C") (at 281.94 115.57 0) (unit 1)
(symbol (lib_id "Device:C") (at 271.145 115.57 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 3e5a89c3-60e8-46cc-8bec-5279c8a44121)
(property "Reference" "C77" (at 285.75 114.2999 0)
(property "Reference" "C77" (at 274.955 114.2999 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "100n PPS" (at 285.75 116.8399 0)
(property "Value" "100n PPS" (at 274.955 116.8399 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_1210_3225Metric" (at 282.9052 119.38 0)
(property "Footprint" "Capacitor_SMD:C_1210_3225Metric" (at 272.1102 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 281.94 115.57 0)
(property "Datasheet" "~" (at 271.145 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "ECH-U1C104JX5" (at 281.94 115.57 0)
(property "MFR_PN" "ECH-U1C104JX5" (at 271.145 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6e1da817-60e0-4c20-8867-0b60b9c8c65f))
(pin "2" (uuid 21c4e115-c3b8-450b-aab4-f9ecf9ad9aa4))
(pin "1" (uuid 34194ed0-5930-4cd3-ab72-d5ee409725df))
(pin "2" (uuid 75a845fd-6a83-413d-8e17-07cf8cb94391))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"
@ -5490,26 +5475,26 @@
)
)
(symbol (lib_id "Device:C") (at 299.72 115.57 0) (unit 1)
(symbol (lib_id "Device:C") (at 288.925 115.57 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 8dcdc5b6-136d-4623-a6ce-2d12fc018015)
(property "Reference" "C84" (at 303.53 114.2999 0)
(property "Reference" "C84" (at 292.735 114.2999 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10u PP" (at 303.53 116.8399 0)
(property "Value" "10u PP" (at 292.735 116.8399 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_1812_4532Metric" (at 300.6852 119.38 0)
(property "Footprint" "Capacitor_SMD:C_1812_4532Metric" (at 289.8902 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 299.72 115.57 0)
(property "Datasheet" "~" (at 288.925 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "16MU106MC44532" (at 299.72 115.57 0)
(property "MFR_PN" "16MU106MC44532" (at 288.925 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 00443304-4320-47c5-9f15-970ecca02da3))
(pin "2" (uuid 53538363-be2d-43cf-a2be-0839dee84aaf))
(pin "1" (uuid 0f38af2e-a38e-445a-bcd9-f8cbe53a211a))
(pin "2" (uuid dc258c7f-7620-4fef-995d-81fb4189d86c))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"
@ -6532,22 +6517,22 @@
)
)
(symbol (lib_id "power:+9V") (at 146.05 107.95 90) (unit 1)
(symbol (lib_id "power:+9V") (at 145.415 104.14 90) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid d2196037-2b5c-4303-9d25-3cc95fa0899a)
(property "Reference" "#PWR065" (at 149.86 107.95 0)
(property "Reference" "#PWR065" (at 149.225 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+9V" (at 142.24 107.9499 90)
(property "Value" "+9V" (at 141.605 104.1399 90)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 146.05 107.95 0)
(property "Footprint" "" (at 145.415 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 146.05 107.95 0)
(property "Datasheet" "" (at 145.415 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 17f79b13-bc85-4dae-b061-58fc12764284))
(pin "1" (uuid 73aa58e0-3379-4e81-bffe-72fb7a387f0d))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"
@ -6668,6 +6653,38 @@
)
)
(symbol (lib_id "Device:R") (at 203.2 107.95 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid e40a2fb4-447c-45cd-914b-15cea0157bd8)
(property "Reference" "R99" (at 203.2 105.41 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "51k" (at 203.2 107.95 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 203.2 106.172 90)
(effects (font (size 1.27 1.27) bold) hide)
)
(property "Datasheet" "~" (at 203.2 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "RMCF0603FT51K0" (at 203.2 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN_ALT" "CR0603-FX-5102ELF" (at 203.2 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid fd67cae2-20ff-4f33-af30-0b451310d962))
(pin "2" (uuid b8a0363d-e2f2-4e03-a33c-4e4faae038b2))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"
(reference "R99") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C") (at 135.89 209.55 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid e5ef453c-6cef-4fac-8c1a-bf9b08d937b1)
@ -6700,25 +6717,25 @@
)
)
(symbol (lib_id "Device:C") (at 163.83 115.57 0) (unit 1)
(symbol (lib_id "Device:C") (at 160.655 115.57 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid e720c004-fa86-489f-a9be-04801ba4135b)
(property "Reference" "C51" (at 167.64 114.2999 0)
(property "Reference" "C51" (at 164.465 114.2999 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10u" (at 167.64 116.8399 0)
(property "Value" "10u" (at 164.465 116.8399 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (at 164.7952 119.38 0)
(property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (at 161.6202 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 163.83 115.57 0)
(property "Datasheet" "~" (at 160.655 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "CL21B106KOQNNNG" (at 163.83 115.57 0)
(property "MFR_PN" "CL21B106KOQNNNG" (at 160.655 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN_ALT" "CL21B106KOQNNNE" (at 163.83 115.57 0)
(property "MFR_PN_ALT" "CL21B106KOQNNNE" (at 160.655 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d5f13564-4928-44b2-9f38-ae920f09d622))
@ -7114,26 +7131,26 @@
)
)
(symbol (lib_id "Device:C") (at 317.5 115.57 0) (unit 1)
(symbol (lib_id "Device:C") (at 306.705 115.57 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid f9d7daaf-c42a-4733-a0b0-966153851cd1)
(property "Reference" "C91" (at 321.31 114.2999 0)
(property "Reference" "C91" (at 310.515 114.2999 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10u PP" (at 321.31 116.8399 0)
(property "Value" "10u PP" (at 310.515 116.8399 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_1812_4532Metric" (at 318.4652 119.38 0)
(property "Footprint" "Capacitor_SMD:C_1812_4532Metric" (at 307.6702 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 317.5 115.57 0)
(property "Datasheet" "~" (at 306.705 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "16MU106MC44532" (at 317.5 115.57 0)
(property "MFR_PN" "16MU106MC44532" (at 306.705 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6f170e5b-04a8-4b66-83a0-245acd42b1fe))
(pin "2" (uuid 96418be8-668a-4551-92a5-7bb2ed2b83fa))
(pin "1" (uuid f11fb986-8ff9-4cbd-be2c-bd61695c1961))
(pin "2" (uuid 6e9c953a-bdf7-4b71-b990-f7eda014abf5))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"
@ -7143,25 +7160,25 @@
)
)
(symbol (lib_id "Device:C") (at 175.26 115.57 0) (unit 1)
(symbol (lib_id "Device:C") (at 172.085 115.57 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid fa293797-659b-4f4b-b590-edd010c4be55)
(property "Reference" "C58" (at 179.07 114.2999 0)
(property "Reference" "C58" (at 175.895 114.2999 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10u" (at 179.07 116.8399 0)
(property "Value" "10u" (at 175.895 116.8399 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (at 176.2252 119.38 0)
(property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (at 173.0502 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 175.26 115.57 0)
(property "Datasheet" "~" (at 172.085 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "CL21B106KOQNNNG" (at 175.26 115.57 0)
(property "MFR_PN" "CL21B106KOQNNNG" (at 172.085 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN_ALT" "CL21B106KOQNNNE" (at 175.26 115.57 0)
(property "MFR_PN_ALT" "CL21B106KOQNNNE" (at 172.085 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 453f1d07-e8fa-4dae-81b3-10f19c7d8e7e))
@ -7271,22 +7288,22 @@
)
)
(symbol (lib_id "power:+8V") (at 317.5 107.95 0) (unit 1)
(symbol (lib_id "power:+8V") (at 306.705 107.95 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid ff80aa4a-05fe-4874-ba97-c412e5a55cf8)
(property "Reference" "#PWR072" (at 317.5 111.76 0)
(property "Reference" "#PWR072" (at 306.705 111.76 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+8V" (at 317.5 102.87 0)
(property "Value" "+8V" (at 306.705 102.87 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 317.5 107.95 0)
(property "Footprint" "" (at 306.705 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 317.5 107.95 0)
(property "Datasheet" "" (at 306.705 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6e96e622-3124-45da-8cc1-2a91280cc3c4))
(pin "1" (uuid 08e96dfb-9952-4f2d-9390-b9a5a0a313f7))
(instances
(project "kirdy"
(path "/88da1dd8-9274-4b55-84fb-90006c9b6e8f/ce1698cd-b99b-406e-8c10-58c1e24b12e9"

File diff suppressed because it is too large Load Diff

View File

@ -2199,6 +2199,9 @@
(junction (at 334.01 125.73) (diameter 0) (color 0 0 0 0)
(uuid 11b45c18-ea3e-4fcc-bd54-1f765713568b)
)
(junction (at 157.48 76.2) (diameter 0) (color 0 0 0 0)
(uuid 14b1b58f-59a5-4cff-8660-65e5b6fe2db2)
)
(junction (at 109.22 168.91) (diameter 0) (color 0 0 0 0)
(uuid 1696e611-75e9-4afb-bae4-13eee4a38b3f)
)
@ -2208,18 +2211,15 @@
(junction (at 300.99 201.93) (diameter 0) (color 0 0 0 0)
(uuid 19382e43-6ec2-4682-891b-1a249801c27c)
)
(junction (at 191.77 96.52) (diameter 0) (color 0 0 0 0)
(uuid 1d5e308c-f346-4968-b626-1a51266ba418)
)
(junction (at 135.89 147.32) (diameter 0) (color 0 0 0 0)
(uuid 25d84e11-f6be-40c0-bef2-7f84465ee3f6)
)
(junction (at 191.77 91.44) (diameter 0) (color 0 0 0 0)
(uuid 25e71a7c-8439-45c6-ae83-b75f232338d2)
)
(junction (at 300.99 184.15) (diameter 0) (color 0 0 0 0)
(uuid 35ed7e19-9679-4e41-b38a-3b910c6e22de)
)
(junction (at 124.46 96.52) (diameter 0) (color 0 0 0 0)
(uuid 3d2035fa-f10d-4bd2-b31f-bb8e0421f8a9)
)
(junction (at 236.22 184.15) (diameter 0) (color 0 0 0 0)
(uuid 42d5ab2c-4c48-4306-8431-79d116052f94)
)
@ -2271,9 +2271,6 @@
(junction (at 127 208.28) (diameter 0) (color 0 0 0 0)
(uuid 8d56bfc8-7f86-4240-bd6a-4d9f1c3fc16a)
)
(junction (at 157.48 104.14) (diameter 0) (color 0 0 0 0)
(uuid 8d6b0701-fd49-4748-8a06-3c8c313f1872)
)
(junction (at 261.62 201.93) (diameter 0) (color 0 0 0 0)
(uuid 995c7f77-d738-4ea3-bf62-c6ca5f638763)
)
@ -2298,6 +2295,9 @@
(junction (at 223.52 189.23) (diameter 0) (color 0 0 0 0)
(uuid d08ac996-7f98-4a12-b91f-d0b07e2815ce)
)
(junction (at 124.46 91.44) (diameter 0) (color 0 0 0 0)
(uuid d911b474-b223-4e6c-bd57-0e5d36706462)
)
(junction (at 118.11 147.32) (diameter 0) (color 0 0 0 0)
(uuid da47c377-0f86-4705-8bfa-eea4a47b0eb3)
)
@ -2347,6 +2347,10 @@
(stroke (width 0) (type default))
(uuid 0cacba46-f89b-4577-b9c3-d3fa321fbb16)
)
(wire (pts (xy 124.46 76.2) (xy 157.48 76.2))
(stroke (width 0) (type default))
(uuid 0e11e6f1-5da9-4bde-a9db-1e33a07f7933)
)
(wire (pts (xy 236.22 199.39) (xy 236.22 201.93))
(stroke (width 0) (type default))
(uuid 0e1602df-f82a-4be1-bb92-d9c8f6216f9a)
@ -2359,6 +2363,10 @@
(stroke (width 0) (type default))
(uuid 132f6df6-ba49-4b36-a06e-f115b1199f4e)
)
(wire (pts (xy 191.77 91.44) (xy 191.77 76.2))
(stroke (width 0) (type default))
(uuid 13aaa772-db64-46e0-b021-84701549e5c2)
)
(wire (pts (xy 193.04 166.37) (xy 209.55 166.37))
(stroke (width 0) (type default))
(uuid 161b050d-2963-4a02-8455-139c195b4a3d)
@ -2547,10 +2555,6 @@
(stroke (width 0) (type default))
(uuid 6e9a32a9-bd70-435d-b046-679f9502eaf3)
)
(wire (pts (xy 124.46 104.14) (xy 157.48 104.14))
(stroke (width 0) (type default))
(uuid 6f5edd5a-7544-4f3c-bd0a-8ad55a3badda)
)
(wire (pts (xy 248.92 184.15) (xy 261.62 184.15))
(stroke (width 0) (type default))
(uuid 7007e954-14c3-45e3-a556-79e8535cc14a)
@ -2571,10 +2575,6 @@
(stroke (width 0) (type default))
(uuid 75ae7536-e6ce-497d-b47b-a0a283467060)
)
(wire (pts (xy 191.77 104.14) (xy 157.48 104.14))
(stroke (width 0) (type default))
(uuid 77e59548-89b8-4f55-bd7a-1926c768b552)
)
(wire (pts (xy 193.04 191.77) (xy 199.39 191.77))
(stroke (width 0) (type default))
(uuid 78f88875-b442-48a5-aa27-8e9e23f1f418)
@ -2583,7 +2583,7 @@
(stroke (width 0) (type default))
(uuid 7ad1f589-811b-49d8-b7fc-35bf8a081587)
)
(wire (pts (xy 157.48 76.2) (xy 167.64 76.2))
(wire (pts (xy 157.48 76.2) (xy 191.77 76.2))
(stroke (width 0) (type default))
(uuid 7cee42f5-9e90-41a5-9433-528d4ea27517)
)
@ -2679,9 +2679,9 @@
(stroke (width 0) (type default))
(uuid a73e879b-cded-449e-b825-553de2776ee8)
)
(wire (pts (xy 124.46 96.52) (xy 124.46 104.14))
(wire (pts (xy 124.46 91.44) (xy 124.46 76.2))
(stroke (width 0) (type default))
(uuid a867811c-89cb-47c9-a3c0-ac45e0acd490)
(uuid a857aa1e-2cd2-4737-81ee-b8f7255990cf)
)
(wire (pts (xy 254 85.09) (xy 267.97 85.09))
(stroke (width 0) (type default))
@ -2807,10 +2807,6 @@
(stroke (width 0) (type default))
(uuid dd26040a-c5fe-4b94-a906-c734b68b8a3c)
)
(wire (pts (xy 191.77 96.52) (xy 191.77 104.14))
(stroke (width 0) (type default))
(uuid dec21ebd-8851-409c-b846-d7d7a6ddc35d)
)
(wire (pts (xy 281.94 120.65) (xy 284.48 120.65))
(stroke (width 0) (type default))
(uuid def8a365-07a7-4b48-b680-f2e05d12ddc4)
@ -3475,7 +3471,7 @@
)
(symbol (lib_id "Device:C") (at 334.01 120.65 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(in_bom yes) (on_board yes) (dnp yes) (fields_autoplaced)
(uuid 3c3293bc-1ab6-40ca-9b38-b5f2ea156331)
(property "Reference" "C171" (at 337.82 119.3799 0)
(effects (font (size 1.27 1.27)) (justify left))

View File

@ -1,21 +1,21 @@
(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor)
(kicad_symbol_lib (version 20220914) (generator kicad_symbol_editor)
(symbol "+18V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(property "Reference" "#PWR" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+18V" (id 1) (at 0 3.556 0)
(property "Value" "+18V" (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(property "ki_keywords" "power-flag" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+18V\"" (id 5) (at 0 0 0)
(property "ki_description" "Power symbol creates a global label with name \"+18V\"" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+18V_0_1"
@ -24,7 +24,7 @@
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
@ -32,7 +32,7 @@
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
@ -40,7 +40,7 @@
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
)
@ -52,16 +52,16 @@
)
)
(symbol "AD5680" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 -3.81 0)
(property "Reference" "U" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "AD5680" (id 1) (at 0 -1.27 0)
(property "Value" "AD5680" (at 0 -1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_TO_SOT_SMD:SOT-23-8" (id 2) (at -8.89 1.27 0)
(property "Footprint" "Package_TO_SOT_SMD:SOT-23-8" (at -8.89 1.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/ad5680.pdf" (id 3) (at -8.89 1.27 0)
(property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/ad5680.pdf" (at -8.89 1.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "AD5680_0_0"
@ -100,25 +100,25 @@
)
(symbol "AD5680_0_1"
(rectangle (start -6.35 15.24) (end 6.35 0)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type background))
)
)
)
(symbol "AD7172-2" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 8.89 -22.86 0)
(property "Reference" "U" (at 8.89 -22.86 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "AD7172-2" (id 1) (at -6.35 -22.86 0)
(property "Value" "AD7172-2" (at -6.35 -22.86 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_SO:TSSOP-24_4.4x7.8mm_P0.65mm" (id 2) (at 2.54 -25.4 0)
(property "Footprint" "Package_SO:TSSOP-24_4.4x7.8mm_P0.65mm" (at 2.54 -25.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/ad7172-2.pdf" (id 3) (at 46.99 -46.99 0)
(property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/ad7172-2.pdf" (at 46.99 -46.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "24 bit precision ADC" (id 4) (at 0 0 0)
(property "ki_description" "24 bit precision ADC" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "AD7172-2_0_0"
@ -221,25 +221,195 @@
)
(symbol "AD7172-2_0_1"
(rectangle (start -11.43 26.67) (end 11.43 -21.59)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type background))
)
)
)
(symbol "AD7172-4BCPZ" (in_bom yes) (on_board yes)
(property "Reference" "U" (at 33.02 -49.53 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(property "Value" "AD7172-4BCPZ" (at 5.08 -49.53 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(property "Footprint" "kirdy:QFN50P500X500X80-33N-D" (at 36.83 -87.3 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Datasheet" "https://componentsearchengine.com/Datasheets/2/AD7172-4BCPZ.pdf" (at 36.83 -187.3 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Height" "0.8" (at 36.83 -387.3 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Mouser Part Number" "584-AD7172-4BCPZ" (at 36.83 -487.3 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Mouser Price/Stock" "https://www.mouser.co.uk/ProductDetail/Analog-Devices/AD7172-4BCPZ?qs=4BLsKd%2FIMYAdRxuARCq3Og%3D%3D" (at 36.83 -587.3 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Manufacturer_Name" "Analog Devices" (at 36.83 -687.3 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "MF_PN" "AD7172-4BCPZ" (at 36.83 -787.3 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "ki_description" "ANALOG DEVICES - AD7172-4BCPZ - Analogue to Digital Converter, Sigma-Delta, 24 bit, 31.25 kSPS, Differential, Single Ended, SPI" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "AD7172-4BCPZ_1_1"
(rectangle (start 5.08 2.54) (end 35.56 -48.26)
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin input line (at 0 -6.35 0) (length 5.08)
(name "AIN0/REF2-" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 40.64 -2.54 180) (length 5.08)
(name "XTAL2/CLKIO" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin output line (at 40.64 -6.35 180) (length 5.08)
(name "DOUT/~{RDY}" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin input line (at 40.64 -8.89 180) (length 5.08)
(name "DIN" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin input line (at 40.64 -11.43 180) (length 5.08)
(name "SCLK" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin input line (at 40.64 -13.97 180) (length 5.08)
(name "~{CS}" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 40.64 -16.51 180) (length 5.08)
(name "~{ERROR}" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin input line (at 40.64 -19.05 180) (length 5.08)
(name "~{SYNC}" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 40.64 -36.83 180) (length 5.08)
(name "IOVDD" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 40.64 -43.18 180) (length 5.08)
(name "DGND" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin output line (at 40.64 -33.02 180) (length 5.08)
(name "REGCAPD" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -8.89 0) (length 5.08)
(name "AIN1/REF2+" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 40.64 -22.86 180) (length 5.08)
(name "GPIO0" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 40.64 -25.4 180) (length 5.08)
(name "GPIO1" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 40.64 -27.94 180) (length 5.08)
(name "GPIO2" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -11.43 0) (length 5.08)
(name "AIN2" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -13.97 0) (length 5.08)
(name "AIN3" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -16.51 0) (length 5.08)
(name "AIN4" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -19.05 0) (length 5.08)
(name "AIN5" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -21.59 0) (length 5.08)
(name "AIN6" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -24.13 0) (length 5.08)
(name "AIN7" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -26.67 0) (length 5.08)
(name "AIN8" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -39.37 180) (length 5.08) hide
(name "DNC" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin output line (at 40.64 -30.48 180) (length 5.08)
(name "GPO3" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -2.54 0) (length 5.08)
(name "REF-" (effects (font (size 1.27 1.27))))
(number "31" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 0 0) (length 5.08)
(name "REF+" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 40.64 -45.72 180) (length 5.08)
(name "EP" (effects (font (size 1.27 1.27))))
(number "33" (effects (font (size 1.27 1.27))))
)
(pin output line (at 0 -30.48 0) (length 5.08)
(name "REGCAPA" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -45.72 0) (length 5.08)
(name "AVSS" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -36.83 0) (length 5.08)
(name "AVDD1" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -39.37 0) (length 5.08)
(name "AVDD2" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin output line (at 0 -33.02 0) (length 5.08)
(name "PDSW" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 40.64 0 180) (length 5.08)
(name "XTAL1" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "ADP150AUJZ-3.3" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -5.08 -1.27 0)
(property "Reference" "U" (at -5.08 -1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "ADP150AUJZ-3.3" (id 1) (at -7.62 1.27 0)
(property "Value" "ADP150AUJZ-3.3" (at -7.62 1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_TO_SOT_SMD:TSOT-23-5" (id 2) (at 0 0 0)
(property "Footprint" "Package_TO_SOT_SMD:TSOT-23-5" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/adp150.pdf" (id 3) (at 0 0 0)
(property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/adp150.pdf" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Low noise LDO" (id 4) (at 0 0 0)
(property "ki_description" "Low noise LDO" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "ADP150AUJZ-3.3_0_0"
@ -266,32 +436,32 @@
)
(symbol "ADP150AUJZ-3.3_0_1"
(rectangle (start 7.62 12.7) (end 20.32 0)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type background))
)
(rectangle (start 20.32 3.81) (end 20.32 3.81)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
)
)
(symbol "AG5300" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 6.35 -6.35 0)
(property "Reference" "U" (at 6.35 -6.35 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "AG5300" (id 1) (at -2.54 -6.35 0)
(property "Value" "AG5300" (at -2.54 -6.35 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "kirdy:AG5300" (id 2) (at -21.59 15.24 0)
(property "Footprint" "kirdy:AG5300" (at -21.59 15.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://silvertel.com/images/datasheets/Ag5300-datasheet-smallest-30W-Power-Over-Ethernet-Plus-Module-PoEplusPD.pdf" (id 3) (at -21.59 15.24 0)
(property "Datasheet" "https://silvertel.com/images/datasheets/Ag5300-datasheet-smallest-30W-Power-Over-Ethernet-Plus-Module-PoEplusPD.pdf" (at -21.59 15.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "PoE" (id 4) (at 0 0 0)
(property "ki_keywords" "PoE" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "PoE Module, 12V, 30W" (id 5) (at 0 0 0)
(property "ki_description" "PoE Module, 12V, 30W" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "AG5300_0_0"
@ -338,60 +508,60 @@
)
(symbol "AG5300_0_1"
(rectangle (start -6.35 10.16) (end 7.62 -5.08)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type background))
)
)
)
(symbol "Filter_EMI_LL_1423" (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "FL" (id 0) (at 0 4.445 0)
(property "Reference" "FL" (at 0 4.445 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Filter_EMI_LL_1423" (id 1) (at 0 -4.445 0)
(property "Value" "Filter_EMI_LL_1423" (at 0 -4.445 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Inductor_SMD:L_CommonModeChoke_Coilcraft_0603USB" (id 2) (at 0 1.016 0)
(property "Footprint" "Inductor_SMD:L_CommonModeChoke_Coilcraft_0603USB" (at 0 1.016 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 1.016 0)
(property "Datasheet" "~" (at 0 1.016 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "EMI filter" (id 4) (at 0 0 0)
(property "ki_keywords" "EMI filter" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "EMI 2-inductor filter" (id 5) (at 0 0 0)
(property "ki_description" "EMI 2-inductor filter" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "L_* L_CommonMode*" (id 6) (at 0 0 0)
(property "ki_fp_filters" "L_* L_CommonMode*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Filter_EMI_LL_1423_0_1"
(circle (center -3.048 -1.27) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type outline))
)
(circle (center -3.048 1.524) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type outline))
)
(arc (start -2.54 2.032) (mid -2.032 1.524) (end -1.524 2.032)
(stroke (width 0) (type default) (color 0 0 0 0))
(arc (start -2.54 2.032) (mid -2.032 1.5262) (end -1.524 2.032)
(stroke (width 0) (type default))
(fill (type none))
)
(arc (start -1.524 -2.032) (mid -2.032 -1.524) (end -2.54 -2.032)
(stroke (width 0) (type default) (color 0 0 0 0))
(arc (start -1.524 -2.032) (mid -2.032 -1.5262) (end -2.54 -2.032)
(stroke (width 0) (type default))
(fill (type none))
)
(arc (start -1.524 2.032) (mid -1.016 1.524) (end -0.508 2.032)
(stroke (width 0) (type default) (color 0 0 0 0))
(arc (start -1.524 2.032) (mid -1.016 1.5262) (end -0.508 2.032)
(stroke (width 0) (type default))
(fill (type none))
)
(arc (start -0.508 -2.032) (mid -1.016 -1.524) (end -1.524 -2.032)
(stroke (width 0) (type default) (color 0 0 0 0))
(arc (start -0.508 -2.032) (mid -1.016 -1.5262) (end -1.524 -2.032)
(stroke (width 0) (type default))
(fill (type none))
)
(arc (start -0.508 2.032) (mid 0 1.524) (end 0.508 2.032)
(stroke (width 0) (type default) (color 0 0 0 0))
(arc (start -0.508 2.032) (mid 0 1.5262) (end 0.508 2.032)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
@ -399,7 +569,7 @@
(xy -2.54 -2.032)
(xy -2.54 -2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
@ -407,7 +577,7 @@
(xy -2.54 0.508)
(xy 2.54 0.508)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
@ -415,7 +585,7 @@
(xy -2.54 2.032)
(xy -2.54 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
@ -423,7 +593,7 @@
(xy 2.54 -2.032)
(xy 2.54 -2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
@ -431,7 +601,7 @@
(xy 2.54 -0.508)
(xy -2.54 -0.508)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
@ -439,27 +609,27 @@
(xy 2.54 2.54)
(xy 2.54 2.032)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
(arc (start 0.508 -2.032) (mid 0 -1.524) (end -0.508 -2.032)
(stroke (width 0) (type default) (color 0 0 0 0))
(arc (start 0.508 -2.032) (mid 0 -1.5262) (end -0.508 -2.032)
(stroke (width 0) (type default))
(fill (type none))
)
(arc (start 0.508 2.032) (mid 1.016 1.524) (end 1.524 2.032)
(stroke (width 0) (type default) (color 0 0 0 0))
(arc (start 0.508 2.032) (mid 1.016 1.5262) (end 1.524 2.032)
(stroke (width 0) (type default))
(fill (type none))
)
(arc (start 1.524 -2.032) (mid 1.016 -1.524) (end 0.508 -2.032)
(stroke (width 0) (type default) (color 0 0 0 0))
(arc (start 1.524 -2.032) (mid 1.016 -1.5262) (end 0.508 -2.032)
(stroke (width 0) (type default))
(fill (type none))
)
(arc (start 1.524 2.032) (mid 2.032 1.524) (end 2.54 2.032)
(stroke (width 0) (type default) (color 0 0 0 0))
(arc (start 1.524 2.032) (mid 2.032 1.5262) (end 2.54 2.032)
(stroke (width 0) (type default))
(fill (type none))
)
(arc (start 2.54 -2.032) (mid 2.032 -1.524) (end 1.524 -2.032)
(stroke (width 0) (type default) (color 0 0 0 0))
(arc (start 2.54 -2.032) (mid 2.032 -1.5262) (end 1.524 -2.032)
(stroke (width 0) (type default))
(fill (type none))
)
)
@ -483,16 +653,16 @@
)
)
(symbol "LAN8742A" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 -30.48 0)
(property "Reference" "U" (at 0 -30.48 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "LAN8742A" (id 1) (at 0 -27.94 0)
(property "Value" "LAN8742A" (at 0 -27.94 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_DFN_QFN:QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm" (id 2) (at 0 -33.02 0)
(property "Footprint" "Package_DFN_QFN:QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm" (at 0 -33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://ww1.microchip.com/downloads/en/DeviceDoc/8742a.pdf" (id 3) (at 2.54 -35.56 0)
(property "Datasheet" "https://ww1.microchip.com/downloads/en/DeviceDoc/8742a.pdf" (at 2.54 -35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "LAN8742A_0_0"
@ -599,22 +769,22 @@
)
(symbol "LAN8742A_0_1"
(rectangle (start -10.16 22.86) (end 7.62 -25.4)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type background))
)
)
)
(symbol "LT3081xDF" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 -8.89 0)
(property "Reference" "U" (at 0 -8.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "LT3081xDF" (id 1) (at 0 -6.35 0)
(property "Value" "LT3081xDF" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 30.48 -22.86 0)
(property "Footprint" "" (at 30.48 -22.86 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 30.48 -22.86 0)
(property "Datasheet" "" (at 30.48 -22.86 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "LT3081xDF_0_0"
@ -673,22 +843,22 @@
)
(symbol "LT3081xDF_0_1"
(rectangle (start -6.35 5.08) (end 7.62 -5.08)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(stroke (width 0.1524) (type default))
(fill (type background))
)
)
)
(symbol "LTC3261" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 -16.51 0)
(property "Reference" "U" (at 0 -16.51 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "LTC3261" (id 1) (at 0 -13.97 0)
(property "Value" "LTC3261" (at 0 -13.97 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_SO:MSOP-12-1EP_3x4mm_P0.65mm_EP1.65x2.85mm" (id 2) (at 0 0 0)
(property "Footprint" "Package_SO:MSOP-12-1EP_3x4mm_P0.65mm_EP1.65x2.85mm" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/3261fb.pdf" (id 3) (at 0 0 0)
(property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/3261fb.pdf" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "LTC3261_0_0"
@ -747,40 +917,40 @@
)
(symbol "LTC3261_0_1"
(rectangle (start -5.08 8.89) (end 6.35 -11.43)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type background))
)
(rectangle (start 48.26 -19.05) (end 48.26 -19.05)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
(rectangle (start 48.26 -19.05) (end 48.26 -19.05)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
(rectangle (start 48.26 -19.05) (end 48.26 -19.05)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type none))
)
)
)
(symbol "LTC6655" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 -10.16 0)
(property "Reference" "U" (at 0 -10.16 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "LTC6655" (id 1) (at 0 -7.62 0)
(property "Value" "LTC6655" (at 0 -7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "kirdy:LCC127P500X500X155-8N" (id 2) (at 0 -6.35 0)
(property "Footprint" "kirdy:LCC127P500X500X155-8N" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/ltc6655-6655ln.pdf" (id 3) (at -1.27 -6.35 0)
(property "Datasheet" "https://www.analog.com/media/en/technical-documentation/data-sheets/ltc6655-6655ln.pdf" (at -1.27 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "reference" (id 4) (at 0 0 0)
(property "ki_keywords" "reference" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Precision series voltage reference" (id 5) (at 0 0 0)
(property "ki_description" "Precision series voltage reference" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "LTC6655_0_0"
@ -819,36 +989,36 @@
)
(symbol "LTC6655_0_1"
(rectangle (start -7.62 7.62) (end 8.89 -5.08)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type background))
)
)
)
(symbol "MAX1968xUI" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -7.62 16.51 0)
(property "Reference" "U" (at -7.62 16.51 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "MAX1968xUI" (id 1) (at 5.08 16.51 0)
(property "Value" "MAX1968xUI" (at 5.08 16.51 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Package_SO:HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP2.85x5.4mm" (id 2) (at 0 -17.78 0)
(property "Footprint" "Package_SO:HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP2.85x5.4mm" (at 0 -17.78 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://datasheets.maximintegrated.com/en/ds/MAX1968-MAX1969.pdf" (id 3) (at 0 -17.78 0)
(property "Datasheet" "https://datasheets.maximintegrated.com/en/ds/MAX1968-MAX1969.pdf" (at 0 -17.78 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "thermoelectric cooler" (id 4) (at 0 0 0)
(property "ki_keywords" "thermoelectric cooler" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power Driver for Peltier TEC Modules, +-3A, HTSSOP-28" (id 5) (at 0 0 0)
(property "ki_description" "Power Driver for Peltier TEC Modules, +-3A, HTSSOP-28" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "HTSSOP*4.4x9.7mm*P0.65mm*" (id 6) (at 0 0 0)
(property "ki_fp_filters" "HTSSOP*4.4x9.7mm*P0.65mm*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "MAX1968xUI_0_1"
(rectangle (start -7.62 15.24) (end 7.62 -15.24)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(stroke (width 0.254) (type default))
(fill (type background))
)
)
@ -972,22 +1142,22 @@
)
)
(symbol "PM1202" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 6.35 -6.35 0)
(property "Reference" "U" (at 6.35 -6.35 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "PM1202" (id 1) (at -2.54 -6.35 0)
(property "Value" "PM1202" (at -2.54 -6.35 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "kirdy:PM1202" (id 2) (at -21.59 15.24 0)
(property "Footprint" "kirdy:PM1202" (at -21.59 15.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at -21.59 15.24 0)
(property "Datasheet" "" (at -21.59 15.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "PoE" (id 4) (at 0 0 0)
(property "ki_keywords" "PoE" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "PoE Module, 12V, 30W" (id 5) (at 0 0 0)
(property "ki_description" "PoE Module, 12V, 30W" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "PM1202_0_0"
@ -1034,34 +1204,34 @@
)
(symbol "PM1202_0_1"
(rectangle (start -6.35 10.16) (end 7.62 -5.08)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type background))
)
)
)
(symbol "RS8552" (pin_names (offset 0.127)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 5.08 0)
(property "Reference" "U" (at 0 5.08 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "RS8552" (id 1) (at 0 -5.08 0)
(property "Value" "RS8552" (at 0 -5.08 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 0 0)
(property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://datasheet.lcsc.com/lcsc/2010160333_Jiangsu-RUNIC-Tech-RS8554XP_C236997.pdf" (id 3) (at 0 0 0)
(property "Datasheet" "https://datasheet.lcsc.com/lcsc/2010160333_Jiangsu-RUNIC-Tech-RS8554XP_C236997.pdf" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_locked" "" (id 4) (at 0 0 0)
(property "ki_locked" "" (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(property "ki_keywords" "dual opamp" (id 5) (at 0 0 0)
(property "ki_keywords" "dual opamp" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Dual Operational Amplifiers, DIP-8/SOIC-8/TSSOP-8/VSSOP-8" (id 6) (at 0 0 0)
(property "ki_description" "Dual Operational Amplifiers, DIP-8/SOIC-8/TSSOP-8/VSSOP-8" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm* DIP*W7.62mm* TO*99* OnSemi*Micro8* TSSOP*3x3mm*P0.65mm* TSSOP*4.4x3mm*P0.65mm* MSOP*3x3mm*P0.65mm* SSOP*3.9x4.9mm*P0.635mm* LFCSP*2x2mm*P0.5mm* *SIP* SOIC*5.3x6.2mm*P1.27mm*" (id 7) (at 0 0 0)
(property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm* DIP*W7.62mm* TO*99* OnSemi*Micro8* TSSOP*3x3mm*P0.65mm* TSSOP*4.4x3mm*P0.65mm* MSOP*3x3mm*P0.65mm* SSOP*3.9x4.9mm*P0.635mm* LFCSP*2x2mm*P0.5mm* *SIP* SOIC*5.3x6.2mm*P1.27mm*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "RS8552_1_1"
@ -1072,7 +1242,7 @@
(xy -5.08 -5.08)
(xy -5.08 5.08)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin output line (at 7.62 0 180) (length 2.54)
@ -1096,7 +1266,7 @@
(xy -5.08 -5.08)
(xy -5.08 5.08)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin input line (at -7.62 2.54 0) (length 2.54)
@ -1124,16 +1294,16 @@
)
)
(symbol "STM809SWX6F" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 -10.16 0)
(property "Reference" "U" (at 0 -10.16 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "STM809SWX6F" (id 1) (at 0 -7.62 0)
(property "Value" "STM809SWX6F" (at 0 -7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at -7.62 -2.54 0)
(property "Footprint" "Package_TO_SOT_SMD:SOT-23" (at -7.62 -2.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at -7.62 -2.54 0)
(property "Datasheet" "" (at -7.62 -2.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "STM809SWX6F_0_0"
@ -1152,22 +1322,100 @@
)
(symbol "STM809SWX6F_0_1"
(rectangle (start -5.08 5.08) (end 5.08 -5.08)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type background))
)
)
)
(symbol "TPS54620" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 -17.78 0)
(symbol "TLV2172" (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "TPS54620" (id 1) (at 0 -15.24 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_DFN_QFN:Texas_S-PVQFN-N14" (id 2) (at -7.62 -5.08 0)
(property "Value" "TLV2172IDGKT" (at 0 -2.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at -7.62 -5.08 0)
(property "Footprint" "Package_SO:VSSOP-8_3.0x3.0mm_P0.65mm" (at 0 -2.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.ti.com/lit/ds/symlink/tlv172.pdf?ts=1697182483141&ref_url=https%253A%252F%252Fwww.google.com%252F" (at 0 -2.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "MFR_PN" "TLV2172IDGKT" (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(property "ki_locked" "" (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(symbol "TLV2172_1_1"
(polyline
(pts
(xy 2.54 2.54)
(xy 12.7 -2.54)
(xy 2.54 -7.62)
(xy 2.54 2.54)
(xy 2.54 2.54)
)
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin output line (at 15.24 -2.54 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -5.08 0) (length 2.54)
(name "-" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 0 0) (length 2.54)
(name "+" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at 5.08 -8.89 90) (length 2.54)
(name "V-" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin input line (at 5.08 3.81 270) (length 2.54)
(name "V+" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
)
(symbol "TLV2172_2_1"
(polyline
(pts
(xy 2.54 2.54)
(xy 12.7 -2.54)
(xy 2.54 -7.62)
(xy 2.54 2.54)
(xy 2.54 2.54)
)
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin input line (at 0 0 0) (length 2.54)
(name "+" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -5.08 0) (length 2.54)
(name "-" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin output line (at 15.24 -2.54 180) (length 2.54)
(name "~" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "TPS54620" (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 -17.78 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "TPS54620" (at 0 -15.24 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_DFN_QFN:Texas_S-PVQFN-N14" (at -7.62 -5.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at -7.62 -5.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "TPS54620_0_0"
@ -1234,7 +1482,7 @@
)
(symbol "TPS54620_0_1"
(rectangle (start -7.62 12.7) (end 7.62 -12.7)
(stroke (width 0) (type default) (color 0 0 0 0))
(stroke (width 0) (type default))
(fill (type background))
)
)

13790
kirdy.pretty/AD7172-4BCPZ.stp Normal file

File diff suppressed because it is too large Load Diff

View File

@ -1,45 +1,54 @@
(footprint "PM1202" (version 20211014) (generator pcbnew)
(footprint "PM1202" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(tedit 6314C2F6)
(attr through_hole)
(fp_text reference "REF**" (at 27.94 0 unlocked) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 5c90fee6-64a7-4748-b73d-fb880ce13cf1)
)
(fp_text value "PM1202" (at 1.4 -3.7 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 4348725f-78f2-44b9-884d-46648379c99e)
)
(fp_text user "${REFERENCE}" (at 9.4 -3.8 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(effects (font (size 1 1) (thickness 0.15)))
(tstamp aeafd2d5-7ab5-4c2c-88e9-934994ab193e)
)
(fp_line (start 48.666 2.3) (end 60.859 2.3) (layer "F.SilkS") (width 0.05) (tstamp 306ec2cb-449c-436d-8b27-6c6c6a0903a5))
(fp_line (start 31.67 10.7) (end 48.666 10.7) (layer "F.SilkS") (width 0.05) (tstamp 3f8d04eb-14b7-45cc-b91d-39643f918dbc))
(fp_line (start -1.27 2.3) (end 31.67 2.3) (layer "F.SilkS") (width 0.05) (tstamp 93d17a0d-46db-4823-8a92-4ba37acf4cbd))
(fp_line (start 31.67 2.3) (end 31.67 10.7) (layer "F.SilkS") (width 0.05) (tstamp a4a64db0-3332-4613-9acd-a2615645f1f2))
(fp_line (start 48.666 10.7) (end 48.666 2.3) (layer "F.SilkS") (width 0.05) (tstamp b03d0023-6e95-4af0-9b2d-0638acfa4d71))
(fp_line (start -1.27 -7.3) (end -1.27 2.3) (layer "F.SilkS") (width 0.05) (tstamp ca5515e5-3523-4407-9647-1b5bf038e4f1))
(fp_line (start 60.859 0) (end 60.859 2.3) (layer "F.SilkS") (width 0.05) (tstamp d9f661a8-5931-4a8d-a364-3712d92e39b5))
(fp_line (start -1.27 -7.3) (end 60.859 -7.3) (layer "F.SilkS") (width 0.05) (tstamp db35ad12-f3c2-4b03-b3af-be4724bcb3a4))
(fp_line (start 60.859 0) (end 60.859 -7.3) (layer "F.SilkS") (width 0.05) (tstamp eb8751bc-dcef-475b-b0ce-bb2bb82080ee))
(fp_line (start 31.67 2.3) (end 31.67 10.7) (layer "F.CrtYd") (width 0.05) (tstamp 25b0aa80-baee-43a0-b506-7c917421cfce))
(fp_line (start 48.666 10.7) (end 48.666 2.3) (layer "F.CrtYd") (width 0.05) (tstamp 4c0077bb-94a6-4281-a330-706c8cb25429))
(fp_line (start 31.67 10.7) (end 48.666 10.7) (layer "F.CrtYd") (width 0.05) (tstamp 99fae3ed-3f7d-4a12-86da-00d83880beac))
(fp_line (start -1.27 -7.3) (end 60.859 -7.3) (layer "F.CrtYd") (width 0.05) (tstamp 9f5d5a4d-3d22-44ef-bbfb-5bc191ab05c5))
(fp_line (start -1.27 -7.3) (end -1.27 2.3) (layer "F.CrtYd") (width 0.05) (tstamp a878e5f4-5345-4e34-b881-422fbcd57f48))
(fp_line (start 48.666 2.3) (end 60.859 2.3) (layer "F.CrtYd") (width 0.05) (tstamp bc20a622-40bb-4af3-9d07-442432c8c91e))
(fp_line (start 60.859 0) (end 60.859 -7.3) (layer "F.CrtYd") (width 0.05) (tstamp c16d2b9a-1c39-4800-8c04-47ccda6c6d03))
(fp_line (start -1.27 2.3) (end 31.67 2.3) (layer "F.CrtYd") (width 0.05) (tstamp dac09663-b061-490c-ba0c-75a4a61b1612))
(fp_line (start 60.859 0) (end 60.859 2.3) (layer "F.CrtYd") (width 0.05) (tstamp fb70420b-90c7-4b5b-8323-ed4b18da56ab))
(pad "1" thru_hole roundrect (at 0 0) (size 1.8 3) (drill 1.1) (layers *.Cu *.Mask) (roundrect_rratio 0.25) (tstamp c77258b1-5265-4446-bd4f-bb39fc9fe986))
(pad "2" thru_hole roundrect (at 2.54 0) (size 1.8 3) (drill 1.1) (layers *.Cu *.Mask) (roundrect_rratio 0.25) (tstamp ae3c1221-a098-49a1-8631-f067c74b1e08))
(pad "3" thru_hole roundrect (at 5.08 0) (size 1.8 3) (drill 1.1) (layers *.Cu *.Mask) (roundrect_rratio 0.25) (tstamp 13ca2e3f-659f-42d6-b273-7529532992c2))
(pad "4" thru_hole roundrect (at 7.62 0) (size 1.8 3) (drill 1.1) (layers *.Cu *.Mask) (roundrect_rratio 0.25) (tstamp 0dac0b26-cc05-4f21-89e2-2ea38003e73f))
(pad "5" thru_hole roundrect (at 10.16 0) (size 1.8 3) (drill 1.1) (layers *.Cu *.Mask) (roundrect_rratio 0.25) (tstamp 1b7e0f42-4467-4e80-8012-337024ae5fba))
(pad "6" thru_hole roundrect (at 12.7 0) (size 1.8 3) (drill 1.1) (layers *.Cu *.Mask) (roundrect_rratio 0.25) (tstamp 8e142d6a-c56f-4d47-ae5c-d64e5593baf6))
(pad "7" thru_hole roundrect (at 52.202 0) (size 1.8 3) (drill 1.1) (layers *.Cu *.Mask) (roundrect_rratio 0.25) (tstamp 9e7e18e1-302a-4340-812a-4b4f0207c545))
(pad "8" thru_hole roundrect (at 54.742 0) (size 1.8 3) (drill 1.1) (layers *.Cu *.Mask) (roundrect_rratio 0.25) (tstamp f1ee8936-b66c-499a-bb0c-011645be4b90))
(pad "9" thru_hole roundrect (at 57.282 0) (size 1.8 3) (drill 1.1) (layers *.Cu *.Mask) (roundrect_rratio 0.25) (tstamp 21bc1437-5943-4214-b89d-8d1f5af94ac7))
(pad "10" thru_hole roundrect (at 59.822 0) (size 1.8 3) (drill 1.1) (layers *.Cu *.Mask) (roundrect_rratio 0.25) (tstamp 153d6c8f-d83a-4010-9433-ae1675f36797))
(fp_line (start -1.27 -7.3) (end -1.27 2.3)
(stroke (width 0.05) (type solid)) (layer "F.SilkS") (tstamp ca5515e5-3523-4407-9647-1b5bf038e4f1))
(fp_line (start -1.27 -7.3) (end 60.859 -7.3)
(stroke (width 0.05) (type solid)) (layer "F.SilkS") (tstamp db35ad12-f3c2-4b03-b3af-be4724bcb3a4))
(fp_line (start -1.27 2.3) (end -1.27 11.3)
(stroke (width 0.05) (type solid)) (layer "F.SilkS") (tstamp a4a64db0-3332-4613-9acd-a2615645f1f2))
(fp_line (start -1.27 11.3) (end 60.859 11.3)
(stroke (width 0.05) (type solid)) (layer "F.SilkS") (tstamp 3f8d04eb-14b7-45cc-b91d-39643f918dbc))
(fp_line (start 60.859 0) (end 60.859 -7.3)
(stroke (width 0.05) (type solid)) (layer "F.SilkS") (tstamp eb8751bc-dcef-475b-b0ce-bb2bb82080ee))
(fp_line (start 60.859 0) (end 60.859 2.3)
(stroke (width 0.05) (type solid)) (layer "F.SilkS") (tstamp d9f661a8-5931-4a8d-a364-3712d92e39b5))
(fp_line (start 60.859 11.3) (end 60.859 2.3)
(stroke (width 0.05) (type solid)) (layer "F.SilkS") (tstamp b03d0023-6e95-4af0-9b2d-0638acfa4d71))
(fp_line (start -1.27 -7.3) (end -1.27 2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a878e5f4-5345-4e34-b881-422fbcd57f48))
(fp_line (start -1.27 -7.3) (end 60.859 -7.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9f5d5a4d-3d22-44ef-bbfb-5bc191ab05c5))
(fp_line (start -1.27 2.3) (end -1.27 11.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 25b0aa80-baee-43a0-b506-7c917421cfce))
(fp_line (start -1.27 11.3) (end 60.859 11.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99fae3ed-3f7d-4a12-86da-00d83880beac))
(fp_line (start 60.859 0) (end 60.859 -7.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c16d2b9a-1c39-4800-8c04-47ccda6c6d03))
(fp_line (start 60.859 0) (end 60.859 2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb70420b-90c7-4b5b-8323-ed4b18da56ab))
(fp_line (start 60.859 11.3) (end 60.859 2.3)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4c0077bb-94a6-4281-a330-706c8cb25429))
(pad "1" thru_hole roundrect (at 0 0) (size 1.8 3) (drill 1.1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) (tstamp c77258b1-5265-4446-bd4f-bb39fc9fe986))
(pad "2" thru_hole roundrect (at 2.54 0) (size 1.8 3) (drill 1.1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) (tstamp ae3c1221-a098-49a1-8631-f067c74b1e08))
(pad "3" thru_hole roundrect (at 5.08 0) (size 1.8 3) (drill 1.1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) (tstamp 13ca2e3f-659f-42d6-b273-7529532992c2))
(pad "4" thru_hole roundrect (at 7.62 0) (size 1.8 3) (drill 1.1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) (tstamp 0dac0b26-cc05-4f21-89e2-2ea38003e73f))
(pad "5" thru_hole roundrect (at 10.16 0) (size 1.8 3) (drill 1.1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) (tstamp 1b7e0f42-4467-4e80-8012-337024ae5fba))
(pad "6" thru_hole roundrect (at 12.7 0) (size 1.8 3) (drill 1.1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) (tstamp 8e142d6a-c56f-4d47-ae5c-d64e5593baf6))
(pad "7" thru_hole roundrect (at 52.202 0) (size 1.8 3) (drill 1.1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) (tstamp 9e7e18e1-302a-4340-812a-4b4f0207c545))
(pad "8" thru_hole roundrect (at 54.742 0) (size 1.8 3) (drill 1.1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) (tstamp f1ee8936-b66c-499a-bb0c-011645be4b90))
(pad "9" thru_hole roundrect (at 57.282 0) (size 1.8 3) (drill 1.1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) (tstamp 21bc1437-5943-4214-b89d-8d1f5af94ac7))
(pad "10" thru_hole roundrect (at 59.822 0) (size 1.8 3) (drill 1.1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.25) (tstamp 153d6c8f-d83a-4010-9433-ae1675f36797))
)

View File

@ -0,0 +1,76 @@
(footprint "QFN50P500X500X80-33N-D" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "AD7172-4BCPZ")
(tags "Integrated Circuit")
(attr smd)
(fp_text reference "REF**" (at -1.27 -3.81) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp cb09a1ce-bdb5-414b-8cfd-4c704f621053)
)
(fp_text value "QFN50P500X500X80-33N-D" (at 0 0) (layer "F.SilkS") hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp add3e2ef-5a63-422c-abef-e58fa5ad15d6)
)
(fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab")
(effects (font (size 1.27 1.27) (thickness 0.254)))
(tstamp 27c2577a-41b2-433b-ac5d-126caee920f5)
)
(fp_circle (center -2.9 -2.5) (end -2.9 -2.375)
(stroke (width 0.25) (type solid)) (fill none) (layer "F.SilkS") (tstamp 332565a4-dcd1-4319-9e83-38f534893c61))
(fp_line (start -3.125 -3.125) (end 3.125 -3.125)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 143e6f57-7a2d-4329-bc30-b168762e010d))
(fp_line (start -3.125 3.125) (end -3.125 -3.125)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c2c2fe3b-12e0-43e2-ae6d-a152d8e6f661))
(fp_line (start 3.125 -3.125) (end 3.125 3.125)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd4f6550-54b6-4532-a831-5244db18c207))
(fp_line (start 3.125 3.125) (end -3.125 3.125)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b1613bb9-9b74-4e8b-ae9d-f0d38442fec1))
(fp_line (start -2.5 -2.5) (end 2.5 -2.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1ab26c4d-6469-4958-8ac5-dfa2745412ee))
(fp_line (start -2.5 -2) (end -2 -2.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f77956f-4364-4872-93d4-7c03f31f219a))
(fp_line (start -2.5 2.5) (end -2.5 -2.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6729f48d-871f-46dd-8607-884098c3087c))
(fp_line (start 2.5 -2.5) (end 2.5 2.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 99b7778d-ba0c-4207-b3ff-f7574c317bc7))
(fp_line (start 2.5 2.5) (end -2.5 2.5)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9fe7c17-432d-480b-9004-fc3ee55601df))
(pad "1" smd rect (at -2.5 -1.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 81d0c374-1663-49e1-8125-c5c8d08478b3))
(pad "2" smd rect (at -2.5 -1.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 442fb808-1d7d-4f36-9cfb-58d5de79837b))
(pad "3" smd rect (at -2.5 -0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3972cd41-daa1-4f47-8ac9-7f22568be7c0))
(pad "4" smd rect (at -2.5 -0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5ab27a2c-b1b4-4754-ae2c-a8058079be4b))
(pad "5" smd rect (at -2.5 0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 24d0b066-be58-4d1a-9597-3708c15ac29a))
(pad "6" smd rect (at -2.5 0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 66c92b5e-9404-4559-a950-d2e0077be74b))
(pad "7" smd rect (at -2.5 1.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e8006e25-2267-4164-8d83-d3c5fda00573))
(pad "8" smd rect (at -2.5 1.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0cb556ba-0adb-4c9c-af8b-535cd6ccc86d))
(pad "9" smd rect (at -1.75 2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 22c970e9-be0d-4dd7-a311-0fe1a848076e))
(pad "10" smd rect (at -1.25 2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3f19720d-d133-4cd4-8552-cecc949015d8))
(pad "11" smd rect (at -0.75 2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 351640b0-be43-45a0-94ad-4d6a4cc15a75))
(pad "12" smd rect (at -0.25 2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 160d3d75-b57f-4e1e-b084-15f8e3ed6a4f))
(pad "13" smd rect (at 0.25 2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c9acd810-a4ab-4ad9-af1a-2675dfd111f3))
(pad "14" smd rect (at 0.75 2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0cd1f564-b7cb-43de-b781-7374ed5cfbc2))
(pad "15" smd rect (at 1.25 2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e6ef664d-582f-409a-a4f1-cc7545b12925))
(pad "16" smd rect (at 1.75 2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 26d3d44c-2d51-416c-95e9-0231f5f6fdaa))
(pad "17" smd rect (at 2.5 1.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c3875f09-6161-4c7c-bf9d-ceaf68317f2f))
(pad "18" smd rect (at 2.5 1.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7c8519a0-7f08-4c96-aabe-7d9fa03a84b0))
(pad "19" smd rect (at 2.5 0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4c63b2d6-162c-487c-be1b-5f3f79bae57e))
(pad "20" smd rect (at 2.5 0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 67a0e568-b1ab-46d9-8410-f214fa13a042))
(pad "21" smd rect (at 2.5 -0.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3dc35524-62ba-4b2b-a6bf-c4b27021b65e))
(pad "22" smd rect (at 2.5 -0.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fd05c3ca-507a-441b-8230-1ccec96354ee))
(pad "23" smd rect (at 2.5 -1.25 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp caf5f27a-4350-4aab-b777-837d79ad5174))
(pad "24" smd rect (at 2.5 -1.75 90) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e0a3fb5f-cc8b-492c-bd1f-a2953a2206b4))
(pad "25" smd rect (at 1.75 -2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b8ecd43e-3aec-4b63-a681-5f99c6de3229))
(pad "26" smd rect (at 1.25 -2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 58097d90-e294-46d6-b2ea-de539246fe15))
(pad "27" smd rect (at 0.75 -2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9c35a8f7-4071-4f59-8cca-378c6f921710))
(pad "28" smd rect (at 0.25 -2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 938c0b92-1b0d-4373-ad1c-0e4b9f22e5b5))
(pad "29" smd rect (at -0.25 -2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 05855609-b90c-45b3-a68e-175049de287f))
(pad "30" smd rect (at -0.75 -2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ebca3645-f4ec-4573-a90e-cf311083c3b3))
(pad "31" smd rect (at -1.25 -2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 30e291d0-7ed3-4fae-ab26-3a8f1c743279))
(pad "32" smd rect (at -1.75 -2.5) (size 0.3 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 62a7c041-2b44-4d74-8b32-c1bc40082348))
(pad "33" smd rect (at 0 0) (size 3.75 3.75) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 03cc2d74-d781-49d5-8323-76dff466793c))
(model "${KIPRJMOD}/kirdy.pretty/AD7172-4BCPZ.stp"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -3976,7 +3976,7 @@
)
(symbol (lib_id "Device:D_Shockley") (at 325.12 133.35 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(in_bom yes) (on_board yes) (dnp yes) (fields_autoplaced)
(uuid e87d1a34-db2b-4f1d-8482-844fd7373ed6)
(property "Reference" "D3" (at 325.12 127 0)
(effects (font (size 1.27 1.27)))

File diff suppressed because it is too large Load Diff