diff --git a/graphics/logo.svg b/graphics/logo.svg new file mode 100644 index 0000000..7325709 --- /dev/null +++ b/graphics/logo.svg @@ -0,0 +1,8289 @@ + + + + + SVG Picture created as kirdy-F_Silkscreen.svg date 2022/07/07 16:05:10 + Picture generated by PCBNEW + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kirdy.kicad_pcb b/kirdy.kicad_pcb index 1efec69..df7e53f 100644 --- a/kirdy.kicad_pcb +++ b/kirdy.kicad_pcb @@ -452,6 +452,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KIPRJMOD}/kirdy.pretty/ARJP11A v1.step" + (offset (xyz 5.75 -9 7)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) ) (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") @@ -1802,6 +1807,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_Bourns_SRR1260.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) (footprint "Capacitor_SMD:C_0805_2012Metric" (layer "F.Cu") @@ -1908,6 +1918,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.35x2.35mm.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) (footprint "Capacitor_SMD:C_0805_2012Metric" (layer "F.Cu") @@ -2653,6 +2668,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KIPRJMOD}/kirdy.pretty/132203-12.stp" + (offset (xyz 0 0 -3.9)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) ) (footprint "Inductor_SMD:L_1210_3225Metric" (layer "F.Cu") @@ -2870,6 +2890,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KIPRJMOD}/kirdy.pretty/Type-C 16P.STEP" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) ) (footprint "Inductor_SMD:L_1210_3225Metric" (layer "F.Cu") @@ -4932,6 +4957,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KIPRJMOD}/kirdy.pretty/WE-SL5_HC_744273 (rev1).stp" + (offset (xyz 0 0 1.8)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) ) (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") @@ -6757,6 +6787,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.35x2.35mm.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) (footprint "Capacitor_SMD:C_0805_2012Metric" (layer "F.Cu") @@ -7444,7 +7479,7 @@ (footprint "Capacitor_SMD:C_1812_4532Metric" (layer "F.Cu") (tedit 5F68FEEE) (tstamp 5ebdefce-c2ce-42d7-8cbb-05c2ceb5db15) - (at 97.917 15.0368 90) + (at 95.5548 15.0368 90) (descr "Capacitor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") (tags "capacitor") (property "MFR_PN" "16MU106MC44532") @@ -8065,6 +8100,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KIPRJMOD}/kirdy.pretty/WE-SL5_HC_744273 (rev1).stp" + (offset (xyz 0 0 1.8)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) ) (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") @@ -10044,6 +10084,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") @@ -12562,7 +12607,7 @@ (footprint "Capacitor_SMD:C_1812_4532Metric" (layer "F.Cu") (tedit 5F68FEEE) (tstamp a86a43aa-8fca-40d1-8c17-8470e4fe90bb) - (at 86.8934 15.0114 -90) + (at 84.5312 15.0114 -90) (descr "Capacitor SMD 1812 (4532 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") (tags "capacitor") (property "MFR_PN" "35MU225MC14532") @@ -13268,6 +13313,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_MicroCrystal_CC4V-T1A-2Pin_5.0x1.9mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") @@ -16805,7 +16855,7 @@ (footprint "kirdy:LCC127P500X500X155-8N" (layer "F.Cu") (tedit 62A71DC4) (tstamp efaf4c25-7e8f-43a6-b7ae-618f4dad7459) - (at 92.2274 15.0114) + (at 89.8652 15.0114) (property "MFR_PN" "LTC6655BHLS8-4.096") (property "Sheetfile" "driveStage.kicad_sch") (property "Sheetname" "driveStage") @@ -17103,6 +17153,11 @@ (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.35x2.35mm.step" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) (footprint "Package_TO_SOT_SMD:SOT-23-6" (layer "F.Cu") @@ -17609,6 +17664,9181 @@ ) ) + (gr_line (start 68.235551 20.318548) (end 68.390721 20.04375) (layer "F.SilkS") (width 0.00575) (tstamp 000452fe-6dbc-471a-9934-e97eb0a9b3b3)) + (gr_line (start 68.657829 25.824127) (end 68.095909 26.057172) (layer "F.SilkS") (width 0.00575) (tstamp 000e0288-655c-4bc5-b757-9237b8ccf637)) + (gr_line (start 69.570006 14.986276) (end 69.555174 14.634415) (layer "F.SilkS") (width 0.00575) (tstamp 001f18a6-8543-4eb1-b53d-9b6c7657da17)) + (gr_line (start 63.277519 23.454138) (end 63.114763 23.124536) (layer "F.SilkS") (width 0.00575) (tstamp 006adfb9-d400-4da2-aa9c-9bac92905516)) + (gr_line (start 76.655028 25.717999) (end 76.733427 25.551306) (layer "F.SilkS") (width 0.00575) (tstamp 008fd94f-a398-473b-a4a6-c1fcb709796d)) + (gr_line (start 79.77559 23.719659) (end 79.64904 23.745441) (layer "F.SilkS") (width 0.00575) (tstamp 00d9bdb3-7cb0-44e0-8c25-c831cbaf9164)) + (gr_line (start 77.072093 15.632638) (end 76.947111 15.468237) (layer "F.SilkS") (width 0.00575) (tstamp 00f2965d-a9e9-46cf-805b-3aade56c030a)) + (gr_line (start 64.377116 25.522644) (end 64.410753 25.565253) (layer "F.SilkS") (width 0.00575) (tstamp 00f7457b-90f0-4229-8aca-e482eb09c688)) + (gr_line (start 77.404313 14.173196) (end 77.348375 14.197189) (layer "F.SilkS") (width 0.00575) (tstamp 00fca38e-dbf0-42b7-b47e-afb8cb501778)) + (gr_line (start 80.90255 31.873541) (end 81.043132 31.868005) (layer "F.SilkS") (width 0.00575) (tstamp 01042fca-cc48-4a22-895c-7a162d938bbc)) + (gr_poly + (pts + (xy 76.3317 28.193927) + (xy 78.002403 28.202276) + (xy 78.106584 28.269464) + (xy 78.159654 28.306915) + (xy 78.201341 28.347803) + (xy 78.233024 28.399414) + (xy 78.256047 28.469073) + (xy 78.271794 28.564075) + (xy 78.281621 28.69173) + (xy 78.286882 28.859344) + (xy 78.288945 29.074225) + (xy 78.289226 29.248535) + (xy 78.288981 29.474707) + (xy 78.287858 29.649231) + (xy 78.285277 29.779968) + (xy 78.280656 29.874731) + (xy 78.273417 29.941388) + (xy 78.26298 29.987762) + (xy 78.248765 30.021704) + (xy 78.230192 30.051056) + (xy 78.230001 30.051327) + (xy 78.229907 30.05146) + (xy 78.229814 30.051593) + (xy 78.160185 30.124353) + (xy 78.080913 30.177368) + (xy 77.991429 30.219165) + (xy 78.080919 30.266595) + (xy 78.163457 30.326422) + (xy 78.22982 30.398004) + (xy 78.248478 30.42735) + (xy 78.262767 30.461145) + (xy 78.273265 30.507239) + (xy 78.280546 30.573462) + (xy 78.285216 30.66767) + (xy 78.287828 30.797699) + (xy 78.288969 30.971393) + (xy 78.289226 31.196582) + (xy 78.289226 31.201068) + (xy 78.288536 31.451764) + (xy 78.285563 31.650281) + (xy 78.278959 31.803943) + (xy 78.267338 31.920044) + (xy 78.249345 32.00589) + (xy 78.223613 32.068805) + (xy 78.188798 32.116083) + (xy 78.14351 32.155029) + (xy 78.106584 32.180139) + (xy 78.002403 32.247327) + (xy 74.660997 32.264032) + (xy 74.660997 31.878876) + (xy 75.865623 31.878876) + (xy 76.469029 31.869769) + (xy 76.676005 31.866003) + (xy 76.832591 31.861359) + (xy 76.945781 31.855267) + (xy 77.022526 31.847125) + (xy 77.069816 31.836334) + (xy 77.094627 31.822302) + (xy 77.099589 31.816052) + (xy 77.109788 31.770026) + (xy 77.117692 31.67644) + (xy 77.12335 31.545965) + (xy 77.126799 31.389252) + (xy 77.128099 31.216992) + (xy 77.127299 31.039838) + (xy 77.124455 30.868469) + (xy 77.119603 30.713556) + (xy 77.112797 30.58576) + (xy 77.1041 30.495752) + (xy 77.093547 30.454211) + (xy 77.093193 30.453821) + (xy 77.059709 30.440478) + (xy 76.985606 30.430475) + (xy 76.866777 30.423572) + (xy 76.699119 30.419531) + (xy 76.478526 30.418133) + (xy 76.462193 30.418127) + (xy 75.865617 30.418127) + (xy 75.865617 31.878876) + (xy 74.660991 31.878876) + (xy 74.660991 30.031476) + (xy 75.865617 30.031476) + (xy 76.441136 30.031476) + (xy 76.641124 30.029925) + (xy 76.811802 30.025519) + (xy 76.94583 30.018628) + (xy 77.035917 30.009582) + (xy 77.072123 30.000683) + (xy 77.090098 29.987579) + (xy 77.103715 29.96582) + (xy 77.113579 29.927832) + (xy 77.12028 29.86604) + (xy 77.124437 29.772864) + (xy 77.126634 29.640735) + (xy 77.127488 29.462073) + (xy 77.127604 29.302771) + (xy 77.127348 29.086462) + (xy 77.12617 28.922058) + (xy 77.123454 28.801996) + (xy 77.118626 28.718695) + (xy 77.111076 28.664581) + (xy 77.100181 28.632074) + (xy 77.085368 28.613605) + (xy 77.072123 28.604858) + (xy 77.024217 28.594305) + (xy 76.926268 28.585596) + (xy 76.7856 28.579089) + (xy 76.609508 28.57514) + (xy 76.441124 28.574066) + (xy 75.865611 28.574066) + (xy 75.865611 30.031476) + (xy 74.660985 30.031476) + (xy 74.660985 28.185571) + (xy 76.3317 28.193927) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 011b3b2d-f056-497f-8afb-81a59eb4fa89)) + (gr_line (start 73.148338 23.63833) (end 73.226085 23.691492) (layer "F.SilkS") (width 0.00575) (tstamp 013b8308-52ae-4f82-9316-574e23df6c5d)) + (gr_line (start 76.383433 13.946039) (end 76.672075 13.892816) (layer "F.SilkS") (width 0.00575) (tstamp 014344b8-1e7d-4d0c-8cf3-fb3910abf72e)) + (gr_line (start 76.46345 18.212451) (end 76.592448 18.267706) (layer "F.SilkS") (width 0.00575) (tstamp 0153a7f3-f3ea-4b25-a0c4-092faa2372f5)) + (gr_line (start 71.936809 27.720984) (end 72.034007 27.582623) (layer "F.SilkS") (width 0.00575) (tstamp 016fa7e1-5708-4efe-90ca-260fa7cbcc56)) + (gr_line (start 77.51898 14.313934) (end 77.607609 14.350177) (layer "F.SilkS") (width 0.00575) (tstamp 01919e3c-5372-4e2b-863d-b81e21e2357f)) + (gr_line (start 74.660991 30.418127) (end 74.660991 28.574066) (layer "F.SilkS") (width 0.00575) (tstamp 0193e8d5-1f69-418a-a689-91857d7bd8e3)) + (gr_line (start 78.271794 28.564075) (end 78.281621 28.69173) (layer "F.SilkS") (width 0.00575) (tstamp 01997108-45ef-402c-a63c-6b71fbeeab97)) + (gr_line (start 66.279704 21.102301) (end 66.378972 21.014258) (layer "F.SilkS") (width 0.00575) (tstamp 019a5e42-4f5c-4632-96c8-f471f4e26d2a)) + (gr_line (start 71.252458 15.102466) (end 71.326536 15.215655) (layer "F.SilkS") (width 0.00575) (tstamp 01bdbe50-6c4b-4b62-9dae-b3b4d37323fc)) + (gr_line (start 70.152244 24.45487) (end 70.13806 24.474438) (layer "F.SilkS") (width 0.00575) (tstamp 01dc7e36-1c41-4ad9-bb73-5fa0893b5a76)) + (gr_line (start 61.202891 21.859265) (end 61.388414 21.819311) (layer "F.SilkS") (width 0.00575) (tstamp 0215bcc1-897d-4be4-bf00-6fd9654edb02)) + (gr_line (start 68.933696 17.500232) (end 68.839421 17.524115) (layer "F.SilkS") (width 0.00575) (tstamp 021b9749-b848-4fdc-a255-7c252c9bb05f)) + (gr_line (start 63.681065 17.556238) (end 63.700364 17.632025) (layer "F.SilkS") (width 0.00575) (tstamp 0227d606-290c-4c6e-bdea-a72c73716a74)) + (gr_line (start 60.782445 21.236951) (end 60.83004 21.197266) (layer "F.SilkS") (width 0.00575) (tstamp 02a555d3-071f-426e-8160-caab42a56145)) + (gr_line (start 68.335936 15.569543) (end 68.510991 15.605121) (layer "F.SilkS") (width 0.00575) (tstamp 02acfea8-8c9e-425c-ab26-4d0580b16d2f)) + (gr_line (start 68.182644 26.211828) (end 68.182799 26.211108) (layer "F.SilkS") (width 0.00575) (tstamp 02c1ffbb-b556-46a8-a982-ecfdfb6df8e0)) + (gr_poly + (pts + (xy 75.220579 13.877939) + (xy 75.616551 13.958124) + (xy 75.78798 14.011838) + (xy 75.891942 14.046823) + (xy 75.961369 14.063489) + (xy 76.016026 14.062753) + (xy 76.075657 14.045535) + (xy 76.122709 14.02742) + (xy 76.383433 13.946039) + (xy 76.672075 13.892816) + (xy 76.975181 13.867718) + (xy 77.279313 13.870706) + (xy 77.571031 13.901749) + (xy 77.836888 13.960806) + (xy 78.03109 14.032361) + (xy 78.145817 14.085028) + (xy 77.945048 14.088171) + (xy 77.804362 14.096615) + (xy 77.655736 14.115723) + (xy 77.516582 14.142309) + (xy 77.40432 14.173193) + (xy 77.348375 14.197189) + (xy 77.336754 14.213824) + (xy 77.357207 14.236404) + (xy 77.415904 14.268564) + (xy 77.518974 14.313934) + (xy 77.607603 14.350177) + (xy 77.853874 14.459921) + (xy 78.125926 14.599585) + (xy 78.406541 14.759686) + (xy 78.678526 14.930752) + (xy 78.758012 14.984268) + (xy 78.857597 15.054452) + (xy 78.91118 15.097784) + (xy 78.922185 15.117667) + (xy 78.894017 15.117483) + (xy 78.891533 15.116986) + (xy 78.830162 15.108761) + (xy 78.725834 15.099264) + (xy 78.592918 15.089651) + (xy 78.445769 15.081073) + (xy 78.439158 15.080737) + (xy 78.072832 15.062149) + (xy 78.412309 15.41593) + (xy 78.682982 15.722601) + (xy 78.897252 16.019745) + (xy 79.055296 16.307645) + (xy 79.157274 16.586578) + (xy 79.178685 16.676874) + (xy 79.185252 16.717242) + (xy 79.180504 16.734598) + (xy 79.158769 16.72471) + (xy 79.114342 16.683328) + (xy 79.041533 16.606219) + (xy 78.951488 16.507672) + (xy 78.831138 16.38129) + (xy 78.698851 16.252002) + (xy 78.572557 16.13689) + (xy 78.489573 16.067792) + (xy 78.384666 15.991174) + (xy 78.262144 15.909811) + (xy 78.133592 15.830444) + (xy 78.010612 15.75983) + (xy 77.904765 15.704718) + (xy 77.827659 15.671854) + (xy 77.799168 15.66561) + (xy 77.78203 15.683655) + (xy 77.782463 15.743475) + (xy 77.794316 15.821759) + (xy 77.803843 15.909677) + (xy 77.809495 16.035586) + (xy 77.811558 16.186975) + (xy 77.810344 16.351327) + (xy 77.806132 16.516141) + (xy 77.799217 16.668896) + (xy 77.789909 16.797082) + (xy 77.778508 16.888187) + (xy 77.768217 16.925683) + (xy 77.748649 16.949209) + (xy 77.72874 16.934259) + (xy 77.70161 16.873126) + (xy 77.687694 16.835376) + (xy 77.589561 16.587698) + (xy 77.471812 16.330496) + (xy 77.341569 16.076858) + (xy 77.205949 15.839877) + (xy 77.072087 15.632648) + (xy 76.947099 15.468249) + (xy 76.93784 15.457516) + (xy 76.875346 15.389017) + (xy 76.838829 15.361023) + (xy 76.817985 15.367963) + (xy 76.808293 15.387631) + (xy 76.791179 15.460647) + (xy 76.785441 15.520947) + (xy 76.773143 15.600635) + (xy 76.742692 15.718136) + (xy 76.699443 15.857031) + (xy 76.64879 16.000888) + (xy 76.596092 16.133282) + (xy 76.558116 16.21586) + (xy 76.483476 16.364572) + (xy 76.465135 16.067142) + (xy 76.425682 15.719) + (xy 76.353245 15.417938) + (xy 76.248063 15.164581) + (xy 76.11035 14.959549) + (xy 76.034508 14.880096) + (xy 75.942436 14.804211) + (xy 75.848747 14.742734) + (xy 75.784422 14.712988) + (xy 75.716954 14.699982) + (xy 75.606303 14.686929) + (xy 75.466636 14.675186) + (xy 75.312126 14.666101) + (xy 75.277629 14.664603) + (xy 74.960332 14.664493) + (xy 74.68759 14.693298) + (xy 74.452781 14.753018) + (xy 74.249302 14.845651) + (xy 74.070549 14.973196) + (xy 73.982927 15.056299) + (xy 73.822325 15.259357) + (xy 73.716966 15.478119) + (xy 73.666905 15.712063) + (xy 73.672215 15.96066) + (xy 73.732945 16.223392) + (xy 73.826121 16.453137) + (xy 73.996629 16.744299) + (xy 74.217686 17.012134) + (xy 74.490062 17.257281) + (xy 74.814488 17.480383) + (xy 75.191728 17.682092) + (xy 75.342167 17.750275) + (xy 75.475187 17.809979) + (xy 75.562523 17.855029) + (xy 75.612517 17.890784) + (xy 75.633494 17.922607) + (xy 75.635716 17.93913) + (xy 75.626311 18.015875) + (xy 75.601317 18.13183) + (xy 75.564445 18.274927) + (xy 75.519407 18.433124) + (xy 75.469902 18.594366) + (xy 75.419621 18.746612) + (xy 75.372301 18.877795) + (xy 75.331614 18.975873) + (xy 75.301286 19.02879) + (xy 75.300864 19.029239) + (xy 75.300652 19.029463) + (xy 75.300438 19.029687) + (xy 75.268327 19.024945) + (xy 75.195164 18.995886) + (xy 75.090281 18.946747) + (xy 74.962956 18.881756) + (xy 74.890159 18.84267) + (xy 74.47332 18.606421) + (xy 74.108378 18.380163) + (xy 73.791411 18.160266) + (xy 73.518486 17.943109) + (xy 73.285667 17.725049) + (xy 73.089024 17.50246) + (xy 72.92462 17.271704) + (xy 72.788524 17.029162) + (xy 72.693828 16.815259) + (xy 72.584184 16.472339) + (xy 72.525151 16.131583) + (xy 72.515611 15.798251) + (xy 72.554454 15.47763) + (xy 72.640581 15.174988) + (xy 72.772868 14.895602) + (xy 72.950206 14.644739) + (xy 73.171489 14.427679) + (xy 73.202104 14.403244) + (xy 73.503666 14.203308) + (xy 73.839079 14.047937) + (xy 74.211204 13.935943) + (xy 74.416539 13.895059) + (xy 74.81981 13.856857) + (xy 75.220579 13.877939) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 02de8176-8ef5-4af8-a3ea-06c3f5a7f828)) + (gr_line (start 79.099046 24.109723) (end 79.216075 24.22995) (layer "F.SilkS") (width 0.00575) (tstamp 02fce4b1-6292-4d6b-baf1-1c427db96f9a)) + (gr_line (start 78.9515 16.507666) (end 78.831138 16.381287) (layer "F.SilkS") (width 0.00575) (tstamp 03011927-c945-46f3-b32c-905da7a24b45)) + (gr_line (start 65.47205 22.069769) (end 64.971397 21.614245) (layer "F.SilkS") (width 0.00575) (tstamp 031a234b-d291-4265-95f1-e627f1bbfc27)) + (gr_line (start 64.902989 24.742572) (end 64.741478 24.720959) (layer "F.SilkS") (width 0.00575) (tstamp 032dab5f-a1bd-4228-937d-b163ab32f1f8)) + (gr_line (start 68.285734 13.715826) (end 68.325285 13.740698) (layer "F.SilkS") (width 0.00575) (tstamp 03572864-005e-4baa-ba68-331f9116936b)) + (gr_line (start 73.082817 25.053241) (end 73.005107 25.041308) (layer "F.SilkS") (width 0.00575) (tstamp 035bc35c-1eef-4abf-934f-4c8e6206c991)) + (gr_line (start 69.722301 14.827743) (end 69.570006 14.986273) (layer "F.SilkS") (width 0.00575) (tstamp 03bcde1d-5402-460a-9227-ca90620fec52)) + (gr_line (start 79.532615 22.567792) (end 79.42086 22.69154) (layer "F.SilkS") (width 0.00575) (tstamp 03cbd7d3-5814-4cd2-946f-a48008c3928c)) + (gr_line (start 80.590422 29.999219) (end 80.764543 30.002576) (layer "F.SilkS") (width 0.00575) (tstamp 03cdfadb-c278-4a0e-b508-cc08c8a51551)) + (gr_line (start 72.877348 24.993066) (end 72.874949 24.96029) (layer "F.SilkS") (width 0.00575) (tstamp 03db1dac-2a71-42cc-915c-4229858a010f)) + (gr_line (start 62.906895 21.548688) (end 62.968278 21.234473) (layer "F.SilkS") (width 0.00575) (tstamp 03e0a0b1-1249-44da-b67d-4258a01ac4fc)) + (gr_line (start 62.888292 24.061328) (end 63.062504 24.211639) (layer "F.SilkS") (width 0.00575) (tstamp 03ef82ea-420f-4477-85f1-0f14b790bccd)) + (gr_line (start 78.758024 14.984265) (end 78.857597 15.054449) (layer "F.SilkS") (width 0.00575) (tstamp 0402a546-2151-46d5-83d9-41d7802c530b)) + (gr_line (start 73.005101 25.041315) (end 72.983116 25.037866) (layer "F.SilkS") (width 0.00575) (tstamp 041f0f50-fda1-48ae-898d-bcc89aabbbed)) + (gr_line (start 67.589091 13.738745) (end 67.644145 13.703958) (layer "F.SilkS") (width 0.00575) (tstamp 042c71e8-ffa8-4fa1-8078-3ffd4a933bc7)) + (gr_line (start 73.839824 20.381341) (end 73.934794 20.520593) (layer "F.SilkS") (width 0.00575) (tstamp 043d0e9a-4b6b-46cb-bdd8-694a98c68f88)) + (gr_line (start 72.874949 24.96029) (end 72.881321 24.904126) (layer "F.SilkS") (width 0.00575) (tstamp 04418525-e194-4b5c-ba3a-56387311082f)) + (gr_line (start 73.765696 25.592663) (end 73.619383 25.588476) (layer "F.SilkS") (width 0.00575) (tstamp 044350ba-3f3b-44a1-8013-ecc3062ce6cf)) + (gr_line (start 63.634587 26.734997) (end 63.573924 26.876868) (layer "F.SilkS") (width 0.00575) (tstamp 046044bd-a71c-4994-919f-f2f644efc30e)) + (gr_line (start 77.1002 28.632074) (end 77.085374 28.613605) (layer "F.SilkS") (width 0.00575) (tstamp 04639e2f-2fca-4af0-8b56-3c6f7a1ed0f1)) + (gr_line (start 72.980736 32.262195) (end 72.72708 31.458234) (layer "F.SilkS") (width 0.00575) (tstamp 04ab66b2-3b4a-4643-a551-f4e448ae787a)) + (gr_line (start 70.006248 14.392901) (end 69.980388 14.4478) (layer "F.SilkS") (width 0.00575) (tstamp 04b7c5fe-c08c-4308-98e6-dc9ca55e2dd4)) + (gr_line (start 76.168779 18.44588) (end 76.200969 18.321863) (layer "F.SilkS") (width 0.00575) (tstamp 04cdf5ce-f19f-4c0b-85d6-1ecfb230ed86)) + (gr_line (start 70.676658 14.385251) (end 70.876085 14.606167) (layer "F.SilkS") (width 0.00575) (tstamp 052d55dd-0d32-461e-a473-8bd1baf3ef0e)) + (gr_line (start 63.393681 14.65022) (end 63.415526 14.469025) (layer "F.SilkS") (width 0.00575) (tstamp 053a25f6-4f30-4a30-a28b-1f12b8ecab47)) + (gr_line (start 68.127415 20.618433) (end 68.235551 20.318548) (layer "F.SilkS") (width 0.00575) (tstamp 053d7e29-b9e6-4d50-bf26-ff64f9040469)) + (gr_line (start 62.156529 26.219775) (end 62.19575 26.288336) (layer "F.SilkS") (width 0.00575) (tstamp 0542a6ad-b5b5-4cf1-b718-492a811231d2)) + (gr_line (start 64.43383 11.882284) (end 64.270421 11.668683) (layer "F.SilkS") (width 0.00575) (tstamp 056b785b-1ae8-4317-9f3b-a741ca3773a0)) + (gr_line (start 76.353264 15.417935) (end 76.248076 15.164578) (layer "F.SilkS") (width 0.00575) (tstamp 0572a933-192a-413e-9861-18e9ba696102)) + (gr_line (start 78.439165 15.080728) (end 78.072844 15.062143) (layer "F.SilkS") (width 0.00575) (tstamp 057f814e-bacd-41cc-b04c-b9d264c1d927)) + (gr_poly + (pts + (xy 72.357573 16.206872) + (xy 72.37296 16.247531) + (xy 72.385173 16.309094) + (xy 72.38726 16.320199) + (xy 72.402446 16.410541) + (xy 72.401567 16.460065) + (xy 72.381584 16.485889) + (xy 72.35562 16.498611) + (xy 72.305626 16.51842) + (xy 72.214732 16.553604) + (xy 72.095976 16.599161) + (xy 71.964921 16.649103) + (xy 71.744218 16.737781) + (xy 71.490385 16.847858) + (xy 71.220292 16.971619) + (xy 70.950828 17.101318) + (xy 70.709959 17.223443) + (xy 70.616667 17.270642) + (xy 70.541569 17.301385) + (xy 70.46934 17.317804) + (xy 70.384697 17.322015) + (xy 70.272307 17.316162) + (xy 70.132017 17.303778) + (xy 69.948314 17.286603) + (xy 70.218059 17.133459) + (xy 70.820714 16.809335) + (xy 71.412083 16.528677) + (xy 71.936241 16.31134) + (xy 72.094694 16.249966) + (xy 72.207579 16.20867) + (xy 72.283366 16.18761) + (xy 72.33054 16.186957) + (xy 72.357573 16.206872) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 057fcaf3-edc9-4fff-97d8-16886360d43f)) + (gr_line (start 68.993602 17.649914) (end 69.373289 17.543439) (layer "F.SilkS") (width 0.00575) (tstamp 0589d917-bc9a-4ab6-93d4-d20f268a11cb)) + (gr_line (start 71.832335 16.117038) (end 71.841893 16.152347) (layer "F.SilkS") (width 0.00575) (tstamp 05a78a30-d01e-4cd0-91d6-55949000e803)) + (gr_line (start 73.557817 25.245837) (end 73.913383 25.254632) (layer "F.SilkS") (width 0.00575) (tstamp 05fb61a2-c6ab-4c22-a914-ab2290207607)) + (gr_line (start 79.379893 24.512292) (end 79.277543 24.509723) (layer "F.SilkS") (width 0.00575) (tstamp 060c59b1-c5a7-449c-9ac7-ba93b9c8a83f)) + (gr_line (start 76.742705 15.71813) (end 76.699455 15.857025) (layer "F.SilkS") (width 0.00575) (tstamp 0614ff92-6e4c-4e5b-9245-26784b87f82d)) + (gr_poly + (pts + (xy 66.128306 16.768515) + (xy 66.128303 16.768666) + (xy 66.128292 16.768814) + (xy 66.128274 16.768961) + (xy 66.128249 16.769105) + (xy 66.128217 16.769247) + (xy 66.128179 16.769386) + (xy 66.128134 16.769522) + (xy 66.128084 16.769655) + (xy 66.128027 16.769784) + (xy 66.127965 16.76991) + (xy 66.127896 16.770033) + (xy 66.127823 16.770152) + (xy 66.127744 16.770266) + (xy 66.12766 16.770377) + (xy 66.127571 16.770483) + (xy 66.127478 16.770585) + (xy 66.12738 16.770682) + (xy 66.127277 16.770774) + (xy 66.127171 16.77086) + (xy 66.12706 16.770942) + (xy 66.126946 16.771018) + (xy 66.126828 16.771089) + (xy 66.126706 16.771153) + (xy 66.126581 16.771212) + (xy 66.126453 16.771264) + (xy 66.126322 16.77131) + (xy 66.126189 16.771349) + (xy 66.126053 16.771382) + (xy 66.125914 16.771408) + (xy 66.125774 16.771426) + (xy 66.125631 16.771438) + (xy 66.125487 16.771442) + (xy 66.125341 16.771438) + (xy 66.125198 16.771426) + (xy 66.125057 16.771408) + (xy 66.124918 16.771382) + (xy 66.124782 16.771349) + (xy 66.124648 16.77131) + (xy 66.124517 16.771264) + (xy 66.124388 16.771212) + (xy 66.124263 16.771153) + (xy 66.124141 16.771089) + (xy 66.124023 16.771018) + (xy 66.123908 16.770942) + (xy 66.123798 16.77086) + (xy 66.123691 16.770774) + (xy 66.123588 16.770682) + (xy 66.12349 16.770585) + (xy 66.123396 16.770483) + (xy 66.123307 16.770377) + (xy 66.123223 16.770266) + (xy 66.123144 16.770152) + (xy 66.123071 16.770033) + (xy 66.123003 16.76991) + (xy 66.12294 16.769784) + (xy 66.122883 16.769655) + (xy 66.122833 16.769522) + (xy 66.122788 16.769386) + (xy 66.12275 16.769247) + (xy 66.122718 16.769105) + (xy 66.122693 16.768961) + (xy 66.122675 16.768814) + (xy 66.122664 16.768666) + (xy 66.122661 16.768515) + (xy 66.122664 16.768364) + (xy 66.122675 16.768215) + (xy 66.122693 16.768069) + (xy 66.122718 16.767925) + (xy 66.12275 16.767783) + (xy 66.122788 16.767644) + (xy 66.122833 16.767508) + (xy 66.122883 16.767375) + (xy 66.12294 16.767246) + (xy 66.123003 16.767119) + (xy 66.123071 16.766997) + (xy 66.123144 16.766878) + (xy 66.123223 16.766763) + (xy 66.123307 16.766653) + (xy 66.123396 16.766547) + (xy 66.12349 16.766445) + (xy 66.123588 16.766348) + (xy 66.123691 16.766256) + (xy 66.123798 16.766169) + (xy 66.123908 16.766088) + (xy 66.124023 16.766012) + (xy 66.124141 16.765941) + (xy 66.124263 16.765877) + (xy 66.124388 16.765818) + (xy 66.124517 16.765766) + (xy 66.124648 16.76572) + (xy 66.124782 16.76568) + (xy 66.124918 16.765648) + (xy 66.125057 16.765622) + (xy 66.125198 16.765603) + (xy 66.125341 16.765592) + (xy 66.125487 16.765588) + (xy 66.125631 16.765592) + (xy 66.125774 16.765603) + (xy 66.125914 16.765622) + (xy 66.126053 16.765648) + (xy 66.126189 16.76568) + (xy 66.126322 16.76572) + (xy 66.126453 16.765766) + (xy 66.126581 16.765818) + (xy 66.126706 16.765877) + (xy 66.126828 16.765941) + (xy 66.126946 16.766012) + (xy 66.12706 16.766088) + (xy 66.127171 16.766169) + (xy 66.127277 16.766256) + (xy 66.12738 16.766348) + (xy 66.127478 16.766445) + (xy 66.127571 16.766547) + (xy 66.12766 16.766653) + (xy 66.127744 16.766763) + (xy 66.127823 16.766878) + (xy 66.127896 16.766997) + (xy 66.127965 16.767119) + (xy 66.128027 16.767246) + (xy 66.128084 16.767375) + (xy 66.128134 16.767508) + (xy 66.128179 16.767644) + (xy 66.128217 16.767783) + (xy 66.128249 16.767925) + (xy 66.128274 16.768069) + (xy 66.128292 16.768215) + (xy 66.128303 16.768364) + (xy 66.128306 16.768515) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 061d8295-b064-4c74-9e4c-5cb0c9de051e)) + (gr_line (start 75.941673 19.135223) (end 75.978795 19.037738) (layer "F.SilkS") (width 0.00575) (tstamp 062604cf-3b60-4ab2-83ba-d0b3bd4288f0)) + (gr_line (start 80.829606 30.402515) (end 80.631846 30.396844) (layer "F.SilkS") (width 0.00575) (tstamp 0627c3d1-ddb8-4ba3-adf2-a3d87bc0308b)) + (gr_line (start 68.339451 19.839752) (end 68.16721 20.102649) (layer "F.SilkS") (width 0.00575) (tstamp 0636f9df-69f7-46ea-896b-19fd1c69679f)) + (gr_line (start 72.908262 25.020288) (end 72.877348 24.993072) (layer "F.SilkS") (width 0.00575) (tstamp 063c895f-8a7b-4bb0-b5b5-1410b56a1855)) + (gr_line (start 62.990709 22.762677) (end 62.917784 22.432141) (layer "F.SilkS") (width 0.00575) (tstamp 064217df-472f-4b53-a66a-d2ed02b9359c)) + (gr_line (start 70.937358 24.730377) (end 71.372264 24.852319) (layer "F.SilkS") (width 0.00575) (tstamp 0662d771-410a-4357-bf1f-768cbec291a8)) + (gr_line (start 67.667161 18.619366) (end 67.975541 18.292761) (layer "F.SilkS") (width 0.00575) (tstamp 0698d88a-56c9-4924-8328-ccf1336ec3c5)) + (gr_line (start 65.925596 20.820746) (end 65.920299 20.774335) (layer "F.SilkS") (width 0.00575) (tstamp 06a1305d-f033-4e67-a113-7d150e7180cf)) + (gr_line (start 73.826134 16.453125) (end 73.996647 16.744287) (layer "F.SilkS") (width 0.00575) (tstamp 06b060f2-00dd-402c-9946-5495f3942692)) + (gr_line (start 75.088859 25.386597) (end 75.012303 25.456311) (layer "F.SilkS") (width 0.00575) (tstamp 06fa47ea-c99c-4c70-b399-6e69f610625f)) + (gr_line (start 76.122709 14.02742) (end 76.383433 13.946039) (layer "F.SilkS") (width 0.00575) (tstamp 07003666-9903-40b8-9e10-03d44e2893b2)) + (gr_line (start 67.723814 25.12655) (end 67.650639 24.917633) (layer "F.SilkS") (width 0.00575) (tstamp 0719a382-0da4-4cde-9d77-7b749a3c7624)) + (gr_line (start 78.256047 28.469073) (end 78.271794 28.564075) (layer "F.SilkS") (width 0.00575) (tstamp 072f62bf-3e46-4a4c-9885-0a6e6492b7cb)) + (gr_line (start 71.740935 15.930578) (end 71.797032 16.039972) (layer "F.SilkS") (width 0.00575) (tstamp 0742a315-05ee-4368-adbd-1943a91d4dbb)) + (gr_line (start 63.727971 19.378039) (end 63.84301 19.634845) (layer "F.SilkS") (width 0.00575) (tstamp 074ccf05-5650-4274-a7ac-feeef2c47201)) + (gr_line (start 78.573997 24.699982) (end 78.535466 24.686151) (layer "F.SilkS") (width 0.00575) (tstamp 07507339-6ac5-4d3c-bad8-1ecd0558d64a)) + (gr_line (start 63.629039 24.407892) (end 63.692686 24.321289) (layer "F.SilkS") (width 0.00575) (tstamp 07589aa7-bc18-4ce9-b2ac-3c014e78aeb0)) + (gr_line (start 62.043681 23.856225) (end 62.28145 23.832361) (layer "F.SilkS") (width 0.00575) (tstamp 07789e6f-7fc3-4a33-8b7e-bc1d631e753d)) + (gr_line (start 78.02418 25.195337) (end 78.042033 25.36499) (layer "F.SilkS") (width 0.00575) (tstamp 07798e1c-8a32-4371-aaf8-4e3fcb6c7fcf)) + (gr_line (start 69.111424 14.076007) (end 69.227336 14.118817) (layer "F.SilkS") (width 0.00575) (tstamp 07897a7f-1d14-4a17-bbed-5ace3ee68946)) + (gr_line (start 80.169072 29.531225) (end 80.172899 29.704394) (layer "F.SilkS") (width 0.00575) (tstamp 07ade4ea-718c-4a4f-87e9-1d6ed9a48d71)) + (gr_line (start 63.258293 18.798126) (end 63.254149 18.482226) (layer "F.SilkS") (width 0.00575) (tstamp 07ce0a2a-4e5a-4f16-b7cd-0a843326b9ad)) + (gr_line (start 71.132921 17.683398) (end 71.426426 17.815002) (layer "F.SilkS") (width 0.00575) (tstamp 080945f5-8e6f-49e8-9c4b-f943e95257e6)) + (gr_line (start 78.288945 29.074225) (end 78.289219 29.248535) (layer "F.SilkS") (width 0.00575) (tstamp 08184028-c65a-4a52-ba18-cdf14d127543)) + (gr_line (start 61.565568 24.155762) (end 61.610332 24.103461) (layer "F.SilkS") (width 0.00575) (tstamp 08341b04-51c1-44d7-a71d-6718038fde0d)) + (gr_line (start 65.466844 10.468487) (end 65.671831 10.527942) (layer "F.SilkS") (width 0.00575) (tstamp 086b57e3-1b14-4bda-a67b-6552a7ebaf3a)) + (gr_line (start 71.841899 16.152347) (end 71.812419 16.176907) (layer "F.SilkS") (width 0.00575) (tstamp 0876c4dd-395c-4772-a5cf-f7ddfebc4239)) + (gr_line (start 66.502824 20.474676) (end 66.444273 20.559625) (layer "F.SilkS") (width 0.00575) (tstamp 0893faa9-63f8-4597-98fd-00b918feb909)) + (gr_line (start 75.34218 17.750256) (end 75.4752 17.809973) (layer "F.SilkS") (width 0.00575) (tstamp 08ab1a36-8692-4208-9b3f-d13ea97eaab5)) + (gr_line (start 75.553215 21.33642) (end 75.488127 21.34306) (layer "F.SilkS") (width 0.00575) (tstamp 08b55e58-a006-4724-88da-3d14f1fc4d33)) + (gr_line (start 76.246409 18.15722) (end 76.252427 18.140332) (layer "F.SilkS") (width 0.00575) (tstamp 08c3a0dd-74f5-40ea-b2b5-b8e8c7c97403)) + (gr_line (start 77.245579 18.582806) (end 77.290745 18.609784) (layer "F.SilkS") (width 0.00575) (tstamp 08d6236c-eaf3-4938-a115-7752f48c8fac)) + (gr_line (start 63.932786 18.084375) (end 63.899943 17.863196) (layer "F.SilkS") (width 0.00575) (tstamp 09092618-eaf4-4097-90e1-1f4d0a79f14f)) + (gr_line (start 73.011686 25.933478) (end 72.978447 26.072217) (layer "F.SilkS") (width 0.00575) (tstamp 091d7def-49b1-4454-bc79-7b52a86e37b5)) + (gr_line (start 71.426426 17.815002) (end 71.441008 17.822113) (layer "F.SilkS") (width 0.00575) (tstamp 0932a357-cbe0-4794-88eb-72d1d1840e75)) + (gr_line (start 64.426127 25.993237) (end 64.413926 25.886499) (layer "F.SilkS") (width 0.00575) (tstamp 094fd2ef-205d-4e18-a771-abb24ed2c467)) + (gr_line (start 75.706163 19.278723) (end 75.468968 19.755328) (layer "F.SilkS") (width 0.00575) (tstamp 09645805-3565-4a5a-b43b-375d7bac22d5)) + (gr_line (start 79.019615 23.991632) (end 79.099046 24.109723) (layer "F.SilkS") (width 0.00575) (tstamp 0976622b-036f-41fd-9490-a980f7f22cd1)) + (gr_line (start 78.682994 15.722598) (end 78.897264 16.019742) (layer "F.SilkS") (width 0.00575) (tstamp 0976f7ca-6904-4916-a61c-3dc88036b23a)) + (gr_line (start 66.601097 20.268298) (end 66.551848 20.383032) (layer "F.SilkS") (width 0.00575) (tstamp 097d15cf-0934-47a3-a695-5373958339f9)) + (gr_line (start 60.788548 21.264178) (end 60.782445 21.236951) (layer "F.SilkS") (width 0.00575) (tstamp 099ba171-72f2-45e0-8afb-6abf41dff709)) + (gr_poly + (pts + (xy 67.677592 23.966174) + (xy 67.677589 23.966325) + (xy 67.677578 23.966474) + (xy 67.67756 23.96662) + (xy 67.677535 23.966764) + (xy 67.677503 23.966906) + (xy 67.677465 23.967045) + (xy 67.677421 23.967181) + (xy 67.677371 23.967313) + (xy 67.677314 23.967443) + (xy 67.677252 23.967569) + (xy 67.677184 23.967691) + (xy 67.67711 23.96781) + (xy 67.677032 23.967925) + (xy 67.676948 23.968035) + (xy 67.676859 23.968141) + (xy 67.676766 23.968243) + (xy 67.676668 23.968339) + (xy 67.676566 23.968431) + (xy 67.676459 23.968518) + (xy 67.676349 23.968599) + (xy 67.676234 23.968675) + (xy 67.676116 23.968745) + (xy 67.675995 23.96881) + (xy 67.67587 23.968868) + (xy 67.675742 23.968921) + (xy 67.675611 23.968967) + (xy 67.675477 23.969006) + (xy 67.67534 23.969039) + (xy 67.675202 23.969064) + (xy 67.675061 23.969083) + (xy 67.674917 23.969094) + (xy 67.674772 23.969098) + (xy 67.674627 23.969094) + (xy 67.674484 23.969083) + (xy 67.674343 23.969064) + (xy 67.674204 23.969039) + (xy 67.674068 23.969006) + (xy 67.673934 23.968967) + (xy 67.673803 23.968921) + (xy 67.673674 23.968868) + (xy 67.673549 23.96881) + (xy 67.673427 23.968745) + (xy 67.673309 23.968675) + (xy 67.673194 23.968599) + (xy 67.673083 23.968518) + (xy 67.672977 23.968431) + (xy 67.672874 23.968339) + (xy 67.672776 23.968243) + (xy 67.672682 23.968141) + (xy 67.672593 23.968035) + (xy 67.672509 23.967925) + (xy 67.67243 23.96781) + (xy 67.672357 23.967691) + (xy 67.672288 23.967569) + (xy 67.672226 23.967443) + (xy 67.672169 23.967313) + (xy 67.672118 23.967181) + (xy 67.672074 23.967045) + (xy 67.672036 23.966906) + (xy 67.672004 23.966764) + (xy 67.671979 23.96662) + (xy 67.671961 23.966474) + (xy 67.67195 23.966325) + (xy 67.671946 23.966174) + (xy 67.67195 23.966023) + (xy 67.671961 23.965875) + (xy 67.671979 23.965728) + (xy 67.672004 23.965584) + (xy 67.672036 23.965442) + (xy 67.672074 23.965303) + (xy 67.672118 23.965167) + (xy 67.672169 23.965034) + (xy 67.672226 23.964904) + (xy 67.672288 23.964778) + (xy 67.672357 23.964655) + (xy 67.67243 23.964536) + (xy 67.672509 23.964422) + (xy 67.672593 23.964311) + (xy 67.672682 23.964205) + (xy 67.672776 23.964103) + (xy 67.672874 23.964006) + (xy 67.672977 23.963914) + (xy 67.673083 23.963827) + (xy 67.673194 23.963745) + (xy 67.673309 23.963669) + (xy 67.673427 23.963598) + (xy 67.673549 23.963534) + (xy 67.673674 23.963475) + (xy 67.673803 23.963422) + (xy 67.673934 23.963376) + (xy 67.674068 23.963337) + (xy 67.674204 23.963304) + (xy 67.674343 23.963278) + (xy 67.674484 23.96326) + (xy 67.674627 23.963248) + (xy 67.674772 23.963245) + (xy 67.674917 23.963248) + (xy 67.675061 23.96326) + (xy 67.675202 23.963278) + (xy 67.67534 23.963304) + (xy 67.675477 23.963337) + (xy 67.675611 23.963376) + (xy 67.675742 23.963422) + (xy 67.67587 23.963475) + (xy 67.675995 23.963534) + (xy 67.676116 23.963598) + (xy 67.676234 23.963669) + (xy 67.676349 23.963745) + (xy 67.676459 23.963827) + (xy 67.676566 23.963914) + (xy 67.676668 23.964006) + (xy 67.676766 23.964103) + (xy 67.676859 23.964205) + (xy 67.676948 23.964311) + (xy 67.677032 23.964422) + (xy 67.67711 23.964536) + (xy 67.677184 23.964655) + (xy 67.677252 23.964778) + (xy 67.677314 23.964904) + (xy 67.677371 23.965034) + (xy 67.677421 23.965167) + (xy 67.677465 23.965303) + (xy 67.677503 23.965442) + (xy 67.677535 23.965584) + (xy 67.67756 23.965728) + (xy 67.677578 23.965875) + (xy 67.677589 23.966023) + (xy 67.677592 23.966174) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 09a0a951-6ac6-4289-b388-53dd3f690c03)) + (gr_line (start 64.606108 20.760101) (end 64.94395 21.132654) (layer "F.SilkS") (width 0.00575) (tstamp 09e86f5c-3365-4368-8db0-a5dd82fda223)) + (gr_line (start 66.96234 15.019867) (end 66.869798 14.889645) (layer "F.SilkS") (width 0.00575) (tstamp 0a2a3666-2d64-4812-8823-97f35d2c6c5f)) + (gr_line (start 75.730925 26.219391) (end 75.724833 26.092309) (layer "F.SilkS") (width 0.00575) (tstamp 0a30e9f2-6e1b-4ec8-8dfb-97bb7330560b)) + (gr_line (start 64.357237 21.210803) (end 64.696989 21.570019) (layer "F.SilkS") (width 0.00575) (tstamp 0a55e6dc-0236-4f66-87e7-b95035043ff4)) + (gr_line (start 72.774705 22.412164) (end 72.608616 22.570636) (layer "F.SilkS") (width 0.00575) (tstamp 0a792cc4-33cc-4beb-be3a-7d71e91929c1)) + (gr_line (start 65.367412 26.153131) (end 65.572252 26.181085) (layer "F.SilkS") (width 0.00575) (tstamp 0a9d37af-e43e-4cdb-84d6-90a215c20e35)) + (gr_line (start 69.018919 14.063803) (end 69.111424 14.076007) (layer "F.SilkS") (width 0.00575) (tstamp 0adcfeef-a17b-4f58-b156-9ad9c3b62efe)) + (gr_line (start 69.311229 24.44375) (end 68.599217 24.109131) (layer "F.SilkS") (width 0.00575) (tstamp 0ae2d69f-7964-45d2-ab48-9e13d9fe1b5b)) + (gr_line (start 67.534337 23.725976) (end 67.572636 23.754925) (layer "F.SilkS") (width 0.00575) (tstamp 0b19a507-c5de-4838-9ced-ad7df68a59b9)) + (gr_line (start 71.724772 22.195068) (end 71.540263 22.471033) (layer "F.SilkS") (width 0.00575) (tstamp 0b229735-9e99-4bd6-9f5f-f8f2249646ff)) + (gr_line (start 80.290629 29.9828) (end 80.359233 29.989661) (layer "F.SilkS") (width 0.00575) (tstamp 0b4f61df-7999-45f1-9d2f-4dfd0631e557)) + (gr_line (start 69.823076 24.311658) (end 69.956236 24.36864) (layer "F.SilkS") (width 0.00575) (tstamp 0b5a12cd-d56d-4840-a4e5-e1719dcf124c)) + (gr_line (start 81.285386 31.488867) (end 81.286302 31.312237) (layer "F.SilkS") (width 0.00575) (tstamp 0b763846-9513-43fb-b8f5-41906294dd5a)) + (gr_line (start 70.870231 32.262195) (end 70.281584 32.262195) (layer "F.SilkS") (width 0.00575) (tstamp 0bae2d4f-f26c-4e2e-970a-d960051b8a6a)) + (gr_line (start 67.273497 13.525531) (end 67.158714 13.519995) (layer "F.SilkS") (width 0.00575) (tstamp 0bb53e93-183e-4143-b0e3-d3195a347d6b)) + (gr_line (start 68.450023 26.105395) (end 68.479899 26.130316) (layer "F.SilkS") (width 0.00575) (tstamp 0bc1796c-b93b-4a08-bbe5-4becb6362fc8)) + (gr_line (start 76.667405 26.662067) (end 76.739927 26.68706) (layer "F.SilkS") (width 0.00575) (tstamp 0bf1a572-3d7e-4c8d-b376-5385dc81e27d)) + (gr_line (start 81.283116 31.619501) (end 81.285386 31.488867) (layer "F.SilkS") (width 0.00575) (tstamp 0bfa97b5-091e-462b-b09a-e30ef90fbc89)) + (gr_line (start 65.612864 11.491907) (end 65.622514 11.3677) (layer "F.SilkS") (width 0.00575) (tstamp 0c30290b-c2a3-430b-9a26-a030c09c894e)) + (gr_line (start 70.029558 17.479932) (end 70.328752 17.490588) (layer "F.SilkS") (width 0.00575) (tstamp 0c8d969b-b48f-4866-aca6-eb2dfba1f358)) + (gr_line (start 72.039537 21.861249) (end 72.078935 21.720013) (layer "F.SilkS") (width 0.00575) (tstamp 0ca01653-aa62-4d07-868d-e1a06431a578)) + (gr_line (start 75.184233 20.243097) (end 74.854008 20.738751) (layer "F.SilkS") (width 0.00575) (tstamp 0cabf21d-0673-49eb-81ff-ed8ea8e20146)) + (gr_line (start 79.809294 23.610522) (end 79.864744 23.637341) (layer "F.SilkS") (width 0.00575) (tstamp 0cdc2b45-e7a0-4657-9e53-50a2dd5bfaae)) + (gr_line (start 67.948771 11.596286) (end 68.120176 11.674545) (layer "F.SilkS") (width 0.00575) (tstamp 0ceb80ec-4db8-4d66-b1b4-dede75491dff)) + (gr_line (start 78.502617 20.446362) (end 78.510332 20.558246) (layer "F.SilkS") (width 0.00575) (tstamp 0d1d447e-5852-4766-83ae-02ee285189bc)) + (gr_line (start 65.325529 15.427664) (end 65.327916 15.57262) (layer "F.SilkS") (width 0.00575) (tstamp 0d2d2b8e-4515-4e01-989e-b743fac34dc9)) + (gr_line (start 73.212529 22.192615) (end 73.312956 22.089184) (layer "F.SilkS") (width 0.00575) (tstamp 0d434caf-abb7-4ab4-9fbb-ddf0b287f5c6)) + (gr_line (start 72.924266 24.514441) (end 72.937907 24.344543) (layer "F.SilkS") (width 0.00575) (tstamp 0d6a2075-b94f-4d42-8919-eb130069ae23)) + (gr_line (start 80.789616 32.252734) (end 80.505034 32.25329) (layer "F.SilkS") (width 0.00575) (tstamp 0d7d60a8-7dd0-4f22-b1f4-0617de1f51e9)) + (gr_line (start 64.387712 25.6388) (end 64.376829 25.571069) (layer "F.SilkS") (width 0.00575) (tstamp 0da50df7-7613-412c-9a29-a3456a7537dd)) + (gr_line (start 76.441136 28.574066) (end 75.865623 28.574066) (layer "F.SilkS") (width 0.00575) (tstamp 0dbe75bf-d07e-4738-8fe4-25f58d64e9aa)) + (gr_line (start 61.612327 21.621539) (end 61.536412 21.52232) (layer "F.SilkS") (width 0.00575) (tstamp 0de11a88-1222-4f6b-8d43-a43acb40ddc8)) + (gr_line (start 78.288957 30.971393) (end 78.289219 31.196582) (layer "F.SilkS") (width 0.00575) (tstamp 0de8f498-e1b8-4859-a96e-2c632671e01e)) + (gr_line (start 78.710283 24.730884) (end 78.665898 24.728326) (layer "F.SilkS") (width 0.00575) (tstamp 0df1f97b-af30-4fb8-8c4a-0916a8595962)) + (gr_line (start 72.924632 17.271698) (end 72.78853 17.029156) (layer "F.SilkS") (width 0.00575) (tstamp 0dfa6dbd-cb8e-4afd-95dd-f490a1be2819)) + (gr_line (start 77.418052 27.186255) (end 77.199687 27.275476) (layer "F.SilkS") (width 0.00575) (tstamp 0e180b8b-9a34-47ec-9d38-f113e426cad3)) + (gr_line (start 67.867216 24.57959) (end 67.935039 24.783203) (layer "F.SilkS") (width 0.00575) (tstamp 0e4605f5-34c7-43df-91e3-90360036dd8d)) + (gr_line (start 66.114274 24.735242) (end 65.778868 24.799969) (layer "F.SilkS") (width 0.00575) (tstamp 0e478691-48f4-49a0-a027-144db126a496)) + (gr_line (start 70.849174 29.130688) (end 70.895744 29.009656) (layer "F.SilkS") (width 0.00575) (tstamp 0e4b3533-29e4-4a3f-9260-83c239ea87e7)) + (gr_line (start 60.931444 21.141669) (end 60.966667 21.124164) (layer "F.SilkS") (width 0.00575) (tstamp 0e7247e4-5791-4c88-96eb-0e852dcd2a1e)) + (gr_line (start 63.215873 25.661926) (end 63.268352 25.686474) (layer "F.SilkS") (width 0.00575) (tstamp 0eb587fe-c666-4dc3-96a4-c5d0de272902)) + (gr_line (start 67.382878 25.953607) (end 67.954167 25.761713) (layer "F.SilkS") (width 0.00575) (tstamp 0ee97d44-4b02-4b9a-aabb-1b636977becd)) + (gr_line (start 74.57755 25.344073) (end 74.561058 25.423443) (layer "F.SilkS") (width 0.00575) (tstamp 0eecfa05-3efe-40f4-83d2-7606f6683192)) + (gr_line (start 69.540922 14.427774) (end 69.515666 14.269766) (layer "F.SilkS") (width 0.00575) (tstamp 0eee5b55-829c-4ba8-8d00-1ae14b86a622)) + (gr_line (start 64.520707 10.324084) (end 64.617204 10.345969) (layer "F.SilkS") (width 0.00575) (tstamp 0ef83b66-f0c3-4e38-97a7-98276af379d5)) + (gr_line (start 61.035417 21.933099) (end 61.202891 21.859265) (layer "F.SilkS") (width 0.00575) (tstamp 0f0914ab-9b1f-47e4-9008-a114988d94e0)) + (gr_line (start 62.283156 23.832375) (end 62.284862 23.832385) (layer "F.SilkS") (width 0.00575) (tstamp 0f09ffea-a412-40b6-a663-0a82e61ebe42)) + (gr_line (start 70.131328 31.071496) (end 70.234941 30.788617) (layer "F.SilkS") (width 0.00575) (tstamp 0f2527a8-7129-433c-9951-58d32d3ca82e)) + (gr_line (start 77.998869 26.445984) (end 77.909984 26.679285) (layer "F.SilkS") (width 0.00575) (tstamp 0f32c738-04f5-4cc8-8e32-a443535fc8b2)) + (gr_line (start 66.644005 17.129101) (end 66.471525 17.113971) (layer "F.SilkS") (width 0.00575) (tstamp 0f4cdeea-25eb-4f5b-a2d2-173b4760ee07)) + (gr_line (start 80.248906 28.60202) (end 80.226469 28.621246) (layer "F.SilkS") (width 0.00575) (tstamp 0f4cfd8a-237f-4f16-93de-2f03fd8eb1c2)) + (gr_line (start 78.287822 30.797699) (end 78.288957 30.971393) (layer "F.SilkS") (width 0.00575) (tstamp 0f9f61ae-36dc-48ea-af0e-a9cfdb77ac59)) + (gr_line (start 72.110997 23.219684) (end 72.672624 22.719104) (layer "F.SilkS") (width 0.00575) (tstamp 101a8661-493c-4bd5-9255-c53973380e9f)) + (gr_line (start 76.699455 15.857025) (end 76.648802 16.000882) (layer "F.SilkS") (width 0.00575) (tstamp 102f618e-6e32-4f46-90ef-38d661364463)) + (gr_line (start 67.611174 23.980218) (end 67.655986 23.954059) (layer "F.SilkS") (width 0.00575) (tstamp 103068c1-4dcb-41ce-b9ec-e40d6859dfbb)) + (gr_line (start 68.643535 19.73548) (end 68.898503 19.511029) (layer "F.SilkS") (width 0.00575) (tstamp 103a679f-ae0f-4d69-8dd1-f1f92d645e81)) + (gr_line (start 63.665648 26.584265) (end 63.634587 26.734997) (layer "F.SilkS") (width 0.00575) (tstamp 103ce02e-ea43-4be0-8a90-7aee42ab3d5b)) + (gr_line (start 65.246245 9.309213) (end 65.36812 9.395309) (layer "F.SilkS") (width 0.00575) (tstamp 104089dd-783a-43a6-9a41-50eb32cb96bd)) + (gr_line (start 77.811571 16.186969) (end 77.810344 16.351324) (layer "F.SilkS") (width 0.00575) (tstamp 1047216c-2900-42b9-8244-383e2aac708f)) + (gr_line (start 68.095909 26.057172) (end 67.547966 26.246844) (layer "F.SilkS") (width 0.00575) (tstamp 1075ce75-9138-40a3-b63f-2151520056cf)) + (gr_line (start 65.968919 20.631476) (end 66.02122 20.562207) (layer "F.SilkS") (width 0.00575) (tstamp 10778146-df12-48ea-b114-fa3cf1ed9de7)) + (gr_poly + (pts + (xy 71.372264 24.852319) + (xy 71.800413 24.967474) + (xy 72.18762 25.060394) + (xy 72.545433 25.132892) + (xy 72.885374 25.186761) + (xy 73.218992 25.223816) + (xy 73.557817 25.245837) + (xy 73.913383 25.254632) + (xy 73.951378 25.254864) + (xy 74.173863 25.256659) + (xy 74.343681 25.260022) + (xy 74.465538 25.26524) + (xy 74.544108 25.272589) + (xy 74.584092 25.282349) + (xy 74.591234 25.292786) + (xy 74.57755 25.344073) + (xy 74.561058 25.423443) + (xy 74.558952 25.434869) + (xy 74.532481 25.514044) + (xy 74.49312 25.567132) + (xy 74.487449 25.570825) + (xy 74.443321 25.579559) + (xy 74.353367 25.586383) + (xy 74.229118 25.591211) + (xy 74.082084 25.593933) + (xy 73.923771 25.594452) + (xy 73.765696 25.592663) + (xy 73.619383 25.588476) + (xy 73.496342 25.581787) + (xy 73.470701 25.579718) + (xy 72.997258 25.525384) + (xy 72.495524 25.444348) + (xy 71.986265 25.34068) + (xy 71.490269 25.218426) + (xy 71.198649 25.135113) + (xy 71.041655 25.087884) + (xy 70.900084 25.045788) + (xy 70.784825 25.012042) + (xy 70.706767 24.989844) + (xy 70.681413 24.983179) + (xy 70.631541 24.964526) + (xy 70.617686 24.94939) + (xy 70.640758 24.925531) + (xy 70.700236 24.881573) + (xy 70.777928 24.830463) + (xy 70.937352 24.730377) + (xy 71.372264 24.852319) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 107bcf23-4839-43ee-b16e-2c033e84af24)) + (gr_line (start 76.866783 30.423572) (end 76.699119 30.419531) (layer "F.SilkS") (width 0.00575) (tstamp 10b19339-cf6c-474e-a1e6-2c9bbf1fc35c)) + (gr_line (start 63.156749 24.299188) (end 63.241984 24.373633) (layer "F.SilkS") (width 0.00575) (tstamp 10b4f059-5a41-4c83-9383-16ca67a41fc7)) + (gr_line (start 72.078935 21.720013) (end 72.083769 21.700568) (layer "F.SilkS") (width 0.00575) (tstamp 10e33af1-3371-49d3-9266-039e11b09e1c)) + (gr_line (start 81.286577 28.910333) (end 81.281981 28.780011) (layer "F.SilkS") (width 0.00575) (tstamp 111cd0e7-8621-4c93-ad1e-57c12bc1bdd9)) + (gr_line (start 63.616051 18.210333) (end 63.571702 18.457532) (layer "F.SilkS") (width 0.00575) (tstamp 112371fb-6104-441b-a966-3c203ba955c7)) + (gr_line (start 76.053697 19.480487) (end 75.969371 19.416022) (layer "F.SilkS") (width 0.00575) (tstamp 115403d6-1e42-45cf-845b-e7c8681963e5)) + (gr_line (start 76.641136 30.029925) (end 76.811815 30.025519) (layer "F.SilkS") (width 0.00575) (tstamp 116bf1ff-5847-4b24-a7b4-df6082eab060)) + (gr_line (start 78.288536 31.451764) (end 78.285563 31.650281) (layer "F.SilkS") (width 0.00575) (tstamp 1176e88d-3b15-44d0-8665-a74f4df9d75d)) + (gr_line (start 77.085045 25.164142) (end 76.914738 25.206915) (layer "F.SilkS") (width 0.00575) (tstamp 11770f86-9488-4825-8d95-02db019f07da)) + (gr_line (start 75.419633 18.746606) (end 75.372307 18.877783) (layer "F.SilkS") (width 0.00575) (tstamp 11d50511-eed6-4eb1-8f1d-106f6fd44210)) + (gr_line (start 71.474852 28.181183) (end 71.634184 28.048932) (layer "F.SilkS") (width 0.00575) (tstamp 1212c8d8-c6b7-4637-81ab-4f99a04648b7)) + (gr_line (start 70.760307 23.075336) (end 70.616881 23.131598) (layer "F.SilkS") (width 0.00575) (tstamp 12308129-103b-4fe1-afd6-98a4444f35f0)) + (gr_line (start 71.749052 29.510449) (end 71.680076 29.715796) (layer "F.SilkS") (width 0.00575) (tstamp 12375ee0-43ed-4468-85ad-355c963cb34c)) + (gr_line (start 78.163438 23.783661) (end 78.225133 23.589093) (layer "F.SilkS") (width 0.00575) (tstamp 12485388-1a34-4fb8-8208-a1715661cd9c)) + (gr_line (start 82.239189 28.238196) (end 82.265623 28.249542) (layer "F.SilkS") (width 0.00575) (tstamp 127304e7-582d-418f-bbd9-78542de7492f)) + (gr_line (start 71.528099 19.882983) (end 71.715342 20.164038) (layer "F.SilkS") (width 0.00575) (tstamp 12b35010-e9a8-438c-804d-6f0a1bf1d4e8)) + (gr_line (start 72.693834 16.815246) (end 72.58419 16.472333) (layer "F.SilkS") (width 0.00575) (tstamp 12d05630-4e0b-41c7-9212-50491ff7e22d)) + (gr_line (start 67.57921 12.263983) (end 67.658513 12.363913) (layer "F.SilkS") (width 0.00575) (tstamp 12f80fb9-bc7a-4fdc-810d-1a4ae8996ed0)) + (gr_line (start 67.423295 23.937231) (end 67.328264 24.031012) (layer "F.SilkS") (width 0.00575) (tstamp 12f929c2-d5d0-49d7-9e24-cbea759bec91)) + (gr_line (start 80.426561 28.202344) (end 80.727159 28.202276) (layer "F.SilkS") (width 0.00575) (tstamp 13115476-df9f-4ea1-a907-73496b528a55)) + (gr_line (start 78.129905 24.267645) (end 78.113676 24.137469) (layer "F.SilkS") (width 0.00575) (tstamp 131de810-1f01-4d7e-9c07-810f97c2c616)) + (gr_line (start 64.537852 10.847122) (end 64.329228 10.745819) (layer "F.SilkS") (width 0.00575) (tstamp 13247334-6f91-454d-b8a3-457f1ece03e9)) + (gr_line (start 70.996904 31.868103) (end 70.870238 32.262195) (layer "F.SilkS") (width 0.00575) (tstamp 1328f4ba-2880-4add-a42c-e3a5ad3568a9)) + (gr_line (start 63.346086 28.202276) (end 63.346086 32.247327) (layer "F.SilkS") (width 0.00575) (tstamp 1330aae2-f21e-4a48-9d3d-929eeef53411)) + (gr_line (start 64.065831 20.861798) (end 64.357243 21.210803) (layer "F.SilkS") (width 0.00575) (tstamp 1346810d-4d04-48b9-b6b4-f214e61ca23b)) + (gr_line (start 67.204521 19.289038) (end 67.425981 18.933349) (layer "F.SilkS") (width 0.00575) (tstamp 136887e8-b0f9-4eed-8ea3-d68075f35873)) + (gr_line (start 72.214732 16.553607) (end 72.095976 16.599161) (layer "F.SilkS") (width 0.00575) (tstamp 1391b799-1887-4f16-a387-65952e3c60ea)) + (gr_line (start 70.384697 17.322015) (end 70.272307 17.316156) (layer "F.SilkS") (width 0.00575) (tstamp 13a5ee45-1867-4a34-a92d-2058c93285f4)) + (gr_line (start 61.640715 22.820477) (end 61.659092 22.651691) (layer "F.SilkS") (width 0.00575) (tstamp 13c68a0d-8683-4856-a80e-9c1618a2a262)) + (gr_line (start 64.781938 12.148959) (end 67.476243 12.148959) (layer "F.SilkS") (width 0.00575) (tstamp 13cec6f1-4338-4506-86ac-6f94dff81fe4)) + (gr_line (start 67.767729 13.517013) (end 67.562291 13.524103) (layer "F.SilkS") (width 0.00575) (tstamp 13ea4a02-8cd4-473f-9013-c6e86e9d689f)) + (gr_line (start 82.265623 28.249542) (end 82.287065 28.261767) (layer "F.SilkS") (width 0.00575) (tstamp 13f29f1e-3687-4a8f-bc1f-8d2b83748a4c)) + (gr_line (start 73.839091 14.047931) (end 74.211223 13.935937) (layer "F.SilkS") (width 0.00575) (tstamp 13f9a6d5-1eb4-4f59-bf17-539f013bfe42)) + (gr_line (start 82.505229 31.39317) (end 82.50432 31.582049) (layer "F.SilkS") (width 0.00575) (tstamp 14077a4a-f1b7-4bf0-aa92-0a387c43fbda)) + (gr_line (start 75.501976 18.947729) (end 75.623698 18.635455) (layer "F.SilkS") (width 0.00575) (tstamp 14749b77-55df-4d60-b3f8-8a3a80a254e4)) + (gr_line (start 73.059953 23.021216) (end 73.212352 22.874286) (layer "F.SilkS") (width 0.00575) (tstamp 147e001d-a395-44ab-af57-3f5389067c94)) + (gr_line (start 76.469029 31.869769) (end 76.675999 31.866003) (layer "F.SilkS") (width 0.00575) (tstamp 14833a26-83de-4325-b133-e7239e26b1fa)) + (gr_line (start 62.308836 26.205481) (end 62.444609 25.987152) (layer "F.SilkS") (width 0.00575) (tstamp 1495d10b-4ae0-4e2e-a18f-d491a2417cba)) + (gr_line (start 69.411571 14.671554) (end 69.406712 14.788309) (layer "F.SilkS") (width 0.00575) (tstamp 14bc26d8-b0a2-4b22-9182-c79295a06ac0)) + (gr_line (start 75.454368 24.733264) (end 75.678996 24.676288) (layer "F.SilkS") (width 0.00575) (tstamp 14cbd8c8-83b7-4db3-a546-605bc9cff8cb)) + (gr_line (start 72.435014 28.193603) (end 72.685924 28.202276) (layer "F.SilkS") (width 0.00575) (tstamp 14cf35d6-06b0-43c5-a7b9-ab8181a8c24e)) + (gr_line (start 73.202122 14.403235) (end 73.503679 14.203296) (layer "F.SilkS") (width 0.00575) (tstamp 1505d396-c5d6-4538-96e0-4c514099547b)) + (gr_line (start 62.284862 23.832385) (end 62.487895 23.857617) (layer "F.SilkS") (width 0.00575) (tstamp 151e80d5-d5e9-4491-b8e6-ae126248cc24)) + (gr_line (start 65.815715 26.547485) (end 65.774028 26.542908) (layer "F.SilkS") (width 0.00575) (tstamp 1534a5ae-b13f-4ada-b3ce-1b2d7b7b9e08)) + (gr_line (start 67.149669 11.181796) (end 67.210332 11.265927) (layer "F.SilkS") (width 0.00575) (tstamp 153e33af-5994-45a0-bddf-6f337cf32fb8)) + (gr_line (start 75.268333 19.024939) (end 75.19517 18.99588) (layer "F.SilkS") (width 0.00575) (tstamp 15536def-6dd7-4819-80bc-7851f7e7d892)) + (gr_line (start 73.503673 14.203299) (end 73.839091 14.047931) (layer "F.SilkS") (width 0.00575) (tstamp 1574a2aa-9909-4667-be8b-44c67707f543)) + (gr_line (start 78.188798 32.116083) (end 78.14351 32.155029) (layer "F.SilkS") (width 0.00575) (tstamp 157566d1-9c9b-4ae2-b602-146a2917f65a)) + (gr_line (start 72.136619 21.156384) (end 72.116874 20.867621) (layer "F.SilkS") (width 0.00575) (tstamp 15873011-61bf-42e5-a42e-9393e0ab2dcb)) + (gr_line (start 66.016063 10.103958) (end 65.842955 9.989465) (layer "F.SilkS") (width 0.00575) (tstamp 158d6b04-8e1a-411a-9def-1a40bf91f8e7)) + (gr_line (start 72.958439 24.008514) (end 72.966514 23.860718) (layer "F.SilkS") (width 0.00575) (tstamp 15a9ec2a-9f3e-4a60-b590-5bb9edf72c23)) + (gr_line (start 78.125566 23.971295) (end 78.163438 23.783661) (layer "F.SilkS") (width 0.00575) (tstamp 15cbd7eb-5a88-4add-b4c3-f048d5071e52)) + (gr_line (start 65.180943 11.773633) (end 65.190825 11.773791) (layer "F.SilkS") (width 0.00575) (tstamp 15d43139-d070-4719-ab6b-e01980281d54)) + (gr_line (start 79.141948 32.161468) (end 79.041496 32.037915) (layer "F.SilkS") (width 0.00575) (tstamp 15de2bfb-ec3e-4fe6-958b-896cd30456f8)) + (gr_line (start 73.431285 19.504535) (end 73.39539 19.448974) (layer "F.SilkS") (width 0.00575) (tstamp 15fb3989-b83f-4395-960c-b5ce593ff146)) + (gr_line (start 77.799229 16.66889) (end 77.789927 16.797076) (layer "F.SilkS") (width 0.00575) (tstamp 15fdd7a0-0125-4bef-adac-847ac2375e4b)) + (gr_line (start 66.02158 22.514288) (end 65.47205 22.069769) (layer "F.SilkS") (width 0.00575) (tstamp 161643ee-9dc3-4c7f-98eb-68be50fda462)) + (gr_line (start 73.231242 24.561529) (end 73.215153 24.720318) (layer "F.SilkS") (width 0.00575) (tstamp 163ea5ff-05ed-4ccc-905f-837f32ffd52e)) + (gr_line (start 79.49312 23.746442) (end 79.32979 23.72442) (layer "F.SilkS") (width 0.00575) (tstamp 166b3dcb-bc3c-4460-9e4d-4c5a36c67f8a)) + (gr_line (start 71.586699 15.651037) (end 71.669133 15.797912) (layer "F.SilkS") (width 0.00575) (tstamp 16e6fceb-67c6-43d3-ae05-f2f36a32cf12)) + (gr_line (start 66.509929 26.486822) (end 66.215269 26.520563) (layer "F.SilkS") (width 0.00575) (tstamp 16ef4a68-5814-48c0-9daa-e5f57aa142d9)) + (gr_line (start 75.551372 21.285242) (end 75.577983 21.320972) (layer "F.SilkS") (width 0.00575) (tstamp 16f0be8a-8f45-4eab-91e7-a237d62e8bcd)) + (gr_line (start 64.781938 12.148959) (end 64.644237 12.066083) (layer "F.SilkS") (width 0.00575) (tstamp 171ea8cb-624f-41ec-a28c-ffbb0cc256eb)) + (gr_line (start 70.557335 28.702283) (end 70.352702 28.655371) (layer "F.SilkS") (width 0.00575) (tstamp 1729a66e-fc7e-4e10-8ac2-80f81e48c501)) + (gr_line (start 67.819676 16.980817) (end 68.243138 17.075775) (layer "F.SilkS") (width 0.00575) (tstamp 172b084a-a735-41fc-89ff-43764c6a1005)) + (gr_line (start 76.367576 20.997882) (end 76.440892 20.828339) (layer "F.SilkS") (width 0.00575) (tstamp 17445c20-fc17-410c-95d9-e8902ca1d248)) + (gr_line (start 69.726927 32.173425) (end 69.759074 32.086206) (layer "F.SilkS") (width 0.00575) (tstamp 17551c58-5017-471c-a586-fcbc14fd1678)) + (gr_line (start 66.022062 17.0784) (end 65.967601 16.929684) (layer "F.SilkS") (width 0.00575) (tstamp 17b0fd0a-e53a-4f7b-94a2-7a1620651a3e)) + (gr_line (start 63.766667 9.351932) (end 63.861491 9.595801) (layer "F.SilkS") (width 0.00575) (tstamp 17ed82a5-d7bb-42fa-a55b-75bc224f30aa)) + (gr_line (start 64.246208 25.863782) (end 64.245799 26.043536) (layer "F.SilkS") (width 0.00575) (tstamp 181210ea-51c8-4bef-acf7-b25fa24a2ab1)) + (gr_line (start 76.001201 25.639197) (end 75.982976 25.516211) (layer "F.SilkS") (width 0.00575) (tstamp 182d22eb-aa28-4b2a-9ca0-7a237456aa97)) + (gr_line (start 82.446281 32.042541) (end 82.377983 32.121948) (layer "F.SilkS") (width 0.00575) (tstamp 188b5d7d-e8d8-4a50-b4ca-81c69dacf6d4)) + (gr_line (start 64.407896 24.773797) (end 64.283995 24.876984) (layer "F.SilkS") (width 0.00575) (tstamp 188cd711-1190-4a8f-8421-1dde9175a011)) + (gr_line (start 77.740239 25.490314) (end 77.886186 25.648138) (layer "F.SilkS") (width 0.00575) (tstamp 18ae4d43-d544-4a5c-87e1-44f2344d64c2)) + (gr_line (start 68.14647 15.045132) (end 68.124699 14.945254) (layer "F.SilkS") (width 0.00575) (tstamp 18c9165b-555b-4622-bf47-8d5d3715a203)) + (gr_line (start 68.297539 18.023218) (end 68.635954 17.809387) (layer "F.SilkS") (width 0.00575) (tstamp 18f11f7c-5997-48a5-b974-8fc6b7c5a780)) + (gr_line (start 66.810606 22.695093) (end 67.26989 22.993854) (layer "F.SilkS") (width 0.00575) (tstamp 18f30c5b-2861-4035-84ea-c73888e8a14c)) + (gr_line (start 61.134733 21.06546) (end 61.31168 21.044415) (layer "F.SilkS") (width 0.00575) (tstamp 190fc7b7-293f-45fb-ba46-a6f26bff39cd)) + (gr_line (start 67.725535 14.74711) (end 67.584074 14.606778) (layer "F.SilkS") (width 0.00575) (tstamp 1921e48d-93fe-41d6-8b8f-76ba4cfb88c8)) + (gr_line (start 73.63181 32.26217) (end 73.577073 32.262195) (layer "F.SilkS") (width 0.00575) (tstamp 192daa76-ab4c-4b9b-ad4a-229306b8f813)) + (gr_line (start 60.728624 30.282239) (end 60.797874 30.433838) (layer "F.SilkS") (width 0.00575) (tstamp 19627cf3-c8f0-4972-9c6c-8ab2ade36a04)) + (gr_line (start 72.18762 25.060394) (end 72.545433 25.132892) (layer "F.SilkS") (width 0.00575) (tstamp 19875b57-7b9b-4747-bc76-3088f875e59e)) + (gr_line (start 71.952434 21.564435) (end 71.863731 21.89104) (layer "F.SilkS") (width 0.00575) (tstamp 199412b4-1cba-41f7-a9f4-f56b880062ad)) + (gr_line (start 77.607615 14.350183) (end 77.853886 14.459921) (layer "F.SilkS") (width 0.00575) (tstamp 19a6bba2-9ca3-4a98-889d-eb4c347c2717)) + (gr_poly + (pts + (xy 65.858555 30.685815) + (xy 65.858552 30.685966) + (xy 65.858541 30.686115) + (xy 65.858523 30.686261) + (xy 65.858498 30.686406) + (xy 65.858467 30.686547) + (xy 65.858428 30.686686) + (xy 65.858384 30.686822) + (xy 65.858334 30.686955) + (xy 65.858277 30.687085) + (xy 65.858215 30.687212) + (xy 65.858147 30.687334) + (xy 65.858073 30.687453) + (xy 65.857994 30.687568) + (xy 65.857911 30.687679) + (xy 65.857822 30.687785) + (xy 65.857728 30.687887) + (xy 65.85763 30.687984) + (xy 65.857528 30.688076) + (xy 65.857421 30.688163) + (xy 65.85731 30.688244) + (xy 65.857196 30.688321) + (xy 65.857077 30.688391) + (xy 65.856955 30.688456) + (xy 65.85683 30.688515) + (xy 65.856702 30.688567) + (xy 65.85657 30.688613) + (xy 65.856436 30.688653) + (xy 65.856299 30.688685) + (xy 65.85616 30.688711) + (xy 65.856019 30.68873) + (xy 65.855875 30.688741) + (xy 65.855729 30.688745) + (xy 65.855584 30.688741) + (xy 65.85544 30.68873) + (xy 65.855299 30.688711) + (xy 65.85516 30.688685) + (xy 65.855023 30.688653) + (xy 65.854889 30.688613) + (xy 65.854758 30.688567) + (xy 65.85463 30.688515) + (xy 65.854505 30.688456) + (xy 65.854383 30.688391) + (xy 65.854265 30.688321) + (xy 65.854151 30.688244) + (xy 65.85404 30.688163) + (xy 65.853934 30.688076) + (xy 65.853831 30.687984) + (xy 65.853734 30.687887) + (xy 65.85364 30.687785) + (xy 65.853552 30.687679) + (xy 65.853468 30.687568) + (xy 65.85339 30.687453) + (xy 65.853317 30.687334) + (xy 65.853249 30.687212) + (xy 65.853187 30.687085) + (xy 65.853131 30.686955) + (xy 65.85308 30.686822) + (xy 65.853036 30.686686) + (xy 65.852998 30.686547) + (xy 65.852967 30.686406) + (xy 65.852942 30.686261) + (xy 65.852924 30.686115) + (xy 65.852913 30.685966) + (xy 65.85291 30.685815) + (xy 65.852913 30.685665) + (xy 65.852924 30.685517) + (xy 65.852942 30.685371) + (xy 65.852967 30.685227) + (xy 65.852998 30.685086) + (xy 65.853036 30.684947) + (xy 65.85308 30.684811) + (xy 65.853131 30.684679) + (xy 65.853187 30.684549) + (xy 65.853249 30.684423) + (xy 65.853317 30.684301) + (xy 65.85339 30.684182) + (xy 65.853468 30.684068) + (xy 65.853552 30.683957) + (xy 65.85364 30.683851) + (xy 65.853734 30.683749) + (xy 65.853831 30.683652) + (xy 65.853934 30.68356) + (xy 65.85404 30.683474) + (xy 65.854151 30.683392) + (xy 65.854265 30.683316) + (xy 65.854383 30.683245) + (xy 65.854505 30.683181) + (xy 65.85463 30.683122) + (xy 65.854758 30.68307) + (xy 65.854889 30.683023) + (xy 65.855023 30.682984) + (xy 65.85516 30.682951) + (xy 65.855299 30.682925) + (xy 65.85544 30.682907) + (xy 65.855584 30.682896) + (xy 65.855729 30.682892) + (xy 65.855875 30.682896) + (xy 65.856019 30.682907) + (xy 65.85616 30.682925) + (xy 65.856299 30.682951) + (xy 65.856436 30.682984) + (xy 65.85657 30.683023) + (xy 65.856702 30.68307) + (xy 65.85683 30.683122) + (xy 65.856955 30.683181) + (xy 65.857077 30.683245) + (xy 65.857196 30.683316) + (xy 65.85731 30.683392) + (xy 65.857421 30.683474) + (xy 65.857528 30.68356) + (xy 65.85763 30.683652) + (xy 65.857728 30.683749) + (xy 65.857822 30.683851) + (xy 65.857911 30.683957) + (xy 65.857994 30.684068) + (xy 65.858073 30.684182) + (xy 65.858147 30.684301) + (xy 65.858215 30.684423) + (xy 65.858277 30.684549) + (xy 65.858334 30.684679) + (xy 65.858384 30.684811) + (xy 65.858428 30.684947) + (xy 65.858467 30.685086) + (xy 65.858498 30.685227) + (xy 65.858523 30.685371) + (xy 65.858541 30.685517) + (xy 65.858552 30.685665) + (xy 65.858555 30.685815) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 19a945e5-087c-486d-8379-c1f8ccddab07)) + (gr_line (start 76.145634 26.079419) (end 76.108323 26.013953) (layer "F.SilkS") (width 0.00575) (tstamp 19ca5d45-0b70-42c8-bd4b-e84fedfa3665)) + (gr_line (start 61.683354 24.171167) (end 61.608549 24.184741) (layer "F.SilkS") (width 0.00575) (tstamp 19e5af63-d997-4431-ae2d-684a8645458d)) + (gr_line (start 74.245933 21.025171) (end 74.63289 20.503949) (layer "F.SilkS") (width 0.00575) (tstamp 19e763a7-9377-4a48-bd91-333481d4c98c)) + (gr_line (start 63.82039 26.170648) (end 63.797618 26.08457) (layer "F.SilkS") (width 0.00575) (tstamp 19fadb8f-2f75-4e01-b382-fe66ad65a607)) + (gr_line (start 73.831035 16.026541) (end 73.829619 15.940729) (layer "F.SilkS") (width 0.00575) (tstamp 19fcceda-74dd-43e1-b641-e97b4bd7ff29)) + (gr_poly + (pts + (xy 61.815849 20.626196) + (xy 61.979814 20.687915) + (xy 62.114848 20.786194) + (xy 62.136656 20.80954) + (xy 62.223015 20.937622) + (xy 62.285801 21.100183) + (xy 62.327775 21.305817) + (xy 62.344639 21.459814) + (xy 62.359617 21.585596) + (xy 62.381285 21.701025) + (xy 62.405107 21.782367) + (xy 62.406682 21.786035) + (xy 62.47144 21.889166) + (xy 62.555559 21.965088) + (xy 62.64293 22) + (xy 62.657365 22.000867) + (xy 62.688963 22.005328) + (xy 62.709501 22.026593) + (xy 62.722966 22.076465) + (xy 62.733299 22.166754) + (xy 62.739738 22.246246) + (xy 62.750639 22.369653) + (xy 62.762724 22.476941) + (xy 62.773765 22.548785) + (xy 62.775993 22.558545) + (xy 62.782066 22.594128) + (xy 62.769139 22.614166) + (xy 62.725645 22.623102) + (xy 62.640013 22.625409) + (xy 62.602281 22.62547) + (xy 62.449779 22.635455) + (xy 62.333928 22.671814) + (xy 62.236339 22.744159) + (xy 62.138621 22.862103) + (xy 62.13203 22.871301) + (xy 62.011955 23.022229) + (xy 61.883897 23.153162) + (xy 61.760527 23.252173) + (xy 61.680302 23.297577) + (xy 61.619456 23.314783) + (xy 61.533079 23.328729) + (xy 61.437901 23.338159) + (xy 61.350657 23.341858) + (xy 61.288078 23.338544) + (xy 61.266661 23.328387) + (xy 61.288322 23.307123) + (xy 61.343333 23.265442) + (xy 61.379802 23.239825) + (xy 61.498778 23.127637) + (xy 61.586509 22.983496) + (xy 61.640709 22.820483) + (xy 61.659086 22.651697) + (xy 61.639348 22.490222) + (xy 61.579222 22.349145) + (xy 61.543742 22.301819) + (xy 61.418492 22.200702) + (xy 61.263115 22.140747) + (xy 61.091496 22.124927) + (xy 60.917485 22.156189) + (xy 60.897001 22.163287) + (xy 60.824852 22.188129) + (xy 60.795329 22.190973) + (xy 60.797416 22.170947) + (xy 60.804881 22.155853) + (xy 60.898552 22.03418) + (xy 61.035411 21.933105) + (xy 61.202885 21.859277) + (xy 61.388408 21.81933) + (xy 61.450279 21.814752) + (xy 61.551201 21.80968) + (xy 61.607695 21.800201) + (xy 61.632664 21.780298) + (xy 61.639036 21.743964) + (xy 61.639256 21.726782) + (xy 61.612327 21.621551) + (xy 61.5364 21.522327) + (xy 61.419847 21.434442) + (xy 61.271043 21.363238) + (xy 61.098338 21.31402) + (xy 60.961448 21.295007) + (xy 60.848253 21.282385) + (xy 60.788542 21.264184) + (xy 60.782438 21.236957) + (xy 60.830034 21.197272) + (xy 60.931437 21.141675) + (xy 60.966667 21.12417) + (xy 61.134727 21.065466) + (xy 61.31168 21.044415) + (xy 61.48499 21.058996) + (xy 61.642149 21.107159) + (xy 61.770646 21.186877) + (xy 61.84091 21.266522) + (xy 61.902336 21.361395) + (xy 61.886131 21.219604) + (xy 61.844353 21.035297) + (xy 61.762443 20.889532) + (xy 61.634971 20.77467) + (xy 61.524803 20.712799) + (xy 61.450523 20.676062) + (xy 61.423015 20.654773) + (xy 61.436448 20.640552) + (xy 61.46743 20.630218) + (xy 61.639512 20.605487) + (xy 61.815849 20.626196) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 1a0c8eca-df0a-4d81-b0e3-5f29a48ecee0)) + (gr_line (start 68.783561 26.674341) (end 68.889952 26.84751) (layer "F.SilkS") (width 0.00575) (tstamp 1a0e11d3-11e9-44bc-885b-6c721c0302e1)) + (gr_line (start 65.692979 9.899356) (end 65.571727 9.82308) (layer "F.SilkS") (width 0.00575) (tstamp 1a2a0df3-8c40-4bdc-a9e4-80f44654429c)) + (gr_poly + (pts + (xy 72.121922 16.770383) + (xy 72.144475 16.812063) + (xy 72.181645 16.90137) + (xy 72.230333 17.02937) + (xy 72.287437 17.187115) + (xy 72.34984 17.365662) + (xy 72.414451 17.556067) + (xy 72.47816 17.74939) + (xy 72.537871 17.936688) + (xy 72.590465 18.109015) + (xy 72.610869 18.178888) + (xy 72.650993 18.318335) + (xy 72.685795 18.438556) + (xy 72.71168 18.527191) + (xy 72.725065 18.571924) + (xy 72.725239 18.572452) + (xy 72.725413 18.572986) + (xy 72.73275 18.606219) + (xy 72.73126 18.608789) + (xy 72.709172 18.589154) + (xy 72.65435 18.537152) + (xy 72.575706 18.461322) + (xy 72.505668 18.393146) + (xy 72.405278 18.293011) + (xy 72.336857 18.215771) + (xy 72.289671 18.144586) + (xy 72.252965 18.06264) + (xy 72.216014 17.953107) + (xy 72.207542 17.926074) + (xy 72.165898 17.7974) + (xy 72.110838 17.634186) + (xy 72.049547 17.457428) + (xy 71.989476 17.288867) + (xy 71.938853 17.147729) + (xy 71.896446 17.026813) + (xy 71.865959 16.936877) + (xy 71.851079 16.888638) + (xy 71.850206 16.883948) + (xy 71.874217 16.863309) + (xy 71.932927 16.833102) + (xy 72.006303 16.8017) + (xy 72.074351 16.777453) + (xy 72.11707 16.768732) + (xy 72.121922 16.770383) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 1a766181-d194-4f1b-adc4-0576615c37fa)) + (gr_line (start 71.863914 29.18241) (end 71.811046 29.330578) (layer "F.SilkS") (width 0.00575) (tstamp 1a818154-4701-4fb3-b452-960f42dee7a6)) + (gr_line (start 67.753343 24.217383) (end 67.797307 24.359277) (layer "F.SilkS") (width 0.00575) (tstamp 1a9f73e1-edb3-4a68-ba92-2661d3072b23)) + (gr_line (start 81.690623 30.012952) (end 81.858226 30.016144) (layer "F.SilkS") (width 0.00575) (tstamp 1aa3fdb7-f43b-46bc-af8d-50b9a5206dea)) + (gr_line (start 74.159886 16.171237) (end 74.018596 16.17001) (layer "F.SilkS") (width 0.00575) (tstamp 1acddd9b-72c7-4d81-9a31-840b992fbc61)) + (gr_line (start 73.023869 23.575506) (end 73.087156 23.60141) (layer "F.SilkS") (width 0.00575) (tstamp 1afeeb27-c793-499a-9eca-0218ecf69ad6)) + (gr_line (start 60.917491 22.156183) (end 60.897014 22.163275) (layer "F.SilkS") (width 0.00575) (tstamp 1b0851b1-7dde-4ec9-aa54-00c1ea4a44ac)) + (gr_line (start 74.890178 18.842663) (end 74.473332 18.606415) (layer "F.SilkS") (width 0.00575) (tstamp 1b0ad363-3dc4-4080-a5f2-f6b7991bfbe6)) + (gr_line (start 69.737462 28.327789) (end 69.442936 28.078119) (layer "F.SilkS") (width 0.00575) (tstamp 1b50fac2-2533-4c58-aaa6-ed7883c54ff3)) + (gr_line (start 64.124602 26.433703) (end 64.050688 26.524817) (layer "F.SilkS") (width 0.00575) (tstamp 1b6c22fd-9799-455b-abf6-6420c8ab6a7d)) + (gr_line (start 60.897014 22.163281) (end 60.824858 22.188122) (layer "F.SilkS") (width 0.00575) (tstamp 1bc18d90-f542-4c31-a3a6-f776d360553d)) + (gr_line (start 62.088945 24.150299) (end 61.935832 24.144446) (layer "F.SilkS") (width 0.00575) (tstamp 1c454042-329a-45dd-b85e-96cb72c87afd)) + (gr_line (start 79.701433 22.252643) (end 79.831187 22.298077) (layer "F.SilkS") (width 0.00575) (tstamp 1c46f15f-a6fe-448a-b48f-3aebf1031a28)) + (gr_line (start 68.325499 22.722009) (end 68.272032 22.804388) (layer "F.SilkS") (width 0.00575) (tstamp 1c708d72-7be5-4926-847e-23897154b08e)) + (gr_line (start 62.071605 25.648425) (end 62.067637 25.850928) (layer "F.SilkS") (width 0.00575) (tstamp 1ccbb9bd-22be-47e0-9ce0-7a23df6d5029)) + (gr_line (start 66.721104 19.808661) (end 66.731535 19.110785) (layer "F.SilkS") (width 0.00575) (tstamp 1d0c062c-b9ed-49df-85bc-8e4189733988)) + (gr_line (start 65.732341 21.873065) (end 66.174785 22.232635) (layer "F.SilkS") (width 0.00575) (tstamp 1d1aed71-30d0-4a01-b55d-71ec7e6432cf)) + (gr_line (start 72.104411 29.479968) (end 72.050248 29.309521) (layer "F.SilkS") (width 0.00575) (tstamp 1d20a624-42df-4526-85a7-149112361360)) + (gr_line (start 69.136467 25.235394) (end 69.738341 24.90661) (layer "F.SilkS") (width 0.00575) (tstamp 1d6a1227-b720-4aba-94d4-9bae4ac456dc)) + (gr_line (start 72.203453 18.33847) (end 72.457908 18.57959) (layer "F.SilkS") (width 0.00575) (tstamp 1d787929-592b-474b-b75a-9ce1aab80251)) + (gr_line (start 65.133812 9.294086) (end 65.111284 9.234329) (layer "F.SilkS") (width 0.00575) (tstamp 1dbf0cf3-31e7-4971-b6e1-306c5a37f8a4)) + (gr_line (start 76.651426 26.256171) (end 76.625511 26.147436) (layer "F.SilkS") (width 0.00575) (tstamp 1dc729f4-d7e5-4555-bde4-c29e68d96427)) + (gr_line (start 72.381578 16.485892) (end 72.35562 16.498617) (layer "F.SilkS") (width 0.00575) (tstamp 1ddbc34c-87cc-46e5-b74e-7302a5410b7d)) + (gr_line (start 73.496348 25.581787) (end 73.470707 25.579718) (layer "F.SilkS") (width 0.00575) (tstamp 1e30b4cb-6c4b-41a6-8b9a-b5b274038d02)) + (gr_line (start 67.757701 12.516781) (end 67.850584 12.642624) (layer "F.SilkS") (width 0.00575) (tstamp 1e3192a8-06d5-470c-92ba-3d7b776976c4)) + (gr_line (start 73.984752 22.067926) (end 74.164696 21.868823) (layer "F.SilkS") (width 0.00575) (tstamp 1e5572ac-8d3f-4175-9704-329267fa321a)) + (gr_line (start 79.004283 28.453284) (end 79.030974 28.387079) (layer "F.SilkS") (width 0.00575) (tstamp 1ea7669e-0ec7-44ab-be14-b393402bf56b)) + (gr_line (start 75.865623 30.031476) (end 76.441136 30.031476) (layer "F.SilkS") (width 0.00575) (tstamp 1ebed1c7-9740-40f5-8137-10d846e7e774)) + (gr_line (start 66.847048 17.386779) (end 66.847142 17.386322) (layer "F.SilkS") (width 0.00575) (tstamp 1ec1f148-14a6-4a99-8c1b-93265667e108)) + (gr_line (start 81.557683 28.203528) (end 81.742924 28.205005) (layer "F.SilkS") (width 0.00575) (tstamp 1f0c1b1e-0619-4fae-aef8-400197f5a3fd)) + (gr_line (start 72.113933 31.071735) (end 72.282603 31.069665) (layer "F.SilkS") (width 0.00575) (tstamp 1f1072fd-b534-4cf0-8240-6d432f1ff3a8)) + (gr_line (start 77.093821 24.364538) (end 77.291026 24.487585) (layer "F.SilkS") (width 0.00575) (tstamp 1f210d07-dd6f-4b37-b542-3fde0ff4f57e)) + (gr_line (start 67.580388 24.711365) (end 67.51992 24.527502) (layer "F.SilkS") (width 0.00575) (tstamp 1f8be949-3e4c-415c-82a9-1f868575a326)) + (gr_line (start 78.278953 31.803943) (end 78.267332 31.920044) (layer "F.SilkS") (width 0.00575) (tstamp 1fa53d36-ef9b-473f-90d4-10956ff20ac2)) + (gr_line (start 67.053514 15.157889) (end 66.96234 15.01987) (layer "F.SilkS") (width 0.00575) (tstamp 1fba34b5-c79e-4630-ae19-676279c0d4f6)) + (gr_line (start 82.488261 30.361902) (end 82.495561 30.430133) (layer "F.SilkS") (width 0.00575) (tstamp 1fbd4962-4426-47b9-b92b-81f0a97f2eb7)) + (gr_line (start 80.171672 28.910718) (end 80.168846 29.063318) (layer "F.SilkS") (width 0.00575) (tstamp 1ffb8761-7757-4dae-90dd-5ccb207fbadb)) + (gr_line (start 69.030449 12.944678) (end 69.303435 13.064526) (layer "F.SilkS") (width 0.00575) (tstamp 202394c4-c1b8-42d0-b1ba-e393cbafa377)) + (gr_line (start 65.863554 26.546295) (end 65.817808 26.54765) (layer "F.SilkS") (width 0.00575) (tstamp 205c2135-9355-4ee6-ac9b-b43507de1a02)) + (gr_line (start 68.587279 14.12745) (end 68.616807 14.132187) (layer "F.SilkS") (width 0.00575) (tstamp 206411bf-3f84-40a7-acbb-d16e3661e0dd)) + (gr_line (start 81.054759 28.202356) (end 81.329942 28.202704) (layer "F.SilkS") (width 0.00575) (tstamp 2078157c-4bf9-4321-adee-0c4ec0bc9bff)) + (gr_line (start 74.389079 16.179336) (end 74.159886 16.171237) (layer "F.SilkS") (width 0.00575) (tstamp 20bd311e-f343-4815-b44a-35649fb0fb4a)) + (gr_line (start 78.626854 22.490698) (end 78.738072 22.502948) (layer "F.SilkS") (width 0.00575) (tstamp 20d3681a-ec72-4d79-b461-c9285aebbc00)) + (gr_line (start 68.390721 20.04375) (end 68.597587 19.784283) (layer "F.SilkS") (width 0.00575) (tstamp 20d8566a-44e7-4ded-a6e1-87bf0a8c1c8f)) + (gr_line (start 67.62816 12.163086) (end 67.476243 12.148959) (layer "F.SilkS") (width 0.00575) (tstamp 20ddb807-5186-4a68-a0d1-25305b679f31)) + (gr_line (start 78.28687 28.859344) (end 78.288945 29.074225) (layer "F.SilkS") (width 0.00575) (tstamp 20e5ceff-00ed-4a4f-9e8e-7574f4b64b81)) + (gr_line (start 67.867418 25.511371) (end 67.851469 25.473358) (layer "F.SilkS") (width 0.00575) (tstamp 2119e7e9-140d-48f6-bf32-c6a02e29b4bb)) + (gr_line (start 76.571031 26.619586) (end 76.667405 26.662067) (layer "F.SilkS") (width 0.00575) (tstamp 214d2910-dc33-4cf7-9a10-c766673638fb)) + (gr_line (start 65.816762 26.547572) (end 65.815721 26.547485) (layer "F.SilkS") (width 0.00575) (tstamp 218892c6-4afe-4188-8262-b0a3b64bd54f)) + (gr_line (start 76.016026 14.062753) (end 76.075657 14.045535) (layer "F.SilkS") (width 0.00575) (tstamp 21bbbad2-ff17-42dd-8660-29d98eb880c0)) + (gr_line (start 65.846977 10.605768) (end 66.001365 10.706476) (layer "F.SilkS") (width 0.00575) (tstamp 21eabf7b-4cbd-4eca-8fe2-42b46decf689)) + (gr_line (start 65.190825 11.773791) (end 65.341618 11.752832) (layer "F.SilkS") (width 0.00575) (tstamp 22347c32-14f5-43e9-8827-0b6eb80bd021)) + (gr_line (start 66.89246 17.317554) (end 66.956181 17.318829) (layer "F.SilkS") (width 0.00575) (tstamp 22403a42-fcb2-4f40-8e7f-951463d2261b)) + (gr_line (start 76.985606 30.430475) (end 76.866777 30.423572) (layer "F.SilkS") (width 0.00575) (tstamp 22490382-1948-4e95-b3cc-57c9063b2ab9)) + (gr_line (start 71.972777 20.919043) (end 71.988841 21.235602) (layer "F.SilkS") (width 0.00575) (tstamp 22697d22-c773-47b9-a506-11d78f49b6ad)) + (gr_line (start 63.839244 26.5901) (end 63.83408 26.479339) (layer "F.SilkS") (width 0.00575) (tstamp 229469b1-cfed-4f4b-9ae1-75cc5a451c7d)) + (gr_line (start 69.555174 14.634415) (end 69.540922 14.427774) (layer "F.SilkS") (width 0.00575) (tstamp 2298ffd0-e5d1-4d42-8cfd-e9297837618a)) + (gr_line (start 75.535783 26.79978) (end 75.447191 26.694964) (layer "F.SilkS") (width 0.00575) (tstamp 22bdfc28-906d-4259-8cec-c36bc66de46a)) + (gr_line (start 79.515239 24.429748) (end 79.559074 24.461902) (layer "F.SilkS") (width 0.00575) (tstamp 22c56aaf-5998-496f-a3b7-95cd50231b1c)) + (gr_line (start 76.468236 26.402997) (end 76.356016 26.32113) (layer "F.SilkS") (width 0.00575) (tstamp 22e8a0ef-091d-4e4e-9091-30923c05648b)) + (gr_line (start 82.498424 31.832373) (end 82.492479 31.90838) (layer "F.SilkS") (width 0.00575) (tstamp 2304e8dc-c7e0-458a-a1b6-d467514e07e9)) + (gr_line (start 64.411784 25.861957) (end 64.400242 25.743146) (layer "F.SilkS") (width 0.00575) (tstamp 2319b49e-00fe-43ee-bd40-18313ec56720)) + (gr_line (start 72.289653 18.144586) (end 72.252952 18.06264) (layer "F.SilkS") (width 0.00575) (tstamp 234058ba-383c-4b1b-a118-a7b75997f146)) + (gr_line (start 67.490782 24.434833) (end 67.411827 24.177069) (layer "F.SilkS") (width 0.00575) (tstamp 234c737d-473b-49bb-a92c-2d4d7264d652)) + (gr_line (start 66.987773 13.587903) (end 67.054374 13.854092) (layer "F.SilkS") (width 0.00575) (tstamp 235c1628-ca86-429e-a972-8f2da852cd4e)) + (gr_line (start 63.832707 19.126239) (end 63.846501 19.030694) (layer "F.SilkS") (width 0.00575) (tstamp 235ef5f9-186a-4679-a687-063aa168cc91)) + (gr_line (start 74.249315 14.845645) (end 74.070567 14.97319) (layer "F.SilkS") (width 0.00575) (tstamp 239525e5-c5cd-471e-8fd4-4641346d733e)) + (gr_line (start 62.308641 27.238946) (end 62.080424 27.122961) (layer "F.SilkS") (width 0.00575) (tstamp 23b6e804-6e72-442c-b721-52ea04474dcc)) + (gr_line (start 74.857921 15.925284) (end 75.039372 15.980755) (layer "F.SilkS") (width 0.00575) (tstamp 23dda828-f9f1-418b-8534-d42e2a7d6124)) + (gr_line (start 69.139775 17.323346) (end 69.31187 17.378662) (layer "F.SilkS") (width 0.00575) (tstamp 242a12fd-e08c-472f-bb6d-f573eeecbbfc)) + (gr_line (start 74.095378 20.965008) (end 73.946702 21.147272) (layer "F.SilkS") (width 0.00575) (tstamp 243be13e-da28-42f8-89f3-44cec27325f6)) + (gr_line (start 68.10189 25.251538) (end 68.141655 25.363489) (layer "F.SilkS") (width 0.00575) (tstamp 243d8203-9257-41ec-a90a-6bef3ea1afc9)) + (gr_line (start 80.30031 31.85592) (end 80.395006 31.865179) (layer "F.SilkS") (width 0.00575) (tstamp 2441bbd9-3837-4328-8b89-13506f6ce0e4)) + (gr_line (start 62.135893 24.881372) (end 61.979967 24.954413) (layer "F.SilkS") (width 0.00575) (tstamp 24679ff1-bea4-4774-ae67-31cd05e99e82)) + (gr_line (start 78.989323 23.623517) (end 78.96793 23.668017) (layer "F.SilkS") (width 0.00575) (tstamp 246e9745-7627-4404-9943-5a2a15d7ea20)) + (gr_line (start 65.466295 11.693106) (end 65.558751 11.603256) (layer "F.SilkS") (width 0.00575) (tstamp 247cf852-280c-4fd8-9647-b907fe2220c6)) + (gr_line (start 71.953837 22.105945) (end 71.99492 21.998993) (layer "F.SilkS") (width 0.00575) (tstamp 24823ac0-cd71-4226-a199-15f1b7d6b48b)) + (gr_line (start 68.515458 15.937851) (end 68.440776 15.961658) (layer "F.SilkS") (width 0.00575) (tstamp 248c72dc-9e72-4285-8b49-f1bb3eabdbb0)) + (gr_line (start 66.443492 24.626276) (end 66.114274 24.735242) (layer "F.SilkS") (width 0.00575) (tstamp 24b43f61-9902-48e4-b024-e3b7ddf8f49b)) + (gr_line (start 72.65225 26.061694) (end 72.690306 25.925525) (layer "F.SilkS") (width 0.00575) (tstamp 24bf8423-751d-4018-84e0-112e3642287d)) + (gr_line (start 72.595915 31.05437) (end 72.587352 31.023584) (layer "F.SilkS") (width 0.00575) (tstamp 24d8d02f-f72a-4267-83cb-c81a7d035052)) + (gr_line (start 75.19517 18.99588) (end 75.090288 18.946735) (layer "F.SilkS") (width 0.00575) (tstamp 24dd3a1c-380a-4525-803f-40193fbd7527)) + (gr_line (start 80.245683 29.974121) (end 80.290623 29.9828) (layer "F.SilkS") (width 0.00575) (tstamp 24e6a3ad-26d7-45b9-88a4-aee5558c5bf0)) + (gr_line (start 60.882622 30.615417) (end 60.892759 30.634662) (layer "F.SilkS") (width 0.00575) (tstamp 24f463dd-bb4c-4deb-bc3f-5d18bcc986cd)) + (gr_line (start 68.382731 15.410525) (end 68.334672 15.398968) (layer "F.SilkS") (width 0.00575) (tstamp 251a4b1e-bbb1-4da3-b10b-19ed6345b958)) + (gr_line (start 61.532738 26.109784) (end 61.552946 25.87171) (layer "F.SilkS") (width 0.00575) (tstamp 25681e6b-1b66-4b3b-bb96-64a11ddcbd21)) + (gr_line (start 77.290519 25.158276) (end 77.085045 25.164142) (layer "F.SilkS") (width 0.00575) (tstamp 25704a5c-2c52-4d4a-930e-fde4df1ef832)) + (gr_line (start 75.612523 17.890771) (end 75.633507 17.922595) (layer "F.SilkS") (width 0.00575) (tstamp 257f9b1e-81c9-4cd1-8990-249ba343c1c8)) + (gr_line (start 74.98961 21.432172) (end 75.216105 21.479291) (layer "F.SilkS") (width 0.00575) (tstamp 258a82fa-e83b-4ef9-b387-e421fa0057b4)) + (gr_line (start 67.984758 12.479477) (end 67.981273 12.466818) (layer "F.SilkS") (width 0.00575) (tstamp 25a7fe3c-114d-451e-978d-3e8280bb0fcb)) + (gr_line (start 81.214433 30.421301) (end 81.127684 30.415002) (layer "F.SilkS") (width 0.00575) (tstamp 25e93109-9bd6-4d36-8600-9c8a7537bc2f)) + (gr_line (start 81.478227 30.010278) (end 81.690623 30.012952) (layer "F.SilkS") (width 0.00575) (tstamp 25f88853-79cb-4852-907a-af558294ff1c)) + (gr_line (start 63.974376 26.603558) (end 63.907506 26.656262) (layer "F.SilkS") (width 0.00575) (tstamp 26036315-5eb3-4329-bb35-379003661ece)) + (gr_line (start 74.561058 25.423443) (end 74.558952 25.434869) (layer "F.SilkS") (width 0.00575) (tstamp 261895fd-47a3-481d-97b1-dc1f34a6dae3)) + (gr_line (start 71.952451 21.564316) (end 71.952445 21.564356) (layer "F.SilkS") (width 0.00575) (tstamp 2644310e-b81f-46a3-acbd-ae5555c3e978)) + (gr_line (start 76.817991 15.367956) (end 76.808305 15.387625) (layer "F.SilkS") (width 0.00575) (tstamp 2649e50d-9fb3-4886-8a2f-bbd56704a73d)) + (gr_line (start 75.564457 18.274921) (end 75.519426 18.433118) (layer "F.SilkS") (width 0.00575) (tstamp 266eb575-9e69-4c2e-bafa-e46d2ee4eb01)) + (gr_line (start 62.464873 25.158197) (end 62.487852 25.13233) (layer "F.SilkS") (width 0.00575) (tstamp 266f97c1-ea99-440a-8025-61d438ec0073)) + (gr_line (start 76.283836 18.144427) (end 76.357377 18.169916) (layer "F.SilkS") (width 0.00575) (tstamp 26718d7e-900e-4d7b-a975-8023f153a4b8)) + (gr_line (start 66.477183 20.884222) (end 66.577214 20.708313) (layer "F.SilkS") (width 0.00575) (tstamp 26996cb3-49a6-4645-a7b6-1a6fb2f6706f)) + (gr_line (start 79.178697 16.676871) (end 79.185252 16.717236) (layer "F.SilkS") (width 0.00575) (tstamp 269ceff2-2ea7-46a2-8df4-cf56ad7bc9b2)) + (gr_line (start 78.972954 31.605572) (end 78.968419 31.451697) (layer "F.SilkS") (width 0.00575) (tstamp 26a4c7f6-97f0-4625-a87f-a16c2749e860)) + (gr_line (start 69.937114 24.598498) (end 69.868071 24.632184) (layer "F.SilkS") (width 0.00575) (tstamp 271834e4-2204-4dc6-a90e-c5e9dc000559)) + (gr_line (start 77.748655 16.949203) (end 77.728752 16.934256) (layer "F.SilkS") (width 0.00575) (tstamp 2753d10d-2198-4553-8298-ad74e8e636f1)) + (gr_line (start 76.482994 25.956683) (end 76.485246 26.093127) (layer "F.SilkS") (width 0.00575) (tstamp 27630233-8364-40e2-bbce-42e97b7f361b)) + (gr_line (start 72.357969 22.788562) (end 71.88441 22.263208) (layer "F.SilkS") (width 0.00575) (tstamp 27845454-b9fb-4ea3-b0c0-9918eac4813a)) + (gr_line (start 64.436314 24.758154) (end 64.40789 24.773797) (layer "F.SilkS") (width 0.00575) (tstamp 2792df88-5880-4826-8c28-fc9885a09ed6)) + (gr_line (start 73.958653 31.665552) (end 74.033153 31.869031) (layer "F.SilkS") (width 0.00575) (tstamp 27942780-d490-4364-b657-b4fb495aded0)) + (gr_line (start 82.50045 30.524756) (end 82.503398 30.653229) (layer "F.SilkS") (width 0.00575) (tstamp 279e5978-9068-4a04-a033-e0fea28adf50)) + (gr_line (start 60.443571 29.650647) (end 60.548955 29.885113) (layer "F.SilkS") (width 0.00575) (tstamp 27c18fc2-33d0-48f6-9d38-d0256bc174e9)) + (gr_line (start 74.960356 14.664487) (end 74.687602 14.693292) (layer "F.SilkS") (width 0.00575) (tstamp 27d47769-1db8-421a-9f4e-fa8cdfc1e895)) + (gr_line (start 63.003233 27.256128) (end 62.808897 27.287457) (layer "F.SilkS") (width 0.00575) (tstamp 27eec63a-05f8-4a59-8cb4-d93072874726)) + (gr_line (start 78.963237 29.280951) (end 78.96392 29.025226) (layer "F.SilkS") (width 0.00575) (tstamp 27fc5e63-7393-4bfc-996c-9c22a5ff9090)) + (gr_line (start 78.230192 30.051056) (end 78.230096 30.051192) (layer "F.SilkS") (width 0.00575) (tstamp 28079cac-2579-4cd4-ae91-147127797fa1)) + (gr_line (start 60.83004 21.197266) (end 60.931444 21.141669) (layer "F.SilkS") (width 0.00575) (tstamp 2809b832-2d62-4532-a10a-95ab6d17caa4)) + (gr_line (start 78.448552 21.639783) (end 78.361802 21.981695) (layer "F.SilkS") (width 0.00575) (tstamp 28518ae6-d110-420d-8edf-a4cc0ae80aa9)) + (gr_line (start 80.505034 32.25329) (end 80.235893 32.252832) (layer "F.SilkS") (width 0.00575) (tstamp 28579550-0451-4ead-b12d-a20cb5197d35)) + (gr_line (start 63.668248 17.521539) (end 63.681065 17.556238) (layer "F.SilkS") (width 0.00575) (tstamp 2879d7eb-996a-4e72-9f48-c294f2842d46)) + (gr_line (start 78.28065 29.874731) (end 78.273417 29.941388) (layer "F.SilkS") (width 0.00575) (tstamp 28926fa1-32b2-44a4-80ed-ccdd7fe90d7d)) + (gr_line (start 75.779716 25.850745) (end 75.844682 25.703863) (layer "F.SilkS") (width 0.00575) (tstamp 28ac0c8b-ba84-4848-960e-0d1635c9810f)) + (gr_line (start 64.161833 10.618835) (end 64.107597 10.562866) (layer "F.SilkS") (width 0.00575) (tstamp 28c2d32b-eeb0-48d0-afd0-eff326dd24a5)) + (gr_line (start 77.124449 30.868469) (end 77.119597 30.713556) (layer "F.SilkS") (width 0.00575) (tstamp 291855dd-95e4-4c36-93d6-c6782481f01e)) + (gr_line (start 76.252427 18.140332) (end 76.283842 18.144427) (layer "F.SilkS") (width 0.00575) (tstamp 29190df2-d59a-44dd-8857-a77ba2194b1c)) + (gr_line (start 61.639256 21.726776) (end 61.612327 21.621539) (layer "F.SilkS") (width 0.00575) (tstamp 291ad1e2-5522-4a8b-a788-ec3baeb9be45)) + (gr_line (start 67.26989 22.993854) (end 67.770219 23.293353) (layer "F.SilkS") (width 0.00575) (tstamp 295590fe-fb54-4a85-b1f2-a84e35b75af1)) + (gr_line (start 65.005174 11.750445) (end 65.180943 11.773633) (layer "F.SilkS") (width 0.00575) (tstamp 295a553c-3ab1-4c7f-957e-482c95fbc78f)) + (gr_line (start 72.095976 16.599161) (end 71.964921 16.649103) (layer "F.SilkS") (width 0.00575) (tstamp 29693a9f-a31d-42eb-a1b8-2aaf9abfd09a)) + (gr_line (start 75.975255 24.088501) (end 75.924248 24.084296) (layer "F.SilkS") (width 0.00575) (tstamp 2978f5ec-b905-4cf8-b6a6-490dd3a49641)) + (gr_line (start 72.975737 23.73324) (end 72.985039 23.638507) (layer "F.SilkS") (width 0.00575) (tstamp 29a28741-b0da-41db-ac52-1cbb149c43ca)) + (gr_line (start 67.410655 14.303372) (end 67.409251 14.222137) (layer "F.SilkS") (width 0.00575) (tstamp 29d1f535-e6a6-40d5-aebc-5a1094be96b7)) + (gr_line (start 77.118644 28.718695) (end 77.111082 28.664581) (layer "F.SilkS") (width 0.00575) (tstamp 2a0b657b-dec8-48e2-bef7-d3e31d4ed33d)) + (gr_line (start 63.972728 19.539972) (end 63.90518 19.398022) (layer "F.SilkS") (width 0.00575) (tstamp 2a0c73cc-a1f8-45e2-bebe-7afe7b1cbb56)) + (gr_line (start 64.558256 12.689395) (end 64.889946 12.484701) (layer "F.SilkS") (width 0.00575) (tstamp 2a0c7f1b-2bf8-43ff-a1e7-18a0bd6b7ea5)) + (gr_line (start 77.072136 28.604858) (end 77.024229 28.594305) (layer "F.SilkS") (width 0.00575) (tstamp 2a431d8e-652f-445b-a8fd-582627e57540)) + (gr_line (start 81.214744 30.007703) (end 81.478227 30.010278) (layer "F.SilkS") (width 0.00575) (tstamp 2a49e087-2eb1-4bd9-ae43-733258e486b8)) + (gr_line (start 67.289818 16.667261) (end 67.281303 16.689862) (layer "F.SilkS") (width 0.00575) (tstamp 2a5a03ce-d525-4dd2-b8ba-1458849fb446)) + (gr_line (start 68.540227 25.521368) (end 69.136467 25.235394) (layer "F.SilkS") (width 0.00575) (tstamp 2a5f892a-ab16-4a02-bebd-6852f0404a3e)) + (gr_line (start 72.587352 31.023584) (end 72.56328 30.944025) (layer "F.SilkS") (width 0.00575) (tstamp 2a815617-305f-443f-822e-4c82c8269170)) + (gr_line (start 65.817808 26.54765) (end 65.816762 26.547572) (layer "F.SilkS") (width 0.00575) (tstamp 2a8e1525-8c29-47e5-9b90-1f3083bb207f)) + (gr_line (start 63.437822 24.467523) (end 63.556096 24.449591) (layer "F.SilkS") (width 0.00575) (tstamp 2a8f9805-2ef4-442d-b857-33308da9e6ff)) + (gr_line (start 74.465538 25.26524) (end 74.544108 25.272589) (layer "F.SilkS") (width 0.00575) (tstamp 2ab320e1-de8d-491c-b948-349d86617959)) + (gr_line (start 68.813444 12.827661) (end 68.907036 12.88724) (layer "F.SilkS") (width 0.00575) (tstamp 2ac2892b-14c3-4789-9662-34a86eba859f)) + (gr_line (start 66.343321 28.187402) (end 67.547947 28.187402) (layer "F.SilkS") (width 0.00575) (tstamp 2ac4aa60-ed15-4910-a116-e0db30557319)) + (gr_line (start 67.208305 15.389255) (end 67.063823 15.174094) (layer "F.SilkS") (width 0.00575) (tstamp 2adba07a-bb60-488f-9154-70c366a8223e)) + (gr_line (start 77.290745 18.609784) (end 77.611583 18.847351) (layer "F.SilkS") (width 0.00575) (tstamp 2ae25826-7db8-482d-a196-8ce7f48bd6a8)) + (gr_line (start 75.551292 26.370795) (end 75.535783 26.79978) (layer "F.SilkS") (width 0.00575) (tstamp 2aebb31c-f600-4513-bdd8-09e7450beda8)) + (gr_line (start 72.93961 26.224151) (end 72.897893 26.378351) (layer "F.SilkS") (width 0.00575) (tstamp 2af86415-d318-4d2e-a224-4d8e5b0fa73e)) + (gr_line (start 70.27722 14.03685) (end 70.307438 14.058145) (layer "F.SilkS") (width 0.00575) (tstamp 2afb70c7-79b2-4da6-9893-f2f5f36eed25)) + (gr_line (start 71.874205 16.863309) (end 71.932908 16.833102) (layer "F.SilkS") (width 0.00575) (tstamp 2b17c38b-415b-49e8-ab76-1e479eabf3c0)) + (gr_line (start 75.577983 21.320972) (end 75.578801 21.32362) (layer "F.SilkS") (width 0.00575) (tstamp 2b22de82-3f5d-4f8b-a63a-28c8c7978349)) + (gr_line (start 76.718614 27.306384) (end 76.58336 27.277179) (layer "F.SilkS") (width 0.00575) (tstamp 2b238eeb-9fa1-4b58-bd66-80f85999c9d7)) + (gr_line (start 67.201896 15.773779) (end 67.233494 15.68862) (layer "F.SilkS") (width 0.00575) (tstamp 2b2988b2-024d-4072-bd93-b0a960499a4c)) + (gr_line (start 68.594023 26.983795) (end 68.35164 26.557464) (layer "F.SilkS") (width 0.00575) (tstamp 2b2f4c53-a036-403c-b827-312efcbb6d84)) + (gr_line (start 72.380797 26.879449) (end 72.537077 26.440649) (layer "F.SilkS") (width 0.00575) (tstamp 2b371df9-8c7e-4d7d-b1fd-a3315d9da14c)) + (gr_line (start 67.85543 23.164264) (end 67.750682 23.101587) (layer "F.SilkS") (width 0.00575) (tstamp 2b38eb7b-5cf8-44f6-a373-b002c606d9db)) + (gr_line (start 63.846501 19.030694) (end 63.876567 18.904523) (layer "F.SilkS") (width 0.00575) (tstamp 2b41c8e2-c220-4a88-addc-758b15fd8543)) + (gr_line (start 64.217857 26.268982) (end 64.184276 26.343872) (layer "F.SilkS") (width 0.00575) (tstamp 2b4dd0b3-313f-416a-97d8-c60d33057ead)) + (gr_line (start 72.457908 18.57959) (end 72.718333 18.8612) (layer "F.SilkS") (width 0.00575) (tstamp 2b5946c2-5c18-4c2c-ae25-149bdce320fd)) + (gr_line (start 70.13806 24.474438) (end 70.087327 24.510791) (layer "F.SilkS") (width 0.00575) (tstamp 2b64636c-b7e1-4008-b6bf-8e6a7e611017)) + (gr_line (start 79.114354 16.683325) (end 79.041539 16.606213) (layer "F.SilkS") (width 0.00575) (tstamp 2b914828-4a57-41e3-a694-da87114f88e7)) + (gr_poly + (pts + (xy 67.354869 15.803519) + (xy 67.476243 15.918426) + (xy 67.383024 16.273166) + (xy 67.347148 16.412756) + (xy 67.317668 16.533237) + (xy 67.297575 16.622049) + (xy 67.289824 16.666611) + (xy 67.289811 16.667264) + (xy 67.281303 16.689865) + (xy 67.248594 16.699795) + (xy 67.180906 16.697912) + (xy 67.067534 16.685101) + (xy 67.004045 16.666785) + (xy 66.974565 16.638281) + (xy 66.974441 16.636863) + (xy 66.974309 16.635443) + (xy 66.98181 16.592087) + (xy 67.002037 16.504709) + (xy 67.031505 16.386374) + (xy 67.066789 16.250143) + (xy 67.104448 16.109073) + (xy 67.141002 15.976224) + (xy 67.173033 15.864654) + (xy 67.197075 15.78743) + (xy 67.201896 15.773785) + (xy 67.233482 15.688626) + (xy 67.354869 15.803519) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 2ba79160-14f8-4139-b8a5-33b5288bd620)) + (gr_line (start 78.665507 24.164337) (end 78.601976 24.008514) (layer "F.SilkS") (width 0.00575) (tstamp 2bb42e4a-eecf-45af-be8b-7fb3a090d8e7)) + (gr_line (start 77.471818 16.33049) (end 77.341582 16.076852) (layer "F.SilkS") (width 0.00575) (tstamp 2bd36367-755e-47bb-8d05-f7e70a756d79)) + (gr_line (start 75.959269 25.465387) (end 75.9072 25.48175) (layer "F.SilkS") (width 0.00575) (tstamp 2bd9f4a0-197e-403b-ac52-7692d360e759)) + (gr_line (start 72.605382 26.220679) (end 72.652257 26.061694) (layer "F.SilkS") (width 0.00575) (tstamp 2bf7f199-b54f-43d9-8ac8-6d86225b1d06)) + (gr_line (start 78.125926 14.599585) (end 78.406547 14.759686) (layer "F.SilkS") (width 0.00575) (tstamp 2bfc605a-aacf-4c00-9c1b-ea86bd314eea)) + (gr_line (start 65.951109 20.927801) (end 65.929716 20.844556) (layer "F.SilkS") (width 0.00575) (tstamp 2c121a1c-d1d5-4505-ba29-67892a3b3ebe)) + (gr_line (start 63.712047 20.362964) (end 63.809166 20.505658) (layer "F.SilkS") (width 0.00575) (tstamp 2c121dd2-8fc0-4b2e-9d2a-b303a5ae5ae8)) + (gr_line (start 63.703947 17.996356) (end 63.616051 18.210333) (layer "F.SilkS") (width 0.00575) (tstamp 2c283c36-88cb-47e6-8790-d24685974580)) + (gr_line (start 75.231315 21.328735) (end 75.1916 21.317676) (layer "F.SilkS") (width 0.00575) (tstamp 2c3276c4-ee17-4cf9-b2e0-0fcc0a2b87b7)) + (gr_line (start 76.483488 16.364569) (end 76.465153 16.067136) (layer "F.SilkS") (width 0.00575) (tstamp 2c3736fb-a09f-456d-acc3-02e40132b525)) + (gr_line (start 72.526146 30.823437) (end 72.478361 30.669543) (layer "F.SilkS") (width 0.00575) (tstamp 2c6b8d1c-eb49-4137-a001-059a19843646)) + (gr_line (start 65.506114 11.151776) (end 65.394865 11.078979) (layer "F.SilkS") (width 0.00575) (tstamp 2c78acb1-d88f-4cd3-9d09-7b75851b60dc)) + (gr_line (start 76.672075 13.892816) (end 76.975181 13.867718) (layer "F.SilkS") (width 0.00575) (tstamp 2c8ad188-75aa-4040-94ae-a3d9adff7b4f)) + (gr_line (start 63.379002 15.262784) (end 63.378618 15.115362) (layer "F.SilkS") (width 0.00575) (tstamp 2c900a6f-de1b-475e-bc98-875a0d652c0c)) + (gr_line (start 73.946702 21.147266) (end 73.771135 21.35213) (layer "F.SilkS") (width 0.00575) (tstamp 2c946886-8a9f-463e-a41f-2716865da313)) + (gr_line (start 80.985362 30.005426) (end 81.21475 30.007703) (layer "F.SilkS") (width 0.00575) (tstamp 2c9ebc44-1bb4-450a-9f21-2f192b3329fd)) + (gr_line (start 65.100755 26.078387) (end 65.367412 26.153131) (layer "F.SilkS") (width 0.00575) (tstamp 2cbb93cc-2aa7-4992-a71c-d3c7bdc8773a)) + (gr_poly + (pts + (xy 72.892528 25.67749) + (xy 72.977922 25.690106) + (xy 73.036082 25.701196) + (xy 73.050517 25.705902) + (xy 73.050444 25.73938) + (xy 73.036595 25.818884) + (xy 73.011686 25.933478) + (xy 72.978459 26.072217) + (xy 72.939622 26.224151) + (xy 72.897899 26.378351) + (xy 72.856022 26.523865) + (xy 72.816728 26.64975) + (xy 72.81176 26.664667) + (xy 72.640709 27.116345) + (xy 72.449571 27.51358) + (xy 72.238603 27.856079) + (xy 72.008049 28.143536) + (xy 71.75814 28.375641) + (xy 71.489146 28.552093) + (xy 71.201292 28.672589) + (xy 71.192485 28.675299) + (xy 71.000114 28.712884) + (xy 70.780772 28.721667) + (xy 70.557341 28.702283) + (xy 70.352708 28.655371) + (xy 70.341069 28.651544) + (xy 70.036998 28.519092) + (xy 69.737474 28.327789) + (xy 69.442949 28.078119) + (xy 69.153892 27.770569) + (xy 68.870769 27.40564) + (xy 68.594035 26.983795) + (xy 68.351652 26.557464) + (xy 68.285435 26.431171) + (xy 68.231907 26.324914) + (xy 68.195976 26.248694) + (xy 68.182499 26.212549) + (xy 68.182811 26.211108) + (xy 68.220982 26.189343) + (xy 68.290544 26.158905) + (xy 68.368144 26.129028) + (xy 68.430467 26.108935) + (xy 68.450029 26.105395) + (xy 68.479912 26.130316) + (xy 68.521269 26.194745) + (xy 68.554088 26.260791) + (xy 68.607628 26.367895) + (xy 68.686796 26.510101) + (xy 68.78358 26.674335) + (xy 68.889958 26.84751) + (xy 68.997941 27.01654) + (xy 69.099492 27.168353) + (xy 69.173045 27.271722) + (xy 69.430412 27.590204) + (xy 69.691209 27.857043) + (xy 69.953508 28.071326) + (xy 70.215361 28.232159) + (xy 70.474827 28.338641) + (xy 70.729985 28.389874) + (xy 70.97888 28.384955) + (xy 71.219584 28.322974) + (xy 71.328538 28.274286) + (xy 71.474864 28.181177) + (xy 71.634196 28.048932) + (xy 71.792759 27.89104) + (xy 71.936821 27.720978) + (xy 72.034019 27.582617) + (xy 72.213133 27.260065) + (xy 72.380797 26.879449) + (xy 72.537089 26.440649) + (xy 72.553294 26.389752) + (xy 72.605388 26.220679) + (xy 72.652263 26.061694) + (xy 72.690306 25.925513) + (xy 72.715941 25.824872) + (xy 72.724174 25.784991) + (xy 72.744591 25.657916) + (xy 72.892528 25.67749) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 2cc23624-8be3-447b-8b55-0bd0e1441c0b)) + (gr_line (start 63.848015 30.299158) (end 65.855729 30.299158) (layer "F.SilkS") (width 0.00575) (tstamp 2cf10c02-d3ac-4084-a83d-6f15aeee03c8)) + (gr_line (start 78.080919 30.266595) (end 78.163444 30.326422) (layer "F.SilkS") (width 0.00575) (tstamp 2d2ac2c0-7a03-4f72-9ba5-f09c1120d01d)) + (gr_line (start 74.405424 15.852377) (end 74.638591 15.878323) (layer "F.SilkS") (width 0.00575) (tstamp 2d683521-9ccd-44fc-92fa-34528418458a)) + (gr_line (start 68.19597 26.248694) (end 68.182493 26.212549) (layer "F.SilkS") (width 0.00575) (tstamp 2d6e6552-31e5-4a19-9789-dd18eb3c9912)) + (gr_line (start 79.941398 22.403436) (end 79.875749 22.420666) (layer "F.SilkS") (width 0.00575) (tstamp 2db4fc9e-bd31-4cef-8f73-e26e4b3bd8bb)) + (gr_line (start 77.886186 25.648138) (end 77.971147 25.790423) (layer "F.SilkS") (width 0.00575) (tstamp 2dc48213-03ac-4122-9693-917ca53a396e)) + (gr_line (start 76.491862 26.218188) (end 76.501646 26.312152) (layer "F.SilkS") (width 0.00575) (tstamp 2dd41309-71e4-4b75-887a-1c6b948fcc08)) + (gr_line (start 76.868797 24.092139) (end 76.953038 24.232678) (layer "F.SilkS") (width 0.00575) (tstamp 2dd5a46e-aa22-4505-b397-d7759c149261)) + (gr_line (start 80.146904 28.202661) (end 80.426561 28.202344) (layer "F.SilkS") (width 0.00575) (tstamp 2de01582-3e6c-474a-98ff-42b8257fa61f)) + (gr_line (start 68.19268 15.977634) (end 68.061235 15.975668) (layer "F.SilkS") (width 0.00575) (tstamp 2df59561-aa15-4fc8-bd3c-179af26ecce5)) + (gr_line (start 66.024693 21.018817) (end 66.024627 21.018827) (layer "F.SilkS") (width 0.00575) (tstamp 2e042520-9309-4983-9fb3-2a609aaaedc1)) + (gr_line (start 74.544108 25.272589) (end 74.584092 25.282349) (layer "F.SilkS") (width 0.00575) (tstamp 2e10cebe-1fd7-4f52-9104-a6dfbadbad4c)) + (gr_line (start 65.327281 15.239734) (end 65.325535 15.376742) (layer "F.SilkS") (width 0.00575) (tstamp 2e3ae75d-afb6-4cbc-ab89-7b6a16433500)) + (gr_line (start 75.969371 19.416022) (end 75.905345 19.366656) (layer "F.SilkS") (width 0.00575) (tstamp 2e413479-8adc-46d0-8030-73c4aef4dc71)) + (gr_line (start 65.346794 15.068335) (end 65.333555 15.142093) (layer "F.SilkS") (width 0.00575) (tstamp 2e61d53b-679c-425c-9ee8-caf489fe8814)) + (gr_line (start 62.323533 25.058862) (end 62.284367 25.125055) (layer "F.SilkS") (width 0.00575) (tstamp 2e845767-0679-4e0b-9993-6b380292c5a4)) + (gr_line (start 67.328264 24.031012) (end 67.226494 24.126697) (layer "F.SilkS") (width 0.00575) (tstamp 2e8560e5-99de-4bd2-af12-4b8c9377adf4)) + (gr_line (start 81.102946 32.251501) (end 80.789616 32.252734) (layer "F.SilkS") (width 0.00575) (tstamp 2eb86881-312e-49d7-b266-04797adf530d)) + (gr_line (start 70.061247 24.414007) (end 70.12946 24.444043) (layer "F.SilkS") (width 0.00575) (tstamp 2ee4c8da-6ea8-44a6-a7d1-9bdc8fe6a9bf)) + (gr_line (start 63.598015 13.883603) (end 63.771104 13.541702) (layer "F.SilkS") (width 0.00575) (tstamp 2ef02ad3-dbd5-411c-95c7-136a293b4f63)) + (gr_line (start 67.958989 25.592889) (end 67.924583 25.599768) (layer "F.SilkS") (width 0.00575) (tstamp 2ef826ec-7c89-4965-83e6-7656f83d5631)) + (gr_line (start 74.343681 25.260022) (end 74.465538 25.26524) (layer "F.SilkS") (width 0.00575) (tstamp 2f61e1ed-f92a-4c01-b0f5-09b1fac7d80f)) + (gr_line (start 62.689934 25.568121) (end 62.596538 25.626977) (layer "F.SilkS") (width 0.00575) (tstamp 2f9cb01d-8458-4d03-a9d3-78846033919a)) + (gr_line (start 68.473497 15.420727) (end 68.382731 15.410528) (layer "F.SilkS") (width 0.00575) (tstamp 2fcb6cc8-89c9-4e3e-a5b3-e4f02eb91b47)) + (gr_line (start 68.078129 21.54162) (end 68.046684 21.221075) (layer "F.SilkS") (width 0.00575) (tstamp 2fdd9269-c371-4838-820e-d0bfc51210ab)) + (gr_line (start 75.920622 25.973364) (end 76.002745 26.138507) (layer "F.SilkS") (width 0.00575) (tstamp 2fde7f5b-12d6-48df-9a0e-046d2a3e55a0)) + (gr_line (start 65.494005 22.295807) (end 65.93748 22.650745) (layer "F.SilkS") (width 0.00575) (tstamp 2fe09b1c-e8a2-4aa5-bac7-6aabc1cde5a6)) + (gr_line (start 74.71649 16.224649) (end 74.389079 16.179336) (layer "F.SilkS") (width 0.00575) (tstamp 2ffc8163-feaf-4b1c-ae5e-62edcf306f26)) + (gr_line (start 66.431138 11.189288) (end 66.413634 10.981088) (layer "F.SilkS") (width 0.00575) (tstamp 30078b4d-87f0-412e-b97a-63f399a4bc79)) + (gr_line (start 64.400242 25.743146) (end 64.387712 25.6388) (layer "F.SilkS") (width 0.00575) (tstamp 302c3240-efe7-4a05-a76c-422b4bfe5c0f)) + (gr_line (start 69.807823 31.953601) (end 69.871293 31.780707) (layer "F.SilkS") (width 0.00575) (tstamp 302f9a0b-1bcb-4ea4-a597-7845736268ef)) + (gr_line (start 79.340501 22.837366) (end 79.297911 22.994647) (layer "F.SilkS") (width 0.00575) (tstamp 30379c5c-aaa1-401e-95ac-202e840cc673)) + (gr_line (start 70.015269 24.555084) (end 69.937114 24.598498) (layer "F.SilkS") (width 0.00575) (tstamp 30643ecf-b71e-4b4b-9342-37cd575ad991)) + (gr_line (start 71.606206 29.940375) (end 71.529564 30.177972) (layer "F.SilkS") (width 0.00575) (tstamp 306c5586-4528-4a5e-9bca-ae58e0edb166)) + (gr_line (start 70.677556 19.089502) (end 70.34857 19.0078) (layer "F.SilkS") (width 0.00575) (tstamp 3089823a-3d21-473d-a739-254e3aafacc3)) + (gr_line (start 81.376774 32.250159) (end 81.102946 32.251501) (layer "F.SilkS") (width 0.00575) (tstamp 3106dfe3-0b8d-4331-8b52-b02a680daa16)) + (gr_line (start 61.770653 21.186871) (end 61.840916 21.266516) (layer "F.SilkS") (width 0.00575) (tstamp 31231db1-5ff5-4457-8e91-948bdecf9c29)) + (gr_line (start 74.49312 25.567132) (end 74.487449 25.570825) (layer "F.SilkS") (width 0.00575) (tstamp 31445de9-bf77-42b1-89af-cbbb6411e1d6)) + (gr_line (start 80.283763 28.588873) (end 80.248906 28.60202) (layer "F.SilkS") (width 0.00575) (tstamp 316ea6bb-ec59-424f-83ef-bb750f8173c0)) + (gr_line (start 74.904948 25.07218) (end 75.048845 24.932159) (layer "F.SilkS") (width 0.00575) (tstamp 318c7248-8b00-49bb-82c7-c4dab632ddcd)) + (gr_line (start 73.050438 25.73938) (end 73.036589 25.818884) (layer "F.SilkS") (width 0.00575) (tstamp 31ba2d22-d756-4751-bd88-73202f266792)) + (gr_line (start 66.864055 22.532245) (end 66.323247 22.142358) (layer "F.SilkS") (width 0.00575) (tstamp 31d373e7-d2ee-4eee-b452-d3c766597d5b)) + (gr_line (start 74.173863 25.256659) (end 74.343681 25.260022) (layer "F.SilkS") (width 0.00575) (tstamp 3210bd8d-9490-4ff9-b78c-40c455bba8e8)) + (gr_line (start 79.47252 24.506341) (end 79.379893 24.512292) (layer "F.SilkS") (width 0.00575) (tstamp 3252df26-49b9-4e45-8d6c-2517074d8c99)) + (gr_poly + (pts + (xy 73.087156 23.60141) + (xy 73.148338 23.63833) + (xy 73.226085 23.691492) + (xy 73.266551 23.735193) + (xy 73.281901 23.790161) + (xy 73.284276 23.870557) + (xy 73.281346 23.951721) + (xy 73.273369 24.07439) + (xy 73.261552 24.22558) + (xy 73.247111 24.392285) + (xy 73.231236 24.561529) + (xy 73.215153 24.720318) + (xy 73.200053 24.855658) + (xy 73.18715 24.954559) + (xy 73.179539 24.997412) + (xy 73.154851 25.047772) + (xy 73.130583 25.060174) + (xy 73.082811 25.053247) + (xy 73.005095 25.041315) + (xy 72.983116 25.037866) + (xy 72.908256 25.020288) + (xy 72.877342 24.993072) + (xy 72.874943 24.96029) + (xy 72.881315 24.904126) + (xy 72.892503 24.806995) + (xy 72.906431 24.686981) + (xy 72.91096 24.647992) + (xy 72.92426 24.514441) + (xy 72.937901 24.344543) + (xy 72.950169 24.161383) + (xy 72.958439 24.008514) + (xy 72.966514 23.860718) + (xy 72.975731 23.73324) + (xy 72.985032 23.638507) + (xy 72.993345 23.588959) + (xy 72.99388 23.587591) + (xy 72.99442 23.586224) + (xy 73.023869 23.5755) + (xy 73.087156 23.60141) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 3255437a-8d56-415f-b40e-178fee079269)) + (gr_line (start 75.012297 25.456311) (end 74.949748 25.536706) (layer "F.SilkS") (width 0.00575) (tstamp 327edf7f-88b0-4a36-bf80-cee40ec06a02)) + (gr_line (start 78.963493 29.463599) (end 78.963237 29.280951) (layer "F.SilkS") (width 0.00575) (tstamp 3289f67f-c7dc-4f8d-90e9-9b3f13086b3c)) + (gr_line (start 77.145854 18.529071) (end 77.245579 18.582806) (layer "F.SilkS") (width 0.00575) (tstamp 3290fe25-5602-415f-a634-cd5b7e4a8132)) + (gr_line (start 76.098906 24.487756) (end 76.133269 24.37973) (layer "F.SilkS") (width 0.00575) (tstamp 32ec6f00-139e-4b39-ae48-b48617be87d6)) + (gr_line (start 65.543388 26.519379) (end 65.275877 26.475708) (layer "F.SilkS") (width 0.00575) (tstamp 32f2b70b-4c07-4cb5-905d-2e6486babaf9)) + (gr_line (start 64.107597 10.562866) (end 63.980754 10.389905) (layer "F.SilkS") (width 0.00575) (tstamp 330302a1-da6a-4b06-8bf2-aa06c9dec93f)) + (gr_line (start 72.401567 16.460065) (end 72.381584 16.485889) (layer "F.SilkS") (width 0.00575) (tstamp 33098fc8-9d28-46f2-a402-033c4305cb9f)) + (gr_line (start 67.836387 13.67547) (end 67.995415 13.673184) (layer "F.SilkS") (width 0.00575) (tstamp 3315de95-85fa-47b8-90bf-7d21c2a7b26a)) + (gr_line (start 76.506621 26.338519) (end 76.520372 26.403564) (layer "F.SilkS") (width 0.00575) (tstamp 331989ed-f791-4086-8d59-333e41f2307f)) + (gr_line (start 64.20211 11.542776) (end 64.155546 11.439999) (layer "F.SilkS") (width 0.00575) (tstamp 331ef91d-598c-4dab-85d2-edb6a11ef748)) + (gr_line (start 73.226085 23.691492) (end 73.266551 23.735193) (layer "F.SilkS") (width 0.00575) (tstamp 33230849-026b-4ecc-a031-8ba70392a895)) + (gr_line (start 62.688963 22.005328) (end 62.709501 22.026593) (layer "F.SilkS") (width 0.00575) (tstamp 3328b2a9-5bae-4279-942d-33b5c0e13855)) + (gr_line (start 66.614512 22.944147) (end 66.02158 22.514288) (layer "F.SilkS") (width 0.00575) (tstamp 33415456-9372-46f8-814c-0f8b4d4858ba)) + (gr_line (start 75.401097 21.343811) (end 75.309642 21.338953) (layer "F.SilkS") (width 0.00575) (tstamp 3342fc28-198f-4499-8a52-e1dd68b2455a)) + (gr_line (start 75.267467 25.452533) (end 75.352305 25.326593) (layer "F.SilkS") (width 0.00575) (tstamp 33639a19-17a0-4abe-b624-0aab1ca50bda)) + (gr_line (start 63.834086 26.479339) (end 63.833574 26.410266) (layer "F.SilkS") (width 0.00575) (tstamp 3367d770-6241-4c97-8a01-0f655960ad7a)) + (gr_line (start 63.197008 20.525915) (end 63.264818 20.356195) (layer "F.SilkS") (width 0.00575) (tstamp 3399d979-621e-4a88-a2ca-379b1b8175fe)) + (gr_line (start 61.536412 21.52232) (end 61.419853 21.434442) (layer "F.SilkS") (width 0.00575) (tstamp 33ab604a-2cdf-45df-9753-3575ba4c20a7)) + (gr_line (start 69.175963 15.174585) (end 69.000206 15.310681) (layer "F.SilkS") (width 0.00575) (tstamp 33cd3092-af61-496e-a158-f4867d588014)) + (gr_line (start 63.771104 13.541702) (end 63.992839 13.224377) (layer "F.SilkS") (width 0.00575) (tstamp 33edc271-25e9-4fe3-9b08-0932dfded678)) + (gr_line (start 69.820537 24.647455) (end 69.778752 24.636017) (layer "F.SilkS") (width 0.00575) (tstamp 33feb236-d663-4bdb-8063-7a27d197e83e)) + (gr_line (start 77.809495 16.035583) (end 77.811571 16.186969) (layer "F.SilkS") (width 0.00575) (tstamp 341aacc3-c997-4cfd-b4bf-58ed10bf3889)) + (gr_line (start 66.109581 20.93736) (end 66.052122 20.995587) (layer "F.SilkS") (width 0.00575) (tstamp 341eef01-a5ad-4917-bc9e-8eb12fc167d0)) + (gr_line (start 65.132261 24.785736) (end 65.110008 24.78136) (layer "F.SilkS") (width 0.00575) (tstamp 345224f9-ca30-4313-9c1d-4f7e59ebd995)) + (gr_line (start 61.305394 31.355029) (end 60.510515 31.355029) (layer "F.SilkS") (width 0.00575) (tstamp 34659b96-2d6e-4059-b06c-3dc414fa7538)) + (gr_line (start 68.078843 22.083569) (end 68.208604 22.338641) (layer "F.SilkS") (width 0.00575) (tstamp 346e93b4-9d42-4dfb-b7b0-ed5828ab0d28)) + (gr_line (start 76.047691 17.009869) (end 76.08408 17.145318) (layer "F.SilkS") (width 0.00575) (tstamp 3488b2d8-8244-4074-83fc-c43f8f9d6087)) + (gr_line (start 61.63936 22.490216) (end 61.579228 22.349139) (layer "F.SilkS") (width 0.00575) (tstamp 3489db2c-0df6-496c-bfb8-a0ffcc61fcff)) + (gr_line (start 61.902336 21.361389) (end 61.886143 21.219598) (layer "F.SilkS") (width 0.00575) (tstamp 3494ba33-aec9-4235-9e6c-10fb9fd70466)) + (gr_line (start 75.299003 25.263812) (end 75.194011 25.31532) (layer "F.SilkS") (width 0.00575) (tstamp 34abb070-dcf9-40f3-b697-e84a1fbf6df6)) + (gr_line (start 67.966014 23.214136) (end 67.95695 23.220093) (layer "F.SilkS") (width 0.00575) (tstamp 34f527b7-00e5-4cf6-9e35-5b9354a26231)) + (gr_line (start 79.90767 22.339313) (end 79.956962 22.37348) (layer "F.SilkS") (width 0.00575) (tstamp 350b99a4-adca-4813-ab98-07353c0b51d5)) + (gr_line (start 71.328526 28.274292) (end 71.474852 28.181183) (layer "F.SilkS") (width 0.00575) (tstamp 350d1381-491b-43f8-8e80-8d56ab807e1f)) + (gr_line (start 66.325511 14.471939) (end 66.115788 14.437158) (layer "F.SilkS") (width 0.00575) (tstamp 3539895c-5043-4166-934c-ee8fa32a6362)) + (gr_line (start 76.696165 26.399115) (end 76.651426 26.256171) (layer "F.SilkS") (width 0.00575) (tstamp 35685deb-0a3f-4710-a541-64dd9b775595)) + (gr_line (start 60.892759 30.634662) (end 60.908763 30.613476) (layer "F.SilkS") (width 0.00575) (tstamp 356c600f-2a68-48a9-b543-d1d039e7c815)) + (gr_line (start 68.351652 15.973611) (end 68.228966 15.977487) (layer "F.SilkS") (width 0.00575) (tstamp 35a5df58-cd8d-40b6-b3e6-c586025916b0)) + (gr_line (start 79.055302 16.307641) (end 79.157286 16.586572) (layer "F.SilkS") (width 0.00575) (tstamp 35b82adc-595a-4dfb-a92f-78bc3f1ddfa5)) + (gr_line (start 74.57368 20.312488) (end 74.483409 20.44284) (layer "F.SilkS") (width 0.00575) (tstamp 35bf45a0-cd37-4a50-a698-5da3acf221dd)) + (gr_line (start 78.289219 31.201068) (end 78.288536 31.451764) (layer "F.SilkS") (width 0.00575) (tstamp 35d63bb1-7880-4c7e-a779-4a0904dd31ca)) + (gr_line (start 77.85944 24.866772) (end 77.961387 25.024805) (layer "F.SilkS") (width 0.00575) (tstamp 36147985-557f-4a20-be1c-e3d5fce39029)) + (gr_line (start 62.241075 25.569995) (end 62.324931 25.358118) (layer "F.SilkS") (width 0.00575) (tstamp 3617c2c4-dd88-4431-bc01-a401d8321031)) + (gr_line (start 67.965593 15.966312) (end 67.884263 15.944894) (layer "F.SilkS") (width 0.00575) (tstamp 364e00c7-1002-42d1-bd03-1e27fe314e9c)) + (gr_line (start 75.752342 25.918072) (end 75.77971 25.850745) (layer "F.SilkS") (width 0.00575) (tstamp 3664c448-cc90-4469-b6bf-024a87e083d2)) + (gr_line (start 78.725841 15.099264) (end 78.592924 15.089651) (layer "F.SilkS") (width 0.00575) (tstamp 3671e064-31e6-44b6-b418-af3bfeae5b58)) + (gr_line (start 65.44796 24.817712) (end 65.132261 24.785736) (layer "F.SilkS") (width 0.00575) (tstamp 36789bfc-2c95-43d6-b098-7224e37fe415)) + (gr_line (start 71.744218 16.737781) (end 71.490385 16.847858) (layer "F.SilkS") (width 0.00575) (tstamp 3680b9f5-9c09-4b29-9b99-b7da19ef8f06)) + (gr_line (start 72.966514 23.860718) (end 72.975737 23.73324) (layer "F.SilkS") (width 0.00575) (tstamp 369086cb-b6f2-44e9-accf-4fa02a429d42)) + (gr_line (start 76.832585 31.861359) (end 76.945769 31.855267) (layer "F.SilkS") (width 0.00575) (tstamp 369f2568-59f2-41ae-b03a-f5b7aa07189c)) + (gr_line (start 62.230613 25.956683) (end 62.221025 25.929785) (layer "F.SilkS") (width 0.00575) (tstamp 36a1f211-296d-463b-9de0-6ff9cb44f946)) + (gr_line (start 66.02456 21.018837) (end 66.024428 21.018861) (layer "F.SilkS") (width 0.00575) (tstamp 36cf396e-5b27-4f37-afe5-4adbdfff9050)) + (gr_line (start 69.804442 19.161499) (end 70.114958 19.153363) (layer "F.SilkS") (width 0.00575) (tstamp 36f13ab5-81aa-4140-96a3-46ad061b80b0)) + (gr_line (start 63.937425 18.651929) (end 63.956364 18.516022) (layer "F.SilkS") (width 0.00575) (tstamp 370c5e4a-b89f-4218-b3a2-307dbea6315c)) + (gr_line (start 77.126176 28.922058) (end 77.123466 28.801996) (layer "F.SilkS") (width 0.00575) (tstamp 3727fa2f-91c6-4755-8643-9a1463b9b494)) + (gr_line (start 78.94218 24.412622) (end 78.780736 24.303046) (layer "F.SilkS") (width 0.00575) (tstamp 37403752-ac21-488c-8abc-1c8407cfb21d)) + (gr_line (start 62.828355 25.517566) (end 62.689934 25.568121) (layer "F.SilkS") (width 0.00575) (tstamp 3759c6af-cef8-4ed3-9c50-023895ec086d)) + (gr_line (start 64.971397 21.614245) (end 64.735911 21.378113) (layer "F.SilkS") (width 0.00575) (tstamp 376228c7-e64b-40a1-a407-eb32fc5d522e)) + (gr_line (start 76.785447 15.520944) (end 76.773155 15.600625) (layer "F.SilkS") (width 0.00575) (tstamp 376ca853-26e7-4bfe-b337-1455a61b2bf5)) + (gr_line (start 77.914372 22.872089) (end 77.72166 23.106982) (layer "F.SilkS") (width 0.00575) (tstamp 3778c384-2178-4e08-9f9a-c5818198b69e)) + (gr_line (start 71.354051 28.796649) (end 71.600895 28.679065) (layer "F.SilkS") (width 0.00575) (tstamp 377baff7-2f60-4318-94c7-5c64902ff56b)) + (gr_line (start 63.150798 25.485089) (end 62.990758 25.488647) (layer "F.SilkS") (width 0.00575) (tstamp 37920e33-f366-446c-992f-d7d1023a596a)) + (gr_line (start 76.60952 28.57514) (end 76.441136 28.574066) (layer "F.SilkS") (width 0.00575) (tstamp 37b80a3e-f985-4a90-8df7-93e5dd0f543b)) + (gr_line (start 79.443651 24.389307) (end 79.515233 24.429748) (layer "F.SilkS") (width 0.00575) (tstamp 37fdba1f-5910-4bf3-b261-6842d4948071)) + (gr_line (start 77.008842 25.344781) (end 77.164262 25.311584) (layer "F.SilkS") (width 0.00575) (tstamp 380bbe70-0627-4f76-955f-40c3864d2544)) + (gr_line (start 62.327775 21.305817) (end 62.344639 21.459814) (layer "F.SilkS") (width 0.00575) (tstamp 380f0324-ccb9-41f5-9079-f12ebe2fb966)) + (gr_line (start 60.795329 22.190967) (end 60.797416 22.170941) (layer "F.SilkS") (width 0.00575) (tstamp 38290d28-64c1-476b-b4d7-6ec242bc01f8)) + (gr_line (start 79.326195 32.237994) (end 79.272337 32.233075) (layer "F.SilkS") (width 0.00575) (tstamp 3868bdec-e52b-4d2f-a89f-3ef8a7d5f61c)) + (gr_line (start 62.405113 21.782361) (end 62.406688 21.786035) (layer "F.SilkS") (width 0.00575) (tstamp 38783844-b04c-42d9-91d7-584da8124f92)) + (gr_line (start 65.671831 10.527942) (end 65.846977 10.605765) (layer "F.SilkS") (width 0.00575) (tstamp 387a0312-6e99-44cd-aa63-72e3201f529d)) + (gr_line (start 65.095665 10.979828) (end 64.791923 10.924533) (layer "F.SilkS") (width 0.00575) (tstamp 38876367-4e81-42d9-b15b-0f9402cad500)) + (gr_line (start 70.328752 17.490588) (end 70.60106 17.525311) (layer "F.SilkS") (width 0.00575) (tstamp 38cb31b0-b48a-422f-9069-f769bb1417e9)) + (gr_line (start 68.522294 12.205221) (end 68.568834 12.345596) (layer "F.SilkS") (width 0.00575) (tstamp 392f3029-f398-45e6-b65b-d348c7a61ae7)) + (gr_line (start 72.282603 31.069665) (end 72.422661 31.066479) (layer "F.SilkS") (width 0.00575) (tstamp 397de8fe-f108-4741-afbb-d3921a2ba579)) + (gr_line (start 62.64293 22) (end 62.657371 22.00086) (layer "F.SilkS") (width 0.00575) (tstamp 398ecd8b-96fc-4bf9-abc6-82d3a489afae)) + (gr_line (start 66.583989 16.798477) (end 66.745189 16.812222) (layer "F.SilkS") (width 0.00575) (tstamp 39997ade-a19b-48a0-ac19-68b0f70707c1)) + (gr_line (start 61.467442 20.630218) (end 61.639519 20.605475) (layer "F.SilkS") (width 0.00575) (tstamp 39a3da68-9e44-4bae-99e0-e6674793ee51)) + (gr_line (start 66.166057 18.779272) (end 66.157042 18.980328) (layer "F.SilkS") (width 0.00575) (tstamp 39a41175-e3fc-443d-a0bb-e4472d0ad1f2)) + (gr_line (start 72.024321 28.345642) (end 72.184099 28.18493) (layer "F.SilkS") (width 0.00575) (tstamp 39c85ff2-f6fb-4deb-a417-454bbcd718c0)) + (gr_line (start 66.721129 14.707303) (end 66.714927 14.701321) (layer "F.SilkS") (width 0.00575) (tstamp 3a2208e2-e401-4aea-8c04-e2854a63d2b7)) + (gr_line (start 75.847191 21.434778) (end 76.065355 21.324658) (layer "F.SilkS") (width 0.00575) (tstamp 3a3c56a6-76e1-4655-8f45-c37a008decd6)) + (gr_poly + (pts + (xy 75.313395 21.023718) + (xy 75.313392 21.023869) + (xy 75.313381 21.024018) + (xy 75.313363 21.024164) + (xy 75.313338 21.024308) + (xy 75.313306 21.02445) + (xy 75.313268 21.024589) + (xy 75.313223 21.024725) + (xy 75.313173 21.024858) + (xy 75.313116 21.024988) + (xy 75.313053 21.025114) + (xy 75.312985 21.025237) + (xy 75.312911 21.025356) + (xy 75.312832 21.025471) + (xy 75.312748 21.025581) + (xy 75.312659 21.025688) + (xy 75.312565 21.02579) + (xy 75.312467 21.025887) + (xy 75.312364 21.025979) + (xy 75.312257 21.026066) + (xy 75.312146 21.026147) + (xy 75.312031 21.026224) + (xy 75.311913 21.026294) + (xy 75.311791 21.026359) + (xy 75.311665 21.026418) + (xy 75.311537 21.02647) + (xy 75.311405 21.026516) + (xy 75.311271 21.026556) + (xy 75.311134 21.026588) + (xy 75.310994 21.026614) + (xy 75.310853 21.026633) + (xy 75.310709 21.026644) + (xy 75.310563 21.026648) + (xy 75.310418 21.026644) + (xy 75.310275 21.026633) + (xy 75.310134 21.026614) + (xy 75.309995 21.026588) + (xy 75.309859 21.026556) + (xy 75.309725 21.026516) + (xy 75.309594 21.02647) + (xy 75.309466 21.026418) + (xy 75.309341 21.026359) + (xy 75.30922 21.026294) + (xy 75.309102 21.026224) + (xy 75.308987 21.026147) + (xy 75.308877 21.026066) + (xy 75.30877 21.025979) + (xy 75.308668 21.025887) + (xy 75.30857 21.02579) + (xy 75.308476 21.025688) + (xy 75.308388 21.025581) + (xy 75.308304 21.025471) + (xy 75.308225 21.025356) + (xy 75.308152 21.025237) + (xy 75.308084 21.025114) + (xy 75.308022 21.024988) + (xy 75.307965 21.024858) + (xy 75.307915 21.024725) + (xy 75.30787 21.024589) + (xy 75.307832 21.02445) + (xy 75.307801 21.024308) + (xy 75.307776 21.024164) + (xy 75.307758 21.024018) + (xy 75.307747 21.023869) + (xy 75.307744 21.023718) + (xy 75.307747 21.023568) + (xy 75.307758 21.02342) + (xy 75.307776 21.023274) + (xy 75.307801 21.02313) + (xy 75.307832 21.022989) + (xy 75.30787 21.02285) + (xy 75.307915 21.022714) + (xy 75.307965 21.022582) + (xy 75.308022 21.022452) + (xy 75.308084 21.022326) + (xy 75.308152 21.022204) + (xy 75.308225 21.022085) + (xy 75.308304 21.02197) + (xy 75.308388 21.02186) + (xy 75.308476 21.021754) + (xy 75.30857 21.021652) + (xy 75.308668 21.021555) + (xy 75.30877 21.021463) + (xy 75.308877 21.021376) + (xy 75.308987 21.021295) + (xy 75.309102 21.021219) + (xy 75.30922 21.021148) + (xy 75.309341 21.021083) + (xy 75.309466 21.021025) + (xy 75.309594 21.020972) + (xy 75.309725 21.020926) + (xy 75.309859 21.020887) + (xy 75.309995 21.020854) + (xy 75.310134 21.020828) + (xy 75.310275 21.02081) + (xy 75.310418 21.020798) + (xy 75.310563 21.020795) + (xy 75.310709 21.020798) + (xy 75.310853 21.02081) + (xy 75.310994 21.020828) + (xy 75.311134 21.020854) + (xy 75.311271 21.020887) + (xy 75.311405 21.020926) + (xy 75.311537 21.020972) + (xy 75.311665 21.021025) + (xy 75.311791 21.021083) + (xy 75.311913 21.021148) + (xy 75.312031 21.021219) + (xy 75.312146 21.021295) + (xy 75.312257 21.021376) + (xy 75.312364 21.021463) + (xy 75.312467 21.021555) + (xy 75.312565 21.021652) + (xy 75.312659 21.021754) + (xy 75.312748 21.02186) + (xy 75.312832 21.02197) + (xy 75.312911 21.022085) + (xy 75.312985 21.022204) + (xy 75.313053 21.022326) + (xy 75.313116 21.022452) + (xy 75.313173 21.022582) + (xy 75.313223 21.022714) + (xy 75.313268 21.02285) + (xy 75.313306 21.022989) + (xy 75.313338 21.02313) + (xy 75.313363 21.023274) + (xy 75.313381 21.02342) + (xy 75.313392 21.023568) + (xy 75.313395 21.023718) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 3a4364cf-8341-442e-a553-e3f4d7006307)) + (gr_line (start 69.685014 19.011267) (end 69.363591 19.092224) (layer "F.SilkS") (width 0.00575) (tstamp 3a5aab88-f069-4dc4-be03-6b4693720525)) + (gr_line (start 69.694078 24.604645) (end 69.576176 24.557214) (layer "F.SilkS") (width 0.00575) (tstamp 3a5e56b4-5bea-4bf1-9833-d55610f24f54)) + (gr_line (start 75.216105 21.479291) (end 75.445555 21.496295) (layer "F.SilkS") (width 0.00575) (tstamp 3a67dfcb-37ee-4d31-9cca-e7dd6a31147e)) + (gr_line (start 61.31168 21.044409) (end 61.485008 21.058996) (layer "F.SilkS") (width 0.00575) (tstamp 3aa91333-5381-4f0f-a8e1-1b8ecf9622b1)) + (gr_line (start 60.946715 30.543671) (end 61.004124 30.430438) (layer "F.SilkS") (width 0.00575) (tstamp 3ac1c206-9c50-4506-ba23-c803067956e1)) + (gr_line (start 78.285563 31.650281) (end 78.278953 31.803943) (layer "F.SilkS") (width 0.00575) (tstamp 3ad3d508-2eac-46a1-acb0-065ac8e8240c)) + (gr_line (start 70.541569 17.301385) (end 70.46934 17.317798) (layer "F.SilkS") (width 0.00575) (tstamp 3add84db-6d4a-4dca-b094-20b5c4c097bc)) + (gr_line (start 81.262321 31.810272) (end 81.272166 31.772711) (layer "F.SilkS") (width 0.00575) (tstamp 3aed2c5f-1086-4786-a772-65693a831a21)) + (gr_line (start 75.142644 21.284033) (end 75.070988 21.220721) (layer "F.SilkS") (width 0.00575) (tstamp 3b041cd3-6611-4b30-8310-ae9343c64b8c)) + (gr_line (start 70.681419 24.983179) (end 70.631541 24.964526) (layer "F.SilkS") (width 0.00575) (tstamp 3b76230a-4ba6-4f73-b54a-8f50703973ca)) + (gr_line (start 70.12946 24.444043) (end 70.152244 24.45487) (layer "F.SilkS") (width 0.00575) (tstamp 3b8b9158-eb32-4a32-bbc6-fe30c2aa8076)) + (gr_line (start 79.011552 30.147461) (end 78.991832 30.073877) (layer "F.SilkS") (width 0.00575) (tstamp 3b99823c-7a0c-436b-b2c4-bec8eb1af69c)) + (gr_line (start 77.589573 16.587689) (end 77.471818 16.33049) (layer "F.SilkS") (width 0.00575) (tstamp 3bc63627-eca4-471a-94a1-700f78183305)) + (gr_line (start 79.669395 22.476788) (end 79.532622 22.567792) (layer "F.SilkS") (width 0.00575) (tstamp 3bcb29e1-14ac-4f15-85fd-c6f27bfc14fc)) + (gr_line (start 82.46447 30.27467) (end 82.47805 30.312567) (layer "F.SilkS") (width 0.00575) (tstamp 3bf3f718-0677-4b1b-ae26-fc34fcaa3d7f)) + (gr_line (start 61.554289 24.182367) (end 61.565568 24.155762) (layer "F.SilkS") (width 0.00575) (tstamp 3c0d79ab-9ae8-4779-918d-03a48b2e297f)) + (gr_line (start 72.993881 23.587591) (end 72.994426 23.586224) (layer "F.SilkS") (width 0.00575) (tstamp 3c115132-e24f-456a-aa11-991962aa58f9)) + (gr_line (start 75.466661 14.67518) (end 75.31215 14.666098) (layer "F.SilkS") (width 0.00575) (tstamp 3c18ff53-769d-4df7-8fb7-a3597220363b)) + (gr_line (start 70.895744 29.009656) (end 70.92545 28.935174) (layer "F.SilkS") (width 0.00575) (tstamp 3c55e61f-4a61-4310-9197-fb63ece4d952)) + (gr_line (start 78.507237 22.445825) (end 78.626854 22.490698) (layer "F.SilkS") (width 0.00575) (tstamp 3c5e567e-1c08-44b1-a525-af74e5c214a0)) + (gr_line (start 74.35338 25.586383) (end 74.229124 25.591211) (layer "F.SilkS") (width 0.00575) (tstamp 3c669a05-1f92-4832-b142-29be8886dc9b)) + (gr_line (start 69.840709 13.368982) (end 69.941954 13.467724) (layer "F.SilkS") (width 0.00575) (tstamp 3c6a2386-f195-4df5-968a-31ab9a7d2f37)) + (gr_line (start 63.649547 17.531793) (end 63.668248 17.521539) (layer "F.SilkS") (width 0.00575) (tstamp 3c709706-b966-4808-a36b-43d4c22928e4)) + (gr_line (start 73.626762 20.067572) (end 73.734501 20.226465) (layer "F.SilkS") (width 0.00575) (tstamp 3c7d3a81-aad3-4457-a02f-76a1bf33d9b8)) + (gr_line (start 82.491081 28.997436) (end 82.491081 29.466351) (layer "F.SilkS") (width 0.00575) (tstamp 3c830da6-4d59-4899-b767-1333269199cd)) + (gr_line (start 79.967094 22.386969) (end 79.941398 22.403436) (layer "F.SilkS") (width 0.00575) (tstamp 3c97c6cb-a410-44d3-a73e-dc8a65b60416)) + (gr_line (start 82.287065 28.261767) (end 82.357499 28.3117) (layer "F.SilkS") (width 0.00575) (tstamp 3ccdd535-3236-4c2d-8c37-1e80c4fc719d)) + (gr_line (start 70.78076 28.721667) (end 70.557335 28.702283) (layer "F.SilkS") (width 0.00575) (tstamp 3cd16d78-deac-4c23-be7f-2d2bf6032ad0)) + (gr_line (start 59.588792 29.34303) (end 59.573912 32.262195) (layer "F.SilkS") (width 0.00575) (tstamp 3cddb644-8a5c-4c8a-b852-3e4f0c7b3ba8)) + (gr_line (start 70.307438 14.058139) (end 70.370225 14.108261) (layer "F.SilkS") (width 0.00575) (tstamp 3d24149c-5735-4080-8039-b8bff9bc9871)) + (gr_line (start 79.565556 24.471625) (end 79.539671 24.492554) (layer "F.SilkS") (width 0.00575) (tstamp 3d65b2f0-3fd6-4e30-b833-f7b21ed44dee)) + (gr_line (start 68.002836 24.979278) (end 68.053441 25.119379) (layer "F.SilkS") (width 0.00575) (tstamp 3d6a472e-e0f0-4616-931c-8b2108722783)) + (gr_line (start 72.610856 18.178894) (end 72.650981 18.318341) (layer "F.SilkS") (width 0.00575) (tstamp 3d701fc9-3783-4b28-b0a9-e262decc0aab)) + (gr_poly + (pts + (xy 79.704252 22.252643) + (xy 79.704249 22.252794) + (xy 79.704238 22.252943) + (xy 79.70422 22.25309) + (xy 79.704195 22.253235) + (xy 79.704164 22.253377) + (xy 79.704126 22.253517) + (xy 79.704081 22.253653) + (xy 79.704031 22.253786) + (xy 79.703974 22.253916) + (xy 79.703912 22.254043) + (xy 79.703844 22.254166) + (xy 79.703771 22.254285) + (xy 79.703692 22.2544) + (xy 79.703608 22.254511) + (xy 79.70352 22.254618) + (xy 79.703426 22.254719) + (xy 79.703328 22.254817) + (xy 79.703226 22.254909) + (xy 79.703119 22.254996) + (xy 79.703009 22.255078) + (xy 79.702894 22.255154) + (xy 79.702776 22.255225) + (xy 79.702655 22.255289) + (xy 79.70253 22.255348) + (xy 79.702402 22.255401) + (xy 79.702271 22.255447) + (xy 79.702137 22.255486) + (xy 79.702001 22.255519) + (xy 79.701862 22.255545) + (xy 79.701721 22.255563) + (xy 79.701578 22.255575) + (xy 79.701433 22.255578) + (xy 79.701288 22.255575) + (xy 79.701144 22.255563) + (xy 79.701003 22.255545) + (xy 79.700864 22.255519) + (xy 79.700728 22.255486) + (xy 79.700594 22.255447) + (xy 79.700463 22.255401) + (xy 79.700334 22.255348) + (xy 79.700209 22.255289) + (xy 79.700087 22.255225) + (xy 79.699969 22.255154) + (xy 79.699854 22.255078) + (xy 79.699744 22.254996) + (xy 79.699637 22.254909) + (xy 79.699534 22.254817) + (xy 79.699436 22.254719) + (xy 79.699342 22.254618) + (xy 79.699253 22.254511) + (xy 79.699169 22.2544) + (xy 79.69909 22.254285) + (xy 79.699017 22.254166) + (xy 79.698949 22.254043) + (xy 79.698886 22.253916) + (xy 79.698829 22.253786) + (xy 79.698779 22.253653) + (xy 79.698734 22.253517) + (xy 79.698696 22.253377) + (xy 79.698664 22.253235) + (xy 79.698639 22.25309) + (xy 79.698621 22.252943) + (xy 79.69861 22.252794) + (xy 79.698607 22.252643) + (xy 79.69861 22.252493) + (xy 79.698621 22.252344) + (xy 79.698639 22.252198) + (xy 79.698664 22.252054) + (xy 79.698696 22.251913) + (xy 79.698734 22.251775) + (xy 79.698779 22.251639) + (xy 79.698829 22.251506) + (xy 79.698886 22.251377) + (xy 79.698949 22.251251) + (xy 79.699017 22.251128) + (xy 79.69909 22.25101) + (xy 79.699169 22.250895) + (xy 79.699253 22.250784) + (xy 79.699342 22.250678) + (xy 79.699436 22.250577) + (xy 79.699534 22.25048) + (xy 79.699637 22.250388) + (xy 79.699744 22.250301) + (xy 79.699854 22.250219) + (xy 79.699969 22.250143) + (xy 79.700087 22.250073) + (xy 79.700209 22.250008) + (xy 79.700334 22.249949) + (xy 79.700463 22.249897) + (xy 79.700594 22.249851) + (xy 79.700728 22.249811) + (xy 79.700864 22.249779) + (xy 79.701003 22.249753) + (xy 79.701144 22.249734) + (xy 79.701288 22.249723) + (xy 79.701433 22.249719) + (xy 79.701578 22.249723) + (xy 79.701721 22.249734) + (xy 79.701862 22.249753) + (xy 79.702001 22.249779) + (xy 79.702137 22.249811) + (xy 79.702271 22.249851) + (xy 79.702402 22.249897) + (xy 79.70253 22.249949) + (xy 79.702655 22.250008) + (xy 79.702776 22.250073) + (xy 79.702894 22.250143) + (xy 79.703009 22.250219) + (xy 79.703119 22.250301) + (xy 79.703226 22.250388) + (xy 79.703328 22.25048) + (xy 79.703426 22.250577) + (xy 79.70352 22.250678) + (xy 79.703608 22.250784) + (xy 79.703692 22.250895) + (xy 79.703771 22.25101) + (xy 79.703844 22.251128) + (xy 79.703912 22.251251) + (xy 79.703974 22.251377) + (xy 79.704031 22.251506) + (xy 79.704081 22.251639) + (xy 79.704126 22.251775) + (xy 79.704164 22.251913) + (xy 79.704195 22.252054) + (xy 79.70422 22.252198) + (xy 79.704238 22.252344) + (xy 79.704249 22.252493) + (xy 79.704252 22.252643) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 3d90d06d-d725-4231-ac12-dd82d515a8b8)) + (gr_line (start 79.831187 22.298077) (end 79.90767 22.339313) (layer "F.SilkS") (width 0.00575) (tstamp 3ddcec42-ae1f-4365-bf4c-6c45f938dcb0)) + (gr_line (start 76.76707 25.290527) (end 76.707017 25.34035) (layer "F.SilkS") (width 0.00575) (tstamp 3de2e20a-cebb-4673-9844-972f2697694e)) + (gr_line (start 68.554075 26.260791) (end 68.607615 26.367895) (layer "F.SilkS") (width 0.00575) (tstamp 3e1fdd45-d929-4b76-a472-3cd42265fecf)) + (gr_line (start 61.369102 25.380524) (end 61.361741 25.381097) (layer "F.SilkS") (width 0.00575) (tstamp 3e2f4cc0-ff43-48b1-ac5f-b7a1a852c974)) + (gr_line (start 75.300878 19.029233) (end 75.300669 19.029457) (layer "F.SilkS") (width 0.00575) (tstamp 3e3d0fd3-73c9-4c94-8329-4baf2a168d7b)) + (gr_line (start 62.596531 25.626965) (end 62.48972 25.711725) (layer "F.SilkS") (width 0.00575) (tstamp 3e614eea-1f13-4720-b1ba-f712d3f57742)) + (gr_line (start 62.242375 26.32124) (end 62.269505 26.282764) (layer "F.SilkS") (width 0.00575) (tstamp 3e63e3c2-80a4-43a5-9b21-2d13c10a9379)) + (gr_line (start 61.267821 29.881909) (end 61.37783 29.646735) (layer "F.SilkS") (width 0.00575) (tstamp 3e8173d1-8b8f-4303-a923-4d6a24a3339d)) + (gr_line (start 62.59185 24.890015) (end 62.513884 24.860956) (layer "F.SilkS") (width 0.00575) (tstamp 3eb93fa4-804b-424a-87cd-c8b0badd8378)) + (gr_line (start 72.744584 25.657922) (end 72.892528 25.67749) (layer "F.SilkS") (width 0.00575) (tstamp 3eba46ae-f229-48a5-9230-e022a6fe4067)) + (gr_poly + (pts + (xy 62.310753 25.009534) + (xy 62.331151 25.02381) + (xy 62.323533 25.058862) + (xy 62.284367 25.125055) + (xy 62.257689 25.164575) + (xy 62.191411 25.275696) + (xy 62.132957 25.398254) + (xy 62.110374 25.458557) + (xy 62.071605 25.648425) + (xy 62.067644 25.850928) + (xy 62.096586 26.047552) + (xy 62.156529 26.219775) + (xy 62.19575 26.28833) + (xy 62.221159 26.320636) + (xy 62.242375 26.32124) + (xy 62.269505 26.282757) + (xy 62.308836 26.205481) + (xy 62.444609 25.987152) + (xy 62.61284 25.817627) + (xy 62.763249 25.720764) + (xy 62.931328 25.651697) + (xy 63.078868 25.632306) + (xy 63.215873 25.66192) + (xy 63.268352 25.686474) + (xy 63.393895 25.775159) + (xy 63.51237 25.895886) + (xy 63.604735 26.027722) + (xy 63.633677 26.087518) + (xy 63.668516 26.22771) + (xy 63.679179 26.400439) + (xy 63.665635 26.584265) + (xy 63.634587 26.734997) + (xy 63.573924 26.876861) + (xy 63.473857 27.000323) + (xy 63.328044 27.111694) + (xy 63.167753 27.199457) + (xy 63.003233 27.256128) + (xy 62.808897 27.287457) + (xy 62.606474 27.292438) + (xy 62.417692 27.270099) + (xy 62.308641 27.238946) + (xy 62.080424 27.122955) + (xy 61.889665 26.968329) + (xy 61.737926 26.782385) + (xy 61.626805 26.572443) + (xy 61.557884 26.345795) + (xy 61.532738 26.109784) + (xy 61.552946 25.871704) + (xy 61.620097 25.638885) + (xy 61.735771 25.418628) + (xy 61.877067 25.242963) + (xy 61.984874 25.14729) + (xy 62.100444 25.070984) + (xy 62.209349 25.021808) + (xy 62.297166 25.00755) + (xy 62.310753 25.009534) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 3ec2e96c-c4bc-4267-a46e-f222caf3c51e)) + (gr_line (start 66.161766 18.565546) (end 66.166057 18.779272) (layer "F.SilkS") (width 0.00575) (tstamp 3ed725c8-6d37-4025-93b7-56afc9ef152e)) + (gr_line (start 80.926988 28.573993) (end 80.737333 28.574066) (layer "F.SilkS") (width 0.00575) (tstamp 3ed84e24-41f2-4da9-8d8b-f4d468d5d884)) + (gr_line (start 67.425981 18.933349) (end 67.667161 18.619366) (layer "F.SilkS") (width 0.00575) (tstamp 3eeeae17-e6b1-4a27-b73e-e20cafc1ce3d)) + (gr_line (start 68.440776 15.961658) (end 68.351652 15.973614) (layer "F.SilkS") (width 0.00575) (tstamp 3f1fd287-16ab-4e21-bfa6-7bdbb50da216)) + (gr_line (start 69.38358 32.262195) (end 66.343321 32.262195) (layer "F.SilkS") (width 0.00575) (tstamp 3f5c3480-bf7e-4d5d-ac2f-ff6dbbe0f936)) + (gr_line (start 63.432127 15.908008) (end 63.407615 15.750253) (layer "F.SilkS") (width 0.00575) (tstamp 3f804b5f-0d9f-41f4-b835-30f13fc20b61)) + (gr_line (start 66.264207 16.775967) (end 66.420353 16.786175) (layer "F.SilkS") (width 0.00575) (tstamp 3f8edc17-87ad-4070-bf7f-7fc093b5e58e)) + (gr_line (start 68.616807 14.132193) (end 68.686516 14.1224) (layer "F.SilkS") (width 0.00575) (tstamp 3fd00424-bf21-47f1-83d2-226ec36c87f7)) + (gr_line (start 76.08408 17.145318) (end 76.111198 17.330304) (layer "F.SilkS") (width 0.00575) (tstamp 400207de-4d2f-4017-a949-6ec9ff46cafe)) + (gr_line (start 67.47772 13.93931) (end 67.50648 13.870837) (layer "F.SilkS") (width 0.00575) (tstamp 400770b9-9c30-4385-b3ef-7ec95dac11b1)) + (gr_line (start 77.072136 30.000683) (end 77.090111 29.987579) (layer "F.SilkS") (width 0.00575) (tstamp 40190173-c9f4-499d-8645-56ca0cec9d92)) + (gr_line (start 61.361741 25.381097) (end 61.357738 25.339892) (layer "F.SilkS") (width 0.00575) (tstamp 4027e297-3486-430e-85bd-0683e5c479b6)) + (gr_line (start 68.250737 11.755795) (end 68.34857 11.844659) (layer "F.SilkS") (width 0.00575) (tstamp 402d1411-dae4-44c0-b551-dbdf1dfd083b)) + (gr_line (start 74.782756 21.356183) (end 74.98961 21.432172) (layer "F.SilkS") (width 0.00575) (tstamp 4040a5e2-13db-48e6-aaa6-0887e0c6d1ab)) + (gr_line (start 76.367912 19.834485) (end 76.219377 19.631494) (layer "F.SilkS") (width 0.00575) (tstamp 404e1161-a010-48ed-a86d-9522e64c0124)) + (gr_line (start 81.285618 30.898083) (end 81.282945 30.717511) (layer "F.SilkS") (width 0.00575) (tstamp 405d5f09-25e4-4897-b6ee-b364a9d4281e)) + (gr_line (start 66.846776 17.388147) (end 66.846956 17.387234) (layer "F.SilkS") (width 0.00575) (tstamp 4091ebeb-f0f3-42de-a161-437e6371359c)) + (gr_line (start 70.265074 14.05068) (end 70.27722 14.03685) (layer "F.SilkS") (width 0.00575) (tstamp 40c1d40f-2336-4ce4-96ca-a41e6fc1d00e)) + (gr_line (start 78.042033 25.36499) (end 78.032072 25.446393) (layer "F.SilkS") (width 0.00575) (tstamp 40e2bdbd-8d0d-499a-8c5c-d50ecfe0bbcb)) + (gr_line (start 66.008348 21.163977) (end 66.066673 21.16806) (layer "F.SilkS") (width 0.00575) (tstamp 4103f04c-a185-41a9-8338-6b5b5756f0c6)) + (gr_line (start 64.624943 20.545569) (end 64.326103 20.146289) (layer "F.SilkS") (width 0.00575) (tstamp 41224e51-5f67-4cab-a36e-52a767d3c427)) + (gr_line (start 67.197081 15.787427) (end 67.201896 15.773779) (layer "F.SilkS") (width 0.00575) (tstamp 41414cc4-68f1-4db5-88c4-4d80f6e46621)) + (gr_line (start 70.22791 23.204108) (end 70.002641 23.213702) (layer "F.SilkS") (width 0.00575) (tstamp 4182c612-91a2-452b-b5b4-d76d7ccbb3ba)) + (gr_line (start 67.995415 13.673184) (end 68.009776 13.673157) (layer "F.SilkS") (width 0.00575) (tstamp 41903f2e-6a56-4533-a91b-69fe4774f74b)) + (gr_line (start 69.767399 14.777441) (end 69.722301 14.827743) (layer "F.SilkS") (width 0.00575) (tstamp 4196aad5-644a-430a-8edb-2a6b7a1605a2)) + (gr_line (start 64.609794 25.789087) (end 64.836064 25.95423) (layer "F.SilkS") (width 0.00575) (tstamp 41a7d272-dad9-4f80-9bf0-5bff6c93483b)) + (gr_poly + (pts + (xy 72.360399 16.206872) + (xy 72.360395 16.207023) + (xy 72.360384 16.207172) + (xy 72.360366 16.207318) + (xy 72.360341 16.207463) + (xy 72.360309 16.207604) + (xy 72.360271 16.207743) + (xy 72.360227 16.20788) + (xy 72.360176 16.208013) + (xy 72.360119 16.208142) + (xy 72.360057 16.208269) + (xy 72.359989 16.208391) + (xy 72.359915 16.20851) + (xy 72.359836 16.208625) + (xy 72.359752 16.208736) + (xy 72.359663 16.208842) + (xy 72.359569 16.208944) + (xy 72.359471 16.209041) + (xy 72.359368 16.209133) + (xy 72.359262 16.20922) + (xy 72.359151 16.209302) + (xy 72.359036 16.209378) + (xy 72.358918 16.209448) + (xy 72.358796 16.209513) + (xy 72.358671 16.209572) + (xy 72.358543 16.209624) + (xy 72.358411 16.20967) + (xy 72.358278 16.20971) + (xy 72.358141 16.209743) + (xy 72.358002 16.209768) + (xy 72.357861 16.209787) + (xy 72.357718 16.209798) + (xy 72.357573 16.209802) + (xy 72.357428 16.209798) + (xy 72.357285 16.209787) + (xy 72.357144 16.209768) + (xy 72.357005 16.209743) + (xy 72.356868 16.20971) + (xy 72.356735 16.20967) + (xy 72.356604 16.209624) + (xy 72.356476 16.209572) + (xy 72.356351 16.209513) + (xy 72.356229 16.209448) + (xy 72.356111 16.209378) + (xy 72.355997 16.209302) + (xy 72.355886 16.20922) + (xy 72.355779 16.209133) + (xy 72.355677 16.209041) + (xy 72.355579 16.208944) + (xy 72.355486 16.208842) + (xy 72.355397 16.208736) + (xy 72.355313 16.208625) + (xy 72.355235 16.20851) + (xy 72.355161 16.208391) + (xy 72.355093 16.208269) + (xy 72.355031 16.208142) + (xy 72.354975 16.208013) + (xy 72.354924 16.20788) + (xy 72.35488 16.207743) + (xy 72.354842 16.207604) + (xy 72.35481 16.207463) + (xy 72.354785 16.207318) + (xy 72.354767 16.207172) + (xy 72.354757 16.207023) + (xy 72.354753 16.206872) + (xy 72.354757 16.206722) + (xy 72.354767 16.206574) + (xy 72.354785 16.206427) + (xy 72.35481 16.206283) + (xy 72.354842 16.206142) + (xy 72.35488 16.206003) + (xy 72.354924 16.205867) + (xy 72.354975 16.205735) + (xy 72.355031 16.205605) + (xy 72.355093 16.205479) + (xy 72.355161 16.205357) + (xy 72.355235 16.205238) + (xy 72.355313 16.205123) + (xy 72.355397 16.205013) + (xy 72.355486 16.204907) + (xy 72.355579 16.204805) + (xy 72.355677 16.204708) + (xy 72.355779 16.204617) + (xy 72.355886 16.20453) + (xy 72.355997 16.204448) + (xy 72.356111 16.204372) + (xy 72.356229 16.204302) + (xy 72.356351 16.204237) + (xy 72.356476 16.204179) + (xy 72.356604 16.204126) + (xy 72.356735 16.20408) + (xy 72.356868 16.204041) + (xy 72.357005 16.204008) + (xy 72.357144 16.203983) + (xy 72.357285 16.203964) + (xy 72.357428 16.203953) + (xy 72.357573 16.203949) + (xy 72.357718 16.203953) + (xy 72.357861 16.203964) + (xy 72.358002 16.203983) + (xy 72.358141 16.204008) + (xy 72.358278 16.204041) + (xy 72.358411 16.20408) + (xy 72.358543 16.204126) + (xy 72.358671 16.204179) + (xy 72.358796 16.204237) + (xy 72.358918 16.204302) + (xy 72.359036 16.204372) + (xy 72.359151 16.204448) + (xy 72.359262 16.20453) + (xy 72.359368 16.204617) + (xy 72.359471 16.204708) + (xy 72.359569 16.204805) + (xy 72.359663 16.204907) + (xy 72.359752 16.205013) + (xy 72.359836 16.205123) + (xy 72.359915 16.205238) + (xy 72.359989 16.205357) + (xy 72.360057 16.205479) + (xy 72.360119 16.205605) + (xy 72.360176 16.205735) + (xy 72.360227 16.205867) + (xy 72.360271 16.206003) + (xy 72.360309 16.206142) + (xy 72.360341 16.206283) + (xy 72.360366 16.206427) + (xy 72.360384 16.206574) + (xy 72.360395 16.206722) + (xy 72.360399 16.206872) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 41ad93d9-1dc3-4480-972e-09d9405e05b5)) + (gr_line (start 61.781273 24.154224) (end 61.683354 24.171167) (layer "F.SilkS") (width 0.00575) (tstamp 41b940c2-515a-4cb6-976a-a652d08fa902)) + (gr_line (start 68.208604 22.338641) (end 68.28549 22.458551) (layer "F.SilkS") (width 0.00575) (tstamp 41dab9b2-8ff6-47fe-8f89-7bb596a7d872)) + (gr_line (start 68.204735 22.902215) (end 68.132042 23.003784) (layer "F.SilkS") (width 0.00575) (tstamp 41dad0ae-035d-4b9a-acb6-c4a60e917d7d)) + (gr_line (start 72.283366 16.18761) (end 72.330534 16.186957) (layer "F.SilkS") (width 0.00575) (tstamp 41e0b3ed-f4d7-41fc-af0f-9a7a1cd3bb74)) + (gr_poly + (pts + (xy 76.283836 18.144427) + (xy 76.357377 18.169916) + (xy 76.46345 18.212451) + (xy 76.592448 18.267706) + (xy 76.734782 18.331335) + (xy 76.880864 18.398993) + (xy 77.021086 18.466357) + (xy 77.14586 18.529077) + (xy 77.245592 18.582806) + (xy 77.290751 18.609784) + (xy 77.611595 18.847357) + (xy 77.887327 19.121661) + (xy 78.117723 19.432403) + (xy 78.302568 19.779266) + (xy 78.437529 20.14798) + (xy 78.467802 20.256128) + (xy 78.488969 20.350616) + (xy 78.502629 20.446362) + (xy 78.51035 20.558246) + (xy 78.513701 20.70116) + (xy 78.514317 20.870636) + (xy 78.498277 21.27384) + (xy 78.448558 21.639789) + (xy 78.361809 21.981695) + (xy 78.234697 22.312793) + (xy 78.066765 22.641241) + (xy 77.914378 22.872089) + (xy 77.72166 23.106988) + (xy 77.502672 23.331012) + (xy 77.271458 23.529254) + (xy 77.141966 23.623382) + (xy 77.017344 23.708453) + (xy 76.933067 23.769745) + (xy 76.88123 23.815668) + (xy 76.853911 23.854626) + (xy 76.843211 23.895032) + (xy 76.84124 23.943078) + (xy 76.868797 24.092145) + (xy 76.953038 24.232678) + (xy 77.093821 24.364545) + (xy 77.291026 24.487591) + (xy 77.342723 24.513837) + (xy 77.470585 24.580047) + (xy 77.593846 24.649982) + (xy 77.69293 24.712292) + (xy 77.724138 24.734619) + (xy 77.85944 24.866778) + (xy 77.961387 25.024817) + (xy 78.02418 25.195343) + (xy 78.042033 25.364996) + (xy 78.032072 25.446399) + (xy 78.013005 25.53645) + (xy 77.898509 25.421228) + (xy 77.741722 25.2906) + (xy 77.572325 25.207361) + (xy 77.375267 25.164996) + (xy 77.290519 25.158282) + (xy 77.085045 25.164148) + (xy 76.914738 25.206921) + (xy 76.76707 25.290533) + (xy 76.707017 25.340362) + (xy 76.607023 25.447168) + (xy 76.540135 25.561816) + (xy 76.501048 25.698877) + (xy 76.484489 25.872912) + (xy 76.482988 25.956689) + (xy 76.485234 26.09314) + (xy 76.491862 26.218201) + (xy 76.501646 26.312164) + (xy 76.506621 26.338531) + (xy 76.520372 26.403577) + (xy 76.512102 26.422565) + (xy 76.47509 26.406787) + (xy 76.468229 26.403003) + (xy 76.35601 26.321142) + (xy 76.241789 26.206055) + (xy 76.145634 26.079425) + (xy 76.108323 26.013959) + (xy 76.069951 25.913733) + (xy 76.032219 25.780261) + (xy 76.001201 25.639203) + (xy 75.982976 25.516223) + (xy 75.980571 25.474103) + (xy 75.959269 25.465399) + (xy 75.9072 25.481763) + (xy 75.841392 25.515399) + (xy 75.778905 25.558502) + (xy 75.76038 25.574945) + (xy 75.688261 25.66308) + (xy 75.63369 25.774609) + (xy 75.594401 25.918115) + (xy 75.568144 26.102179) + (xy 75.552678 26.335382) + (xy 75.551311 26.370801) + (xy 75.535789 26.799786) + (xy 75.447197 26.694977) + (xy 75.340196 26.537018) + (xy 75.25255 26.347089) + (xy 75.190208 26.143896) + (xy 75.159105 25.946167) + (xy 75.161815 25.795111) + (xy 75.201201 25.615369) + (xy 75.267467 25.452539) + (xy 75.352318 25.326599) + (xy 75.360551 25.317792) + (xy 75.403776 25.268066) + (xy 75.405992 25.246735) + (xy 75.383909 25.243518) + (xy 75.299009 25.263824) + (xy 75.194017 25.315332) + (xy 75.088872 25.386609) + (xy 75.012315 25.456323) + (xy 74.94976 25.536725) + (xy 74.878795 25.645819) + (xy 74.820781 25.748816) + (xy 74.770085 25.845453) + (xy 74.739091 25.895575) + (xy 74.720946 25.905426) + (xy 74.708806 25.881213) + (xy 74.702366 25.856335) + (xy 74.688176 25.715735) + (xy 74.701079 25.549792) + (xy 74.737437 25.383392) + (xy 74.791899 25.244653) + (xy 74.90496 25.072192) + (xy 75.048857 24.932165) + (xy 75.229899 24.820544) + (xy 75.454374 24.733276) + (xy 75.679002 24.6763) + (xy 75.805607 24.64657) + (xy 75.917467 24.614355) + (xy 75.997917 24.584698) + (xy 76.021464 24.572217) + (xy 76.098918 24.487768) + (xy 76.133275 24.379742) + (xy 76.123485 24.265033) + (xy 76.068498 24.160541) + (xy 76.048466 24.138867) + (xy 76.011735 24.106183) + (xy 75.975267 24.088513) + (xy 75.924254 24.084308) + (xy 75.843907 24.092016) + (xy 75.741563 24.106775) + (xy 75.468913 24.134656) + (xy 75.185917 24.14046) + (xy 74.913383 24.124634) + (xy 74.672099 24.087622) + (xy 74.654399 24.083667) + (xy 74.280424 23.980987) + (xy 73.914342 23.84812) + (xy 73.569102 23.69082) + (xy 73.257609 23.514838) + (xy 73.045628 23.367731) + (xy 72.849998 23.217547) + (xy 73.059965 23.021234) + (xy 73.212364 22.874304) + (xy 73.39182 22.694079) + (xy 73.587547 22.491992) + (xy 73.788786 22.279474) + (xy 73.984764 22.067944) + (xy 74.164708 21.868835) + (xy 74.317851 21.693585) + (xy 74.372807 21.628375) + (xy 74.469938 21.512762) + (xy 74.553886 21.415411) + (xy 74.617625 21.344269) + (xy 74.654136 21.307263) + (xy 74.659623 21.303808) + (xy 74.693364 21.316217) + (xy 74.758476 21.344916) + (xy 74.782768 21.356195) + (xy 74.989616 21.43219) + (xy 75.216112 21.479303) + (xy 75.445567 21.496307) + (xy 75.661271 21.481964) + (xy 75.84655 21.435052) + (xy 75.847197 21.434796) + (xy 76.065367 21.324676) + (xy 76.237822 21.180072) + (xy 76.367589 20.997894) + (xy 76.44091 20.828351) + (xy 76.503734 20.56272) + (xy 76.511552 20.305163) + (xy 76.465794 20.060736) + (xy 76.367924 19.834503) + (xy 76.219395 19.631512) + (xy 76.053709 19.480505) + (xy 75.969389 19.416034) + (xy 75.905369 19.366675) + (xy 75.873625 19.341662) + (xy 75.872971 19.341086) + (xy 75.872313 19.340509) + (xy 75.879167 19.311609) + (xy 75.90366 19.2393) + (xy 75.941697 19.135235) + (xy 75.978819 19.037756) + (xy 76.038963 18.871271) + (xy 76.100657 18.682318) + (xy 76.15352 18.503314) + (xy 76.168803 18.445898) + (xy 76.200999 18.321881) + (xy 76.228019 18.221503) + (xy 76.24644 18.157233) + (xy 76.252458 18.140344) + (xy 76.283836 18.144427) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 41eb693e-d77b-4125-a171-8b531e82d832)) + (gr_line (start 63.241984 24.373633) (end 63.304973 24.42359) (layer "F.SilkS") (width 0.00575) (tstamp 4250fea2-31b2-4344-bced-5ca982027ed1)) + (gr_line (start 73.518504 17.943103) (end 73.285679 17.725043) (layer "F.SilkS") (width 0.00575) (tstamp 427435d2-68b6-463c-a7b0-b9e895f2d8c2)) + (gr_line (start 63.70789 24.207532) (end 63.67545 24.069995) (layer "F.SilkS") (width 0.00575) (tstamp 429694b7-63cd-4e55-aa96-878d0f192635)) + (gr_line (start 68.334678 13.562494) (end 68.285557 13.540646) (layer "F.SilkS") (width 0.00575) (tstamp 42e2ead0-1cf3-4ef2-9d43-125cb937a21e)) + (gr_line (start 63.861491 9.595801) (end 63.97791 9.844604) (layer "F.SilkS") (width 0.00575) (tstamp 42f3801a-f071-4f9e-aff7-84ea5ad6672b)) + (gr_line (start 66.74666 18.619562) (end 66.75941 18.36275) (layer "F.SilkS") (width 0.00575) (tstamp 43341f20-3e99-415f-9a95-0cef90949880)) + (gr_line (start 74.483403 20.44284) (end 74.432695 20.514972) (layer "F.SilkS") (width 0.00575) (tstamp 433abe51-2a3b-49f5-9dcd-16193b46a1f2)) + (gr_line (start 68.193858 15.486709) (end 68.335936 15.569543) (layer "F.SilkS") (width 0.00575) (tstamp 43498385-8a2b-4237-94be-35e47c8e2975)) + (gr_line (start 68.585368 14.122116) (end 68.587285 14.12745) (layer "F.SilkS") (width 0.00575) (tstamp 435a166e-2c04-4fed-884e-7fd72a2ddeac)) + (gr_line (start 64.772093 26.302222) (end 64.566936 26.183661) (layer "F.SilkS") (width 0.00575) (tstamp 436188e4-7387-4a66-9f71-fb1399fab5fd)) + (gr_line (start 68.38546 13.591669) (end 68.334678 13.562494) (layer "F.SilkS") (width 0.00575) (tstamp 4364acce-6704-4870-b9e8-2f3b1fe021f7)) + (gr_line (start 68.356682 22.666754) (end 68.325499 22.722009) (layer "F.SilkS") (width 0.00575) (tstamp 43a91b05-0e34-4439-a7c5-4901cdf39a53)) + (gr_line (start 73.934794 20.520593) (end 74.011479 20.632574) (layer "F.SilkS") (width 0.00575) (tstamp 43b4827c-3706-483f-9069-43589bf77694)) + (gr_line (start 63.381639 20.075488) (end 63.415513 20.000421) (layer "F.SilkS") (width 0.00575) (tstamp 43bc7e06-4013-4090-89b2-3061844d2a6b)) + (gr_line (start 63.956364 18.516022) (end 63.959678 18.377692) (layer "F.SilkS") (width 0.00575) (tstamp 43cd317e-ff63-4a61-8c9c-654fbd4be819)) + (gr_line (start 78.437529 20.14798) (end 78.467802 20.256122) (layer "F.SilkS") (width 0.00575) (tstamp 44009e16-1d44-49f9-943f-e2aa3d5c0aac)) + (gr_line (start 69.526268 13.172067) (end 69.703752 13.271994) (layer "F.SilkS") (width 0.00575) (tstamp 44066b26-e541-449c-81c6-f1d7f24df81e)) + (gr_line (start 61.288078 23.338537) (end 61.266667 23.328381) (layer "F.SilkS") (width 0.00575) (tstamp 442359ac-6591-4c2f-8cce-4addc2c2c11e)) + (gr_line (start 63.466942 16.080795) (end 63.432127 15.908008) (layer "F.SilkS") (width 0.00575) (tstamp 443a8103-479b-4008-b441-481c42b5a86c)) + (gr_line (start 68.521257 26.194745) (end 68.554075 26.260791) (layer "F.SilkS") (width 0.00575) (tstamp 44837330-7a33-45bc-94f7-644b748f390b)) + (gr_line (start 65.184752 9.264755) (end 65.246245 9.309213) (layer "F.SilkS") (width 0.00575) (tstamp 449566ae-cf34-404a-a335-acc4a679d9d4)) + (gr_line (start 77.782475 15.743475) (end 77.794328 15.821759) (layer "F.SilkS") (width 0.00575) (tstamp 44bcd688-432f-4b16-be8a-9bac1d9fd0a3)) + (gr_line (start 64.081358 19.750665) (end 63.972734 19.539972) (layer "F.SilkS") (width 0.00575) (tstamp 44c56bbf-ed56-45e6-a089-8ad35054e476)) + (gr_line (start 65.114165 10.982593) (end 65.095665 10.979828) (layer "F.SilkS") (width 0.00575) (tstamp 44d7fcce-fc36-4f84-98e1-7b072482d1f0)) + (gr_line (start 68.682445 12.657767) (end 68.741466 12.754898) (layer "F.SilkS") (width 0.00575) (tstamp 45036004-b763-410c-a7f6-002b36a49817)) + (gr_line (start 68.802763 15.395398) (end 68.589793 15.425131) (layer "F.SilkS") (width 0.00575) (tstamp 4521157f-da0f-48b3-9529-bb2a0660675a)) + (gr_line (start 68.885423 15.559869) (end 68.938328 15.55758) (layer "F.SilkS") (width 0.00575) (tstamp 45221643-b5e0-49f7-ab4e-90511c94d765)) + (gr_line (start 69.339915 14.965063) (end 69.323881 14.990704) (layer "F.SilkS") (width 0.00575) (tstamp 45261276-2e37-42eb-9cd6-fb214a68ac7c)) + (gr_line (start 72.816716 26.64975) (end 72.811754 26.664667) (layer "F.SilkS") (width 0.00575) (tstamp 4529ab71-049d-4d31-b47a-5680ea714dc5)) + (gr_line (start 76.772349 26.656634) (end 76.752855 26.581811) (layer "F.SilkS") (width 0.00575) (tstamp 4546192a-802b-4575-ab1a-d59757c6fabd)) + (gr_line (start 78.267332 31.920044) (end 78.249345 32.00589) (layer "F.SilkS") (width 0.00575) (tstamp 4559e4b0-f20c-4a03-b3bf-da1424a7377c)) + (gr_line (start 74.164702 21.868823) (end 74.317851 21.693567) (layer "F.SilkS") (width 0.00575) (tstamp 455cf4c8-a916-43ba-bef3-49f0021349d0)) + (gr_line (start 62.409611 24.283124) (end 62.322624 24.222131) (layer "F.SilkS") (width 0.00575) (tstamp 455dd449-e683-4a33-9a64-b4d654cb2a0b)) + (gr_line (start 62.775999 22.558545) (end 62.782066 22.594128) (layer "F.SilkS") (width 0.00575) (tstamp 4561e382-abd3-42f8-b7e5-2ac4d3c26154)) + (gr_line (start 71.412083 16.528674) (end 71.936241 16.311337) (layer "F.SilkS") (width 0.00575) (tstamp 45ac5611-a6eb-4004-84f0-3483114dd4fa)) + (gr_line (start 78.289219 29.248535) (end 78.288975 29.474707) (layer "F.SilkS") (width 0.00575) (tstamp 45bd2af8-42bd-454e-b733-6da5f3987618)) + (gr_line (start 78.133604 15.830438) (end 78.010618 15.759827) (layer "F.SilkS") (width 0.00575) (tstamp 45d53576-73d8-4bb3-b1a1-78390d60e8f6)) + (gr_line (start 75.604149 16.792972) (end 75.446885 16.594021) (layer "F.SilkS") (width 0.00575) (tstamp 45d7d4aa-6722-4394-b317-bc750c3e6520)) + (gr_line (start 75.716966 14.699975) (end 75.606321 14.686926) (layer "F.SilkS") (width 0.00575) (tstamp 45e0ea88-9997-4b92-98b9-ddcb0c6410ad)) + (gr_line (start 77.372038 25.317737) (end 77.566435 25.378754) (layer "F.SilkS") (width 0.00575) (tstamp 45e2074e-0841-41d4-9855-9653e251d1b4)) + (gr_line (start 76.520372 26.403564) (end 76.512102 26.422552) (layer "F.SilkS") (width 0.00575) (tstamp 46108d5e-7165-4d27-b045-22321e3e30db)) + (gr_line (start 66.174785 22.232635) (end 66.643992 22.579578) (layer "F.SilkS") (width 0.00575) (tstamp 4651a842-105b-4541-b727-653c290b6ef1)) + (gr_line (start 68.182799 26.211108) (end 68.220976 26.189343) (layer "F.SilkS") (width 0.00575) (tstamp 4675342e-36cf-4481-8e53-23732dcde59e)) + (gr_line (start 62.750645 22.369653) (end 62.76273 22.476941) (layer "F.SilkS") (width 0.00575) (tstamp 4683fc13-02a3-41b7-b5f5-259f2b898ee6)) + (gr_line (start 73.69893 19.889703) (end 73.613872 19.765643) (layer "F.SilkS") (width 0.00575) (tstamp 4697715d-f099-48aa-9024-03d4c5ca8e3a)) + (gr_line (start 76.021452 24.572204) (end 76.098906 24.487756) (layer "F.SilkS") (width 0.00575) (tstamp 469e88ac-3556-4410-8f31-7c7afb2bd7d1)) + (gr_poly + (pts + (xy 81.054759 28.202356) + (xy 81.329942 28.202704) + (xy 81.557683 28.203528) + (xy 81.742924 28.205005) + (xy 81.890605 28.207318) + (xy 82.005693 28.210675) + (xy 82.093144 28.215253) + (xy 82.157915 28.221234) + (xy 82.204942 28.228815) + (xy 82.239195 28.238196) + (xy 82.265623 28.249542) + (xy 82.287071 28.261767) + (xy 82.357506 28.3117) + (xy 82.40991 28.369293) + (xy 82.446849 28.443756) + (xy 82.470848 28.544293) + (xy 82.484477 28.680115) + (xy 82.490288 28.860425) + (xy 82.491087 28.997436) + (xy 82.491087 29.466351) + (xy 81.286461 29.466351) + (xy 81.286461 29.086316) + (xy 81.286589 28.910333) + (xy 81.281993 28.780011) + (xy 81.265214 28.688513) + (xy 81.228782 28.628992) + (xy 81.165251 28.594623) + (xy 81.067149 28.57857) + (xy 80.927 28.573993) + (xy 80.73734 28.574066) + (xy 80.727165 28.574066) + (xy 80.552739 28.574414) + (xy 80.426695 28.576227) + (xy 80.340031 28.580664) + (xy 80.283763 28.588873) + (xy 80.248906 28.60202) + (xy 80.226469 28.621246) + (xy 80.212517 28.640173) + (xy 80.196794 28.672949) + (xy 80.1851 28.723596) + (xy 80.176909 28.800165) + (xy 80.171672 28.910718) + (xy 80.168846 29.063318) + (xy 80.167882 29.266046) + (xy 80.167869 29.303705) + (xy 80.169072 29.531225) + (xy 80.172899 29.704394) + (xy 80.179619 29.82832) + (xy 80.189512 29.908069) + (xy 80.202873 29.948755) + (xy 80.203087 29.949057) + (xy 80.203299 29.94936) + (xy 80.203721 29.949969) + (xy 80.218632 29.963287) + (xy 80.245689 29.974121) + (xy 80.290635 29.9828) + (xy 80.359233 29.989661) + (xy 80.457243 29.995026) + (xy 80.590428 29.999219) + (xy 80.764555 30.002576) + (xy 80.985368 30.005426) + (xy 81.214757 30.007703) + (xy 81.478239 30.010278) + (xy 81.690635 30.012952) + (xy 81.858238 30.016144) + (xy 81.987333 30.020245) + (xy 82.08419 30.025677) + (xy 82.155101 30.032837) + (xy 82.206346 30.04212) + (xy 82.244224 30.053955) + (xy 82.274986 30.068738) + (xy 82.289311 30.077136) + (xy 82.372526 30.145941) + (xy 82.442198 30.232501) + (xy 82.447062 30.240729) + (xy 82.464494 30.27467) + (xy 82.478074 30.312567) + (xy 82.48828 30.361902) + (xy 82.495573 30.430133) + (xy 82.500462 30.524756) + (xy 82.50341 30.653229) + (xy 82.504893 30.823035) + (xy 82.5054 31.041644) + (xy 82.50543 31.152087) + (xy 82.505241 31.39317) + (xy 82.504332 31.582049) + (xy 82.502232 31.726025) + (xy 82.498442 31.832373) + (xy 82.492491 31.90838) + (xy 82.483891 31.961334) + (xy 82.472166 31.998529) + (xy 82.456816 32.027234) + (xy 82.446306 32.042541) + (xy 82.378001 32.121948) + (xy 82.307994 32.185998) + (xy 82.288652 32.199823) + (xy 82.266087 32.21142) + (xy 82.235344 32.221014) + (xy 82.191514 32.228815) + (xy 82.129625 32.235046) + (xy 82.044774 32.239911) + (xy 81.932005 32.24364) + (xy 81.786363 32.246448) + (xy 81.602946 32.248547) + (xy 81.376793 32.250159) + (xy 81.102977 32.251501) + (xy 80.789641 32.252734) + (xy 80.505052 32.25329) + (xy 80.235917 32.252832) + (xy 79.988054 32.251428) + (xy 79.767314 32.249164) + (xy 79.579539 32.246118) + (xy 79.430559 32.242364) + (xy 79.326219 32.237994) + (xy 79.272362 32.233075) + (xy 79.26851 32.232147) + (xy 79.141978 32.161468) + (xy 79.04152 32.037915) + (xy 79.025883 32.009448) + (xy 79.00637 31.956464) + (xy 78.991661 31.877417) + (xy 78.980833 31.763422) + (xy 78.972978 31.605572) + (xy 78.968455 31.451697) + (xy 78.957255 30.983252) + (xy 80.19658 30.983252) + (xy 80.19658 31.398602) + (xy 80.197185 31.564569) + (xy 80.19984 31.680896) + (xy 80.205748 31.757403) + (xy 80.216154 31.803961) + (xy 80.232255 31.830377) + (xy 80.252055 31.844745) + (xy 80.30034 31.85592) + (xy 80.395036 31.865179) + (xy 80.525218 31.871857) + (xy 80.679936 31.87525) + (xy 80.741527 31.875537) + (xy 80.902592 31.873541) + (xy 81.043175 31.868005) + (xy 81.152336 31.859619) + (xy 81.219157 31.849078) + (xy 81.230998 31.844745) + (xy 81.24882 31.831787) + (xy 81.262346 31.810272) + (xy 81.272197 31.772711) + (xy 81.278935 31.711615) + (xy 81.283146 31.619501) + (xy 81.285423 31.488867) + (xy 81.286332 31.312237) + (xy 81.286485 31.132672) + (xy 81.285655 30.898083) + (xy 81.282982 30.717511) + (xy 81.27819 30.585486) + (xy 81.271 30.496558) + (xy 81.261131 30.445264) + (xy 81.250633 30.427319) + (xy 81.214464 30.421301) + (xy 81.127714 30.415002) + (xy 80.997185 30.408649) + (xy 80.829643 30.402515) + (xy 80.631877 30.396844) + (xy 80.410673 30.391888) + (xy 80.206016 30.388391) + (xy 79.197239 30.373517) + (xy 79.119584 30.299158) + (xy 79.07429 30.252563) + (xy 79.038676 30.20451) + (xy 79.011583 30.147461) + (xy 78.991868 30.073877) + (xy 78.978355 29.976239) + (xy 78.969908 29.846997) + (xy 78.965342 29.678638) + (xy 78.96353 29.463599) + (xy 78.963273 29.280951) + (xy 78.963951 29.025226) + (xy 78.96696 28.821789) + (xy 78.973729 28.663446) + (xy 78.985698 28.543005) + (xy 79.004313 28.453284) + (xy 79.030998 28.387079) + (xy 79.067222 28.337207) + (xy 79.114396 28.296472) + (xy 79.167283 28.261767) + (xy 79.190892 28.248443) + (xy 79.217814 28.23728) + (xy 79.253001 28.22807) + (xy 79.301414 28.220636) + (xy 79.367998 28.214783) + (xy 79.457713 28.210327) + (xy 79.575511 28.207074) + (xy 79.726335 28.204834) + (xy 79.915159 28.20343) + (xy 80.146922 28.202661) + (xy 80.426585 28.202344) + (xy 80.727177 28.202276) + (xy 81.054759 28.202356) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 46bb5252-e1ec-4dbf-9e4a-0d2592a7f977)) + (gr_line (start 76.947111 15.468237) (end 76.937852 15.457504) (layer "F.SilkS") (width 0.00575) (tstamp 46d6941b-4918-4cc6-8042-5a8e3c7dc730)) + (gr_line (start 75.252543 26.347076) (end 75.190202 26.14389) (layer "F.SilkS") (width 0.00575) (tstamp 46e135b5-a9dd-4e2b-ad84-84fe58c89552)) + (gr_line (start 76.84124 23.943072) (end 76.868797 24.092139) (layer "F.SilkS") (width 0.00575) (tstamp 4739b8a8-46ae-4ca6-a342-bd9aabdc9eac)) + (gr_line (start 68.584007 17.497919) (end 68.508787 17.476642) (layer "F.SilkS") (width 0.00575) (tstamp 4750b2fb-d951-4b0d-a8c7-2c99d405e947)) + (gr_line (start 63.304973 24.42359) (end 63.322691 24.435144) (layer "F.SilkS") (width 0.00575) (tstamp 47829218-0c78-468a-84a0-abc6afa6b83a)) + (gr_line (start 75.446885 16.594021) (end 75.246074 16.432587) (layer "F.SilkS") (width 0.00575) (tstamp 479caa0d-24f8-4dd6-adbc-c36b3ea28c6b)) + (gr_line (start 63.679185 26.400439) (end 63.665654 26.584265) (layer "F.SilkS") (width 0.00575) (tstamp 47ca04bb-6b04-4be8-8871-71c72a75cbae)) + (gr_line (start 79.299473 23.152716) (end 79.329588 23.256598) (layer "F.SilkS") (width 0.00575) (tstamp 47d83d4a-a30c-4a7a-9f07-7944114e74fa)) + (gr_line (start 65.922844 20.731402) (end 65.93759 20.685828) (layer "F.SilkS") (width 0.00575) (tstamp 47e6096b-b6d7-43ab-8df0-e20eaaae6f05)) + (gr_line (start 74.137547 15.84429) (end 74.405424 15.852377) (layer "F.SilkS") (width 0.00575) (tstamp 47f76606-5abc-4213-933f-de8bc6e37e35)) + (gr_line (start 61.979967 24.954413) (end 61.81212 25.053253) (layer "F.SilkS") (width 0.00575) (tstamp 48076556-c28d-4ae9-9e54-a3b811c07482)) + (gr_line (start 78.406547 14.759686) (end 78.678526 14.930752) (layer "F.SilkS") (width 0.00575) (tstamp 4818e437-4030-4709-b724-ab0630638c3d)) + (gr_line (start 65.36812 9.395309) (end 65.486448 9.463663) (layer "F.SilkS") (width 0.00575) (tstamp 481d3a15-82fe-4592-b144-18d4005b37e1)) + (gr_line (start 67.447563 22.914715) (end 66.864055 22.532245) (layer "F.SilkS") (width 0.00575) (tstamp 48a777b7-908a-4d32-84f5-81a51affaed8)) + (gr_line (start 67.935039 24.783203) (end 68.002836 24.979278) (layer "F.SilkS") (width 0.00575) (tstamp 48c11afd-9532-450b-84a4-f754069cff2f)) + (gr_line (start 74.878782 25.645813) (end 74.820775 25.74881) (layer "F.SilkS") (width 0.00575) (tstamp 48cfd7e0-1fc3-4fc8-97a7-90daa9215f96)) + (gr_line (start 78.03109 14.032361) (end 78.145817 14.085028) (layer "F.SilkS") (width 0.00575) (tstamp 48e00ded-1b5a-4c8f-996c-ed643f436273)) + (gr_line (start 64.110631 11.312811) (end 64.111583 11.307584) (layer "F.SilkS") (width 0.00575) (tstamp 48fe3cea-ef4c-40e8-a734-ae499d2d806e)) + (gr_poly + (pts + (xy 66.959001 17.318829) + (xy 66.958997 17.31898) + (xy 66.958986 17.319129) + (xy 66.958968 17.319275) + (xy 66.958943 17.319419) + (xy 66.958912 17.319561) + (xy 66.958874 17.3197) + (xy 66.958829 17.319836) + (xy 66.958778 17.319969) + (xy 66.958722 17.320099) + (xy 66.958659 17.320225) + (xy 66.958591 17.320348) + (xy 66.958517 17.320467) + (xy 66.958439 17.320582) + (xy 66.958355 17.320693) + (xy 66.958266 17.320799) + (xy 66.958172 17.320901) + (xy 66.958074 17.320998) + (xy 66.957972 17.32109) + (xy 66.957865 17.321177) + (xy 66.957755 17.321258) + (xy 66.95764 17.321335) + (xy 66.957522 17.321405) + (xy 66.957401 17.32147) + (xy 66.957276 17.321529) + (xy 66.957148 17.321581) + (xy 66.957017 17.321627) + (xy 66.956883 17.321667) + (xy 66.956747 17.321699) + (xy 66.956609 17.321725) + (xy 66.956468 17.321744) + (xy 66.956326 17.321755) + (xy 66.956181 17.321759) + (xy 66.956036 17.321755) + (xy 66.955893 17.321744) + (xy 66.955752 17.321725) + (xy 66.955613 17.321699) + (xy 66.955476 17.321667) + (xy 66.955342 17.321627) + (xy 66.955211 17.321581) + (xy 66.955083 17.321529) + (xy 66.954958 17.32147) + (xy 66.954836 17.321405) + (xy 66.954718 17.321335) + (xy 66.954603 17.321258) + (xy 66.954492 17.321177) + (xy 66.954385 17.32109) + (xy 66.954283 17.320998) + (xy 66.954185 17.320901) + (xy 66.954091 17.320799) + (xy 66.954002 17.320693) + (xy 66.953918 17.320582) + (xy 66.953839 17.320467) + (xy 66.953765 17.320348) + (xy 66.953697 17.320225) + (xy 66.953635 17.320099) + (xy 66.953578 17.319969) + (xy 66.953527 17.319836) + (xy 66.953483 17.3197) + (xy 66.953444 17.319561) + (xy 66.953413 17.319419) + (xy 66.953388 17.319275) + (xy 66.95337 17.319129) + (xy 66.953359 17.31898) + (xy 66.953355 17.318829) + (xy 66.953359 17.318679) + (xy 66.95337 17.31853) + (xy 66.953388 17.318383) + (xy 66.953413 17.318239) + (xy 66.953444 17.318097) + (xy 66.953483 17.317958) + (xy 66.953527 17.317822) + (xy 66.953578 17.317689) + (xy 66.953635 17.317559) + (xy 66.953697 17.317433) + (xy 66.953765 17.31731) + (xy 66.953839 17.317191) + (xy 66.953918 17.317077) + (xy 66.954002 17.316966) + (xy 66.954091 17.31686) + (xy 66.954185 17.316758) + (xy 66.954283 17.316661) + (xy 66.954385 17.316569) + (xy 66.954492 17.316482) + (xy 66.954603 17.3164) + (xy 66.954718 17.316324) + (xy 66.954836 17.316253) + (xy 66.954958 17.316189) + (xy 66.955083 17.31613) + (xy 66.955211 17.316077) + (xy 66.955342 17.316031) + (xy 66.955476 17.315992) + (xy 66.955613 17.315959) + (xy 66.955752 17.315933) + (xy 66.955893 17.315915) + (xy 66.956036 17.315903) + (xy 66.956181 17.3159) + (xy 66.956326 17.315903) + (xy 66.956468 17.315915) + (xy 66.956609 17.315933) + (xy 66.956747 17.315959) + (xy 66.956883 17.315992) + (xy 66.957017 17.316031) + (xy 66.957148 17.316077) + (xy 66.957276 17.31613) + (xy 66.957401 17.316189) + (xy 66.957522 17.316253) + (xy 66.95764 17.316324) + (xy 66.957755 17.3164) + (xy 66.957865 17.316482) + (xy 66.957972 17.316569) + (xy 66.958074 17.316661) + (xy 66.958172 17.316758) + (xy 66.958266 17.31686) + (xy 66.958355 17.316966) + (xy 66.958439 17.317077) + (xy 66.958517 17.317191) + (xy 66.958591 17.31731) + (xy 66.958659 17.317433) + (xy 66.958722 17.317559) + (xy 66.958778 17.317689) + (xy 66.958829 17.317822) + (xy 66.958874 17.317958) + (xy 66.958912 17.318097) + (xy 66.958943 17.318239) + (xy 66.958968 17.318383) + (xy 66.958986 17.31853) + (xy 66.958997 17.318679) + (xy 66.959001 17.318829) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 4910836c-129c-485c-8fe0-c8a1f6c0949d)) + (gr_line (start 68.920846 22.875179) (end 68.920231 22.87475) (layer "F.SilkS") (width 0.00575) (tstamp 492a0187-6d9c-4023-9012-53ab9008d582)) + (gr_line (start 72.067479 20.598242) (end 72.038902 20.49884) (layer "F.SilkS") (width 0.00575) (tstamp 492c939f-a2d5-474a-a588-ce74486ea960)) + (gr_line (start 81.278154 30.585486) (end 81.270964 30.496558) (layer "F.SilkS") (width 0.00575) (tstamp 4945dd02-6d79-4e2a-b14c-d6eee8bbaefa)) + (gr_line (start 80.410643 30.391888) (end 80.205974 30.388391) (layer "F.SilkS") (width 0.00575) (tstamp 495c3835-ed40-44ec-8bfc-c42178a35f46)) + (gr_line (start 79.915135 28.20343) (end 80.146904 28.202661) (layer "F.SilkS") (width 0.00575) (tstamp 49843d9e-8777-4355-aa73-e4687ccdc656)) + (gr_line (start 77.991423 30.219165) (end 78.080919 30.266595) (layer "F.SilkS") (width 0.00575) (tstamp 4985f184-3d43-4c25-9993-627c12df7b09)) + (gr_line (start 75.84686 21.434908) (end 75.847023 21.434844) (layer "F.SilkS") (width 0.00575) (tstamp 499273ce-06e6-420a-a6e2-7011f8431bf2)) + (gr_line (start 76.02979 18.372223) (end 75.893785 18.816589) (layer "F.SilkS") (width 0.00575) (tstamp 49f9f785-fbcc-4fd5-8db5-a558d14442c0)) + (gr_line (start 68.387138 11.892557) (end 68.424309 11.960159) (layer "F.SilkS") (width 0.00575) (tstamp 4a156414-0976-48f0-bffa-15124e75dfa4)) + (gr_line (start 62.284367 25.125055) (end 62.257689 25.164575) (layer "F.SilkS") (width 0.00575) (tstamp 4a2cfebe-cfeb-44ff-912b-0c30388fb062)) + (gr_line (start 75.277647 14.664596) (end 74.960356 14.664487) (layer "F.SilkS") (width 0.00575) (tstamp 4a32519b-38c3-4ef3-b91b-ab30c9909ae2)) + (gr_line (start 82.446831 28.443756) (end 82.470836 28.544293) (layer "F.SilkS") (width 0.00575) (tstamp 4a338be1-4eef-40bb-a685-eee2d82c12f2)) + (gr_poly + (pts + (xy 70.446757 19.197436) + (xy 70.446754 19.197588) + (xy 70.446743 19.197737) + (xy 70.446725 19.197884) + (xy 70.4467 19.198028) + (xy 70.446668 19.19817) + (xy 70.44663 19.19831) + (xy 70.446585 19.198446) + (xy 70.446535 19.198579) + (xy 70.446478 19.198709) + (xy 70.446416 19.198835) + (xy 70.446347 19.198958) + (xy 70.446274 19.199077) + (xy 70.446195 19.199192) + (xy 70.446111 19.199302) + (xy 70.446022 19.199408) + (xy 70.445929 19.19951) + (xy 70.445831 19.199607) + (xy 70.445728 19.199699) + (xy 70.445622 19.199786) + (xy 70.445511 19.199867) + (xy 70.445397 19.199943) + (xy 70.445278 19.200014) + (xy 70.445157 19.200078) + (xy 70.445032 19.200137) + (xy 70.444904 19.200189) + (xy 70.444773 19.200235) + (xy 70.44464 19.200274) + (xy 70.444504 19.200307) + (xy 70.444365 19.200332) + (xy 70.444225 19.200351) + (xy 70.444082 19.200362) + (xy 70.443937 19.200366) + (xy 70.443792 19.200362) + (xy 70.443649 19.200351) + (xy 70.443508 19.200332) + (xy 70.443369 19.200307) + (xy 70.443233 19.200274) + (xy 70.443099 19.200235) + (xy 70.442968 19.200189) + (xy 70.442839 19.200137) + (xy 70.442714 19.200078) + (xy 70.442592 19.200014) + (xy 70.442474 19.199943) + (xy 70.442359 19.199867) + (xy 70.442249 19.199786) + (xy 70.442142 19.199699) + (xy 70.442039 19.199607) + (xy 70.441941 19.19951) + (xy 70.441847 19.199408) + (xy 70.441758 19.199302) + (xy 70.441674 19.199192) + (xy 70.441595 19.199077) + (xy 70.441522 19.198958) + (xy 70.441453 19.198835) + (xy 70.441391 19.198709) + (xy 70.441334 19.198579) + (xy 70.441283 19.198446) + (xy 70.441239 19.19831) + (xy 70.441201 19.19817) + (xy 70.441169 19.198028) + (xy 70.441144 19.197884) + (xy 70.441126 19.197737) + (xy 70.441115 19.197588) + (xy 70.441112 19.197436) + (xy 70.441115 19.197286) + (xy 70.441126 19.197138) + (xy 70.441144 19.196992) + (xy 70.441169 19.196848) + (xy 70.441201 19.196707) + (xy 70.441239 19.196568) + (xy 70.441283 19.196433) + (xy 70.441334 19.1963) + (xy 70.441391 19.19617) + (xy 70.441453 19.196044) + (xy 70.441522 19.195922) + (xy 70.441595 19.195803) + (xy 70.441674 19.195689) + (xy 70.441758 19.195578) + (xy 70.441847 19.195472) + (xy 70.441941 19.19537) + (xy 70.442039 19.195273) + (xy 70.442142 19.195181) + (xy 70.442249 19.195095) + (xy 70.442359 19.195013) + (xy 70.442474 19.194937) + (xy 70.442592 19.194866) + (xy 70.442714 19.194802) + (xy 70.442839 19.194743) + (xy 70.442968 19.194691) + (xy 70.443099 19.194645) + (xy 70.443233 19.194605) + (xy 70.443369 19.194572) + (xy 70.443508 19.194547) + (xy 70.443649 19.194528) + (xy 70.443792 19.194517) + (xy 70.443937 19.194513) + (xy 70.444082 19.194517) + (xy 70.444225 19.194528) + (xy 70.444365 19.194547) + (xy 70.444504 19.194572) + (xy 70.44464 19.194605) + (xy 70.444773 19.194645) + (xy 70.444904 19.194691) + (xy 70.445032 19.194743) + (xy 70.445157 19.194802) + (xy 70.445278 19.194866) + (xy 70.445397 19.194937) + (xy 70.445511 19.195013) + (xy 70.445622 19.195095) + (xy 70.445728 19.195181) + (xy 70.445831 19.195273) + (xy 70.445929 19.19537) + (xy 70.446022 19.195472) + (xy 70.446111 19.195578) + (xy 70.446195 19.195689) + (xy 70.446274 19.195803) + (xy 70.446347 19.195922) + (xy 70.446416 19.196044) + (xy 70.446478 19.19617) + (xy 70.446535 19.1963) + (xy 70.446585 19.196433) + (xy 70.44663 19.196568) + (xy 70.446668 19.196707) + (xy 70.4467 19.196848) + (xy 70.446725 19.196992) + (xy 70.446743 19.197138) + (xy 70.446754 19.197286) + (xy 70.446757 19.197436) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 4a62b742-ae72-4f05-9a84-070916b96489)) + (gr_line (start 66.366453 20.654272) (end 66.28231 20.749609) (layer "F.SilkS") (width 0.00575) (tstamp 4a686621-ffb3-4038-90e4-cf2b68470313)) + (gr_line (start 60.850047 30.546747) (end 60.882622 30.615417) (layer "F.SilkS") (width 0.00575) (tstamp 4a6bc92e-6a5d-445c-9607-e126fa1d0cc6)) + (gr_line (start 82.484465 28.680115) (end 82.490275 28.860425) (layer "F.SilkS") (width 0.00575) (tstamp 4a787318-d7e0-4035-aa50-a5905ac2f457)) + (gr_line (start 81.286448 29.086316) (end 81.286577 28.910333) (layer "F.SilkS") (width 0.00575) (tstamp 4a8c557f-0730-4d7e-9b6a-5ebb9d6b607c)) + (gr_line (start 72.295482 30.085394) (end 72.22946 29.875641) (layer "F.SilkS") (width 0.00575) (tstamp 4a9ed7ef-f0a9-449f-84be-9b5e0b087fed)) + (gr_line (start 64.270421 11.668683) (end 64.20211 11.542776) (layer "F.SilkS") (width 0.00575) (tstamp 4abebdd9-8d27-4e89-9392-0f5e2c6d1516)) + (gr_line (start 64.23798 11.385293) (end 64.405681 11.503696) (layer "F.SilkS") (width 0.00575) (tstamp 4ad39d23-7670-4a21-85a8-470fcf171cb4)) + (gr_line (start 62.265513 24.8422) (end 62.135893 24.881372) (layer "F.SilkS") (width 0.00575) (tstamp 4ad5cc64-2f20-486f-ac79-94a3349e91f9)) + (gr_line (start 71.811046 29.330578) (end 71.749064 29.510449) (layer "F.SilkS") (width 0.00575) (tstamp 4af61a48-571b-47a2-8923-6bdf7bc3fd8a)) + (gr_line (start 82.206334 30.04212) (end 82.244212 30.053955) (layer "F.SilkS") (width 0.00575) (tstamp 4b05000f-dcf2-4b17-8d92-594960d2cfdf)) + (gr_line (start 70.087327 24.510791) (end 70.015269 24.555084) (layer "F.SilkS") (width 0.00575) (tstamp 4b1401a7-a888-4efa-9ab1-169788a4344c)) + (gr_line (start 66.846956 17.387234) (end 66.847048 17.386779) (layer "F.SilkS") (width 0.00575) (tstamp 4b432275-ea89-4d50-bce8-5ba625a91023)) + (gr_line (start 66.974315 16.63544) (end 66.981822 16.592084) (layer "F.SilkS") (width 0.00575) (tstamp 4b645d49-1af5-4e2b-809f-4244c57e53d5)) + (gr_line (start 65.940593 19.937537) (end 65.851469 20.252276) (layer "F.SilkS") (width 0.00575) (tstamp 4b8c771e-9850-4faf-b9b9-ca2df8d02a97)) + (gr_line (start 75.309642 21.338953) (end 75.231315 21.328735) (layer "F.SilkS") (width 0.00575) (tstamp 4baf742e-b153-451d-b5f7-a28476c91ac5)) + (gr_line (start 80.168846 29.063318) (end 80.167882 29.266046) (layer "F.SilkS") (width 0.00575) (tstamp 4bd64955-4ab0-46ad-a0b1-69b1ad246c24)) + (gr_line (start 71.952488 21.564123) (end 71.952459 21.564277) (layer "F.SilkS") (width 0.00575) (tstamp 4be26a2b-a89c-4193-b180-edf375e2b824)) + (gr_line (start 73.871574 31.428003) (end 73.958653 31.665552) (layer "F.SilkS") (width 0.00575) (tstamp 4bebdbb4-c873-47ea-b0f3-a33de1b1ac0a)) + (gr_line (start 70.700236 24.881573) (end 70.777934 24.830463) (layer "F.SilkS") (width 0.00575) (tstamp 4bed3daa-8328-4d7b-a248-217bd22f5658)) + (gr_line (start 74.660991 30.418127) (end 75.865623 30.418127) (layer "F.SilkS") (width 0.00575) (tstamp 4bf495e5-a7a6-4ff4-a514-0761e259917f)) + (gr_line (start 79.559074 24.461902) (end 79.565556 24.471625) (layer "F.SilkS") (width 0.00575) (tstamp 4bfd4fc8-bbe2-4d74-b9a3-521652413e6a)) + (gr_line (start 77.571031 13.901749) (end 77.836888 13.960806) (layer "F.SilkS") (width 0.00575) (tstamp 4c14f2d3-0ba2-4bbb-a702-7769576e52d8)) + (gr_line (start 70.370225 14.108261) (end 70.454094 14.17796) (layer "F.SilkS") (width 0.00575) (tstamp 4c16ddfb-60fc-4113-9652-bb889e64792f)) + (gr_line (start 59.789567 28.187811) (end 60.331175 29.39964) (layer "F.SilkS") (width 0.00575) (tstamp 4c29360a-b5f5-4ddf-8819-c435f3f6df4e)) + (gr_line (start 65.327916 15.57262) (end 65.336644 15.700088) (layer "F.SilkS") (width 0.00575) (tstamp 4c343209-f9ad-470a-92c5-120b36d6f514)) + (gr_line (start 72.008037 28.143536) (end 71.758134 28.375641) (layer "F.SilkS") (width 0.00575) (tstamp 4c4cfd7c-d9a1-4f19-94db-bcf31a486488)) + (gr_line (start 71.20128 28.672589) (end 71.192479 28.675299) (layer "F.SilkS") (width 0.00575) (tstamp 4c848dfa-dff1-4134-8d10-f8b1508b966d)) + (gr_line (start 76.200572 26.401288) (end 76.265562 26.450482) (layer "F.SilkS") (width 0.00575) (tstamp 4cbbf822-2312-412b-9feb-c41bd4036eb0)) + (gr_line (start 68.025157 25.568536) (end 67.958989 25.592895) (layer "F.SilkS") (width 0.00575) (tstamp 4cbf2f95-95dd-48e4-816c-5fb406ddf0d0)) + (gr_line (start 75.468901 24.134643) (end 75.185905 24.140448) (layer "F.SilkS") (width 0.00575) (tstamp 4cdeaa5a-cc42-4bee-b2f1-dc9ca9b18cc9)) + (gr_line (start 63.382274 14.860666) (end 63.393681 14.65022) (layer "F.SilkS") (width 0.00575) (tstamp 4ce43b68-6461-4b86-9f07-b6d847ee26c7)) + (gr_line (start 62.631389 24.930731) (end 62.630943 24.924951) (layer "F.SilkS") (width 0.00575) (tstamp 4ce821e5-9535-45c9-af34-60ae914fb87c)) + (gr_line (start 63.606474 17.579297) (end 63.649547 17.531793) (layer "F.SilkS") (width 0.00575) (tstamp 4d08e6b1-be6a-4975-97a0-232e6b26579d)) + (gr_line (start 67.059855 18.591431) (end 67.058092 18.625037) (layer "F.SilkS") (width 0.00575) (tstamp 4d1c7d0d-0ce4-457a-9ac6-71610fbdc912)) + (gr_line (start 78.249345 32.00589) (end 78.223613 32.068805) (layer "F.SilkS") (width 0.00575) (tstamp 4d482f83-abf0-45cd-8674-8e78c6c5c621)) + (gr_line (start 67.317668 16.533234) (end 67.297575 16.622046) (layer "F.SilkS") (width 0.00575) (tstamp 4d530e04-17cc-46fc-9ca8-829fa144f2cd)) + (gr_line (start 72.608616 22.570636) (end 72.490202 22.676196) (layer "F.SilkS") (width 0.00575) (tstamp 4d8f100d-388f-4f0e-af17-702e0f0cd2f7)) + (gr_line (start 70.616667 17.270642) (end 70.541569 17.301385) (layer "F.SilkS") (width 0.00575) (tstamp 4db96c43-662b-4ebb-92f6-3162501d3db6)) + (gr_line (start 72.640703 27.116345) (end 72.449565 27.51358) (layer "F.SilkS") (width 0.00575) (tstamp 4dd8fd0a-4fea-4b82-bea9-d54a1b652c10)) + (gr_line (start 82.191484 32.228815) (end 82.129613 32.235046) (layer "F.SilkS") (width 0.00575) (tstamp 4de70c9f-38f2-4788-bcb0-0ce7f5f44964)) + (gr_line (start 67.458146 23.675378) (end 67.534337 23.725976) (layer "F.SilkS") (width 0.00575) (tstamp 4dfc80c7-bfef-4275-92b9-1822645494b1)) + (gr_line (start 61.639519 20.605475) (end 61.815849 20.626196) (layer "F.SilkS") (width 0.00575) (tstamp 4e0467b2-dd33-4c11-9ce1-a487df60c49d)) + (gr_line (start 80.457237 29.995026) (end 80.590422 29.999219) (layer "F.SilkS") (width 0.00575) (tstamp 4e131576-13a2-4af2-9f52-a89f803f667d)) + (gr_line (start 68.589793 15.425131) (end 68.580485 15.425125) (layer "F.SilkS") (width 0.00575) (tstamp 4e2efb47-ff88-4c20-9201-bc1893c74031)) + (gr_line (start 78.273417 29.941388) (end 78.26298 29.987762) (layer "F.SilkS") (width 0.00575) (tstamp 4e806333-8432-4556-acc8-255d2c186610)) + (gr_line (start 63.622386 20.227624) (end 63.712047 20.362964) (layer "F.SilkS") (width 0.00575) (tstamp 4ea2df22-909c-499a-9dd6-43175dc40040)) + (gr_line (start 68.17894 25.480343) (end 68.17894 25.480375) (layer "F.SilkS") (width 0.00575) (tstamp 4ea930cd-ec8c-461a-ae2d-bdc51fcac6b6)) + (gr_line (start 65.951311 9.625235) (end 66.093815 9.665991) (layer "F.SilkS") (width 0.00575) (tstamp 4eb18fe8-dad6-4fb6-8aa1-ec39c8be555e)) + (gr_line (start 77.119597 30.713556) (end 77.112797 30.58576) (layer "F.SilkS") (width 0.00575) (tstamp 4f4c7faa-646c-4427-9d8f-ac70047ce7a9)) + (gr_line (start 77.127293 31.039838) (end 77.124449 30.868469) (layer "F.SilkS") (width 0.00575) (tstamp 4fa24d8d-2468-432d-9ba4-8b1d22aca561)) + (gr_line (start 75.090288 18.946735) (end 74.962968 18.881744) (layer "F.SilkS") (width 0.00575) (tstamp 4fb382d0-ff6b-4e65-a8cc-ac5a6d7087cb)) + (gr_line (start 70.532145 29.979401) (end 70.627244 29.722613) (layer "F.SilkS") (width 0.00575) (tstamp 4fb50a21-e205-4fb9-bff8-97f97241ea3e)) + (gr_line (start 70.321599 30.551971) (end 70.429631 30.257538) (layer "F.SilkS") (width 0.00575) (tstamp 4fe6a9e2-16d5-42fb-a9d8-7898970a2d96)) + (gr_line (start 61.167186 30.094372) (end 61.267821 29.881909) (layer "F.SilkS") (width 0.00575) (tstamp 500bf8c3-7e66-49ac-aa3a-401333e42c71)) + (gr_line (start 68.219243 13.689264) (end 68.285734 13.715826) (layer "F.SilkS") (width 0.00575) (tstamp 5012c4f0-7761-45d1-ae29-0386e62d8572)) + (gr_line (start 68.120176 11.674545) (end 68.250743 11.755795) (layer "F.SilkS") (width 0.00575) (tstamp 505d55bd-21a1-4a53-83af-c60c8b0cc220)) + (gr_line (start 74.63289 20.503949) (end 74.96942 19.986365) (layer "F.SilkS") (width 0.00575) (tstamp 50708ae9-6f5a-43d5-8ef9-eacdcced30ae)) + (gr_line (start 62.13203 22.871295) (end 62.011961 23.022223) (layer "F.SilkS") (width 0.00575) (tstamp 508de24b-ca5b-425d-a0dc-48a3cf15b888)) + (gr_line (start 65.920299 20.774335) (end 65.922844 20.731402) (layer "F.SilkS") (width 0.00575) (tstamp 5099d34d-0836-40f0-be3e-3664c912215d)) + (gr_line (start 78.969865 29.846997) (end 78.965312 29.678638) (layer "F.SilkS") (width 0.00575) (tstamp 50b7a5b6-8a41-4073-9364-84a1adc1aaa8)) + (gr_line (start 65.77166 20.738666) (end 65.781157 20.912097) (layer "F.SilkS") (width 0.00575) (tstamp 50d6a4f3-4e55-4f5a-afb0-58b276ce3d8a)) + (gr_line (start 70.43947 23.176538) (end 70.22791 23.204108) (layer "F.SilkS") (width 0.00575) (tstamp 51013976-b898-4c24-9d6f-6f0aaa72bc8f)) + (gr_line (start 76.200969 18.321863) (end 76.227983 18.221484) (layer "F.SilkS") (width 0.00575) (tstamp 51080c08-dca9-446e-a167-8f3546f779b4)) + (gr_line (start 67.017985 26.390832) (end 66.509929 26.486822) (layer "F.SilkS") (width 0.00575) (tstamp 51094918-5c15-47e6-af7a-3c5c5566f123)) + (gr_line (start 63.346086 32.247327) (end 62.794243 32.255401) (layer "F.SilkS") (width 0.00575) (tstamp 510a1af3-2ebe-4654-aa68-2a2476931cf1)) + (gr_line (start 62.011961 23.022223) (end 61.883903 23.153155) (layer "F.SilkS") (width 0.00575) (tstamp 510b57dd-6471-4f56-a00c-80cffb687e6b)) + (gr_line (start 75.070988 21.220721) (end 74.997777 21.147284) (layer "F.SilkS") (width 0.00575) (tstamp 5147e90a-dee2-47e5-ba71-1648d458f329)) + (gr_line (start 78.295897 24.51961) (end 78.197258 24.401379) (layer "F.SilkS") (width 0.00575) (tstamp 5169ddcc-7dca-4e0f-9c83-3baae92a6ea5)) + (gr_line (start 61.091502 22.124914) (end 60.917491 22.156183) (layer "F.SilkS") (width 0.00575) (tstamp 5174458f-93e5-4333-84ff-ed00e3163591)) + (gr_line (start 72.525157 16.13157) (end 72.515623 15.798245) (layer "F.SilkS") (width 0.00575) (tstamp 51884099-9f21-4667-8b87-67b2296e15ab)) + (gr_line (start 76.843211 23.895026) (end 76.84124 23.943072) (layer "F.SilkS") (width 0.00575) (tstamp 51c8b95e-d44b-4b5f-a4d3-d856a88de3bf)) + (gr_line (start 74.469926 21.512744) (end 74.553874 21.415399) (layer "F.SilkS") (width 0.00575) (tstamp 51e62163-9dd1-4e1a-beb8-e7345c877aae)) + (gr_line (start 80.179619 29.82832) (end 80.189512 29.908069) (layer "F.SilkS") (width 0.00575) (tstamp 51ed3a04-466e-430a-9da8-c3898dab9848)) + (gr_line (start 75.552678 26.33537) (end 75.551311 26.370795) (layer "F.SilkS") (width 0.00575) (tstamp 52571326-bdb4-407b-97f8-e878ffda8a19)) + (gr_line (start 82.244194 30.053955) (end 82.274968 30.068738) (layer "F.SilkS") (width 0.00575) (tstamp 526b3f52-441a-4a3a-a0a1-9297d1992fad)) + (gr_line (start 62.763249 25.72077) (end 62.931328 25.651697) (layer "F.SilkS") (width 0.00575) (tstamp 527c2d85-ae0f-4165-a658-78cdee17252e)) + (gr_line (start 80.1851 28.723596) (end 80.176909 28.800165) (layer "F.SilkS") (width 0.00575) (tstamp 52811f09-9f6d-4464-b956-c64079420c7d)) + (gr_line (start 69.343358 13.986816) (end 69.298942 13.958401) (layer "F.SilkS") (width 0.00575) (tstamp 529e64fd-f75a-4e88-8699-475eaedf5005)) + (gr_line (start 75.468968 19.755328) (end 75.184233 20.243097) (layer "F.SilkS") (width 0.00575) (tstamp 52d2425b-68b1-42bb-9a15-d28ea53f223a)) + (gr_line (start 68.182493 26.212549) (end 68.182644 26.211828) (layer "F.SilkS") (width 0.00575) (tstamp 52e6f1f2-5bd2-478d-ae36-72ec5ec1856c)) + (gr_line (start 75.331627 18.975867) (end 75.301298 19.028784) (layer "F.SilkS") (width 0.00575) (tstamp 52fa40aa-5af1-40b8-b963-4ba9f18d264e)) + (gr_line (start 62.399046 25.797577) (end 62.324321 25.874048) (layer "F.SilkS") (width 0.00575) (tstamp 5316afc7-9ec8-4652-947d-ab09f118c005)) + (gr_line (start 62.931328 25.651697) (end 63.078868 25.632306) (layer "F.SilkS") (width 0.00575) (tstamp 531e1661-ff11-4695-8372-e4b413dea88a)) + (gr_line (start 78.197258 24.401379) (end 78.134599 24.282422) (layer "F.SilkS") (width 0.00575) (tstamp 531f3f9b-a7c9-4769-adf5-518587c1e669)) + (gr_line (start 68.568834 12.345596) (end 68.627775 12.525064) (layer "F.SilkS") (width 0.00575) (tstamp 53362f83-7d8b-428e-a1f8-95546f75b338)) + (gr_line (start 70.876085 14.606167) (end 71.078551 14.859857) (layer "F.SilkS") (width 0.00575) (tstamp 533a00d2-7807-4759-9625-bf62bfaa61a5)) + (gr_line (start 65.827025 21.746905) (end 65.377305 21.347723) (layer "F.SilkS") (width 0.00575) (tstamp 5379176a-bc74-4f06-9cc6-7a29f384eeed)) + (gr_line (start 73.215153 24.720318) (end 73.200053 24.855658) (layer "F.SilkS") (width 0.00575) (tstamp 5386d169-19d2-4a14-9c83-ad056c39dff0)) + (gr_line (start 77.123466 28.801996) (end 77.118644 28.718695) (layer "F.SilkS") (width 0.00575) (tstamp 53a63a56-a019-4677-b0ff-df04dec6836c)) + (gr_line (start 78.223613 32.068805) (end 78.188786 32.116083) (layer "F.SilkS") (width 0.00575) (tstamp 53ac91d2-a327-4893-95c6-f8904de4d359)) + (gr_line (start 70.92545 28.935174) (end 70.935844 28.912433) (layer "F.SilkS") (width 0.00575) (tstamp 53c4c1c5-5033-4c97-814d-7e7848aa3a61)) + (gr_line (start 65.781157 20.912097) (end 65.82371 21.040198) (layer "F.SilkS") (width 0.00575) (tstamp 5406a73e-3c04-43da-b950-c8856552f518)) + (gr_line (start 65.908122 14.4587) (end 65.738841 14.529877) (layer "F.SilkS") (width 0.00575) (tstamp 54177252-48bc-4064-a7e4-81c295b5f681)) + (gr_line (start 73.953166 32.260522) (end 73.804326 32.261627) (layer "F.SilkS") (width 0.00575) (tstamp 543fa707-8f31-4ed1-8cf2-c2b934a810e8)) + (gr_line (start 61.481791 29.422089) (end 62.050841 28.186316) (layer "F.SilkS") (width 0.00575) (tstamp 544ef7a8-a9ce-46e2-9043-9878dbb6bb12)) + (gr_line (start 63.167753 27.199457) (end 63.003233 27.256128) (layer "F.SilkS") (width 0.00575) (tstamp 54623aad-c5a7-442b-9845-d50dfb68bbc0)) + (gr_line (start 81.890605 28.207318) (end 82.005693 28.210675) (layer "F.SilkS") (width 0.00575) (tstamp 546b2e60-a4ed-4282-b627-24d6bd546693)) + (gr_line (start 77.687706 16.83537) (end 77.589573 16.587689) (layer "F.SilkS") (width 0.00575) (tstamp 54759da4-7429-4b18-99b6-cd7ecf3d3fd6)) + (gr_line (start 67.741081 15.879449) (end 67.598045 15.795032) (layer "F.SilkS") (width 0.00575) (tstamp 54bec529-79e6-416d-85f7-dbd3cffb2d0f)) + (gr_line (start 78.161497 22.799066) (end 78.209099 22.71098) (layer "F.SilkS") (width 0.00575) (tstamp 54c216e5-ee39-4fcc-95af-eab866c531e6)) + (gr_line (start 63.473857 27.000323) (end 63.328044 27.111694) (layer "F.SilkS") (width 0.00575) (tstamp 54d3e0a8-296d-4cd8-bf5d-3ca26522d0aa)) + (gr_line (start 73.850255 20.093182) (end 73.722172 19.923425) (layer "F.SilkS") (width 0.00575) (tstamp 54db299e-2ea1-40d2-8496-c5e9ba90786e)) + (gr_line (start 68.168687 25.443603) (end 68.178935 25.480249) (layer "F.SilkS") (width 0.00575) (tstamp 54dc1631-3d27-46cc-8897-ca49b12a2e4c)) + (gr_line (start 63.450468 14.302078) (end 63.501195 14.134375) (layer "F.SilkS") (width 0.00575) (tstamp 54e91480-e79a-4d29-bb6b-d7e01d0c882a)) + (gr_line (start 76.501048 25.698871) (end 76.484489 25.872906) (layer "F.SilkS") (width 0.00575) (tstamp 5512bb6b-4310-4bb3-9f30-358c14de23e1)) + (gr_line (start 68.870756 27.40564) (end 68.594017 26.983795) (layer "F.SilkS") (width 0.00575) (tstamp 5513f8ec-efe5-4140-8650-29cd81468e5f)) + (gr_line (start 75.042326 20.74671) (end 75.310563 21.023718) (layer "F.SilkS") (width 0.00575) (tstamp 5526071c-8c50-4cd7-a9ad-17f3ba8159d8)) + (gr_line (start 68.368138 26.129028) (end 68.430461 26.108942) (layer "F.SilkS") (width 0.00575) (tstamp 55276b51-17b8-4f43-bff6-1309b966cbe3)) + (gr_line (start 63.404814 17.901709) (end 63.550126 17.653778) (layer "F.SilkS") (width 0.00575) (tstamp 553dba7e-54aa-407c-b73e-c8abc384fb55)) + (gr_line (start 75.159099 25.946155) (end 75.161809 25.795099) (layer "F.SilkS") (width 0.00575) (tstamp 5542e76a-01ab-4314-99a5-0a4a09d83202)) + (gr_line (start 68.781505 14.100201) (end 68.78463 14.099353) (layer "F.SilkS") (width 0.00575) (tstamp 5575c8f9-41d7-4d8d-a9f9-9c6113bc7db5)) + (gr_line (start 78.04431 26.046722) (end 78.041197 26.163733) (layer "F.SilkS") (width 0.00575) (tstamp 55d1d4e8-643f-43ce-a1fd-ac0ab3eed643)) + (gr_line (start 62.606474 27.292444) (end 62.417692 27.270099) (layer "F.SilkS") (width 0.00575) (tstamp 561030a4-d89c-465e-bfd5-113d2796c162)) + (gr_line (start 68.288676 22.152673) (end 68.15999 21.854803) (layer "F.SilkS") (width 0.00575) (tstamp 5614b5dc-0409-4a67-997b-3855bd6cac42)) + (gr_line (start 79.180998 23.681146) (end 79.154252 23.669794) (layer "F.SilkS") (width 0.00575) (tstamp 561f2317-d94e-4eee-a245-e95540974c23)) + (gr_line (start 79.726475 23.583813) (end 79.8093 23.610522) (layer "F.SilkS") (width 0.00575) (tstamp 568c3086-532a-4231-8e5e-0bb38a997630)) + (gr_line (start 71.078551 14.859854) (end 71.252458 15.102466) (layer "F.SilkS") (width 0.00575) (tstamp 5690507d-ec6f-4376-b39f-903ce8cf33f3)) + (gr_line (start 73.179112 22.67724) (end 72.648558 23.173627) (layer "F.SilkS") (width 0.00575) (tstamp 569bfd90-9de4-49c5-8a5a-b9bef514d66a)) + (gr_line (start 76.349504 27.182818) (end 76.14456 27.041797) (layer "F.SilkS") (width 0.00575) (tstamp 56a0619c-f35a-457c-8ccd-951bc28054a1)) + (gr_line (start 66.528569 14.561441) (end 66.325511 14.471939) (layer "F.SilkS") (width 0.00575) (tstamp 56c45ead-dbba-401b-a379-1362cc63390b)) + (gr_line (start 78.225133 23.589093) (end 78.2335 23.567334) (layer "F.SilkS") (width 0.00575) (tstamp 56c8fb9a-3108-494a-a8ad-ff2d3dd28218)) + (gr_line (start 77.810344 16.351324) (end 77.806138 16.516135) (layer "F.SilkS") (width 0.00575) (tstamp 56e7bfc9-4bac-445c-87a9-73b2acd0050a)) + (gr_line (start 68.889952 26.84751) (end 68.997923 27.01654) (layer "F.SilkS") (width 0.00575) (tstamp 57255fbc-3fbb-43d3-bc08-8e7466d231ef)) + (gr_line (start 69.71118 32.232178) (end 69.711168 32.216125) (layer "F.SilkS") (width 0.00575) (tstamp 572d0853-0060-452c-a1fb-5258db43bdd8)) + (gr_line (start 76.47509 26.406781) (end 76.468229 26.402997) (layer "F.SilkS") (width 0.00575) (tstamp 5744fbf9-adc2-4a7e-b488-1d9dbcc060dc)) + (gr_line (start 61.263121 22.140741) (end 61.091502 22.124914) (layer "F.SilkS") (width 0.00575) (tstamp 574c04f0-5200-4d66-9674-d3ecfced1f73)) + (gr_line (start 77.655729 14.115726) (end 77.516575 14.142309) (layer "F.SilkS") (width 0.00575) (tstamp 5754b3d3-bff2-4770-8582-4c62a1f27116)) + (gr_line (start 75.865623 31.878876) (end 76.469029 31.869769) (layer "F.SilkS") (width 0.00575) (tstamp 57604e4f-b2e9-48f3-8890-7f51188303a8)) + (gr_poly + (pts + (xy 77.374858 25.317737) + (xy 77.374854 25.317888) + (xy 77.374843 25.318037) + (xy 77.374825 25.318184) + (xy 77.374801 25.318329) + (xy 77.374769 25.318471) + (xy 77.374731 25.318611) + (xy 77.374687 25.318747) + (xy 77.374636 25.31888) + (xy 77.37458 25.31901) + (xy 77.374517 25.319137) + (xy 77.374449 25.31926) + (xy 77.374376 25.319379) + (xy 77.374297 25.319494) + (xy 77.374214 25.319605) + (xy 77.374125 25.319712) + (xy 77.374032 25.319813) + (xy 77.373934 25.319911) + (xy 77.373831 25.320003) + (xy 77.373725 25.32009) + (xy 77.373614 25.320172) + (xy 77.3735 25.320248) + (xy 77.373382 25.320319) + (xy 77.37326 25.320383) + (xy 77.373135 25.320442) + (xy 77.373007 25.320495) + (xy 77.372876 25.320541) + (xy 77.372742 25.32058) + (xy 77.372606 25.320613) + (xy 77.372467 25.320639) + (xy 77.372326 25.320657) + (xy 77.372183 25.320669) + (xy 77.372038 25.320672) + (xy 77.371893 25.320669) + (xy 77.37175 25.320657) + (xy 77.371609 25.320639) + (xy 77.37147 25.320613) + (xy 77.371333 25.32058) + (xy 77.371199 25.320541) + (xy 77.371068 25.320495) + (xy 77.37094 25.320442) + (xy 77.370815 25.320383) + (xy 77.370693 25.320319) + (xy 77.370575 25.320248) + (xy 77.37046 25.320172) + (xy 77.370349 25.32009) + (xy 77.370242 25.320003) + (xy 77.37014 25.319911) + (xy 77.370041 25.319813) + (xy 77.369948 25.319712) + (xy 77.369859 25.319605) + (xy 77.369775 25.319494) + (xy 77.369696 25.319379) + (xy 77.369622 25.31926) + (xy 77.369554 25.319137) + (xy 77.369492 25.31901) + (xy 77.369435 25.31888) + (xy 77.369384 25.318747) + (xy 77.36934 25.318611) + (xy 77.369301 25.318471) + (xy 77.36927 25.318329) + (xy 77.369245 25.318184) + (xy 77.369227 25.318037) + (xy 77.369216 25.317888) + (xy 77.369212 25.317737) + (xy 77.369216 25.317587) + (xy 77.369227 25.317438) + (xy 77.369245 25.317292) + (xy 77.36927 25.317148) + (xy 77.369301 25.317007) + (xy 77.36934 25.316869) + (xy 77.369384 25.316733) + (xy 77.369435 25.3166) + (xy 77.369492 25.316471) + (xy 77.369554 25.316345) + (xy 77.369622 25.316222) + (xy 77.369696 25.316104) + (xy 77.369775 25.315989) + (xy 77.369859 25.315878) + (xy 77.369948 25.315772) + (xy 77.370041 25.315671) + (xy 77.37014 25.315574) + (xy 77.370242 25.315482) + (xy 77.370349 25.315395) + (xy 77.37046 25.315313) + (xy 77.370575 25.315237) + (xy 77.370693 25.315167) + (xy 77.370815 25.315102) + (xy 77.37094 25.315043) + (xy 77.371068 25.314991) + (xy 77.371199 25.314945) + (xy 77.371333 25.314905) + (xy 77.37147 25.314873) + (xy 77.371609 25.314847) + (xy 77.37175 25.314828) + (xy 77.371893 25.314817) + (xy 77.372038 25.314813) + (xy 77.372183 25.314817) + (xy 77.372326 25.314828) + (xy 77.372467 25.314847) + (xy 77.372606 25.314873) + (xy 77.372742 25.314905) + (xy 77.372876 25.314945) + (xy 77.373007 25.314991) + (xy 77.373135 25.315043) + (xy 77.37326 25.315102) + (xy 77.373382 25.315167) + (xy 77.3735 25.315237) + (xy 77.373614 25.315313) + (xy 77.373725 25.315395) + (xy 77.373831 25.315482) + (xy 77.373934 25.315574) + (xy 77.374032 25.315671) + (xy 77.374125 25.315772) + (xy 77.374214 25.315878) + (xy 77.374297 25.315989) + (xy 77.374376 25.316104) + (xy 77.374449 25.316222) + (xy 77.374517 25.316345) + (xy 77.37458 25.316471) + (xy 77.374636 25.3166) + (xy 77.374687 25.316733) + (xy 77.374731 25.316869) + (xy 77.374769 25.317007) + (xy 77.374801 25.317148) + (xy 77.374825 25.317292) + (xy 77.374843 25.317438) + (xy 77.374854 25.317587) + (xy 77.374858 25.317737) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 577df94c-2840-411a-aba6-313b249d682e)) + (gr_line (start 70.709959 17.223443) (end 70.616667 17.270642) (layer "F.SilkS") (width 0.00575) (tstamp 57964612-46ae-46fb-a057-057a811f7786)) + (gr_line (start 75.300669 19.029457) (end 75.300462 19.029681) (layer "F.SilkS") (width 0.00575) (tstamp 57b5079b-c54d-498b-9f40-3a551bd3c060)) + (gr_line (start 72.910972 24.647992) (end 72.924266 24.514441) (layer "F.SilkS") (width 0.00575) (tstamp 57cb20a8-0e30-4c77-bfa7-076cf8e11ec8)) + (gr_line (start 73.577073 32.262195) (end 72.980736 32.262195) (layer "F.SilkS") (width 0.00575) (tstamp 580f4643-05ed-4120-846e-49039721c1da)) + (gr_line (start 76.123472 24.265021) (end 76.068486 24.160522) (layer "F.SilkS") (width 0.00575) (tstamp 5823c05c-1b84-4462-b423-7b281f778cba)) + (gr_line (start 63.461033 19.954852) (end 63.465666 19.966345) (layer "F.SilkS") (width 0.00575) (tstamp 585edffc-e0e5-4be6-89f9-a5dff608385a)) + (gr_line (start 79.071318 22.383868) (end 79.299827 22.283838) (layer "F.SilkS") (width 0.00575) (tstamp 58a5336d-4374-4878-ae7d-e042ac30e1e7)) + (gr_line (start 82.50432 31.582049) (end 82.502214 31.726025) (layer "F.SilkS") (width 0.00575) (tstamp 592a76dc-a349-4f89-9193-160a1b46a678)) + (gr_line (start 75.161809 25.795099) (end 75.201188 25.615356) (layer "F.SilkS") (width 0.00575) (tstamp 59397d93-cd1d-41c3-992f-687297bb7e0d)) + (gr_line (start 64.697001 21.570019) (end 65.078215 21.93363) (layer "F.SilkS") (width 0.00575) (tstamp 598885fa-9d13-4c9e-8a2a-780435ea8e26)) + (gr_line (start 73.871153 19.760614) (end 74.021702 19.873749) (layer "F.SilkS") (width 0.00575) (tstamp 59927a15-97e7-4185-9e4f-95c6fadcccac)) + (gr_line (start 68.178937 25.480281) (end 68.178939 25.480312) (layer "F.SilkS") (width 0.00575) (tstamp 59b4028b-3c04-4d11-bf49-05dc111e6b9d)) + (gr_line (start 70.131142 31.071988) (end 70.131233 31.071743) (layer "F.SilkS") (width 0.00575) (tstamp 59bce964-ec85-4c80-8e82-180daeaa1ce6)) + (gr_line (start 64.617204 10.345969) (end 64.743883 10.365216) (layer "F.SilkS") (width 0.00575) (tstamp 59f2e1a9-6f39-4bdf-afb6-6fbb1883abe8)) + (gr_line (start 66.226518 9.708194) (end 66.33336 9.74649) (layer "F.SilkS") (width 0.00575) (tstamp 59f403c1-48b8-4fbe-9509-054aee591be3)) + (gr_line (start 65.492802 16.244333) (end 65.576616 16.441299) (layer "F.SilkS") (width 0.00575) (tstamp 5a0fbe24-9a95-43de-b8c0-3beb3362ff6a)) + (gr_line (start 74.011479 20.632574) (end 74.056151 20.697327) (layer "F.SilkS") (width 0.00575) (tstamp 5a2c6655-f466-4de4-bc50-7db9daf0bd54)) + (gr_line (start 66.359727 10.587201) (end 66.313072 10.443014) (layer "F.SilkS") (width 0.00575) (tstamp 5a36def9-b8de-492b-bb71-69a8d40e8c7f)) + (gr_line (start 64.311247 20.39151) (end 64.606108 20.760101) (layer "F.SilkS") (width 0.00575) (tstamp 5a54662f-fa52-4f6d-8843-df6aa08ef084)) + (gr_line (start 70.036992 28.519092) (end 69.737462 28.327789) (layer "F.SilkS") (width 0.00575) (tstamp 5a67616d-1c3e-4ee9-bdd1-29ef28844b3a)) + (gr_line (start 69.980382 14.4478) (end 69.883391 14.619522) (layer "F.SilkS") (width 0.00575) (tstamp 5a67ebbf-cc12-4a35-bbcd-454b7c663fe0)) + (gr_line (start 74.165165 32.23125) (end 74.173399 32.254761) (layer "F.SilkS") (width 0.00575) (tstamp 5a7baefb-ff66-4670-b809-aed1a5edf9ca)) + (gr_line (start 61.078453 30.278943) (end 61.167186 30.094372) (layer "F.SilkS") (width 0.00575) (tstamp 5a7f8d2c-d63b-403c-bb5a-c9d1aac1ce49)) + (gr_line (start 65.937486 22.650745) (end 66.401756 22.992609) (layer "F.SilkS") (width 0.00575) (tstamp 5a863943-5a78-4654-ab0f-b199aa09497c)) + (gr_line (start 65.110008 9.224704) (end 65.130784 9.230664) (layer "F.SilkS") (width 0.00575) (tstamp 5a8dd070-c79c-4cc5-9b6f-11744beb8f89)) + (gr_line (start 61.54749 24.869427) (end 61.701835 24.661981) (layer "F.SilkS") (width 0.00575) (tstamp 5a9ad4b1-dcae-4a58-b826-ef622f5a9ca8)) + (gr_line (start 82.483879 31.961334) (end 82.472148 31.998529) (layer "F.SilkS") (width 0.00575) (tstamp 5a9b6917-c57c-478f-8525-4fc2f866dc80)) + (gr_line (start 74.473332 18.606415) (end 74.108391 18.380157) (layer "F.SilkS") (width 0.00575) (tstamp 5aaf54cc-c09a-4646-88f9-87ccbb582b49)) + (gr_line (start 73.587529 22.491974) (end 73.788774 22.279455) (layer "F.SilkS") (width 0.00575) (tstamp 5ac895fc-78d8-4389-a5a2-adf8a19c9bbd)) + (gr_line (start 75.594401 25.918103) (end 75.568144 26.102167) (layer "F.SilkS") (width 0.00575) (tstamp 5af59f42-c53a-4a77-bf9e-6fb55bc50f20)) + (gr_line (start 66.830913 26.094226) (end 67.382878 25.953607) (layer "F.SilkS") (width 0.00575) (tstamp 5b2a8d3e-c467-4be9-8b11-47695280ce74)) + (gr_line (start 64.913719 10.38472) (end 64.930839 10.386511) (layer "F.SilkS") (width 0.00575) (tstamp 5b2fb45a-93fe-490c-9793-3a13ef37aacb)) + (gr_line (start 74.820775 25.74881) (end 74.770079 25.845441) (layer "F.SilkS") (width 0.00575) (tstamp 5b52b8f0-fa2c-4ba6-9bcb-11f36b03efe4)) + (gr_line (start 73.672227 15.960654) (end 73.732951 16.223379) (layer "F.SilkS") (width 0.00575) (tstamp 5b7e7110-3636-49f8-9065-3859b769ba80)) + (gr_line (start 67.875023 14.833249) (end 67.725535 14.74711) (layer "F.SilkS") (width 0.00575) (tstamp 5b884d86-d4b6-4b09-b583-07bc6ad28d87)) + (gr_line (start 80.189512 29.908069) (end 80.202873 29.948755) (layer "F.SilkS") (width 0.00575) (tstamp 5b8d6dc2-f929-48a0-951a-3e23b563fdf1)) + (gr_line (start 77.1041 30.495752) (end 77.093547 30.454211) (layer "F.SilkS") (width 0.00575) (tstamp 5b98a010-8b8c-4b75-a3e7-34e90a53de6f)) + (gr_line (start 61.511155 24.932696) (end 61.54749 24.869427) (layer "F.SilkS") (width 0.00575) (tstamp 5b9b64f7-5eba-48c2-b922-37322d096b9d)) + (gr_line (start 67.930613 21.61925) (end 67.954802 21.725867) (layer "F.SilkS") (width 0.00575) (tstamp 5bb2f273-dcb1-4131-8d44-371f164ab49d)) + (gr_line (start 76.153496 18.503302) (end 76.168779 18.44588) (layer "F.SilkS") (width 0.00575) (tstamp 5bc8cb77-9053-4d0c-8cd2-a260caff0d7d)) + (gr_line (start 71.901005 20.163745) (end 71.717509 19.861786) (layer "F.SilkS") (width 0.00575) (tstamp 5c41d8ef-25a6-433c-84bd-dddaf2b2150d)) + (gr_line (start 67.4338 14.376037) (end 67.410655 14.303372) (layer "F.SilkS") (width 0.00575) (tstamp 5c555a33-fd94-4f2e-ba63-9dd22be1f1de)) + (gr_line (start 77.836888 13.960806) (end 78.031077 14.032361) (layer "F.SilkS") (width 0.00575) (tstamp 5c6a5736-d847-4e85-988a-6faddf4d81a8)) + (gr_line (start 61.357738 25.339892) (end 61.375786 25.260376) (layer "F.SilkS") (width 0.00575) (tstamp 5c86bc2b-736d-466b-aefb-1ba340cf9b3c)) + (gr_line (start 66.681895 20.482617) (end 66.794084 20.203235) (layer "F.SilkS") (width 0.00575) (tstamp 5c8a0d0d-16be-4092-9f8a-72a94487658e)) + (gr_line (start 63.998887 20.772925) (end 64.065831 20.861798) (layer "F.SilkS") (width 0.00575) (tstamp 5ca2b751-ead8-4ae7-9a90-597edc0bc993)) + (gr_line (start 75.1916 21.317676) (end 75.142644 21.284027) (layer "F.SilkS") (width 0.00575) (tstamp 5ccf193b-1096-4bfe-843c-0a36293d6c4c)) + (gr_line (start 74.138011 32.156262) (end 74.165165 32.23125) (layer "F.SilkS") (width 0.00575) (tstamp 5cd2c641-9219-4ecf-8a99-e9ad548e97f6)) + (gr_line (start 68.899009 15.647378) (end 68.831242 15.716482) (layer "F.SilkS") (width 0.00575) (tstamp 5ced963c-dd40-4ccc-b792-967ec5737a99)) + (gr_line (start 71.350285 30.75274) (end 71.253221 31.072479) (layer "F.SilkS") (width 0.00575) (tstamp 5d17496d-8fc3-4355-ba63-78e80db805b6)) + (gr_line (start 72.049528 17.457428) (end 71.989457 17.288867) (layer "F.SilkS") (width 0.00575) (tstamp 5d2c17e1-0477-4e65-bacf-c63c433fd074)) + (gr_line (start 63.332573 20.191815) (end 63.381633 20.075488) (layer "F.SilkS") (width 0.00575) (tstamp 5d3fe9c3-3589-4bf3-9b64-5f932f543ed4)) + (gr_line (start 72.906437 24.686981) (end 72.910972 24.647992) (layer "F.SilkS") (width 0.00575) (tstamp 5d491e88-8150-419c-9f7a-901d6b9aaa16)) + (gr_line (start 73.913389 25.254632) (end 73.951384 25.254864) (layer "F.SilkS") (width 0.00575) (tstamp 5de70345-39ac-4a6d-aaae-cd04601f5bc8)) + (gr_line (start 72.495524 25.444348) (end 71.986265 25.34068) (layer "F.SilkS") (width 0.00575) (tstamp 5dfd1e70-9b84-4a08-ac8e-b6eb1cee1aa0)) + (gr_line (start 73.212352 22.874286) (end 73.391795 22.694061) (layer "F.SilkS") (width 0.00575) (tstamp 5dfefc5e-f1ce-4b02-a59d-3509e4330d21)) + (gr_line (start 74.432695 20.514972) (end 74.397947 20.562305) (layer "F.SilkS") (width 0.00575) (tstamp 5e0070d1-37a3-4648-aaa6-e4a02746ae0b)) + (gr_line (start 68.325285 13.740698) (end 68.391948 13.805069) (layer "F.SilkS") (width 0.00575) (tstamp 5e305aba-7562-45d2-84d0-4c0924c029f4)) + (gr_line (start 66.649876 26.127856) (end 66.830913 26.094226) (layer "F.SilkS") (width 0.00575) (tstamp 5e46537d-5846-48e1-aeb7-0b2e17e9fc0f)) + (gr_line (start 82.157915 28.221234) (end 82.204936 28.228815) (layer "F.SilkS") (width 0.00575) (tstamp 5e5ee230-e836-42ac-9f31-da13aaa576e5)) + (gr_line (start 67.909581 17.326691) (end 67.28109 17.209613) (layer "F.SilkS") (width 0.00575) (tstamp 5e89032e-cdaf-4f3f-b61c-dbf75abe0655)) + (gr_line (start 67.51992 24.527502) (end 67.490782 24.434833) (layer "F.SilkS") (width 0.00575) (tstamp 5e983f7c-7f91-4f03-bfdb-182803ec08d9)) + (gr_line (start 77.613359 27.054059) (end 77.418052 27.186255) (layer "F.SilkS") (width 0.00575) (tstamp 5e9d3b88-d2c0-4b0e-bd4c-14ff179c6c43)) + (gr_line (start 63.795982 20.205182) (end 63.584147 19.834265) (layer "F.SilkS") (width 0.00575) (tstamp 5e9e8586-3ec6-41e8-937d-15b6c8b0c7f3)) + (gr_line (start 82.505394 31.041644) (end 82.505424 31.152087) (layer "F.SilkS") (width 0.00575) (tstamp 5ea1d46d-b791-4f3a-b1d8-333cd844a67c)) + (gr_line (start 63.798381 17.36864) (end 63.724876 17.073401) (layer "F.SilkS") (width 0.00575) (tstamp 5ea649f0-fdb9-43c8-836e-81f193d34b7a)) + (gr_line (start 72.526158 31.062384) (end 72.585155 31.057599) (layer "F.SilkS") (width 0.00575) (tstamp 5ec51d1c-2775-4e10-9c6e-18b0392efef4)) + (gr_line (start 68.009776 13.673157) (end 68.13358 13.676391) (layer "F.SilkS") (width 0.00575) (tstamp 5ed1ee0e-5f4a-4558-9ec6-0127130a71cf)) + (gr_line (start 72.585155 31.057599) (end 72.595915 31.05437) (layer "F.SilkS") (width 0.00575) (tstamp 5eda61cf-0c79-432d-897e-ca82e446a836)) + (gr_poly + (pts + (xy 63.683885 17.556238) + (xy 63.683881 17.556388) + (xy 63.68387 17.556537) + (xy 63.683852 17.556684) + (xy 63.683828 17.556828) + (xy 63.683796 17.55697) + (xy 63.683758 17.557109) + (xy 63.683714 17.557245) + (xy 63.683663 17.557378) + (xy 63.683607 17.557508) + (xy 63.683544 17.557634) + (xy 63.683477 17.557757) + (xy 63.683403 17.557875) + (xy 63.683324 17.55799) + (xy 63.683241 17.558101) + (xy 63.683152 17.558207) + (xy 63.683059 17.558309) + (xy 63.682961 17.558406) + (xy 63.682858 17.558498) + (xy 63.682752 17.558585) + (xy 63.682641 17.558667) + (xy 63.682527 17.558743) + (xy 63.682409 17.558814) + (xy 63.682287 17.558878) + (xy 63.682162 17.558937) + (xy 63.682034 17.55899) + (xy 63.681903 17.559036) + (xy 63.68177 17.559075) + (xy 63.681633 17.559108) + (xy 63.681494 17.559134) + (xy 63.681353 17.559152) + (xy 63.68121 17.559164) + (xy 63.681065 17.559167) + (xy 63.68092 17.559164) + (xy 63.680776 17.559152) + (xy 63.680634 17.559134) + (xy 63.680495 17.559108) + (xy 63.680358 17.559075) + (xy 63.680224 17.559036) + (xy 63.680093 17.55899) + (xy 63.679964 17.558937) + (xy 63.679839 17.558878) + (xy 63.679717 17.558814) + (xy 63.679599 17.558743) + (xy 63.679484 17.558667) + (xy 63.679374 17.558585) + (xy 63.679267 17.558498) + (xy 63.679164 17.558406) + (xy 63.679066 17.558309) + (xy 63.678973 17.558207) + (xy 63.678884 17.558101) + (xy 63.6788 17.55799) + (xy 63.678721 17.557875) + (xy 63.678648 17.557757) + (xy 63.67858 17.557634) + (xy 63.678518 17.557508) + (xy 63.678461 17.557378) + (xy 63.67841 17.557245) + (xy 63.678366 17.557109) + (xy 63.678328 17.55697) + (xy 63.678297 17.556828) + (xy 63.678272 17.556684) + (xy 63.678254 17.556537) + (xy 63.678243 17.556388) + (xy 63.678239 17.556238) + (xy 63.678243 17.556087) + (xy 63.678254 17.555938) + (xy 63.678272 17.555792) + (xy 63.678297 17.555648) + (xy 63.678328 17.555506) + (xy 63.678366 17.555367) + (xy 63.67841 17.555231) + (xy 63.678461 17.555099) + (xy 63.678518 17.554969) + (xy 63.67858 17.554843) + (xy 63.678648 17.55472) + (xy 63.678721 17.554602) + (xy 63.6788 17.554487) + (xy 63.678884 17.554377) + (xy 63.678973 17.554271) + (xy 63.679066 17.554169) + (xy 63.679164 17.554073) + (xy 63.679267 17.553981) + (xy 63.679374 17.553894) + (xy 63.679484 17.553813) + (xy 63.679599 17.553737) + (xy 63.679717 17.553666) + (xy 63.679839 17.553602) + (xy 63.679964 17.553543) + (xy 63.680093 17.553491) + (xy 63.680224 17.553445) + (xy 63.680358 17.553406) + (xy 63.680495 17.553373) + (xy 63.680634 17.553348) + (xy 63.680776 17.553329) + (xy 63.68092 17.553318) + (xy 63.681065 17.553314) + (xy 63.68121 17.553318) + (xy 63.681353 17.553329) + (xy 63.681494 17.553348) + (xy 63.681633 17.553373) + (xy 63.68177 17.553406) + (xy 63.681903 17.553445) + (xy 63.682034 17.553491) + (xy 63.682162 17.553543) + (xy 63.682287 17.553602) + (xy 63.682409 17.553666) + (xy 63.682527 17.553737) + (xy 63.682641 17.553813) + (xy 63.682752 17.553894) + (xy 63.682858 17.553981) + (xy 63.682961 17.554073) + (xy 63.683059 17.554169) + (xy 63.683152 17.554271) + (xy 63.683241 17.554377) + (xy 63.683324 17.554487) + (xy 63.683403 17.554602) + (xy 63.683477 17.55472) + (xy 63.683544 17.554843) + (xy 63.683607 17.554969) + (xy 63.683663 17.555099) + (xy 63.683714 17.555231) + (xy 63.683758 17.555367) + (xy 63.683796 17.555506) + (xy 63.683828 17.555648) + (xy 63.683852 17.555792) + (xy 63.68387 17.555938) + (xy 63.683881 17.556087) + (xy 63.683885 17.556238) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 5f02d969-cd61-4c05-8abc-2a096f470c03)) + (gr_line (start 63.65012 16.793765) (end 63.574254 16.513235) (layer "F.SilkS") (width 0.00575) (tstamp 5f033248-0494-4341-8124-2c89d04801b7)) + (gr_line (start 74.591234 25.292786) (end 74.57755 25.344073) (layer "F.SilkS") (width 0.00575) (tstamp 5f2e6607-7b76-4d2b-8eab-f8997cc14182)) + (gr_line (start 72.449559 27.51358) (end 72.238591 27.856079) (layer "F.SilkS") (width 0.00575) (tstamp 5f2feb2f-effc-4465-8c94-826a86b6cde6)) + (gr_line (start 70.60106 17.525311) (end 70.863463 17.588202) (layer "F.SilkS") (width 0.00575) (tstamp 5f8d13f7-b63c-48f5-ad4e-f0300e96aab1)) + (gr_line (start 69.363591 19.092224) (end 69.057811 19.223187) (layer "F.SilkS") (width 0.00575) (tstamp 5f8d932a-7a1b-477f-8a08-2199a3f25848)) + (gr_line (start 78.985661 28.543005) (end 79.004283 28.453284) (layer "F.SilkS") (width 0.00575) (tstamp 5fa09675-7786-45d6-932c-de1dcac6d180)) + (gr_line (start 63.573924 26.876868) (end 63.473857 27.000323) (layer "F.SilkS") (width 0.00575) (tstamp 5fae4d05-80e3-4b2d-af81-f76bc49721d3)) + (gr_line (start 63.992839 13.224377) (end 64.257231 12.938116) (layer "F.SilkS") (width 0.00575) (tstamp 5fdf6449-b028-4198-a355-2f768ec6f37a)) + (gr_line (start 75.616551 13.958124) (end 75.78798 14.011838) (layer "F.SilkS") (width 0.00575) (tstamp 5fe2f091-2b48-4793-ba37-a6dc31ad6d7b)) + (gr_line (start 68.061235 15.975668) (end 67.965593 15.966312) (layer "F.SilkS") (width 0.00575) (tstamp 5ffaa5ec-381e-49bf-b810-250777152bef)) + (gr_line (start 67.297575 16.622046) (end 67.289824 16.666604) (layer "F.SilkS") (width 0.00575) (tstamp 601df02a-d0b0-401e-b347-d26024bba694)) + (gr_line (start 62.555559 21.965088) (end 62.64293 22) (layer "F.SilkS") (width 0.00575) (tstamp 604aa277-34ca-4303-a6ad-d2d8a1017a48)) + (gr_line (start 69.713249 32.21015) (end 69.726927 32.173425) (layer "F.SilkS") (width 0.00575) (tstamp 606cfe02-c8e4-424a-8187-12bc3b2b4bd3)) + (gr_line (start 69.823375 24.647308) (end 69.820537 24.647455) (layer "F.SilkS") (width 0.00575) (tstamp 607ea1b1-e26e-4b74-b94b-e39f62d2adda)) + (gr_line (start 80.176909 28.800165) (end 80.171672 28.910718) (layer "F.SilkS") (width 0.00575) (tstamp 609435c7-07fe-4c09-8019-6320860bc8bb)) + (gr_line (start 74.021702 19.873749) (end 74.163457 19.982709) (layer "F.SilkS") (width 0.00575) (tstamp 609da5ae-44e1-46a9-b36d-7060b09712e1)) + (gr_line (start 67.104448 16.10907) (end 67.141014 15.976221) (layer "F.SilkS") (width 0.00575) (tstamp 60c46d28-03d5-4257-b772-1d89e3128f2a)) + (gr_line (start 75.445555 21.496295) (end 75.661265 21.481952) (layer "F.SilkS") (width 0.00575) (tstamp 60d17473-d1a6-4347-9386-9ed8303df16a)) + (gr_line (start 68.508787 17.476642) (end 67.909581 17.326691) (layer "F.SilkS") (width 0.00575) (tstamp 60ded403-4816-40ba-b923-781acf851217)) + (gr_line (start 67.916746 20.944122) (end 67.907097 21.197803) (layer "F.SilkS") (width 0.00575) (tstamp 60e7a6a2-f0f8-485a-aac9-68a0a863dd8c)) + (gr_line (start 70.474815 28.338647) (end 70.729973 28.389874) (layer "F.SilkS") (width 0.00575) (tstamp 60f10947-fd6c-4863-9e00-c304d72dd5c9)) + (gr_line (start 75.841392 25.515393) (end 75.778905 25.55849) (layer "F.SilkS") (width 0.00575) (tstamp 610a32e1-fc59-425e-b7a0-10aa337852da)) + (gr_line (start 66.378966 21.014258) (end 66.477183 20.884222) (layer "F.SilkS") (width 0.00575) (tstamp 612b71b9-8671-45cd-8fd2-aadfb4d58114)) + (gr_line (start 65.774028 26.542908) (end 65.690819 26.534283) (layer "F.SilkS") (width 0.00575) (tstamp 61378ba9-c58c-4138-902d-8eae7fefe48b)) + (gr_line (start 71.988853 21.235602) (end 71.952488 21.564123) (layer "F.SilkS") (width 0.00575) (tstamp 615b1e34-1f6c-45b3-9c44-bda8f89b7f79)) + (gr_line (start 74.372789 21.628357) (end 74.469926 21.512744) (layer "F.SilkS") (width 0.00575) (tstamp 61706d0a-361a-4170-91d1-3eda117bd6b3)) + (gr_line (start 67.233494 15.68862) (end 67.354869 15.803519) (layer "F.SilkS") (width 0.00575) (tstamp 617c98d8-420a-4382-a7ba-e4b4fbb08aa7)) + (gr_line (start 70.787645 29.293213) (end 70.849174 29.130688) (layer "F.SilkS") (width 0.00575) (tstamp 61b0a279-443a-4805-a4a6-91986c78d51f)) + (gr_line (start 62.359623 21.585596) (end 62.381285 21.701019) (layer "F.SilkS") (width 0.00575) (tstamp 61d58c19-0483-4a96-a2f5-dbf7e8284d0b)) + (gr_line (start 68.683378 17.522363) (end 68.584001 17.497919) (layer "F.SilkS") (width 0.00575) (tstamp 61e5bbc4-c258-4f2f-aae1-9a34f1d06119)) + (gr_line (start 66.157042 18.980328) (end 66.132609 19.181921) (layer "F.SilkS") (width 0.00575) (tstamp 6211ca70-79d3-4cd3-8f67-9f6094aa73df)) + (gr_line (start 62.269505 26.282764) (end 62.308836 26.205481) (layer "F.SilkS") (width 0.00575) (tstamp 6211ec72-cf8c-4c2c-ad90-7039474fe6a3)) + (gr_line (start 72.994426 23.586224) (end 73.023869 23.5755) (layer "F.SilkS") (width 0.00575) (tstamp 62165bc0-f688-4e2e-9290-fc78c7e911a7)) + (gr_line (start 71.896434 17.026813) (end 71.865947 16.936877) (layer "F.SilkS") (width 0.00575) (tstamp 62230d56-7cb5-4111-9a84-1c2dcf806c31)) + (gr_line (start 63.39171 15.597092) (end 63.382738 15.43808) (layer "F.SilkS") (width 0.00575) (tstamp 628167a2-0228-4a7d-a53e-8fc17fd1853a)) + (gr_line (start 67.210332 11.265933) (end 67.296727 11.336447) (layer "F.SilkS") (width 0.00575) (tstamp 62c3b2ba-8604-436b-a2e4-38521138b345)) + (gr_line (start 71.053331 22.916675) (end 70.760307 23.075336) (layer "F.SilkS") (width 0.00575) (tstamp 630727e2-b6e9-4690-95ec-8fd45baf8fdd)) + (gr_line (start 74.739085 25.895563) (end 74.720939 25.905414) (layer "F.SilkS") (width 0.00575) (tstamp 630b0b07-4dfd-4f54-809f-d2ca3fe31fe4)) + (gr_line (start 63.3226 25.524866) (end 63.284898 25.509228) (layer "F.SilkS") (width 0.00575) (tstamp 6346e513-b318-4188-8dbc-b46646baf431)) + (gr_line (start 68.36544 22.606824) (end 68.361986 22.653436) (layer "F.SilkS") (width 0.00575) (tstamp 635b698d-7d57-4912-a2ab-10f75510cb27)) + (gr_line (start 61.343339 23.26543) (end 61.379814 23.239819) (layer "F.SilkS") (width 0.00575) (tstamp 6372f224-47b4-40e3-9bae-da7ea64ffbea)) + (gr_line (start 68.178941 25.480505) (end 68.155174 25.502942) (layer "F.SilkS") (width 0.00575) (tstamp 6393608c-96f4-4dad-a3e2-a3ac97239cd3)) + (gr_line (start 75.19174 17.682086) (end 75.34218 17.750256) (layer "F.SilkS") (width 0.00575) (tstamp 63aad061-46e0-4418-b6c3-6ea37acdfe80)) + (gr_line (start 78.576433 24.519141) (end 78.67072 24.65542) (layer "F.SilkS") (width 0.00575) (tstamp 63aad51b-8c09-48a7-a512-31f1d863c185)) + (gr_line (start 68.272032 22.804388) (end 68.204735 22.902215) (layer "F.SilkS") (width 0.00575) (tstamp 63cc9862-b7db-4ba4-85da-5955df4513f1)) + (gr_line (start 64.368187 25.524988) (end 64.37711 25.522644) (layer "F.SilkS") (width 0.00575) (tstamp 63eaf36d-377d-467c-bdae-1d1c9e16c3ef)) + (gr_line (start 63.855937 17.628259) (end 63.798381 17.36864) (layer "F.SilkS") (width 0.00575) (tstamp 63f52c07-8526-42c1-b3d9-22ebcecd3ec0)) + (gr_line (start 65.177373 9.381232) (end 65.133812 9.294086) (layer "F.SilkS") (width 0.00575) (tstamp 63fd309a-4b9a-40b6-8c92-b950f668cc32)) + (gr_line (start 75.778905 25.55849) (end 75.76038 25.574933) (layer "F.SilkS") (width 0.00575) (tstamp 6405ec15-f09f-43b5-ab27-baeb0eb15160)) + (gr_line (start 76.10833 26.013953) (end 76.069957 25.913727) (layer "F.SilkS") (width 0.00575) (tstamp 640d5bce-149d-4c20-8b65-64e8dad838b2)) + (gr_line (start 78.975487 23.849158) (end 79.001298 23.947595) (layer "F.SilkS") (width 0.00575) (tstamp 6429b387-50e8-4cee-b7ef-72eaf0a3e60c)) + (gr_line (start 62.067637 25.850928) (end 62.09658 26.047558) (layer "F.SilkS") (width 0.00575) (tstamp 643b70d1-027d-4487-8827-8d9ba0201549)) + (gr_line (start 82.005693 28.210675) (end 82.093144 28.215253) (layer "F.SilkS") (width 0.00575) (tstamp 644b1b0a-058b-4e7d-b377-0fc415c17a30)) + (gr_line (start 67.655986 23.954059) (end 67.674772 23.966174) (layer "F.SilkS") (width 0.00575) (tstamp 647cf53b-3aab-4645-aec0-4eff7eca1a8c)) + (gr_line (start 67.031682 16.842892) (end 67.409349 16.901709) (layer "F.SilkS") (width 0.00575) (tstamp 64b0ef4b-1d03-4337-bcca-dc7e65b6bb14)) + (gr_line (start 61.375786 25.260376) (end 61.410777 25.156616) (layer "F.SilkS") (width 0.00575) (tstamp 64bd8617-91df-429b-9d60-9a7a0345c6fa)) + (gr_line (start 65.110008 24.78136) (end 64.902989 24.742572) (layer "F.SilkS") (width 0.00575) (tstamp 64c6f662-fde4-49b5-845b-45722a722577)) + (gr_line (start 78.963841 23.749597) (end 78.97548 23.849158) (layer "F.SilkS") (width 0.00575) (tstamp 64cc8446-d226-4fbf-a9ee-17f814a40291)) + (gr_line (start 75.931505 16.729883) (end 76.047691 17.009869) (layer "F.SilkS") (width 0.00575) (tstamp 64fe480b-664a-48cc-a310-aa546a2b4c48)) + (gr_line (start 67.055436 10.861328) (end 67.073088 10.944321) (layer "F.SilkS") (width 0.00575) (tstamp 6511df63-b371-460e-8c79-2b8cb07d014c)) + (gr_line (start 67.848887 14.999081) (end 67.908934 15.010983) (layer "F.SilkS") (width 0.00575) (tstamp 6512d513-f319-4119-a0d5-6c595c4ba378)) + (gr_line (start 62.285801 21.100183) (end 62.327775 21.305817) (layer "F.SilkS") (width 0.00575) (tstamp 6515b409-4ebf-4dff-81da-4265fee81eb1)) + (gr_line (start 75.974235 26.861175) (end 75.844218 26.648028) (layer "F.SilkS") (width 0.00575) (tstamp 656046c6-7c59-4356-8cd0-f85623ceccac)) + (gr_line (start 74.737431 25.383386) (end 74.791893 25.244641) (layer "F.SilkS") (width 0.00575) (tstamp 65655595-f9e0-4c5f-ac17-8c4de67f9943)) + (gr_line (start 74.222099 20.441016) (end 74.137663 20.372436) (layer "F.SilkS") (width 0.00575) (tstamp 656fccdf-a858-47be-abe9-0503848f3b41)) + (gr_line (start 76.734782 18.331335) (end 76.880858 18.398993) (layer "F.SilkS") (width 0.00575) (tstamp 657c6414-2e42-420a-8221-6f579016bc85)) + (gr_line (start 62.213652 24.171753) (end 62.088945 24.150299) (layer "F.SilkS") (width 0.00575) (tstamp 657f9c17-1432-414d-b1e4-85e6f3e98841)) + (gr_line (start 78.592924 15.089651) (end 78.445775 15.08107) (layer "F.SilkS") (width 0.00575) (tstamp 65a1c582-cc23-4cec-b73b-4c1e47dfe105)) + (gr_line (start 68.741466 12.754904) (end 68.813444 12.827661) (layer "F.SilkS") (width 0.00575) (tstamp 65a47297-a2f1-4b74-8e26-3ffe3ca9496d)) + (gr_line (start 76.069957 25.913727) (end 76.032225 25.780255) (layer "F.SilkS") (width 0.00575) (tstamp 65edf072-cf89-4c8c-a69b-b188bddb9ab5)) + (gr_line (start 68.515214 13.699176) (end 68.412968 13.60986) (layer "F.SilkS") (width 0.00575) (tstamp 65f2801e-5024-454f-a056-923a936ea906)) + (gr_line (start 66.413634 10.981088) (end 66.391063 10.763293) (layer "F.SilkS") (width 0.00575) (tstamp 661fb1a1-afd0-4097-94d5-4ed866fd8af1)) + (gr_line (start 78.957225 30.983252) (end 80.19655 30.983252) (layer "F.SilkS") (width 0.00575) (tstamp 6625006a-64b6-46f7-9b48-4d9b23645e13)) + (gr_line (start 70.234941 30.788617) (end 70.321599 30.551971) (layer "F.SilkS") (width 0.00575) (tstamp 662a8a17-9eb6-4944-bb62-1f749862eb5e)) + (gr_line (start 62.28145 23.832361) (end 62.283156 23.832375) (layer "F.SilkS") (width 0.00575) (tstamp 662f3e7c-2251-40ec-a3a5-4e2b06c8dec6)) + (gr_line (start 67.728435 11.516385) (end 67.948771 11.596286) (layer "F.SilkS") (width 0.00575) (tstamp 663e406f-1af1-4071-ae3d-6e18b6967a5d)) + (gr_line (start 64.205156 25.011462) (end 64.170793 25.179498) (layer "F.SilkS") (width 0.00575) (tstamp 664f3ad8-a5a3-45a8-8ae2-c067a8734399)) + (gr_line (start 68.914763 14.070129) (end 69.018919 14.063803) (layer "F.SilkS") (width 0.00575) (tstamp 66679f9c-2da8-49ba-8172-3ba45d590291)) + (gr_line (start 72.58419 16.472333) (end 72.525157 16.13157) (layer "F.SilkS") (width 0.00575) (tstamp 6674da77-dbcb-493c-9151-4a050b40b427)) + (gr_line (start 65.333555 15.142093) (end 65.327281 15.239734) (layer "F.SilkS") (width 0.00575) (tstamp 66ba10d0-5336-4af9-8789-405967226993)) + (gr_line (start 65.459184 14.830508) (end 65.403941 14.930566) (layer "F.SilkS") (width 0.00575) (tstamp 66c94119-7a69-43c3-a2aa-fd7a5e8eb133)) + (gr_line (start 71.938835 17.147729) (end 71.896434 17.026819) (layer "F.SilkS") (width 0.00575) (tstamp 66cb719e-ab77-4aec-b5c4-276bcedc7ddf)) + (gr_line (start 78.830168 15.108758) (end 78.725841 15.099264) (layer "F.SilkS") (width 0.00575) (tstamp 66d17ec1-fe12-4bc1-959f-2aba0698c42d)) + (gr_line (start 70.935844 28.912433) (end 70.977635 28.889612) (layer "F.SilkS") (width 0.00575) (tstamp 66dde889-85f0-4572-8446-246777126e19)) + (gr_line (start 67.158714 13.519995) (end 67.093272 13.512323) (layer "F.SilkS") (width 0.00575) (tstamp 66e339fb-fcf3-4472-adb1-423ce469a494)) + (gr_line (start 78.303563 23.256836) (end 78.296513 23.166803) (layer "F.SilkS") (width 0.00575) (tstamp 66e8d350-6bd7-486c-a5dd-38aa922ba720)) + (gr_line (start 71.903117 20.606) (end 71.972777 20.919049) (layer "F.SilkS") (width 0.00575) (tstamp 67047b4c-2d92-4bc0-a86f-16187d96301c)) + (gr_line (start 65.581657 26.523212) (end 65.543388 26.519379) (layer "F.SilkS") (width 0.00575) (tstamp 6707844b-7682-40ec-94f1-4d92c214e6de)) + (gr_line (start 73.218992 25.223816) (end 73.557817 25.245837) (layer "F.SilkS") (width 0.00575) (tstamp 670d8375-3509-4ff8-93af-c88dff0459c0)) + (gr_line (start 81.281981 28.780011) (end 81.265202 28.688513) (layer "F.SilkS") (width 0.00575) (tstamp 673ad4b6-8140-4702-bf4b-058c2741afac)) + (gr_line (start 68.831523 23.860626) (end 69.361259 24.11145) (layer "F.SilkS") (width 0.00575) (tstamp 674b209a-9733-4a1e-963e-78f40a28f010)) + (gr_line (start 68.158311 13.516162) (end 68.063231 13.512134) (layer "F.SilkS") (width 0.00575) (tstamp 675c4f34-6153-4762-a47d-cfa7ff489549)) + (gr_line (start 72.490202 22.676196) (end 72.357969 22.788562) (layer "F.SilkS") (width 0.00575) (tstamp 6784e0f3-f325-420b-9288-1c5894237f97)) + (gr_line (start 63.382738 15.43808) (end 63.379002 15.262784) (layer "F.SilkS") (width 0.00575) (tstamp 679095b3-24b6-466c-87c2-9e7eb3c11a94)) + (gr_poly + (pts + (xy 72.89536 25.67749) + (xy 72.895356 25.677641) + (xy 72.895345 25.677789) + (xy 72.895327 25.677936) + (xy 72.895302 25.67808) + (xy 72.89527 25.678222) + (xy 72.895232 25.678361) + (xy 72.895188 25.678496) + (xy 72.895137 25.678629) + (xy 72.89508 25.678759) + (xy 72.895017 25.678885) + (xy 72.894949 25.679007) + (xy 72.894875 25.679126) + (xy 72.894796 25.679241) + (xy 72.894712 25.679351) + (xy 72.894623 25.679457) + (xy 72.89453 25.679558) + (xy 72.894431 25.679655) + (xy 72.894328 25.679747) + (xy 72.894221 25.679834) + (xy 72.89411 25.679915) + (xy 72.893995 25.679991) + (xy 72.893877 25.680061) + (xy 72.893755 25.680126) + (xy 72.893629 25.680184) + (xy 72.893501 25.680237) + (xy 72.893369 25.680283) + (xy 72.893235 25.680322) + (xy 72.893098 25.680354) + (xy 72.892958 25.68038) + (xy 72.892817 25.680399) + (xy 72.892673 25.68041) + (xy 72.892528 25.680414) + (xy 72.892383 25.68041) + (xy 72.89224 25.680399) + (xy 72.8921 25.68038) + (xy 72.891962 25.680354) + (xy 72.891826 25.680322) + (xy 72.891692 25.680283) + (xy 72.891562 25.680237) + (xy 72.891434 25.680184) + (xy 72.891309 25.680126) + (xy 72.891188 25.680061) + (xy 72.89107 25.679991) + (xy 72.890956 25.679915) + (xy 72.890846 25.679834) + (xy 72.890739 25.679747) + (xy 72.890637 25.679655) + (xy 72.890539 25.679558) + (xy 72.890446 25.679457) + (xy 72.890358 25.679351) + (xy 72.890274 25.679241) + (xy 72.890195 25.679126) + (xy 72.890122 25.679007) + (xy 72.890054 25.678885) + (xy 72.889992 25.678759) + (xy 72.889935 25.678629) + (xy 72.889885 25.678496) + (xy 72.889841 25.678361) + (xy 72.889803 25.678222) + (xy 72.889771 25.67808) + (xy 72.889746 25.677936) + (xy 72.889728 25.677789) + (xy 72.889718 25.677641) + (xy 72.889714 25.67749) + (xy 72.889718 25.677339) + (xy 72.889728 25.677191) + (xy 72.889746 25.677044) + (xy 72.889771 25.6769) + (xy 72.889803 25.676758) + (xy 72.889841 25.676619) + (xy 72.889885 25.676483) + (xy 72.889935 25.67635) + (xy 72.889992 25.67622) + (xy 72.890054 25.676094) + (xy 72.890122 25.675971) + (xy 72.890195 25.675852) + (xy 72.890274 25.675737) + (xy 72.890358 25.675627) + (xy 72.890446 25.67552) + (xy 72.890539 25.675419) + (xy 72.890637 25.675322) + (xy 72.890739 25.67523) + (xy 72.890846 25.675143) + (xy 72.890956 25.675061) + (xy 72.89107 25.674985) + (xy 72.891188 25.674914) + (xy 72.891309 25.674849) + (xy 72.891434 25.674791) + (xy 72.891562 25.674738) + (xy 72.891692 25.674692) + (xy 72.891826 25.674653) + (xy 72.891962 25.67462) + (xy 72.8921 25.674594) + (xy 72.89224 25.674576) + (xy 72.892383 25.674564) + (xy 72.892528 25.67456) + (xy 72.892673 25.674564) + (xy 72.892817 25.674576) + (xy 72.892958 25.674594) + (xy 72.893098 25.67462) + (xy 72.893235 25.674653) + (xy 72.893369 25.674692) + (xy 72.893501 25.674738) + (xy 72.893629 25.674791) + (xy 72.893755 25.674849) + (xy 72.893877 25.674914) + (xy 72.893995 25.674985) + (xy 72.89411 25.675061) + (xy 72.894221 25.675143) + (xy 72.894328 25.67523) + (xy 72.894431 25.675322) + (xy 72.89453 25.675419) + (xy 72.894623 25.67552) + (xy 72.894712 25.675627) + (xy 72.894796 25.675737) + (xy 72.894875 25.675852) + (xy 72.894949 25.675971) + (xy 72.895017 25.676094) + (xy 72.89508 25.67622) + (xy 72.895137 25.67635) + (xy 72.895188 25.676483) + (xy 72.895232 25.676619) + (xy 72.89527 25.676758) + (xy 72.895302 25.6769) + (xy 72.895327 25.677044) + (xy 72.895345 25.677191) + (xy 72.895356 25.677339) + (xy 72.89536 25.67749) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 6794c5fa-c9e6-4d2d-a4e4-7d5779dc5790)) + (gr_line (start 70.443937 19.197436) (end 70.754918 19.295972) (layer "F.SilkS") (width 0.00575) (tstamp 679a53f1-e838-44fd-8f4f-d8e73e9d0f97)) + (gr_line (start 61.840916 21.266516) (end 61.902336 21.361389) (layer "F.SilkS") (width 0.00575) (tstamp 67b82ca2-3ef7-45fc-88a0-8fa511e1cc0a)) + (gr_line (start 64.413926 25.886499) (end 64.411784 25.861957) (layer "F.SilkS") (width 0.00575) (tstamp 67d55ca5-dc58-4f45-96df-907c1c3da9e7)) + (gr_line (start 66.714927 14.701321) (end 66.528575 14.561441) (layer "F.SilkS") (width 0.00575) (tstamp 67e5b8be-4882-431f-b6ab-4e23bb31dd1e)) + (gr_line (start 78.991624 31.877417) (end 78.980797 31.763422) (layer "F.SilkS") (width 0.00575) (tstamp 67fa7c0e-6ab1-454c-ab9b-66d6e403c266)) + (gr_line (start 79.297917 22.994647) (end 79.299473 23.152716) (layer "F.SilkS") (width 0.00575) (tstamp 68118a20-9652-4890-9393-7951b9174594)) + (gr_line (start 71.490275 25.218426) (end 71.198649 25.135113) (layer "F.SilkS") (width 0.00575) (tstamp 68176e68-3353-4947-b967-0cfd828b41a8)) + (gr_line (start 65.377305 21.347723) (end 64.975975 20.946661) (layer "F.SilkS") (width 0.00575) (tstamp 6858aff6-0e30-459f-828f-0c9f950bc77c)) + (gr_line (start 73.470707 25.579718) (end 72.99727 25.525397) (layer "F.SilkS") (width 0.00575) (tstamp 685a2790-57ee-4c99-9714-bb3353a5d676)) + (gr_line (start 75.87328 19.341361) (end 75.872949 19.34107) (layer "F.SilkS") (width 0.00575) (tstamp 6860c778-4497-455b-afa2-9c4b0f0af27f)) + (gr_line (start 66.189012 20.852557) (end 66.109587 20.93736) (layer "F.SilkS") (width 0.00575) (tstamp 686ca426-271d-4a49-ab4b-fd5636ebea2e)) + (gr_line (start 72.083763 21.700568) (end 72.125877 21.441656) (layer "F.SilkS") (width 0.00575) (tstamp 6879d744-0833-4b32-badc-526beb8daf95)) + (gr_line (start 65.576304 11.229718) (end 65.506114 11.151776) (layer "F.SilkS") (width 0.00575) (tstamp 687e2097-c9c7-44a9-a002-4822bb876aaa)) + (gr_line (start 71.989464 17.288867) (end 71.938841 17.147729) (layer "F.SilkS") (width 0.00575) (tstamp 68a9eded-c4a7-4753-8dd6-40a2cd77866b)) + (gr_line (start 67.073088 10.944321) (end 67.106627 11.076956) (layer "F.SilkS") (width 0.00575) (tstamp 68d03294-8360-4734-b871-3869016aaa90)) + (gr_line (start 69.936345 32.260559) (end 69.832347 32.257568) (layer "F.SilkS") (width 0.00575) (tstamp 68d5d926-9509-43a9-96b0-ab23c5f75f1d)) + (gr_line (start 78.106584 32.180139) (end 78.002403 32.247327) (layer "F.SilkS") (width 0.00575) (tstamp 69283c5e-2236-4527-804b-9e2ff181d692)) + (gr_line (start 78.572569 16.136886) (end 78.489586 16.067789) (layer "F.SilkS") (width 0.00575) (tstamp 6947f096-cab2-4f37-94b6-938c943d76f8)) + (gr_line (start 76.791185 15.460638) (end 76.785447 15.520944) (layer "F.SilkS") (width 0.00575) (tstamp 694f124c-6993-4ac1-983e-0436f0a003a4)) + (gr_line (start 63.869517 26.670514) (end 63.850737 26.651544) (layer "F.SilkS") (width 0.00575) (tstamp 6968058f-6b32-4544-949f-5e8a990ef0df)) + (gr_line (start 72.38726 16.320199) (end 72.402446 16.410541) (layer "F.SilkS") (width 0.00575) (tstamp 69763101-12a3-4a4d-b745-49d6e61b35c0)) + (gr_line (start 70.215349 28.232165) (end 70.474815 28.338647) (layer "F.SilkS") (width 0.00575) (tstamp 697676dd-5d10-4bdc-b774-47a93fe9bd44)) + (gr_line (start 68.595482 13.800064) (end 68.515208 13.699176) (layer "F.SilkS") (width 0.00575) (tstamp 699e5223-bc81-4c99-90cb-ba553aecf8d7)) + (gr_line (start 72.385173 16.309094) (end 72.38726 16.320199) (layer "F.SilkS") (width 0.00575) (tstamp 69ae3d87-04c1-4c78-b6c4-bd5684d1bcd4)) + (gr_line (start 66.745189 16.812222) (end 66.894041 16.826764) (layer "F.SilkS") (width 0.00575) (tstamp 69b2de83-7f5b-4191-9835-beaf1f409f5d)) + (gr_line (start 66.847142 17.386322) (end 66.86212 17.337207) (layer "F.SilkS") (width 0.00575) (tstamp 69ebe850-9437-4083-9fef-3c7d9b177415)) + (gr_line (start 68.063231 13.512134) (end 67.935863 13.512646) (layer "F.SilkS") (width 0.00575) (tstamp 69ef4c76-a3ae-4d57-aa12-5a6ab91a4a8b)) + (gr_line (start 61.659092 22.651691) (end 61.63936 22.490216) (layer "F.SilkS") (width 0.00575) (tstamp 69fe4cf0-36e3-4721-8bcb-8ced35d24adb)) + (gr_line (start 67.926787 23.205322) (end 67.85543 23.164264) (layer "F.SilkS") (width 0.00575) (tstamp 6a0db28d-c4ca-462a-936f-297adb80210d)) + (gr_line (start 61.639042 21.743951) (end 61.639256 21.726776) (layer "F.SilkS") (width 0.00575) (tstamp 6a3322b8-91fd-4e13-b65c-e6f57712adb7)) + (gr_line (start 58.48455 32.262195) (end 58.48455 28.187402) (layer "F.SilkS") (width 0.00575) (tstamp 6a37d7bc-8a49-46a1-bf78-3941aea3a9ce)) + (gr_line (start 72.287425 17.187115) (end 72.349833 17.365662) (layer "F.SilkS") (width 0.00575) (tstamp 6a4c4578-3033-4fc8-b18f-ea3986fe6d82)) + (gr_line (start 67.00341 19.688208) (end 67.204521 19.289038) (layer "F.SilkS") (width 0.00575) (tstamp 6a59c615-5633-4c83-96ad-fe8db85b25ff)) + (gr_line (start 79.009404 23.621881) (end 78.989323 23.623517) (layer "F.SilkS") (width 0.00575) (tstamp 6a7ecc90-5040-44c1-8690-c3b77f12bd62)) + (gr_line (start 75.843901 24.091998) (end 75.741551 24.106763) (layer "F.SilkS") (width 0.00575) (tstamp 6a82cb4e-e178-433f-b795-352decd49490)) + (gr_line (start 72.478154 17.74939) (end 72.537864 17.936688) (layer "F.SilkS") (width 0.00575) (tstamp 6a872826-c335-4683-aa10-f7bbc9966469)) + (gr_line (start 64.257225 12.938116) (end 64.558256 12.689395) (layer "F.SilkS") (width 0.00575) (tstamp 6aa020ef-898b-4d32-8621-e2cf7db172ca)) + (gr_line (start 62.227507 29.365124) (end 61.766453 30.360083) (layer "F.SilkS") (width 0.00575) (tstamp 6ab80649-d57a-4636-bab6-636cd96234d2)) + (gr_line (start 77.093193 30.453821) (end 77.059709 30.440478) (layer "F.SilkS") (width 0.00575) (tstamp 6af9023e-2f19-460c-8617-1fac5d8ede82)) + (gr_line (start 77.205961 15.839871) (end 77.072099 15.632638) (layer "F.SilkS") (width 0.00575) (tstamp 6b09f5c6-50c8-4231-9fe1-c9525e2a9bac)) + (gr_line (start 72.732744 18.606219) (end 72.731248 18.608789) (layer "F.SilkS") (width 0.00575) (tstamp 6b0bd942-278e-4985-bf4b-b2f93c002952)) + (gr_line (start 75.907188 25.48175) (end 75.841392 25.515393) (layer "F.SilkS") (width 0.00575) (tstamp 6b0d62e3-b236-4cca-922c-6764864d5428)) + (gr_line (start 63.441319 25.601416) (end 63.3226 25.524866) (layer "F.SilkS") (width 0.00575) (tstamp 6b234d3a-002d-4387-bef4-bc55a3c8bb11)) + (gr_line (start 71.850194 16.883948) (end 71.874205 16.863309) (layer "F.SilkS") (width 0.00575) (tstamp 6b6add64-78bf-4b06-92d8-a358a93a1ab1)) + (gr_line (start 78.106584 28.269464) (end 78.159648 28.306915) (layer "F.SilkS") (width 0.00575) (tstamp 6b82e0f4-4709-4373-80fe-ff0e84cbbce7)) + (gr_line (start 72.881328 24.904126) (end 72.892515 24.806995) (layer "F.SilkS") (width 0.00575) (tstamp 6b940ffd-2519-46d2-a82c-aaf8173689dd)) + (gr_line (start 74.654124 21.307251) (end 74.659611 21.30379) (layer "F.SilkS") (width 0.00575) (tstamp 6b98aa4e-c18a-4ab7-b252-8a7462c1478f)) + (gr_line (start 78.013359 25.883685) (end 78.036387 25.961249) (layer "F.SilkS") (width 0.00575) (tstamp 6baa2008-d487-43e4-b781-4ec4522997a4)) + (gr_line (start 72.72708 31.458234) (end 71.925322 31.466119) (layer "F.SilkS") (width 0.00575) (tstamp 6baeddde-9f0a-43c5-a34d-4560b13c8609)) + (gr_line (start 70.631541 24.964526) (end 70.617692 24.94939) (layer "F.SilkS") (width 0.00575) (tstamp 6bb2c406-5afd-4d49-9f70-6922bba6d1e5)) + (gr_line (start 67.245415 23.35567) (end 66.614512 22.944147) (layer "F.SilkS") (width 0.00575) (tstamp 6bbd2dac-a016-46f3-9f08-3edd49b3df4e)) + (gr_line (start 76.441136 30.031476) (end 76.641136 30.029925) (layer "F.SilkS") (width 0.00575) (tstamp 6bbe1725-ffca-411c-85c5-c1ca2aae6711)) + (gr_line (start 79.025853 32.009448) (end 79.006334 31.956464) (layer "F.SilkS") (width 0.00575) (tstamp 6bc5d931-5e1d-4304-ba07-ea6c07377908)) + (gr_line (start 76.102665 26.290967) (end 76.200572 26.401288) (layer "F.SilkS") (width 0.00575) (tstamp 6bccf6fe-e25c-4a37-a5a4-5c1971ff3c89)) + (gr_line (start 78.288975 29.474707) (end 78.287852 29.649231) (layer "F.SilkS") (width 0.00575) (tstamp 6bd1970e-0a5c-4c90-bd59-60e9cfd406c2)) + (gr_line (start 77.502672 23.331006) (end 77.271458 23.529248) (layer "F.SilkS") (width 0.00575) (tstamp 6bde467e-36ab-483e-9d70-5cd87ec91e94)) + (gr_line (start 77.017344 23.708447) (end 76.933067 23.769739) (layer "F.SilkS") (width 0.00575) (tstamp 6bfa2fd8-ef38-4d11-a634-3a83130ad0e1)) + (gr_line (start 62.242393 32.263476) (end 62.234947 30.8143) (layer "F.SilkS") (width 0.00575) (tstamp 6bff37e0-ed22-4546-8abf-7ac5dc29b635)) + (gr_line (start 82.289293 30.077136) (end 82.372508 30.145941) (layer "F.SilkS") (width 0.00575) (tstamp 6c084891-ff67-4397-82b8-f3278de2f88e)) + (gr_line (start 60.961455 21.295001) (end 60.848259 21.282379) (layer "F.SilkS") (width 0.00575) (tstamp 6c43505f-2dc5-4263-bdf0-6e1eae95805d)) + (gr_line (start 62.324321 25.874048) (end 62.265117 25.930414) (layer "F.SilkS") (width 0.00575) (tstamp 6c66827f-5804-4882-875e-bb89e2aeb02b)) + (gr_line (start 61.837712 23.929327) (end 62.043687 23.856225) (layer "F.SilkS") (width 0.00575) (tstamp 6c9dbbe7-7954-40ae-a373-382838b72862)) + (gr_line (start 70.132017 17.303778) (end 69.948314 17.286603) (layer "F.SilkS") (width 0.00575) (tstamp 6caad909-cf0e-4b9c-ae26-7874c7382416)) + (gr_line (start 81.786351 32.246448) (end 81.602928 32.248547) (layer "F.SilkS") (width 0.00575) (tstamp 6cb6b999-5327-4ded-a688-264448389dd7)) + (gr_line (start 74.480321 21.238983) (end 74.065214 21.740503) (layer "F.SilkS") (width 0.00575) (tstamp 6cc27ffa-05cc-4ed4-9869-63a8d8e31ba2)) + (gr_line (start 65.941954 17.348175) (end 66.036943 17.677472) (layer "F.SilkS") (width 0.00575) (tstamp 6cc6bc92-a7ef-443e-86bf-b98996ce04db)) + (gr_line (start 75.865623 30.418127) (end 74.660991 30.418127) (layer "F.SilkS") (width 0.00575) (tstamp 6d120a63-b864-4189-a092-a75981ba47ba)) + (gr_poly + (pts + (xy 74.137547 15.84429) + (xy 74.405424 15.852377) + (xy 74.638591 15.878323) + (xy 74.857921 15.925284) + (xy 75.039372 15.980755) + (xy 75.320396 16.105594) + (xy 75.564805 16.274335) + (xy 75.76953 16.483563) + (xy 75.931511 16.729883) + (xy 76.047697 17.009875) + (xy 76.08408 17.145325) + (xy 76.111204 17.330304) + (xy 76.120598 17.554108) + (xy 76.113041 17.799231) + (xy 76.089311 18.048187) + (xy 76.050175 18.283484) + (xy 76.02979 18.372223) + (xy 75.893785 18.816595) + (xy 75.706163 19.278723) + (xy 75.468974 19.755328) + (xy 75.184239 20.243097) + (xy 74.854014 20.738751) + (xy 74.480321 21.238989) + (xy 74.065214 21.740509) + (xy 73.687852 22.158398) + (xy 73.179118 22.677246) + (xy 72.648564 23.173627) + (xy 72.100157 23.645215) + (xy 71.537895 24.089697) + (xy 70.965745 24.504736) + (xy 70.387687 24.888025) + (xy 69.807701 25.237231) + (xy 69.229759 25.550049) + (xy 68.657829 25.824133) + (xy 68.095909 26.057178) + (xy 67.547966 26.24685) + (xy 67.017985 26.390839) + (xy 66.509929 26.486822) + (xy 66.215269 26.520569) + (xy 66.074199 26.531946) + (xy 65.952983 26.54079) + (xy 65.863554 26.546295) + (xy 65.817814 26.547662) + (xy 65.815721 26.547491) + (xy 65.774028 26.54292) + (xy 65.690825 26.534289) + (xy 65.581669 26.523218) + (xy 65.543388 26.519379) + (xy 65.275877 26.475714) + (xy 65.013603 26.401459) + (xy 64.772105 26.302228) + (xy 64.566948 26.183667) + (xy 64.519237 26.148633) + (xy 64.472294 26.106995) + (xy 64.443425 26.060974) + (xy 64.42614 25.993249) + (xy 64.413939 25.886505) + (xy 64.41179 25.861963) + (xy 64.400255 25.743152) + (xy 64.387718 25.638806) + (xy 64.376848 25.571075) + (xy 64.376729 25.570553) + (xy 64.376672 25.570293) + (xy 64.376616 25.570032) + (xy 64.368193 25.525) + (xy 64.377116 25.52265) + (xy 64.410765 25.565259) + (xy 64.425145 25.5849) + (xy 64.609807 25.789093) + (xy 64.83607 25.954236) + (xy 65.100761 26.078393) + (xy 65.367418 26.153137) + (xy 65.572264 26.181091) + (xy 65.817393 26.191962) + (xy 66.088371 26.186328) + (xy 66.370689 26.164764) + (xy 66.649882 26.127862) + (xy 66.830913 26.094232) + (xy 67.382884 25.953613) + (xy 67.954173 25.761719) + (xy 68.540233 25.521374) + (xy 69.136473 25.235406) + (xy 69.738353 24.906616) + (xy 70.341313 24.537829) + (xy 70.940776 24.131872) + (xy 71.5322 23.691553) + (xy 72.111003 23.219684) + (xy 72.672636 22.719104) + (xy 73.212541 22.192621) + (xy 73.312968 22.089191) + (xy 73.806608 21.552698) + (xy 74.245939 21.025177) + (xy 74.632896 20.503955) + (xy 74.969426 19.986365) + (xy 75.257463 19.469745) + (xy 75.344493 19.294256) + (xy 75.501988 18.947735) + (xy 75.623704 18.635461) + (xy 75.712358 18.347558) + (xy 75.770646 18.07417) + (xy 75.801298 17.805432) + (xy 75.807768 17.60899) + (xy 75.785277 17.301056) + (xy 75.717174 17.028851) + (xy 75.604161 16.792978) + (xy 75.446898 16.594028) + (xy 75.24608 16.432596) + (xy 75.002385 16.309271) + (xy 74.71649 16.224652) + (xy 74.389091 16.179339) + (xy 74.159898 16.171243) + (xy 74.018596 16.170016) + (xy 73.924876 16.161774) + (xy 73.868907 16.139673) + (xy 73.840898 16.096878) + (xy 73.831047 16.026547) + (xy 73.829631 15.940735) + (xy 73.82924 15.84407) + (xy 74.137547 15.84429) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 6d14586b-f435-47d1-b65e-47b54f06c351)) + (gr_line (start 69.099479 27.168353) (end 69.173039 27.271728) (layer "F.SilkS") (width 0.00575) (tstamp 6d48333d-08a9-41a2-91e8-357b85d51717)) + (gr_line (start 75.403764 25.268054) (end 75.405974 25.246716) (layer "F.SilkS") (width 0.00575) (tstamp 6d669e40-b6ff-4f20-9ca5-fa01a0b49e68)) + (gr_line (start 65.815556 16.998938) (end 65.941954 17.348175) (layer "F.SilkS") (width 0.00575) (tstamp 6d97ed0f-429c-43b0-9941-56543acbbc5c)) + (gr_line (start 68.104179 14.890509) (end 68.076237 14.867432) (layer "F.SilkS") (width 0.00575) (tstamp 6dc8461c-c4d3-4b6a-954d-e31de1079934)) + (gr_line (start 71.970805 29.062079) (end 71.950395 29.000543) (layer "F.SilkS") (width 0.00575) (tstamp 6dcb6af1-3f4d-426d-abbd-bf6a8093be98)) + (gr_line (start 65.952983 26.540784) (end 65.863554 26.546295) (layer "F.SilkS") (width 0.00575) (tstamp 6dfb5c4d-f591-4457-ad9d-06591c818dd3)) + (gr_line (start 61.423021 20.654767) (end 61.436455 20.640546) (layer "F.SilkS") (width 0.00575) (tstamp 6e09864c-5357-42bb-a558-d414b46fdeb6)) + (gr_line (start 67.146477 17.373566) (end 67.14774 17.449444) (layer "F.SilkS") (width 0.00575) (tstamp 6e327121-25fd-476d-945b-6550634c7e8c)) + (gr_line (start 76.880864 18.398993) (end 77.021086 18.466357) (layer "F.SilkS") (width 0.00575) (tstamp 6e3ef0de-098f-4d7e-9ff1-c7a04dac3f58)) + (gr_line (start 72.892528 25.67749) (end 72.977922 25.690106) (layer "F.SilkS") (width 0.00575) (tstamp 6e416e06-6b83-485d-951b-bff274b2152f)) + (gr_line (start 63.513762 16.279059) (end 63.466942 16.080795) (layer "F.SilkS") (width 0.00575) (tstamp 6e89283f-c47c-4b7f-8ee4-bf5b4de67616)) + (gr_line (start 65.851469 20.252276) (end 65.795134 20.519018) (layer "F.SilkS") (width 0.00575) (tstamp 6e98edc1-3fc2-459f-bfc1-68371cfaef69)) + (gr_line (start 78.37592 22.394433) (end 78.402415 22.400885) (layer "F.SilkS") (width 0.00575) (tstamp 6ef1c297-0f76-493f-87f9-7bd2041a4c6d)) + (gr_line (start 68.341746 22.547113) (end 68.36544 22.606824) (layer "F.SilkS") (width 0.00575) (tstamp 6f092a62-b04e-4892-8bfd-034a3785f646)) + (gr_line (start 72.252952 18.06264) (end 72.216002 17.953107) (layer "F.SilkS") (width 0.00575) (tstamp 6f0ae489-05c7-4089-8048-46aa383ee224)) + (gr_line (start 78.968419 31.451697) (end 78.957225 30.983252) (layer "F.SilkS") (width 0.00575) (tstamp 6f17b38f-0005-4e5f-b809-f5536ee19c24)) + (gr_line (start 80.340025 28.580664) (end 80.283763 28.588873) (layer "F.SilkS") (width 0.00575) (tstamp 6f23fa7f-2d20-4011-b0a6-6cdcb00e83ca)) + (gr_line (start 63.570366 13.950922) (end 63.598015 13.883603) (layer "F.SilkS") (width 0.00575) (tstamp 6f4cda1a-c23e-4292-961b-a2d0e7b773b5)) + (gr_line (start 73.716978 15.478113) (end 73.666917 15.712057) (layer "F.SilkS") (width 0.00575) (tstamp 6f847aca-2ca7-455d-a616-c871536ff594)) + (gr_line (start 82.456798 32.027234) (end 82.446281 32.042541) (layer "F.SilkS") (width 0.00575) (tstamp 6f8f709d-15fc-456e-97fe-38f64c22fb50)) + (gr_line (start 73.266557 23.735193) (end 73.281907 23.790161) (layer "F.SilkS") (width 0.00575) (tstamp 6f942d87-0cb7-4038-a5ce-c246c34b8605)) + (gr_line (start 81.248778 31.831787) (end 81.262321 31.810272) (layer "F.SilkS") (width 0.00575) (tstamp 6f9c5473-623b-4f35-afb1-afeb53153fc3)) + (gr_line (start 79.03864 30.20451) (end 79.011552 30.147461) (layer "F.SilkS") (width 0.00575) (tstamp 6f9c7e3f-cdb4-4437-97ee-e13094f0c908)) + (gr_line (start 75.578801 21.32362) (end 75.553215 21.33642) (layer "F.SilkS") (width 0.00575) (tstamp 6fccbb78-9c4c-4ba0-b003-74bde706941e)) + (gr_line (start 73.58726 19.553931) (end 73.722697 19.651343) (layer "F.SilkS") (width 0.00575) (tstamp 6fce60e1-3a6e-41ff-836e-ab584a5551b1)) + (gr_line (start 81.602928 32.248547) (end 81.37678 32.250159) (layer "F.SilkS") (width 0.00575) (tstamp 700884c1-b915-45b5-9f7f-bb383b0b16ee)) + (gr_line (start 75.633507 17.922595) (end 75.635722 17.939123) (layer "F.SilkS") (width 0.00575) (tstamp 7009fbde-5364-4043-aecc-f1d18cfc3400)) + (gr_line (start 75.784434 14.712985) (end 75.716966 14.699975) (layer "F.SilkS") (width 0.00575) (tstamp 700cd3cd-180f-4671-98aa-210d0c2b4d4f)) + (gr_line (start 68.046684 21.221075) (end 68.061662 20.953149) (layer "F.SilkS") (width 0.00575) (tstamp 700eb1f2-8842-4ff1-aeeb-6f7c4fb9b011)) + (gr_line (start 74.093474 32.034058) (end 74.138011 32.156262) (layer "F.SilkS") (width 0.00575) (tstamp 702333db-dddd-4068-a0c4-ddd1441cda28)) + (gr_line (start 63.907506 26.656262) (end 63.869517 26.670514) (layer "F.SilkS") (width 0.00575) (tstamp 70354ba9-5c38-4e7a-9278-808ff08e3910)) + (gr_line (start 71.220292 16.971619) (end 70.950828 17.101318) (layer "F.SilkS") (width 0.00575) (tstamp 7042817a-cf98-4207-a533-7dd60c1eacc0)) + (gr_line (start 78.23 30.051327) (end 78.229808 30.051593) (layer "F.SilkS") (width 0.00575) (tstamp 7065526b-ece1-4cc4-a5be-2d7c6ddf7c24)) + (gr_line (start 72.856016 26.523865) (end 72.816716 26.64975) (layer "F.SilkS") (width 0.00575) (tstamp 706dec3e-eefe-46b6-919b-37f9594ffa84)) + (gr_line (start 67.547966 26.246844) (end 67.017985 26.390832) (layer "F.SilkS") (width 0.00575) (tstamp 706eef81-621f-44f1-9e7b-4745c0d23a7b)) + (gr_line (start 69.323875 14.990704) (end 69.175963 15.174585) (layer "F.SilkS") (width 0.00575) (tstamp 7072cb32-65c7-4ab9-bc2b-3b987be1b6a5)) + (gr_line (start 79.430534 32.242364) (end 79.326195 32.237994) (layer "F.SilkS") (width 0.00575) (tstamp 707f6a0e-1858-4f0d-b285-c76dbee3e891)) + (gr_line (start 77.701628 16.873117) (end 77.687706 16.83537) (layer "F.SilkS") (width 0.00575) (tstamp 708eaec0-7fdd-47bb-b5dc-2b7b7b371824)) + (gr_line (start 68.920231 22.874756) (end 68.672355 22.670618) (layer "F.SilkS") (width 0.00575) (tstamp 70913f27-ea91-4795-8991-f3649b5055bf)) + (gr_line (start 78.267448 23.097803) (end 78.204551 23.022497) (layer "F.SilkS") (width 0.00575) (tstamp 70937959-7fce-4abc-9de8-43e7be9f7dc3)) + (gr_line (start 65.738841 14.529877) (end 65.590391 14.652966) (layer "F.SilkS") (width 0.00575) (tstamp 7097a057-d510-4cf2-b10c-87cf257b3b55)) + (gr_line (start 68.285429 26.431171) (end 68.231895 26.324914) (layer "F.SilkS") (width 0.00575) (tstamp 70adb506-1f01-4236-bfa3-ae34a6f81a95)) + (gr_line (start 78.230096 30.051192) (end 78.23 30.051327) (layer "F.SilkS") (width 0.00575) (tstamp 70ce342a-7ffa-44c0-91b9-794281a8fb9a)) + (gr_line (start 65.817393 26.191955) (end 66.088359 26.186322) (layer "F.SilkS") (width 0.00575) (tstamp 70feb252-1b95-4385-8174-5d591612dbf8)) + (gr_line (start 74.770073 25.845441) (end 74.739085 25.895563) (layer "F.SilkS") (width 0.00575) (tstamp 711ae76e-7bcf-465a-a0ba-c776eb630bab)) + (gr_line (start 74.30706 20.509473) (end 74.222099 20.441016) (layer "F.SilkS") (width 0.00575) (tstamp 711cc6c6-c044-493d-bc4a-e1c1cd359f72)) + (gr_line (start 67.063823 15.174094) (end 67.053514 15.157889) (layer "F.SilkS") (width 0.00575) (tstamp 711f7f04-d357-4964-8c77-7c31cd11dd0b)) + (gr_line (start 76.503721 20.562707) (end 76.51154 20.305145) (layer "F.SilkS") (width 0.00575) (tstamp 71329208-5378-4eed-9d82-59d6d504398d)) + (gr_line (start 68.361986 22.653436) (end 68.356682 22.66676) (layer "F.SilkS") (width 0.00575) (tstamp 718325f7-e16c-40a9-829a-4edee1120d1b)) + (gr_line (start 59.573912 32.262195) (end 58.48455 32.262195) (layer "F.SilkS") (width 0.00575) (tstamp 718f61ff-2e92-4e62-a7ea-76eac2075841)) + (gr_line (start 73.036583 25.818884) (end 73.01168 25.933478) (layer "F.SilkS") (width 0.00575) (tstamp 71a2843f-5eb6-4dad-9ad8-ce9299222016)) + (gr_line (start 77.271452 23.529248) (end 77.141948 23.623376) (layer "F.SilkS") (width 0.00575) (tstamp 71d39c30-d7e1-4c76-8985-ac85fc8a793f)) + (gr_line (start 62.449779 22.635455) (end 62.333928 22.671808) (layer "F.SilkS") (width 0.00575) (tstamp 71d76cea-83f2-4afd-9469-2c2c7f02cb03)) + (gr_line (start 68.635948 17.809387) (end 68.993602 17.649914) (layer "F.SilkS") (width 0.00575) (tstamp 71df2a91-9116-4219-abab-3ff9ddfe5262)) + (gr_line (start 66.794084 20.203235) (end 66.818559 20.13819) (layer "F.SilkS") (width 0.00575) (tstamp 71eef7b2-a3a2-47f4-821a-e29975ca889c)) + (gr_line (start 74.8145 17.480377) (end 75.19174 17.682086) (layer "F.SilkS") (width 0.00575) (tstamp 7203a4cd-9333-480a-97ce-bf57a111c8cf)) + (gr_line (start 70.640758 24.925525) (end 70.700236 24.881573) (layer "F.SilkS") (width 0.00575) (tstamp 721437ba-3620-4769-a4e3-7e75cada37c5)) + (gr_line (start 79.041496 32.037915) (end 79.025859 32.009448) (layer "F.SilkS") (width 0.00575) (tstamp 7229b58e-7e45-42ba-bb53-ac9800b47846)) + (gr_line (start 67.226494 24.126697) (end 67.12725 24.215155) (layer "F.SilkS") (width 0.00575) (tstamp 7236ee41-638f-4d39-8f7c-f4381f9e5169)) + (gr_line (start 67.020598 16.84144) (end 67.031682 16.842892) (layer "F.SilkS") (width 0.00575) (tstamp 72414229-5751-4fde-a8db-03b2ef3f3176)) + (gr_line (start 63.980754 10.389902) (end 63.875279 10.186905) (layer "F.SilkS") (width 0.00575) (tstamp 72425b94-fd67-4009-bb65-322ffa08f568)) + (gr_line (start 73.576823 21.570929) (end 73.371892 21.795007) (layer "F.SilkS") (width 0.00575) (tstamp 7242b17c-708f-4f01-9645-50b8b555351b)) + (gr_poly + (pts + (xy 75.223411 13.877939) + (xy 75.223408 13.878089) + (xy 75.223397 13.878238) + (xy 75.223379 13.878385) + (xy 75.223354 13.878529) + (xy 75.223322 13.878671) + (xy 75.223284 13.87881) + (xy 75.223239 13.878946) + (xy 75.223189 13.879079) + (xy 75.223132 13.879209) + (xy 75.223069 13.879335) + (xy 75.223001 13.879458) + (xy 75.222927 13.879576) + (xy 75.222848 13.879691) + (xy 75.222764 13.879802) + (xy 75.222675 13.879908) + (xy 75.222581 13.88001) + (xy 75.222483 13.880107) + (xy 75.22238 13.880199) + (xy 75.222273 13.880286) + (xy 75.222162 13.880368) + (xy 75.222047 13.880444) + (xy 75.221929 13.880515) + (xy 75.221806 13.880579) + (xy 75.221681 13.880638) + (xy 75.221552 13.880691) + (xy 75.221421 13.880737) + (xy 75.221287 13.880776) + (xy 75.22115 13.880809) + (xy 75.22101 13.880835) + (xy 75.220869 13.880853) + (xy 75.220725 13.880865) + (xy 75.220579 13.880868) + (xy 75.220434 13.880865) + (xy 75.220291 13.880853) + (xy 75.22015 13.880835) + (xy 75.220012 13.880809) + (xy 75.219875 13.880776) + (xy 75.219742 13.880737) + (xy 75.219611 13.880691) + (xy 75.219483 13.880638) + (xy 75.219358 13.880579) + (xy 75.219237 13.880515) + (xy 75.219119 13.880444) + (xy 75.219005 13.880368) + (xy 75.218895 13.880286) + (xy 75.218789 13.880199) + (xy 75.218686 13.880107) + (xy 75.218589 13.88001) + (xy 75.218496 13.879908) + (xy 75.218407 13.879802) + (xy 75.218324 13.879691) + (xy 75.218245 13.879576) + (xy 75.218172 13.879458) + (xy 75.218105 13.879335) + (xy 75.218043 13.879209) + (xy 75.217986 13.879079) + (xy 75.217936 13.878946) + (xy 75.217892 13.87881) + (xy 75.217854 13.878671) + (xy 75.217823 13.878529) + (xy 75.217798 13.878385) + (xy 75.21778 13.878238) + (xy 75.217769 13.878089) + (xy 75.217766 13.877939) + (xy 75.217769 13.877788) + (xy 75.21778 13.87764) + (xy 75.217798 13.877493) + (xy 75.217823 13.877349) + (xy 75.217854 13.877208) + (xy 75.217892 13.877069) + (xy 75.217936 13.876933) + (xy 75.217986 13.8768) + (xy 75.218043 13.876671) + (xy 75.218105 13.876545) + (xy 75.218172 13.876422) + (xy 75.218245 13.876303) + (xy 75.218324 13.876189) + (xy 75.218407 13.876078) + (xy 75.218496 13.875972) + (xy 75.218589 13.87587) + (xy 75.218686 13.875773) + (xy 75.218789 13.875681) + (xy 75.218895 13.875594) + (xy 75.219005 13.875512) + (xy 75.219119 13.875436) + (xy 75.219237 13.875366) + (xy 75.219358 13.875301) + (xy 75.219483 13.875242) + (xy 75.219611 13.87519) + (xy 75.219742 13.875144) + (xy 75.219875 13.875104) + (xy 75.220012 13.875072) + (xy 75.22015 13.875046) + (xy 75.220291 13.875027) + (xy 75.220434 13.875016) + (xy 75.220579 13.875012) + (xy 75.220725 13.875016) + (xy 75.220869 13.875027) + (xy 75.22101 13.875046) + (xy 75.22115 13.875072) + (xy 75.221287 13.875104) + (xy 75.221421 13.875144) + (xy 75.221552 13.87519) + (xy 75.221681 13.875242) + (xy 75.221806 13.875301) + (xy 75.221929 13.875366) + (xy 75.222047 13.875436) + (xy 75.222162 13.875512) + (xy 75.222273 13.875594) + (xy 75.22238 13.875681) + (xy 75.222483 13.875773) + (xy 75.222581 13.87587) + (xy 75.222675 13.875972) + (xy 75.222764 13.876078) + (xy 75.222848 13.876189) + (xy 75.222927 13.876303) + (xy 75.223001 13.876422) + (xy 75.223069 13.876545) + (xy 75.223132 13.876671) + (xy 75.223189 13.8768) + (xy 75.223239 13.876933) + (xy 75.223284 13.877069) + (xy 75.223322 13.877208) + (xy 75.223354 13.877349) + (xy 75.223379 13.877493) + (xy 75.223397 13.87764) + (xy 75.223408 13.877788) + (xy 75.223411 13.877939) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 725c2b18-67b0-4e82-9c6f-8809df0caac0)) + (gr_line (start 79.877525 23.650433) (end 79.858262 23.677173) (layer "F.SilkS") (width 0.00575) (tstamp 7264eef9-1c00-47eb-a21f-7485be2c8ecf)) + (gr_line (start 78.966923 28.821789) (end 78.973692 28.663446) (layer "F.SilkS") (width 0.00575) (tstamp 7286c9be-aaec-4ee2-aad3-c9c27f8b04af)) + (gr_line (start 72.181645 16.90137) (end 72.230321 17.02937) (layer "F.SilkS") (width 0.00575) (tstamp 729199d2-2daa-4a1a-a58d-819f84f50e02)) + (gr_line (start 61.815849 20.626196) (end 61.979814 20.687915) (layer "F.SilkS") (width 0.00575) (tstamp 729b5dd0-4643-4870-b74b-dbef7bbef6ef)) + (gr_line (start 82.307969 32.185998) (end 82.288627 32.199823) (layer "F.SilkS") (width 0.00575) (tstamp 72ae5e9b-e363-41cd-8027-f274d0c635e0)) + (gr_line (start 69.073289 22.973834) (end 68.921464 22.875604) (layer "F.SilkS") (width 0.00575) (tstamp 72ae6194-da3f-4aec-b2fa-88cb7997e96f)) + (gr_line (start 71.952459 21.564277) (end 71.952451 21.564316) (layer "F.SilkS") (width 0.00575) (tstamp 72afde6c-cf52-4f0a-b736-9364d7cee042)) + (gr_line (start 76.540135 25.56181) (end 76.501048 25.698871) (layer "F.SilkS") (width 0.00575) (tstamp 72b25928-06d2-4c3d-970e-e567606567f3)) + (gr_line (start 81.329942 28.202704) (end 81.557683 28.203528) (layer "F.SilkS") (width 0.00575) (tstamp 72c2bb04-8acb-40af-a993-68791cabe89c)) + (gr_line (start 72.983116 25.037866) (end 72.908262 25.020288) (layer "F.SilkS") (width 0.00575) (tstamp 72c6b345-d06b-4c43-a137-3f533c2b8a8a)) + (gr_line (start 75.760209 26.409424) (end 75.730925 26.219391) (layer "F.SilkS") (width 0.00575) (tstamp 72e37dfd-e001-4e31-82b0-1dc8b10d18a7)) + (gr_line (start 64.245799 26.043536) (end 64.231639 26.200445) (layer "F.SilkS") (width 0.00575) (tstamp 72e6358c-08b3-4db5-98be-5685d12f9b38)) + (gr_line (start 74.949748 25.536706) (end 74.878782 25.645801) (layer "F.SilkS") (width 0.00575) (tstamp 72ee5dac-31ce-422f-bbcf-8a77829cdacf)) + (gr_line (start 77.566441 25.378754) (end 77.740239 25.490314) (layer "F.SilkS") (width 0.00575) (tstamp 73008625-bc0a-4423-8e7a-7f2ae49ec4c6)) + (gr_line (start 71.540263 22.471033) (end 71.314879 22.713409) (layer "F.SilkS") (width 0.00575) (tstamp 733bd954-9fc0-443b-93b2-2c3416d0c0b2)) + (gr_line (start 73.312956 22.089184) (end 73.806602 21.552692) (layer "F.SilkS") (width 0.00575) (tstamp 73524b9c-791e-4112-828b-5db71cb87034)) + (gr_line (start 76.265562 26.450482) (end 76.357414 26.507483) (layer "F.SilkS") (width 0.00575) (tstamp 73561b80-4c50-4e4d-b455-6e874eb0db14)) + (gr_line (start 76.002745 26.138507) (end 76.102665 26.290967) (layer "F.SilkS") (width 0.00575) (tstamp 73696ccd-90f2-4af4-85ee-536240e870df)) + (gr_line (start 77.961387 25.024805) (end 78.02418 25.195337) (layer "F.SilkS") (width 0.00575) (tstamp 738000e9-68ff-4216-8951-8dc76ef73ccb)) + (gr_line (start 76.219377 19.631494) (end 76.053697 19.480487) (layer "F.SilkS") (width 0.00575) (tstamp 73824e5d-04e2-4625-8a15-bcdf1fc2950d)) + (gr_line (start 69.211564 23.047296) (end 69.073289 22.973834) (layer "F.SilkS") (width 0.00575) (tstamp 7383b4e3-2dfc-47a6-a864-17c1baa81f97)) + (gr_line (start 67.598045 15.795032) (end 67.467119 15.691003) (layer "F.SilkS") (width 0.00575) (tstamp 73993adc-76b5-43d4-b566-bc709995f8a0)) + (gr_line (start 77.06981 31.836334) (end 77.094627 31.822302) (layer "F.SilkS") (width 0.00575) (tstamp 73b76793-6d0f-4556-9dc1-60f1b6771a95)) + (gr_line (start 63.078868 25.632306) (end 63.215873 25.661926) (layer "F.SilkS") (width 0.00575) (tstamp 73be75c8-b0b5-43e8-9993-d66fb51b3597)) + (gr_line (start 66.074199 26.531946) (end 65.952983 26.540784) (layer "F.SilkS") (width 0.00575) (tstamp 73d1c7e0-3267-49ef-b618-29f609f78af5)) + (gr_line (start 70.777934 24.830463) (end 70.937358 24.730377) (layer "F.SilkS") (width 0.00575) (tstamp 74082b70-afa6-4778-9a3f-11ba2dbc2fd8)) + (gr_line (start 79.21779 28.23728) (end 79.252977 28.22807) (layer "F.SilkS") (width 0.00575) (tstamp 741da687-9dd4-4ce4-8171-8abca2f105a7)) + (gr_line (start 75.893785 18.816589) (end 75.706163 19.278723) (layer "F.SilkS") (width 0.00575) (tstamp 741ef9bf-d0ca-4623-b363-08a4e9fd5b24)) + (gr_line (start 75.848765 14.742728) (end 75.784434 14.712985) (layer "F.SilkS") (width 0.00575) (tstamp 742549f9-534a-4b48-b627-5dd8200e0003)) + (gr_line (start 69.807695 25.237231) (end 69.229747 25.550043) (layer "F.SilkS") (width 0.00575) (tstamp 742ec8bb-7670-4395-9e6e-46d5d1e4807a)) + (gr_line (start 68.027238 12.587533) (end 67.984758 12.479477) (layer "F.SilkS") (width 0.00575) (tstamp 7446f47d-f295-446c-9467-16ecd70ec1fb)) + (gr_line (start 76.851866 25.426337) (end 77.008842 25.344781) (layer "F.SilkS") (width 0.00575) (tstamp 74564e42-84f3-4522-88f3-556fc26464c9)) + (gr_line (start 73.914329 23.848102) (end 73.569084 23.690802) (layer "F.SilkS") (width 0.00575) (tstamp 746b1f7b-f2da-4f6a-9661-43b1113ec831)) + (gr_line (start 62.231614 25.9564) (end 62.230613 25.956683) (layer "F.SilkS") (width 0.00575) (tstamp 749db298-f57a-4f8f-9fe5-7e6f1a8cfa31)) + (gr_line (start 73.533018 19.648816) (end 73.466673 19.554071) (layer "F.SilkS") (width 0.00575) (tstamp 74a9c5dd-fc52-4fd1-ab31-6514203e9075)) + (gr_line (start 78.262755 30.461145) (end 78.273259 30.507239) (layer "F.SilkS") (width 0.00575) (tstamp 74b02265-e534-4e11-8520-ca3982f856e9)) + (gr_line (start 76.032225 25.780255) (end 76.001207 25.639197) (layer "F.SilkS") (width 0.00575) (tstamp 74be850a-e7ea-4bc4-ac4a-ffec289332ce)) + (gr_line (start 69.592717 23.176526) (end 69.541325 23.163574) (layer "F.SilkS") (width 0.00575) (tstamp 74c745a0-4de4-4cfa-a4fa-f97269314c05)) + (gr_line (start 71.109428 28.858752) (end 71.354051 28.796649) (layer "F.SilkS") (width 0.00575) (tstamp 751017b5-b0d5-4e04-84cc-d3731c44cf2b)) + (gr_line (start 66.244603 10.320938) (end 66.147777 10.211185) (layer "F.SilkS") (width 0.00575) (tstamp 751e7af7-db35-407b-84ba-4a76d731f979)) + (gr_line (start 61.610332 24.103461) (end 61.662785 24.051953) (layer "F.SilkS") (width 0.00575) (tstamp 753f4e86-1c63-4f82-8157-c9381783b5ec)) + (gr_poly + (pts + (xy 79.701433 22.252643) + (xy 79.831187 22.298077) + (xy 79.90767 22.339313) + (xy 79.956975 22.37348) + (xy 79.9671 22.386969) + (xy 79.941411 22.403436) + (xy 79.875749 22.420666) + (xy 79.824815 22.429175) + (xy 79.669395 22.476794) + (xy 79.532622 22.567792) + (xy 79.42086 22.69154) + (xy 79.340501 22.837378) + (xy 79.297917 22.994647) + (xy 79.299473 23.152716) + (xy 79.329588 23.256598) + (xy 79.423271 23.405194) + (xy 79.559971 23.516058) + (xy 79.726475 23.583819) + (xy 79.8093 23.610528) + (xy 79.86475 23.637347) + (xy 79.877525 23.650433) + (xy 79.858262 23.677173) + (xy 79.800755 23.709479) + (xy 79.77559 23.719665) + (xy 79.649046 23.745447) + (xy 79.493132 23.746442) + (xy 79.32979 23.72442) + (xy 79.181004 23.681146) + (xy 79.154252 23.669794) + (xy 79.071464 23.637225) + (xy 79.009404 23.621881) + (xy 78.989335 23.623517) + (xy 78.967936 23.668017) + (xy 78.963847 23.749597) + (xy 78.975487 23.849158) + (xy 79.001304 23.947601) + (xy 79.019621 23.991632) + (xy 79.099046 24.109723) + (xy 79.216087 24.229956) + (xy 79.353709 24.336371) + (xy 79.443657 24.389313) + (xy 79.515239 24.429748) + (xy 79.559074 24.461902) + (xy 79.565556 24.471625) + (xy 79.539671 24.492554) + (xy 79.472532 24.506341) + (xy 79.379899 24.512292) + (xy 79.277556 24.509723) + (xy 79.181248 24.497925) + (xy 79.146507 24.490088) + (xy 78.942186 24.412622) + (xy 78.780736 24.303046) + (xy 78.665519 24.164337) + (xy 78.601982 24.008514) + (xy 78.580424 23.919287) + (xy 78.543681 24.008514) + (xy 78.504521 24.175873) + (xy 78.516337 24.350622) + (xy 78.576445 24.519141) + (xy 78.670732 24.65542) + (xy 78.710716 24.706854) + (xy 78.710295 24.730884) + (xy 78.665904 24.728326) + (xy 78.574003 24.699982) + (xy 78.535472 24.686151) + (xy 78.414116 24.620178) + (xy 78.295909 24.51961) + (xy 78.19727 24.401379) + (xy 78.134605 24.282422) + (xy 78.129918 24.267645) + (xy 78.113688 24.137469) + (xy 78.125578 23.971295) + (xy 78.163444 23.783661) + (xy 78.225139 23.589093) + (xy 78.233507 23.567334) + (xy 78.271629 23.448724) + (xy 78.297075 23.328668) + (xy 78.303569 23.256836) + (xy 78.296513 23.166803) + (xy 78.267454 23.097803) + (xy 78.204558 23.022491) + (xy 78.200035 23.017786) + (xy 78.139811 22.950391) + (xy 78.116569 22.905401) + (xy 78.123564 22.866699) + (xy 78.131077 22.853021) + (xy 78.161503 22.799066) + (xy 78.209105 22.71098) + (xy 78.264 22.607086) + (xy 78.268211 22.599005) + (xy 78.31804 22.503717) + (xy 78.356029 22.431512) + (xy 78.375078 22.39588) + (xy 78.375499 22.395154) + (xy 78.37592 22.394433) + (xy 78.402421 22.400879) + (xy 78.464195 22.42619) + (xy 78.507237 22.445825) + (xy 78.626854 22.490692) + (xy 78.738072 22.502948) + (xy 78.855992 22.480926) + (xy 78.995726 22.422967) + (xy 79.071318 22.383868) + (xy 79.299827 22.283838) + (xy 79.509849 22.240094) + (xy 79.701433 22.252643) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 754d0f6f-bfce-4d35-a972-34ce57d5d2dd)) + (gr_line (start 75.606321 14.686926) (end 75.466655 14.67518) (layer "F.SilkS") (width 0.00575) (tstamp 754d1e74-f6f5-4c1d-9091-e47e39d7c65d)) + (gr_line (start 65.855729 30.299158) (end 65.855729 30.685815) (layer "F.SilkS") (width 0.00575) (tstamp 755b93aa-687d-4c25-816a-8244ab2e8805)) + (gr_line (start 66.144102 10.834625) (end 66.284312 10.994726) (layer "F.SilkS") (width 0.00575) (tstamp 75f2672d-d48f-480a-9c50-b8d802d60cfe)) + (gr_line (start 78.375499 22.395158) (end 78.37592 22.394433) (layer "F.SilkS") (width 0.00575) (tstamp 7600eaa0-b009-43c2-bd6c-61a2a54c7d6d)) + (gr_line (start 69.691203 27.857043) (end 69.953496 28.071326) (layer "F.SilkS") (width 0.00575) (tstamp 7604ee4c-e3b1-4008-8029-c254af90966b)) + (gr_line (start 62.990758 25.488647) (end 62.828355 25.517566) (layer "F.SilkS") (width 0.00575) (tstamp 7611ae11-a604-47ce-8edc-87914aeeb0b7)) + (gr_line (start 71.951488 18.134247) (end 72.203453 18.33847) (layer "F.SilkS") (width 0.00575) (tstamp 761d37da-2453-48db-aa8e-3af92459eb3a)) + (gr_line (start 64.283995 24.876984) (end 64.205156 25.011462) (layer "F.SilkS") (width 0.00575) (tstamp 7623eeb1-02d6-4845-9edd-6f7f3524df04)) + (gr_line (start 67.547947 31.875537) (end 69.38358 31.875537) (layer "F.SilkS") (width 0.00575) (tstamp 76546e76-3645-45c9-b32e-cda9b4e1c238)) + (gr_line (start 67.476243 12.148959) (end 67.57921 12.263983) (layer "F.SilkS") (width 0.00575) (tstamp 76831faf-b246-4571-ac95-f33915fbcf3c)) + (gr_line (start 74.553874 21.415399) (end 74.617613 21.34425) (layer "F.SilkS") (width 0.00575) (tstamp 769eda70-2545-4db5-9892-aa454c430ce7)) + (gr_line (start 82.478056 30.312567) (end 82.488261 30.361902) (layer "F.SilkS") (width 0.00575) (tstamp 76e01d3f-a933-427d-b853-69c51a3ac4bb)) + (gr_line (start 64.410753 25.565253) (end 64.425139 25.584894) (layer "F.SilkS") (width 0.00575) (tstamp 76ef6c0a-d31e-4102-8ecf-3587ff02429f)) + (gr_line (start 78.123558 22.866699) (end 78.131071 22.853021) (layer "F.SilkS") (width 0.00575) (tstamp 771cc67d-4d27-4711-822c-e46500bb17a8)) + (gr_line (start 78.002403 28.202276) (end 78.106584 28.269464) (layer "F.SilkS") (width 0.00575) (tstamp 77273698-e9f1-4516-99b0-cea39a30f36e)) + (gr_line (start 80.679905 31.87525) (end 80.741502 31.875537) (layer "F.SilkS") (width 0.00575) (tstamp 772b2f68-bf31-417d-8489-b61489f03f54)) + (gr_line (start 77.853886 14.459921) (end 78.125938 14.599585) (layer "F.SilkS") (width 0.00575) (tstamp 776d771c-6950-4013-9f55-10cc59f2536a)) + (gr_line (start 76.227983 18.221484) (end 76.246409 18.15722) (layer "F.SilkS") (width 0.00575) (tstamp 77af8597-e714-4d29-b957-8015a438c880)) + (gr_line (start 64.743883 10.365216) (end 64.913719 10.384723) (layer "F.SilkS") (width 0.00575) (tstamp 77c8bd78-2baf-46ef-bd9a-307fd870c593)) + (gr_line (start 70.932799 19.195367) (end 70.677556 19.089502) (layer "F.SilkS") (width 0.00575) (tstamp 77c962c4-b90b-45f5-8a86-4555a5e5360d)) + (gr_line (start 71.198649 25.135113) (end 71.041661 25.087884) (layer "F.SilkS") (width 0.00575) (tstamp 77cd0fb6-8f4e-476c-92c0-0d9138883951)) + (gr_line (start 74.660991 32.264032) (end 74.660991 30.418127) (layer "F.SilkS") (width 0.00575) (tstamp 77e13e03-16f4-489d-a619-5d1c91ffc495)) + (gr_line (start 82.470836 28.544293) (end 82.484465 28.680115) (layer "F.SilkS") (width 0.00575) (tstamp 77e31b4d-f3dd-44d0-bce8-bc34e4e6737a)) + (gr_line (start 72.330534 16.186954) (end 72.357573 16.206872) (layer "F.SilkS") (width 0.00575) (tstamp 77f7d265-fa80-42b4-b339-9aa93fa06283)) + (gr_line (start 76.357377 18.169916) (end 76.46345 18.212451) (layer "F.SilkS") (width 0.00575) (tstamp 7805ed50-fccf-47c7-b357-d598428077a1)) + (gr_line (start 78.738072 22.502948) (end 78.855986 22.480933) (layer "F.SilkS") (width 0.00575) (tstamp 785297ce-4f1d-4e52-a136-c72b70a04b4c)) + (gr_line (start 80.196788 28.672949) (end 80.1851 28.723596) (layer "F.SilkS") (width 0.00575) (tstamp 7886cd0a-3cc3-4840-bd52-b46771fc1c94)) + (gr_line (start 79.146507 24.490088) (end 78.94218 24.412622) (layer "F.SilkS") (width 0.00575) (tstamp 78898eb6-132d-44d7-a0ef-4034f6cd396a)) + (gr_poly + (pts + (xy 81.057585 28.202356) + (xy 81.057581 28.202506) + (xy 81.05757 28.202654) + (xy 81.057552 28.2028) + (xy 81.057527 28.202944) + (xy 81.057496 28.203085) + (xy 81.057458 28.203224) + (xy 81.057413 28.20336) + (xy 81.057362 28.203492) + (xy 81.057306 28.203622) + (xy 81.057243 28.203748) + (xy 81.057175 28.20387) + (xy 81.057101 28.203989) + (xy 81.057022 28.204104) + (xy 81.056938 28.204214) + (xy 81.056849 28.20432) + (xy 81.056756 28.204422) + (xy 81.056657 28.204519) + (xy 81.056555 28.204611) + (xy 81.056448 28.204698) + (xy 81.056337 28.204779) + (xy 81.056222 28.204855) + (xy 81.056104 28.204926) + (xy 81.055982 28.204991) + (xy 81.055857 28.205049) + (xy 81.055729 28.205102) + (xy 81.055598 28.205148) + (xy 81.055464 28.205187) + (xy 81.055327 28.20522) + (xy 81.055188 28.205246) + (xy 81.055047 28.205264) + (xy 81.054904 28.205276) + (xy 81.054759 28.205279) + (xy 81.054613 28.205276) + (xy 81.05447 28.205264) + (xy 81.054328 28.205246) + (xy 81.054189 28.20522) + (xy 81.054052 28.205187) + (xy 81.053918 28.205148) + (xy 81.053787 28.205102) + (xy 81.053658 28.205049) + (xy 81.053533 28.204991) + (xy 81.053411 28.204926) + (xy 81.053293 28.204855) + (xy 81.053178 28.204779) + (xy 81.053067 28.204698) + (xy 81.052961 28.204611) + (xy 81.052858 28.204519) + (xy 81.05276 28.204422) + (xy 81.052667 28.20432) + (xy 81.052578 28.204214) + (xy 81.052494 28.204104) + (xy 81.052415 28.203989) + (xy 81.052342 28.20387) + (xy 81.052274 28.203748) + (xy 81.052211 28.203622) + (xy 81.052155 28.203492) + (xy 81.052104 28.20336) + (xy 81.05206 28.203224) + (xy 81.052022 28.203085) + (xy 81.05199 28.202944) + (xy 81.051966 28.2028) + (xy 81.051948 28.202654) + (xy 81.051937 28.202506) + (xy 81.051933 28.202356) + (xy 81.051937 28.202205) + (xy 81.051948 28.202055) + (xy 81.051966 28.201908) + (xy 81.05199 28.201764) + (xy 81.052022 28.201621) + (xy 81.05206 28.201482) + (xy 81.052104 28.201346) + (xy 81.052155 28.201212) + (xy 81.052211 28.201082) + (xy 81.052274 28.200956) + (xy 81.052342 28.200833) + (xy 81.052415 28.200713) + (xy 81.052494 28.200598) + (xy 81.052578 28.200488) + (xy 81.052667 28.200381) + (xy 81.05276 28.200279) + (xy 81.052858 28.200182) + (xy 81.052961 28.20009) + (xy 81.053067 28.200003) + (xy 81.053178 28.199921) + (xy 81.053293 28.199845) + (xy 81.053411 28.199774) + (xy 81.053533 28.199709) + (xy 81.053658 28.19965) + (xy 81.053787 28.199598) + (xy 81.053918 28.199552) + (xy 81.054052 28.199512) + (xy 81.054189 28.19948) + (xy 81.054328 28.199454) + (xy 81.05447 28.199435) + (xy 81.054613 28.199424) + (xy 81.054759 28.19942) + (xy 81.054904 28.199424) + (xy 81.055047 28.199435) + (xy 81.055188 28.199454) + (xy 81.055327 28.19948) + (xy 81.055464 28.199512) + (xy 81.055598 28.199552) + (xy 81.055729 28.199598) + (xy 81.055857 28.19965) + (xy 81.055982 28.199709) + (xy 81.056104 28.199774) + (xy 81.056222 28.199845) + (xy 81.056337 28.199921) + (xy 81.056448 28.200003) + (xy 81.056555 28.20009) + (xy 81.056657 28.200182) + (xy 81.056756 28.200279) + (xy 81.056849 28.200381) + (xy 81.056938 28.200488) + (xy 81.057022 28.200598) + (xy 81.057101 28.200713) + (xy 81.057175 28.200833) + (xy 81.057243 28.200956) + (xy 81.057306 28.201082) + (xy 81.057362 28.201212) + (xy 81.057413 28.201346) + (xy 81.057458 28.201482) + (xy 81.057496 28.201621) + (xy 81.057527 28.201764) + (xy 81.057552 28.201908) + (xy 81.05757 28.202055) + (xy 81.057581 28.202205) + (xy 81.057585 28.202356) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 78a536ae-f2ec-42d0-90a9-541cd0425a32)) + (gr_line (start 78.922185 15.117667) (end 78.894029 15.117483) (layer "F.SilkS") (width 0.00575) (tstamp 78e06972-855a-4581-bd58-9d7d45f047ff)) + (gr_line (start 67.572636 23.754925) (end 67.575426 23.758722) (layer "F.SilkS") (width 0.00575) (tstamp 78eb1236-c086-44dc-9f62-ca4f5e84aadd)) + (gr_line (start 76.14456 27.041797) (end 75.974235 26.861175) (layer "F.SilkS") (width 0.00575) (tstamp 790d7e3d-277a-4b91-a810-db56947153bd)) + (gr_line (start 68.749028 15.789148) (end 68.663139 15.855084) (layer "F.SilkS") (width 0.00575) (tstamp 79352d22-17d8-4cb8-b8bc-2269afac003a)) + (gr_line (start 67.795726 15.906747) (end 67.741081 15.879449) (layer "F.SilkS") (width 0.00575) (tstamp 795a0013-0677-4ac0-8ba8-05696c9b0f50)) + (gr_line (start 65.258812 11.019769) (end 65.114158 10.982587) (layer "F.SilkS") (width 0.00575) (tstamp 797a3b0a-b3a5-4208-95bd-28b6971513d6)) + (gr_line (start 67.409251 14.22214) (end 67.413542 14.178393) (layer "F.SilkS") (width 0.00575) (tstamp 797aaa99-5650-47c3-a802-dd2feba5ddf5)) + (gr_line (start 66.791759 17.879413) (end 66.809935 17.67395) (layer "F.SilkS") (width 0.00575) (tstamp 79b387c8-1787-42ba-8c62-2e01806578b8)) + (gr_line (start 72.553282 26.389758) (end 72.605375 26.220679) (layer "F.SilkS") (width 0.00575) (tstamp 79b98561-26a9-452c-a7cb-5c970f7adf4c)) + (gr_line (start 74.654387 24.083655) (end 74.280406 23.980969) (layer "F.SilkS") (width 0.00575) (tstamp 79c9aeec-a8a4-4f24-a081-87d1238daf34)) + (gr_line (start 75.801292 17.805426) (end 75.807762 17.608984) (layer "F.SilkS") (width 0.00575) (tstamp 7a1229ac-d3db-4007-91e3-223aa8b267f8)) + (gr_line (start 63.314927 19.129553) (end 63.258293 18.798126) (layer "F.SilkS") (width 0.00575) (tstamp 7a25a118-1182-410b-922e-c820551bce47)) + (gr_line (start 61.350664 23.341846) (end 61.288078 23.338537) (layer "F.SilkS") (width 0.00575) (tstamp 7a440cc6-bad0-4c8f-a54f-e6e4696bc626)) + (gr_line (start 79.858262 23.677173) (end 79.800755 23.709479) (layer "F.SilkS") (width 0.00575) (tstamp 7a678f25-026e-4f32-b7d4-332316646c8d)) + (gr_line (start 71.952439 21.564396) (end 71.952434 21.564435) (layer "F.SilkS") (width 0.00575) (tstamp 7a81129e-6d98-4e4e-b622-93d04fb6d02a)) + (gr_line (start 67.26436 14.384506) (end 67.39163 14.609698) (layer "F.SilkS") (width 0.00575) (tstamp 7a8e7773-61c1-456b-8aa2-4c2ade495ec0)) + (gr_line (start 66.215269 26.520563) (end 66.074199 26.531946) (layer "F.SilkS") (width 0.00575) (tstamp 7ab64397-91d9-4a61-a674-9925fe8e771d)) + (gr_line (start 64.050688 26.524817) (end 63.974376 26.603558) (layer "F.SilkS") (width 0.00575) (tstamp 7abd8618-e13f-45bc-bee1-977f9bdd0826)) + (gr_line (start 73.66613 30.868243) (end 73.773533 31.160779) (layer "F.SilkS") (width 0.00575) (tstamp 7abeb987-d7d5-4ff8-9979-db4a3e586c05)) + (gr_line (start 64.155546 11.439999) (end 64.123332 11.35888) (layer "F.SilkS") (width 0.00575) (tstamp 7ac0e6c0-ee2c-42b5-9f22-e9bc8bbc7cfa)) + (gr_line (start 78.488969 20.350616) (end 78.502629 20.446362) (layer "F.SilkS") (width 0.00575) (tstamp 7aefd652-378a-45c5-8c72-7c455b554659)) + (gr_line (start 79.988029 32.251428) (end 79.767296 32.249164) (layer "F.SilkS") (width 0.00575) (tstamp 7af5d28f-6adc-4a5e-829f-007e8d99f8e7)) + (gr_line (start 67.633293 14.87297) (end 67.74611 14.950101) (layer "F.SilkS") (width 0.00575) (tstamp 7affabdc-6681-4a83-b777-8d6e01668e31)) + (gr_line (start 78.891533 15.116983) (end 78.830168 15.108758) (layer "F.SilkS") (width 0.00575) (tstamp 7b167443-e9ff-434b-b5b4-424dccee8584)) + (gr_line (start 70.083879 14.104462) (end 70.073497 14.202316) (layer "F.SilkS") (width 0.00575) (tstamp 7b24d23a-6db4-4ed2-bbc6-d81769815eca)) + (gr_line (start 79.067192 28.337207) (end 79.114366 28.296472) (layer "F.SilkS") (width 0.00575) (tstamp 7b6d1d40-e2fa-4df7-ac22-336f5817abd1)) + (gr_line (start 75.220579 13.877939) (end 75.616551 13.958124) (layer "F.SilkS") (width 0.00575) (tstamp 7b9b9b89-ab31-4260-869d-1a81a02373b9)) + (gr_line (start 72.78853 17.029156) (end 72.693834 16.815246) (layer "F.SilkS") (width 0.00575) (tstamp 7bc3da93-9aeb-4ebd-a8d1-b6a2f2e805ea)) + (gr_line (start 64.372239 20.97829) (end 64.058806 20.58692) (layer "F.SilkS") (width 0.00575) (tstamp 7bcf101c-a91f-4953-8f7c-09e80916611d)) + (gr_line (start 67.946611 15.022375) (end 67.97628 15.064358) (layer "F.SilkS") (width 0.00575) (tstamp 7bdd9393-4f2d-43b4-abab-dfdf822db7d8)) + (gr_line (start 61.288328 23.30711) (end 61.343339 23.26543) (layer "F.SilkS") (width 0.00575) (tstamp 7c292755-0936-4b53-b3bb-bf18e1fb8567)) + (gr_line (start 61.485008 21.058996) (end 61.642161 21.107159) (layer "F.SilkS") (width 0.00575) (tstamp 7c2b6430-6103-4731-92b0-e27a1f088b36)) + (gr_line (start 63.584147 19.834265) (end 63.423668 19.475329) (layer "F.SilkS") (width 0.00575) (tstamp 7c5df4b2-6774-4c4b-b1c6-1cceacd4b8df)) + (gr_line (start 76.478526 30.418133) (end 76.462199 30.418127) (layer "F.SilkS") (width 0.00575) (tstamp 7c7996c3-d3ed-4e7e-9381-7cec5c05fa1a)) + (gr_line (start 67.924583 25.599768) (end 67.900657 25.574731) (layer "F.SilkS") (width 0.00575) (tstamp 7c7cb405-bf90-45d0-8fd3-4cd0543cfe29)) + (gr_poly + (pts + (xy 67.547947 31.875537) + (xy 69.38358 31.875537) + (xy 69.38358 32.262195) + (xy 66.343321 32.262195) + (xy 66.343321 28.187402) + (xy 67.547947 28.187402) + (xy 67.547947 31.875537) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 7c927c71-3f60-4a0b-ac61-2e73a8d9a8b3)) + (gr_line (start 68.28549 22.458551) (end 68.341746 22.547113) (layer "F.SilkS") (width 0.00575) (tstamp 7c92f28e-2474-4359-a3a5-582190397959)) + (gr_line (start 77.123338 31.545965) (end 77.126793 31.389252) (layer "F.SilkS") (width 0.00575) (tstamp 7cb5873c-1251-433f-a5d4-21a1ce0376b7)) + (gr_line (start 79.559971 23.516052) (end 79.726475 23.583813) (layer "F.SilkS") (width 0.00575) (tstamp 7d3c4a1a-bf02-48cd-b874-1fc171fc6c34)) + (gr_line (start 68.673778 13.928403) (end 68.595482 13.800064) (layer "F.SilkS") (width 0.00575) (tstamp 7d3dc2c0-5e94-4b87-b926-b4199e622d14)) + (gr_line (start 60.797874 30.433838) (end 60.850047 30.546747) (layer "F.SilkS") (width 0.00575) (tstamp 7d809488-f2b0-4943-9895-d8552a4f9b44)) + (gr_poly + (pts + (xy 73.089976 23.60141) + (xy 73.089973 23.601561) + (xy 73.089962 23.601709) + (xy 73.089944 23.601856) + (xy 73.089919 23.602) + (xy 73.089887 23.602142) + (xy 73.089849 23.602281) + (xy 73.089805 23.602417) + (xy 73.089755 23.60255) + (xy 73.089698 23.60268) + (xy 73.089636 23.602806) + (xy 73.089568 23.602929) + (xy 73.089494 23.603048) + (xy 73.089416 23.603162) + (xy 73.089332 23.603273) + (xy 73.089243 23.603379) + (xy 73.08915 23.603481) + (xy 73.089052 23.603578) + (xy 73.08895 23.60367) + (xy 73.088843 23.603757) + (xy 73.088733 23.603839) + (xy 73.088618 23.603915) + (xy 73.0885 23.603986) + (xy 73.088379 23.60405) + (xy 73.088254 23.604109) + (xy 73.088126 23.604162) + (xy 73.087995 23.604208) + (xy 73.087861 23.604247) + (xy 73.087724 23.60428) + (xy 73.087586 23.604306) + (xy 73.087445 23.604324) + (xy 73.087301 23.604336) + (xy 73.087156 23.604339) + (xy 73.087011 23.604336) + (xy 73.086867 23.604324) + (xy 73.086726 23.604306) + (xy 73.086586 23.60428) + (xy 73.086449 23.604247) + (xy 73.086315 23.604208) + (xy 73.086183 23.604162) + (xy 73.086055 23.604109) + (xy 73.085929 23.60405) + (xy 73.085807 23.603986) + (xy 73.085689 23.603915) + (xy 73.085574 23.603839) + (xy 73.085463 23.603757) + (xy 73.085356 23.60367) + (xy 73.085253 23.603578) + (xy 73.085155 23.603481) + (xy 73.085061 23.603379) + (xy 73.084972 23.603273) + (xy 73.084888 23.603162) + (xy 73.084809 23.603048) + (xy 73.084735 23.602929) + (xy 73.084667 23.602806) + (xy 73.084604 23.60268) + (xy 73.084547 23.60255) + (xy 73.084496 23.602417) + (xy 73.084452 23.602281) + (xy 73.084414 23.602142) + (xy 73.084382 23.602) + (xy 73.084357 23.601856) + (xy 73.084339 23.601709) + (xy 73.084328 23.601561) + (xy 73.084324 23.60141) + (xy 73.084328 23.601259) + (xy 73.084339 23.601109) + (xy 73.084357 23.600962) + (xy 73.084382 23.600818) + (xy 73.084414 23.600676) + (xy 73.084452 23.600537) + (xy 73.084496 23.6004) + (xy 73.084547 23.600267) + (xy 73.084604 23.600137) + (xy 73.084667 23.600011) + (xy 73.084735 23.599888) + (xy 73.084809 23.599769) + (xy 73.084888 23.599655) + (xy 73.084972 23.599544) + (xy 73.085061 23.599438) + (xy 73.085155 23.599336) + (xy 73.085253 23.599239) + (xy 73.085356 23.599147) + (xy 73.085463 23.599061) + (xy 73.085574 23.598979) + (xy 73.085689 23.598903) + (xy 73.085807 23.598833) + (xy 73.085929 23.598768) + (xy 73.086055 23.59871) + (xy 73.086183 23.598657) + (xy 73.086315 23.598611) + (xy 73.086449 23.598572) + (xy 73.086586 23.598539) + (xy 73.086726 23.598514) + (xy 73.086867 23.598495) + (xy 73.087011 23.598484) + (xy 73.087156 23.59848) + (xy 73.087301 23.598484) + (xy 73.087445 23.598495) + (xy 73.087586 23.598514) + (xy 73.087724 23.598539) + (xy 73.087861 23.598572) + (xy 73.087995 23.598611) + (xy 73.088126 23.598657) + (xy 73.088254 23.59871) + (xy 73.088379 23.598768) + (xy 73.0885 23.598833) + (xy 73.088618 23.598903) + (xy 73.088733 23.598979) + (xy 73.088843 23.599061) + (xy 73.08895 23.599147) + (xy 73.089052 23.599239) + (xy 73.08915 23.599336) + (xy 73.089243 23.599438) + (xy 73.089332 23.599544) + (xy 73.089416 23.599655) + (xy 73.089494 23.599769) + (xy 73.089568 23.599888) + (xy 73.089636 23.600011) + (xy 73.089698 23.600137) + (xy 73.089755 23.600267) + (xy 73.089805 23.6004) + (xy 73.089849 23.600537) + (xy 73.089887 23.600676) + (xy 73.089919 23.600818) + (xy 73.089944 23.600962) + (xy 73.089962 23.601109) + (xy 73.089973 23.601259) + (xy 73.089976 23.60141) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 7da20e82-e0ae-4175-bab5-ef3532fa8f76)) + (gr_line (start 72.537077 26.440649) (end 72.553282 26.389758) (layer "F.SilkS") (width 0.00575) (tstamp 7db0eaf1-83be-422d-9fb4-0caf3af67581)) + (gr_line (start 72.685789 18.438556) (end 72.71168 18.527197) (layer "F.SilkS") (width 0.00575) (tstamp 7db89a96-f068-4b9b-bd4d-56217d02d024)) + (gr_line (start 76.648796 16.000882) (end 76.596098 16.133279) (layer "F.SilkS") (width 0.00575) (tstamp 7dc188b3-f7b8-4034-99d0-676fd3cb7726)) + (gr_line (start 68.15999 21.854803) (end 68.078129 21.54162) (layer "F.SilkS") (width 0.00575) (tstamp 7dc8d993-353d-4c75-bb94-595c14015ec9)) + (gr_line (start 67.39163 14.609698) (end 67.437199 14.676562) (layer "F.SilkS") (width 0.00575) (tstamp 7dca80c7-5c12-421f-ac2e-82f46bbd4188)) + (gr_poly + (pts + (xy 70.307438 14.058139) + (xy 70.370225 14.108261) + (xy 70.454094 14.17796) + (xy 70.489738 14.208203) + (xy 70.676658 14.385251) + (xy 70.876085 14.606167) + (xy 71.078551 14.859857) + (xy 71.252458 15.102469) + (xy 71.326536 15.215658) + (xy 71.410325 15.350854) + (xy 71.498741 15.498999) + (xy 71.586705 15.651041) + (xy 71.669133 15.797919) + (xy 71.740941 15.930582) + (xy 71.797032 16.039975) + (xy 71.832335 16.117044) + (xy 71.841905 16.152353) + (xy 71.812425 16.176916) + (xy 71.746379 16.211032) + (xy 71.699418 16.230917) + (xy 71.565172 16.283761) + (xy 71.41829 15.996997) + (xy 71.186088 15.582098) + (xy 70.929814 15.194321) + (xy 70.657432 14.844818) + (xy 70.40562 14.572775) + (xy 70.196776 14.367053) + (xy 70.226622 14.198074) + (xy 70.245842 14.108865) + (xy 70.265074 14.050687) + (xy 70.27722 14.036859) + (xy 70.307438 14.058139) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp 7ddab169-cd51-45ee-bf07-5eeeb489c316)) + (gr_line (start 66.956181 17.318829) (end 66.983116 17.32185) (layer "F.SilkS") (width 0.00575) (tstamp 7df2f26d-9ca9-4f1d-851c-3901ac57134f)) + (gr_line (start 71.905571 29.072186) (end 71.863914 29.18241) (layer "F.SilkS") (width 0.00575) (tstamp 7df71eca-fc61-4534-a237-6a2a09baf179)) + (gr_line (start 75.917454 24.614343) (end 75.997905 24.584686) (layer "F.SilkS") (width 0.00575) (tstamp 7e1e2e40-141f-4f9c-9331-152375752cc2)) + (gr_line (start 61.410777 25.156616) (end 61.457609 25.0427) (layer "F.SilkS") (width 0.00575) (tstamp 7e204c1f-6e6a-438a-b3dd-e88608b2e18c)) + (gr_line (start 75.447191 26.694964) (end 75.340184 26.537005) (layer "F.SilkS") (width 0.00575) (tstamp 7e39f52c-73ef-451d-b86d-900c97e96ada)) + (gr_line (start 72.117039 16.768732) (end 72.121922 16.770383) (layer "F.SilkS") (width 0.00575) (tstamp 7e44b9e7-237f-4da3-a191-bfa472070a3d)) + (gr_line (start 75.63369 25.774603) (end 75.594401 25.918103) (layer "F.SilkS") (width 0.00575) (tstamp 7e522fde-f210-4d98-a4fe-88c73354658f)) + (gr_line (start 64.94395 21.132654) (end 65.320707 21.505023) (layer "F.SilkS") (width 0.00575) (tstamp 7e5b3fc2-0639-4dd9-bbe5-ba90c1d05f53)) + (gr_line (start 78.580424 23.919287) (end 78.543681 24.008514) (layer "F.SilkS") (width 0.00575) (tstamp 7e743e82-53c5-4a57-a1ad-28f6586e25ad)) + (gr_line (start 76.463475 26.565948) (end 76.571031 26.619586) (layer "F.SilkS") (width 0.00575) (tstamp 7ef157c7-a717-4a0f-81eb-eca5e180e3ca)) + (gr_line (start 77.357207 14.236404) (end 77.415904 14.268564) (layer "F.SilkS") (width 0.00575) (tstamp 7f45242c-e290-4d55-94d6-6c72b24bd4cd)) + (gr_line (start 68.78463 14.099353) (end 68.914763 14.070129) (layer "F.SilkS") (width 0.00575) (tstamp 7f56237c-4015-4ebf-83af-6291dbd6b70e)) + (gr_line (start 79.268486 32.232147) (end 79.141948 32.161468) (layer "F.SilkS") (width 0.00575) (tstamp 7f584c65-5b57-42d5-ad3a-9f3bea1454c5)) + (gr_line (start 66.347703 20.203534) (end 66.42285 20.124005) (layer "F.SilkS") (width 0.00575) (tstamp 7f6dd83e-7354-4f32-a0d7-0c53e418332b)) + (gr_line (start 64.114329 10.046402) (end 64.267473 10.196854) (layer "F.SilkS") (width 0.00575) (tstamp 7f734ca2-c83d-46ed-9b28-4c8135f9f79e)) + (gr_line (start 63.948271 18.216174) (end 63.932786 18.084375) (layer "F.SilkS") (width 0.00575) (tstamp 7f830b18-94d5-4831-b211-e0d4be52bac6)) + (gr_poly + (pts + (xy 63.463859 19.954852) + (xy 63.463856 19.955003) + (xy 63.463845 19.955153) + (xy 63.463827 19.9553) + (xy 63.463802 19.955444) + (xy 63.46377 19.955586) + (xy 63.463732 19.955725) + (xy 63.463687 19.955862) + (xy 63.463637 19.955995) + (xy 63.46358 19.956125) + (xy 63.463517 19.956251) + (xy 63.463449 19.956374) + (xy 63.463376 19.956493) + (xy 63.463297 19.956607) + (xy 63.463213 19.956718) + (xy 63.463124 19.956824) + (xy 63.46303 19.956926) + (xy 63.462932 19.957023) + (xy 63.462829 19.957115) + (xy 63.462722 19.957201) + (xy 63.462612 19.957283) + (xy 63.462497 19.957359) + (xy 63.462379 19.957429) + (xy 63.462257 19.957494) + (xy 63.462132 19.957552) + (xy 63.462003 19.957605) + (xy 63.461872 19.957651) + (xy 63.461738 19.95769) + (xy 63.461602 19.957723) + (xy 63.461463 19.957748) + (xy 63.461322 19.957767) + (xy 63.461178 19.957778) + (xy 63.461033 19.957782) + (xy 63.460888 19.957778) + (xy 63.460744 19.957767) + (xy 63.460603 19.957748) + (xy 63.460463 19.957723) + (xy 63.460327 19.95769) + (xy 63.460192 19.957651) + (xy 63.460061 19.957605) + (xy 63.459933 19.957552) + (xy 63.459807 19.957494) + (xy 63.459686 19.957429) + (xy 63.459567 19.957359) + (xy 63.459453 19.957283) + (xy 63.459342 19.957201) + (xy 63.459235 19.957115) + (xy 63.459133 19.957023) + (xy 63.459035 19.956926) + (xy 63.458941 19.956824) + (xy 63.458852 19.956718) + (xy 63.458768 19.956607) + (xy 63.45869 19.956493) + (xy 63.458616 19.956374) + (xy 63.458548 19.956251) + (xy 63.458486 19.956125) + (xy 63.458429 19.955995) + (xy 63.458379 19.955862) + (xy 63.458334 19.955725) + (xy 63.458296 19.955586) + (xy 63.458265 19.955444) + (xy 63.45824 19.9553) + (xy 63.458222 19.955153) + (xy 63.458211 19.955003) + (xy 63.458207 19.954852) + (xy 63.458211 19.954701) + (xy 63.458222 19.954553) + (xy 63.45824 19.954406) + (xy 63.458265 19.954262) + (xy 63.458296 19.95412) + (xy 63.458334 19.953981) + (xy 63.458379 19.953845) + (xy 63.458429 19.953712) + (xy 63.458486 19.953582) + (xy 63.458548 19.953456) + (xy 63.458616 19.953333) + (xy 63.45869 19.953214) + (xy 63.458768 19.9531) + (xy 63.458852 19.952989) + (xy 63.458941 19.952883) + (xy 63.459035 19.952781) + (xy 63.459133 19.952684) + (xy 63.459235 19.952592) + (xy 63.459342 19.952505) + (xy 63.459453 19.952423) + (xy 63.459567 19.952347) + (xy 63.459686 19.952276) + (xy 63.459807 19.952211) + (xy 63.459933 19.952153) + (xy 63.460061 19.9521) + (xy 63.460192 19.952054) + (xy 63.460327 19.952015) + (xy 63.460463 19.951982) + (xy 63.460603 19.951956) + (xy 63.460744 19.951938) + (xy 63.460888 19.951926) + (xy 63.461033 19.951922) + (xy 63.461178 19.951926) + (xy 63.461322 19.951938) + (xy 63.461463 19.951956) + (xy 63.461602 19.951982) + (xy 63.461738 19.952015) + (xy 63.461872 19.952054) + (xy 63.462003 19.9521) + (xy 63.462132 19.952153) + (xy 63.462257 19.952211) + (xy 63.462379 19.952276) + (xy 63.462497 19.952347) + (xy 63.462612 19.952423) + (xy 63.462722 19.952505) + (xy 63.462829 19.952592) + (xy 63.462932 19.952684) + (xy 63.46303 19.952781) + (xy 63.463124 19.952883) + (xy 63.463213 19.952989) + (xy 63.463297 19.9531) + (xy 63.463376 19.953214) + (xy 63.463449 19.953333) + (xy 63.463517 19.953456) + (xy 63.46358 19.953582) + (xy 63.463637 19.953712) + (xy 63.463687 19.953845) + (xy 63.463732 19.953981) + (xy 63.46377 19.95412) + (xy 63.463802 19.954262) + (xy 63.463827 19.954406) + (xy 63.463845 19.954553) + (xy 63.463856 19.954701) + (xy 63.463859 19.954852) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 7f88d9fb-6804-4844-b0a0-1874ef149a44)) + (gr_line (start 75.568144 26.102167) (end 75.552678 26.33537) (layer "F.SilkS") (width 0.00575) (tstamp 7f91b54f-12dc-49aa-944f-9042eaea9d4e)) + (gr_line (start 67.106627 11.076953) (end 67.149669 11.181796) (layer "F.SilkS") (width 0.00575) (tstamp 7f98aa3b-65eb-4691-8807-89cef5f4ba2a)) + (gr_line (start 69.442936 28.078119) (end 69.15388 27.770569) (layer "F.SilkS") (width 0.00575) (tstamp 7fa6baca-d777-4324-8fd5-d6c493c9739a)) + (gr_line (start 72.672624 22.719104) (end 73.212529 22.192615) (layer "F.SilkS") (width 0.00575) (tstamp 7fa80fa0-3d95-4b67-a134-2d8a53db9b6c)) + (gr_poly + (pts + (xy 73.401823 19.426819) + (xy 73.40182 19.426969) + (xy 73.401809 19.427118) + (xy 73.401791 19.427265) + (xy 73.401766 19.427409) + (xy 73.401734 19.427551) + (xy 73.401696 19.42769) + (xy 73.401652 19.427826) + (xy 73.401601 19.427959) + (xy 73.401545 19.428089) + (xy 73.401483 19.428215) + (xy 73.401415 19.428338) + (xy 73.401341 19.428456) + (xy 73.401263 19.428571) + (xy 73.401179 19.428682) + (xy 73.40109 19.428788) + (xy 73.400997 19.42889) + (xy 73.400899 19.428987) + (xy 73.400797 19.429079) + (xy 73.40069 19.429166) + (xy 73.40058 19.429248) + (xy 73.400465 19.429324) + (xy 73.400347 19.429395) + (xy 73.400225 19.429459) + (xy 73.400101 19.429518) + (xy 73.399973 19.429571) + (xy 73.399842 19.429617) + (xy 73.399708 19.429656) + (xy 73.399571 19.429689) + (xy 73.399433 19.429715) + (xy 73.399292 19.429733) + (xy 73.399148 19.429745) + (xy 73.399003 19.429748) + (xy 73.398858 19.429745) + (xy 73.398714 19.429733) + (xy 73.398573 19.429715) + (xy 73.398433 19.429689) + (xy 73.398297 19.429656) + (xy 73.398162 19.429617) + (xy 73.398031 19.429571) + (xy 73.397903 19.429518) + (xy 73.397777 19.429459) + (xy 73.397656 19.429395) + (xy 73.397537 19.429324) + (xy 73.397423 19.429248) + (xy 73.397312 19.429166) + (xy 73.397205 19.429079) + (xy 73.397103 19.428987) + (xy 73.397004 19.42889) + (xy 73.396911 19.428788) + (xy 73.396822 19.428682) + (xy 73.396738 19.428571) + (xy 73.39666 19.428456) + (xy 73.396586 19.428338) + (xy 73.396518 19.428215) + (xy 73.396456 19.428089) + (xy 73.396399 19.427959) + (xy 73.396349 19.427826) + (xy 73.396304 19.42769) + (xy 73.396266 19.427551) + (xy 73.396235 19.427409) + (xy 73.39621 19.427265) + (xy 73.396192 19.427118) + (xy 73.396181 19.426969) + (xy 73.396177 19.426819) + (xy 73.396181 19.426668) + (xy 73.396192 19.426519) + (xy 73.39621 19.426373) + (xy 73.396235 19.426228) + (xy 73.396266 19.426087) + (xy 73.396304 19.425948) + (xy 73.396349 19.425812) + (xy 73.396399 19.425679) + (xy 73.396456 19.425549) + (xy 73.396518 19.425423) + (xy 73.396586 19.4253) + (xy 73.39666 19.425181) + (xy 73.396738 19.425066) + (xy 73.396822 19.424955) + (xy 73.396911 19.424849) + (xy 73.397004 19.424747) + (xy 73.397103 19.42465) + (xy 73.397205 19.424558) + (xy 73.397312 19.424471) + (xy 73.397423 19.42439) + (xy 73.397537 19.424313) + (xy 73.397656 19.424243) + (xy 73.397777 19.424178) + (xy 73.397903 19.424119) + (xy 73.398031 19.424067) + (xy 73.398162 19.424021) + (xy 73.398297 19.423981) + (xy 73.398433 19.423949) + (xy 73.398573 19.423923) + (xy 73.398714 19.423904) + (xy 73.398858 19.423893) + (xy 73.399003 19.423889) + (xy 73.399148 19.423893) + (xy 73.399292 19.423904) + (xy 73.399433 19.423923) + (xy 73.399571 19.423949) + (xy 73.399708 19.423981) + (xy 73.399842 19.424021) + (xy 73.399973 19.424067) + (xy 73.400101 19.424119) + (xy 73.400225 19.424178) + (xy 73.400347 19.424243) + (xy 73.400465 19.424313) + (xy 73.40058 19.42439) + (xy 73.40069 19.424471) + (xy 73.400797 19.424558) + (xy 73.400899 19.42465) + (xy 73.400997 19.424747) + (xy 73.40109 19.424849) + (xy 73.401179 19.424955) + (xy 73.401263 19.425066) + (xy 73.401341 19.425181) + (xy 73.401415 19.4253) + (xy 73.401483 19.425423) + (xy 73.401545 19.425549) + (xy 73.401601 19.425679) + (xy 73.401652 19.425812) + (xy 73.401696 19.425948) + (xy 73.401734 19.426087) + (xy 73.401766 19.426228) + (xy 73.401791 19.426373) + (xy 73.401809 19.426519) + (xy 73.40182 19.426668) + (xy 73.401823 19.426819) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp 7fbcd883-7cb2-4225-adfd-f1e78b2bf582)) + (gr_line (start 72.654338 18.537158) (end 72.575694 18.461322) (layer "F.SilkS") (width 0.00575) (tstamp 800e5624-a738-469d-b8e8-73688ec2119f)) + (gr_line (start 73.39539 19.448974) (end 73.389134 19.42417) (layer "F.SilkS") (width 0.00575) (tstamp 800fdfa1-f2ed-4c73-8579-43aac9a77dd7)) + (gr_line (start 75.942454 14.804205) (end 75.848765 14.742728) (layer "F.SilkS") (width 0.00575) (tstamp 8021ac37-fcf7-47b9-b436-8f0ed0598e5b)) + (gr_line (start 70.086082 13.971912) (end 70.083879 14.104462) (layer "F.SilkS") (width 0.00575) (tstamp 80231f9d-2662-4606-8c36-08438d77dd1a)) + (gr_line (start 68.424309 11.960159) (end 68.471409 12.069498) (layer "F.SilkS") (width 0.00575) (tstamp 805da05c-68a4-4898-acf6-6ed2333199c0)) + (gr_line (start 69.576176 24.557214) (end 69.434739 24.497589) (layer "F.SilkS") (width 0.00575) (tstamp 806ccd4d-32f4-4159-92bc-5abfdf78af6e)) + (gr_line (start 60.966667 21.124164) (end 61.134733 21.06546) (layer "F.SilkS") (width 0.00575) (tstamp 807ab22e-bac7-4355-8f25-5ae49171191e)) + (gr_line (start 65.130784 9.230667) (end 65.184752 9.264755) (layer "F.SilkS") (width 0.00575) (tstamp 808014f8-255f-48c3-a0c1-1da8867684a1)) + (gr_line (start 75.78798 14.011838) (end 75.891942 14.046823) (layer "F.SilkS") (width 0.00575) (tstamp 808910e1-e5e1-4393-8eb4-b91638882349)) + (gr_line (start 68.663139 15.855084) (end 68.594829 15.898413) (layer "F.SilkS") (width 0.00575) (tstamp 80b44968-887f-48dc-8131-7297a3a21ec2)) + (gr_line (start 71.600895 28.679065) (end 71.843913 28.509363) (layer "F.SilkS") (width 0.00575) (tstamp 810e3fe3-545e-4192-a7f3-3c09da93c8ca)) + (gr_line (start 76.975181 13.867718) (end 77.279313 13.870706) (layer "F.SilkS") (width 0.00575) (tstamp 811c4d6a-4b88-4fb3-91b4-33195a43f9ec)) + (gr_line (start 63.562535 25.712756) (end 63.441319 25.601416) (layer "F.SilkS") (width 0.00575) (tstamp 811ec468-7e76-42bb-a3c1-5e9bb7508612)) + (gr_line (start 70.863463 17.588202) (end 71.132921 17.683398) (layer "F.SilkS") (width 0.00575) (tstamp 81282eb3-e1d1-4da1-873b-a3be308e45c2)) + (gr_line (start 65.571727 9.82308) (end 65.460136 9.743887) (layer "F.SilkS") (width 0.00575) (tstamp 819e7af3-3744-48b7-bf65-d0d75c1f08c5)) + (gr_line (start 61.762456 20.889526) (end 61.634984 20.774664) (layer "F.SilkS") (width 0.00575) (tstamp 81ba0731-b65a-4c33-8fef-aba159861bac)) + (gr_line (start 68.907036 12.88724) (end 69.030443 12.944678) (layer "F.SilkS") (width 0.00575) (tstamp 81e91aae-79e5-4303-bfed-b89ea5930b1b)) + (gr_line (start 78.229808 30.051593) (end 78.160179 30.124353) (layer "F.SilkS") (width 0.00575) (tstamp 8201cbed-371e-448b-b01f-2a021ce03504)) + (gr_line (start 77.593846 24.649975) (end 77.69293 24.712286) (layer "F.SilkS") (width 0.00575) (tstamp 82280489-2887-4419-92b0-dd8c50cd98ec)) + (gr_line (start 70.784831 25.012042) (end 70.706767 24.989844) (layer "F.SilkS") (width 0.00575) (tstamp 822cf5a1-ee3d-46bb-a1fa-6a18cf6a6283)) + (gr_line (start 65.320707 21.505023) (end 65.732341 21.873065) (layer "F.SilkS") (width 0.00575) (tstamp 8263e45e-51a1-4424-b6f1-6a60f0dda256)) + (gr_line (start 61.271049 21.363226) (end 61.098344 21.314014) (layer "F.SilkS") (width 0.00575) (tstamp 82988c87-1024-4097-b850-eecfbfe9d4fd)) + (gr_line (start 62.310753 25.009534) (end 62.331151 25.02381) (layer "F.SilkS") (width 0.00575) (tstamp 82b32c76-828f-4613-8cba-1053b69a7fb7)) + (gr_line (start 77.291026 24.487585) (end 77.342723 24.51383) (layer "F.SilkS") (width 0.00575) (tstamp 83068772-74b2-4385-8224-dd3928d7ed28)) + (gr_line (start 65.111284 9.234329) (end 65.110008 9.224704) (layer "F.SilkS") (width 0.00575) (tstamp 837653f8-8dcf-4f23-931f-4f4240a80622)) + (gr_line (start 70.012291 13.572903) (end 70.056547 13.689197) (layer "F.SilkS") (width 0.00575) (tstamp 839be6e0-bd14-43ea-aacb-2281774b0caa)) + (gr_line (start 61.586522 22.98349) (end 61.640715 22.820477) (layer "F.SilkS") (width 0.00575) (tstamp 83c76e57-a0a1-415f-8454-6629a89b4037)) + (gr_line (start 68.082664 12.683871) (end 68.027238 12.587533) (layer "F.SilkS") (width 0.00575) (tstamp 83d10dda-aa62-46da-a185-c57787737c51)) + (gr_line (start 76.953038 24.232678) (end 77.093821 24.364538) (layer "F.SilkS") (width 0.00575) (tstamp 83dff94f-56ac-441c-b560-512f4b306693)) + (gr_line (start 65.616691 9.521191) (end 65.774296 9.574829) (layer "F.SilkS") (width 0.00575) (tstamp 84472ed4-9d8d-41c9-8d19-614479015319)) + (gr_line (start 73.050505 25.705902) (end 73.050432 25.73938) (layer "F.SilkS") (width 0.00575) (tstamp 84473f02-128e-4fde-9ac0-859a30b04871)) + (gr_line (start 61.812126 25.053253) (end 61.646763 25.169855) (layer "F.SilkS") (width 0.00575) (tstamp 8464dcce-953c-4f6b-b12c-ea0166cc973f)) + (gr_line (start 76.592448 18.267706) (end 76.734782 18.331335) (layer "F.SilkS") (width 0.00575) (tstamp 846688ff-6ac5-4314-8a3c-0290456f74a9)) + (gr_line (start 72.950218 14.644733) (end 73.171495 14.427673) (layer "F.SilkS") (width 0.00575) (tstamp 847c4d16-c7b6-4f41-bdc8-0aa01e37dc5f)) + (gr_line (start 69.541325 23.163574) (end 69.359758 23.106903) (layer "F.SilkS") (width 0.00575) (tstamp 84c25477-d704-43a5-aeb9-13cd67c81127)) + (gr_line (start 67.797307 24.359277) (end 67.808409 24.395172) (layer "F.SilkS") (width 0.00575) (tstamp 84c84859-1d27-4cd4-ab3c-4d4134a143e3)) + (gr_line (start 67.467113 15.691003) (end 67.33997 15.558652) (layer "F.SilkS") (width 0.00575) (tstamp 84ede707-5f7c-4974-8564-e2038fd86cca)) + (gr_line (start 77.516575 14.142309) (end 77.404313 14.173196) (layer "F.SilkS") (width 0.00575) (tstamp 84f4ea70-f906-4cd5-ac83-2f8d683fed77)) + (gr_line (start 67.57924 11.464981) (end 67.728435 11.516385) (layer "F.SilkS") (width 0.00575) (tstamp 84fac40c-8cff-416f-af5d-68d4fd7cb25a)) + (gr_line (start 78.010612 15.759827) (end 77.904765 15.704715) (layer "F.SilkS") (width 0.00575) (tstamp 8500ea72-5935-4bf9-9855-70f2a8630557)) + (gr_line (start 67.228038 23.532623) (end 67.353044 23.608972) (layer "F.SilkS") (width 0.00575) (tstamp 85019331-150c-466f-a70b-63cb02c86af2)) + (gr_line (start 62.381285 21.701019) (end 62.405107 21.782361) (layer "F.SilkS") (width 0.00575) (tstamp 854efb20-74a6-499f-9428-a800c849209b)) + (gr_line (start 71.12357 31.474005) (end 70.996904 31.868103) (layer "F.SilkS") (width 0.00575) (tstamp 855fa0a6-9c88-44ba-a540-b7a4dca38284)) + (gr_line (start 72.515623 15.798245) (end 72.554472 15.477624) (layer "F.SilkS") (width 0.00575) (tstamp 85635d39-39dc-4ea3-8569-60614ed4a7a9)) + (gr_line (start 62.773771 22.548779) (end 62.775999 22.558545) (layer "F.SilkS") (width 0.00575) (tstamp 858a211c-3d52-414c-bce3-9b93c9650b56)) + (gr_line (start 67.289818 16.666934) (end 67.289818 16.667261) (layer "F.SilkS") (width 0.00575) (tstamp 85910391-4acc-44d3-a996-cb11dcd59d1a)) + (gr_line (start 63.571702 18.457538) (end 63.569871 18.698407) (layer "F.SilkS") (width 0.00575) (tstamp 85a33dda-860a-49ff-a8fd-2973f5e2a428)) + (gr_line (start 75.724833 26.092309) (end 75.730906 25.999902) (layer "F.SilkS") (width 0.00575) (tstamp 85aceab4-2c86-4965-8ecf-6fd04db3d6b4)) + (gr_line (start 73.281352 23.951721) (end 73.273375 24.07439) (layer "F.SilkS") (width 0.00575) (tstamp 85b9e1fe-78a6-42d5-81a4-2c9fc4ba80c1)) + (gr_line (start 63.668516 26.227716) (end 63.679185 26.400439) (layer "F.SilkS") (width 0.00575) (tstamp 85bce6dc-21f0-4821-a1b9-6397040e6e57)) + (gr_line (start 68.627775 12.525067) (end 68.682445 12.65777) (layer "F.SilkS") (width 0.00575) (tstamp 85d25a60-2c9f-4fd5-824a-6546d2f5785e)) + (gr_line (start 65.940019 16.76448) (end 66.01411 16.764468) (layer "F.SilkS") (width 0.00575) (tstamp 85f48f5b-8e2c-4466-a4dd-1e4710b9f0dd)) + (gr_line (start 74.108391 18.380157) (end 73.791423 18.16026) (layer "F.SilkS") (width 0.00575) (tstamp 8632fc43-3114-4acd-8731-536086c430c7)) + (gr_line (start 62.214689 25.860455) (end 62.213164 25.794214) (layer "F.SilkS") (width 0.00575) (tstamp 864008af-2635-4251-97e3-e1502fbaf0d0)) + (gr_line (start 68.580485 15.425125) (end 68.473497 15.420727) (layer "F.SilkS") (width 0.00575) (tstamp 8659b953-ef54-4591-aa2a-9f9899d02bca)) + (gr_line (start 64.376829 25.571069) (end 64.376603 25.570019) (layer "F.SilkS") (width 0.00575) (tstamp 8676e167-5be3-446c-b997-cddb784a27a7)) + (gr_line (start 75.360539 25.317786) (end 75.403764 25.268054) (layer "F.SilkS") (width 0.00575) (tstamp 86a9d8ae-f54d-4ed1-89ef-61e9afced4c0)) + (gr_line (start 72.725401 18.572986) (end 72.732744 18.606219) (layer "F.SilkS") (width 0.00575) (tstamp 86bd319d-bb36-434a-b343-c1eb5653969f)) + (gr_line (start 82.495561 30.430133) (end 82.50045 30.524756) (layer "F.SilkS") (width 0.00575) (tstamp 86d0dac5-c5d9-4b20-9fee-7a350b756c0a)) + (gr_line (start 72.950181 24.161383) (end 72.958439 24.008514) (layer "F.SilkS") (width 0.00575) (tstamp 86d8e943-a5df-4aa0-a79c-8bf685430e20)) + (gr_line (start 70.454094 14.17796) (end 70.489738 14.208203) (layer "F.SilkS") (width 0.00575) (tstamp 870498f6-2c7a-4703-bd10-94ffd442c400)) + (gr_line (start 77.059709 30.440478) (end 76.985606 30.430475) (layer "F.SilkS") (width 0.00575) (tstamp 87102a3f-962b-4929-9772-78ce4aff62f1)) + (gr_line (start 71.253221 31.072479) (end 71.924571 31.072479) (layer "F.SilkS") (width 0.00575) (tstamp 872a3d59-94c6-4727-b22d-b9adf138df4b)) + (gr_line (start 63.437712 19.959827) (end 63.451713 19.946899) (layer "F.SilkS") (width 0.00575) (tstamp 874d8567-62db-4ec4-a24d-6b4a7b4e55d0)) + (gr_line (start 67.058092 18.625037) (end 67.024296 19.279376) (layer "F.SilkS") (width 0.00575) (tstamp 87572755-2a62-4253-9f40-b9e4ae2574e3)) + (gr_line (start 82.447038 30.240729) (end 82.46447 30.27467) (layer "F.SilkS") (width 0.00575) (tstamp 878ce6a3-55c5-488d-a56d-3b628680ef83)) + (gr_line (start 69.361259 24.11145) (end 69.670384 24.246802) (layer "F.SilkS") (width 0.00575) (tstamp 881b7d88-a927-407a-a5d5-2dde3f788e47)) + (gr_line (start 64.197008 11.353171) (end 64.23798 11.385293) (layer "F.SilkS") (width 0.00575) (tstamp 88434df6-9b2d-4f23-b49d-6b85d884eecb)) + (gr_line (start 62.794243 32.255401) (end 62.242393 32.263476) (layer "F.SilkS") (width 0.00575) (tstamp 8848ff6e-8bdb-439f-8938-804152ef3f31)) + (gr_line (start 81.250596 30.427319) (end 81.214421 30.421301) (layer "F.SilkS") (width 0.00575) (tstamp 886a58a2-8a1e-4b3f-a9d1-44b3be631740)) + (gr_line (start 66.922123 10.345727) (end 66.95095 10.4276) (layer "F.SilkS") (width 0.00575) (tstamp 886c8fa4-ce2e-40ec-9fd0-ed9d014be6d9)) + (gr_line (start 66.551848 20.383032) (end 66.502824 20.474676) (layer "F.SilkS") (width 0.00575) (tstamp 887a70d4-8e49-469b-a283-bff451b228c3)) + (gr_line (start 67.413542 14.178393) (end 67.436711 14.06626) (layer "F.SilkS") (width 0.00575) (tstamp 8881004f-18b8-4b65-8bbb-d358044916d4)) + (gr_line (start 66.809935 17.67395) (end 66.828508 17.506689) (layer "F.SilkS") (width 0.00575) (tstamp 88b4bd2b-d651-4f28-858a-1d902959fa16)) + (gr_line (start 74.532481 25.514044) (end 74.49312 25.567132) (layer "F.SilkS") (width 0.00575) (tstamp 88ba604c-503f-4971-a06a-628978302eaf)) + (gr_line (start 70.820707 16.809332) (end 71.412077 16.528674) (layer "F.SilkS") (width 0.00575) (tstamp 88ed531c-5154-4bf2-95ce-e1f67669ca57)) + (gr_line (start 78.002403 32.247327) (end 74.660991 32.264032) (layer "F.SilkS") (width 0.00575) (tstamp 88fac006-3d63-4ada-8de2-73b4c06323ce)) + (gr_line (start 71.055485 28.868115) (end 71.109428 28.858752) (layer "F.SilkS") (width 0.00575) (tstamp 891c6091-d2f4-457a-94b5-21e37cc8945d)) + (gr_line (start 75.847023 21.434844) (end 75.847104 21.434812) (layer "F.SilkS") (width 0.00575) (tstamp 891f1466-aec2-4913-9669-5f246019fa2f)) + (gr_line (start 71.986265 25.34068) (end 71.490269 25.218426) (layer "F.SilkS") (width 0.00575) (tstamp 8943f61f-cdf3-4c63-9dd0-d78a1c98d980)) + (gr_line (start 62.513884 24.860956) (end 62.415489 24.841955) (layer "F.SilkS") (width 0.00575) (tstamp 8947e941-82eb-4feb-95eb-e5c46d51bf5e)) + (gr_line (start 73.773533 31.160779) (end 73.871574 31.428003) (layer "F.SilkS") (width 0.00575) (tstamp 8953cc4b-db40-4e7f-b6cd-20f6f61dc5bb)) + (gr_line (start 81.987315 30.020245) (end 82.084172 30.025677) (layer "F.SilkS") (width 0.00575) (tstamp 89613a91-d611-4ccd-ab1b-e8a7fb8849b5)) + (gr_line (start 76.440892 20.828339) (end 76.503721 20.562707) (layer "F.SilkS") (width 0.00575) (tstamp 898925ad-a003-4668-adc0-dda592d637cf)) + (gr_line (start 80.764543 30.002576) (end 80.985362 30.005426) (layer "F.SilkS") (width 0.00575) (tstamp 89a35060-71a6-4195-b673-8a447815da57)) + (gr_line (start 69.778752 24.636017) (end 69.694078 24.604645) (layer "F.SilkS") (width 0.00575) (tstamp 89cd6734-5ffc-4806-8fff-3f3932cc194a)) + (gr_line (start 78.262974 29.987762) (end 78.248765 30.021704) (layer "F.SilkS") (width 0.00575) (tstamp 89d90b7c-5b40-4dfe-ba50-533fd2944de4)) + (gr_line (start 66.974571 16.638278) (end 66.974445 16.636858) (layer "F.SilkS") (width 0.00575) (tstamp 89e22dc7-7620-41a1-9ea2-597b700e6243)) + (gr_line (start 66.101848 14.43689) (end 65.908122 14.4587) (layer "F.SilkS") (width 0.00575) (tstamp 8a06a98f-aefa-412e-b7f7-5662b4fcc792)) + (gr_line (start 66.786009 14.780899) (end 66.721129 14.707303) (layer "F.SilkS") (width 0.00575) (tstamp 8a0ef2e3-8d1c-48a0-a594-c0d6dd3db057)) + (gr_line (start 70.489738 14.208203) (end 70.676658 14.385251) (layer "F.SilkS") (width 0.00575) (tstamp 8a1ad26f-ead1-4abc-b367-818fbd02520b)) + (gr_line (start 68.155174 25.502942) (end 68.097233 25.535419) (layer "F.SilkS") (width 0.00575) (tstamp 8a53cbcd-dc9a-4825-beda-e902fc4ae1c5)) + (gr_line (start 73.687852 22.158392) (end 73.179118 22.67724) (layer "F.SilkS") (width 0.00575) (tstamp 8a5b819f-dadc-4a87-8b5c-9f66fa47928e)) + (gr_line (start 80.205974 30.388391) (end 79.197209 30.373517) (layer "F.SilkS") (width 0.00575) (tstamp 8a5e47a7-9865-444f-ba63-2d55a9d2ca30)) + (gr_line (start 67.50648 13.870837) (end 67.546843 13.792941) (layer "F.SilkS") (width 0.00575) (tstamp 8a5fd666-c80f-428e-a71f-edf860276ae2)) + (gr_line (start 63.415526 14.469025) (end 63.450468 14.302081) (layer "F.SilkS") (width 0.00575) (tstamp 8a646271-999e-4eff-ac8b-c13eb72e48ee)) + (gr_line (start 79.457689 28.210327) (end 79.57548 28.207074) (layer "F.SilkS") (width 0.00575) (tstamp 8a6f8da2-1805-47df-8a19-a2fa79aa5b70)) + (gr_line (start 63.866661 19.682831) (end 64.063414 20.031036) (layer "F.SilkS") (width 0.00575) (tstamp 8a8353d1-64f2-478b-a3db-795716db1cb2)) + (gr_line (start 64.644237 12.066083) (end 64.43383 11.882284) (layer "F.SilkS") (width 0.00575) (tstamp 8aa2f344-8855-41b4-ad5f-ce15c999d520)) + (gr_line (start 82.442186 30.232501) (end 82.447038 30.240729) (layer "F.SilkS") (width 0.00575) (tstamp 8ab27e25-af10-4b04-950c-f7ab7b329126)) + (gr_line (start 78.973692 28.663446) (end 78.985661 28.543005) (layer "F.SilkS") (width 0.00575) (tstamp 8abde2e5-ee47-4f3f-8ada-54b8881033b3)) + (gr_line (start 72.56328 30.944025) (end 72.526146 30.823437) (layer "F.SilkS") (width 0.00575) (tstamp 8ad7777e-0d73-44eb-8a58-2b0868e9bf44)) + (gr_line (start 62.100444 25.070984) (end 62.209349 25.021808) (layer "F.SilkS") (width 0.00575) (tstamp 8b2a7b26-c953-41d3-b1db-33806d2c12eb)) + (gr_line (start 67.436711 14.06626) (end 67.47772 13.93931) (layer "F.SilkS") (width 0.00575) (tstamp 8b4751b7-39bd-4a37-b89a-84511abf2b99)) + (gr_line (start 68.132036 23.003784) (end 68.062394 23.097418) (layer "F.SilkS") (width 0.00575) (tstamp 8b758acf-1c93-4d46-b127-777064580eba)) + (gr_line (start 62.136656 20.80954) (end 62.223015 20.937622) (layer "F.SilkS") (width 0.00575) (tstamp 8b820fef-ecd8-488d-91da-9a0096050833)) + (gr_line (start 70.196776 14.367047) (end 70.226622 14.198068) (layer "F.SilkS") (width 0.00575) (tstamp 8b9b785b-0bc0-4fc7-a568-c879d0a36ac7)) + (gr_line (start 76.776072 26.688061) (end 76.772343 26.656634) (layer "F.SilkS") (width 0.00575) (tstamp 8ba9d15d-61a5-45df-9b1b-7690c475649b)) + (gr_line (start 66.33336 9.74649) (end 66.392625 9.772372) (layer "F.SilkS") (width 0.00575) (tstamp 8bbd09da-5715-48b7-add3-4c34a5b96650)) + (gr_line (start 64.180278 25.383398) (end 64.207109 25.525409) (layer "F.SilkS") (width 0.00575) (tstamp 8bbec434-d4a2-4f03-9304-ada051aee62b)) + (gr_line (start 80.203087 29.949057) (end 80.203299 29.94936) (layer "F.SilkS") (width 0.00575) (tstamp 8bc2fcb6-3e5e-49a4-93dc-20fda659ce40)) + (gr_line (start 73.722697 19.651337) (end 73.871153 19.760614) (layer "F.SilkS") (width 0.00575) (tstamp 8bee9fbf-54d0-4d9e-b7b8-0807b05335a1)) + (gr_line (start 79.158775 16.724704) (end 79.114348 16.683325) (layer "F.SilkS") (width 0.00575) (tstamp 8beefe58-3d76-4749-9a30-3e9726a3965e)) + (gr_line (start 75.846531 21.435034) (end 75.84686 21.434908) (layer "F.SilkS") (width 0.00575) (tstamp 8c1b7f52-2e43-40f0-b428-ca9c61779ace)) + (gr_line (start 67.90894 15.010983) (end 67.946611 15.022375) (layer "F.SilkS") (width 0.00575) (tstamp 8c3234e5-1a19-49f4-ab2c-b81e081d7918)) + (gr_line (start 67.124254 17.343072) (end 67.133885 17.345471) (layer "F.SilkS") (width 0.00575) (tstamp 8c3c110f-2abc-4988-88de-dcc0174112ce)) + (gr_line (start 72.537864 17.936688) (end 72.590452 18.109015) (layer "F.SilkS") (width 0.00575) (tstamp 8c54d7fc-7a7d-4303-bacf-27bd8a8cf3e6)) + (gr_line (start 75.246074 16.432587) (end 75.002379 16.309268) (layer "F.SilkS") (width 0.00575) (tstamp 8c62cc13-ee50-403d-b193-7e86ea05012f)) + (gr_line (start 74.163457 19.982709) (end 74.280333 20.075287) (layer "F.SilkS") (width 0.00575) (tstamp 8ca05ad7-48ca-45d1-a61c-8ce2708e7989)) + (gr_line (start 73.806602 21.552692) (end 74.245933 21.025171) (layer "F.SilkS") (width 0.00575) (tstamp 8caf2677-aa38-4172-82c7-0543cd204786)) + (gr_line (start 71.717509 19.861786) (end 71.492363 19.597021) (layer "F.SilkS") (width 0.00575) (tstamp 8cbd7186-4f3c-414e-81c0-d0e5b8c67036)) + (gr_line (start 72.100157 23.645215) (end 71.537895 24.089691) (layer "F.SilkS") (width 0.00575) (tstamp 8cbe839b-150a-4e05-a9f8-745c4e916a64)) + (gr_line (start 67.072307 18.375122) (end 67.059855 18.591431) (layer "F.SilkS") (width 0.00575) (tstamp 8cc3fb96-340d-4f68-8812-f830cd246b93)) + (gr_line (start 71.699412 16.230911) (end 71.565165 16.283755) (layer "F.SilkS") (width 0.00575) (tstamp 8cccaec6-f41b-4414-a451-d0a059b14999)) + (gr_line (start 62.333928 22.671808) (end 62.236339 22.744153) (layer "F.SilkS") (width 0.00575) (tstamp 8ce97cdd-aae8-45bf-a24b-84d81ae67557)) + (gr_line (start 76.615013 26.052283) (end 76.616551 25.950061) (layer "F.SilkS") (width 0.00575) (tstamp 8d020cbd-d492-4a92-aa29-90a69e533e8c)) + (gr_line (start 61.436455 20.640546) (end 61.467442 20.630218) (layer "F.SilkS") (width 0.00575) (tstamp 8d4e13c2-6f3d-4c4f-af79-c12c96e126e0)) + (gr_line (start 78.281608 28.69173) (end 78.28687 28.859344) (layer "F.SilkS") (width 0.00575) (tstamp 8d52ef3c-0e49-46c4-a488-333bd2324c51)) + (gr_line (start 67.476243 12.148959) (end 64.781938 12.148959) (layer "F.SilkS") (width 0.00575) (tstamp 8d58f55d-f44e-4d8d-8d30-a52a12146dd2)) + (gr_line (start 72.937907 24.344543) (end 72.950181 24.161383) (layer "F.SilkS") (width 0.00575) (tstamp 8d643b60-1eb6-4cab-aa53-1e2055e7dd99)) + (gr_line (start 79.041539 16.606213) (end 78.9515 16.507666) (layer "F.SilkS") (width 0.00575) (tstamp 8d648eb3-35d0-48ff-8c93-0d6b406a4ca9)) + (gr_line (start 62.229765 24.177008) (end 62.213652 24.171753) (layer "F.SilkS") (width 0.00575) (tstamp 8d81244e-05fa-44ca-9f4c-900a9857196a)) + (gr_line (start 72.37296 16.247531) (end 72.385173 16.309094) (layer "F.SilkS") (width 0.00575) (tstamp 8d8e4780-9899-44de-8edd-7ade9e9cf1c7)) + (gr_line (start 62.257689 25.164575) (end 62.191411 25.275696) (layer "F.SilkS") (width 0.00575) (tstamp 8da0837f-3d8c-4ea6-a4b0-d19ecb84046a)) + (gr_line (start 74.033153 31.869031) (end 74.093474 32.034058) (layer "F.SilkS") (width 0.00575) (tstamp 8dab50fa-f0c3-4ed1-87eb-de458b229276)) + (gr_line (start 73.722172 19.923425) (end 73.69893 19.889703) (layer "F.SilkS") (width 0.00575) (tstamp 8e16bdbb-3384-47fc-b25b-7e9b98cd9a44)) + (gr_line (start 70.114958 19.153363) (end 70.443937 19.197436) (layer "F.SilkS") (width 0.00575) (tstamp 8e1f5806-147a-4007-ba7e-ec843547cd0b)) + (gr_line (start 63.836796 19.20805) (end 63.832707 19.126239) (layer "F.SilkS") (width 0.00575) (tstamp 8e1fc0ad-42ef-4d2e-a97e-319432f10f86)) + (gr_line (start 77.611583 18.847351) (end 77.887321 19.121655) (layer "F.SilkS") (width 0.00575) (tstamp 8e253313-c8b8-4eba-bfda-8d219f4c2be8)) + (gr_line (start 80.19655 31.398602) (end 80.197154 31.564569) (layer "F.SilkS") (width 0.00575) (tstamp 8e727a58-792d-4e6d-bc04-b3f57ea06fee)) + (gr_line (start 78.160179 30.124353) (end 78.080906 30.177368) (layer "F.SilkS") (width 0.00575) (tstamp 8ea4b53c-0ba1-4312-95ac-6c8cfc647d1d)) + (gr_line (start 63.738176 17.825) (end 63.741459 17.894183) (layer "F.SilkS") (width 0.00575) (tstamp 8ea598f4-9884-4ab4-babc-d4ebf733b682)) + (gr_line (start 67.024296 19.279376) (end 66.911229 19.513415) (layer "F.SilkS") (width 0.00575) (tstamp 8eca3f49-ed71-4548-a7b2-97a2fe98c8ca)) + (gr_line (start 67.981273 12.466818) (end 67.919108 12.324023) (layer "F.SilkS") (width 0.00575) (tstamp 8ed56c63-5ee8-40ca-b422-a32a0d68ab95)) + (gr_line (start 72.690306 25.925525) (end 72.715941 25.824878) (layer "F.SilkS") (width 0.00575) (tstamp 8efd09c5-dcd0-4a26-af08-f0777c70d736)) + (gr_line (start 61.634984 20.774664) (end 61.524803 20.712793) (layer "F.SilkS") (width 0.00575) (tstamp 8f0ee159-055d-49a0-a019-20ef0ed5845a)) + (gr_line (start 76.465776 20.060724) (end 76.367912 19.834485) (layer "F.SilkS") (width 0.00575) (tstamp 8f1c2e5f-66e1-4ad8-9c80-42e2891714ca)) + (gr_line (start 76.111198 17.330304) (end 76.120592 17.554108) (layer "F.SilkS") (width 0.00575) (tstamp 8f4531e2-8e69-4663-9de1-bff653c1e2df)) + (gr_line (start 75.4752 17.809973) (end 75.562535 17.855023) (layer "F.SilkS") (width 0.00575) (tstamp 8f882e26-ab4c-4818-b904-bd761b334dd2)) + (gr_line (start 77.099583 31.816052) (end 77.109782 31.770026) (layer "F.SilkS") (width 0.00575) (tstamp 8f8ecb6f-3025-4193-b75f-9eb599adf6cf)) + (gr_line (start 75.717167 17.028845) (end 75.604149 16.792972) (layer "F.SilkS") (width 0.00575) (tstamp 8f97c6a9-dd41-46d5-b850-ec8428d77454)) + (gr_line (start 65.690819 26.534283) (end 65.581657 26.523212) (layer "F.SilkS") (width 0.00575) (tstamp 8f9c88d4-1fd6-4685-b744-0c98ea3ee6d4)) + (gr_line (start 65.590391 14.652966) (end 65.459184 14.830508) (layer "F.SilkS") (width 0.00575) (tstamp 8fba54c0-ac9c-4c62-b1ad-a1c44cc24ba5)) + (gr_line (start 77.72166 23.106982) (end 77.502665 23.331006) (layer "F.SilkS") (width 0.00575) (tstamp 8fc424dd-0b4a-443e-88d5-8cafac2cbd05)) + (gr_line (start 76.775895 26.688245) (end 76.776072 26.688061) (layer "F.SilkS") (width 0.00575) (tstamp 8fe50bc0-d47d-497b-95ef-232cce1429d7)) + (gr_line (start 71.932908 16.833102) (end 72.006291 16.8017) (layer "F.SilkS") (width 0.00575) (tstamp 8ff16599-627b-4f99-aca1-f7d3e33831c6)) + (gr_line (start 69.31187 17.378662) (end 69.063878 17.459039) (layer "F.SilkS") (width 0.00575) (tstamp 9025b5e2-8be2-4477-8e95-157ec704b9d1)) + (gr_line (start 79.071458 23.637219) (end 79.009404 23.621881) (layer "F.SilkS") (width 0.00575) (tstamp 9050ed91-2d02-46b0-9b33-f8043e1d0421)) + (gr_line (start 68.594829 15.898413) (end 68.515458 15.937842) (layer "F.SilkS") (width 0.00575) (tstamp 905285e7-325e-462e-bd2f-a43009a15d71)) + (gr_line (start 76.241789 26.206048) (end 76.145634 26.079419) (layer "F.SilkS") (width 0.00575) (tstamp 905eb411-c7f4-48a2-aff6-69de741632a4)) + (gr_line (start 70.627244 29.722613) (end 70.713041 29.492206) (layer "F.SilkS") (width 0.00575) (tstamp 90643cb9-8ba6-41a3-8b9c-e16c28542742)) + (gr_line (start 82.357499 28.3117) (end 82.409904 28.369293) (layer "F.SilkS") (width 0.00575) (tstamp 90a8c6e3-039f-4985-9ef3-a2d2a03e10f3)) + (gr_line (start 73.247117 24.392285) (end 73.231242 24.561529) (layer "F.SilkS") (width 0.00575) (tstamp 90afe362-d622-48cf-9f46-15c709307aac)) + (gr_line (start 75.352305 25.326593) (end 75.360539 25.317786) (layer "F.SilkS") (width 0.00575) (tstamp 90b117b3-a964-481c-ad49-67a92fa9f282)) + (gr_line (start 82.502214 31.726025) (end 82.49843 31.832373) (layer "F.SilkS") (width 0.00575) (tstamp 90b180ec-91ce-4d45-8d48-dae4ec6399b4)) + (gr_line (start 78.2335 23.567334) (end 78.271617 23.44873) (layer "F.SilkS") (width 0.00575) (tstamp 90ccdb78-cc1b-43c9-a6d5-5c8765c69000)) + (gr_line (start 74.065202 21.740503) (end 73.687846 22.158392) (layer "F.SilkS") (width 0.00575) (tstamp 90dddc8e-84c0-4c4e-a865-fa934aea3dd6)) + (gr_line (start 75.344487 19.29425) (end 75.501976 18.947729) (layer "F.SilkS") (width 0.00575) (tstamp 9102a59e-4155-4016-8391-fb6e01d9a9e5)) + (gr_line (start 66.284312 10.994726) (end 66.365721 11.100845) (layer "F.SilkS") (width 0.00575) (tstamp 9107907e-a83b-4f68-99ad-49a510056c2b)) + (gr_line (start 67.900657 25.574731) (end 67.867418 25.511371) (layer "F.SilkS") (width 0.00575) (tstamp 912e5149-10d4-4fff-850e-2cede733587e)) + (gr_line (start 63.546959 20.109711) (end 63.622386 20.22763) (layer "F.SilkS") (width 0.00575) (tstamp 91308276-bc45-4989-9774-c2978e418468)) + (gr_line (start 77.336754 14.213824) (end 77.357207 14.236404) (layer "F.SilkS") (width 0.00575) (tstamp 9148404d-a903-4af7-8da6-10b4e65f80c2)) + (gr_line (start 65.374095 9.673489) (end 65.341582 9.640607) (layer "F.SilkS") (width 0.00575) (tstamp 915eee07-5c4f-45e3-a618-020c29faa632)) + (gr_line (start 70.405607 14.572769) (end 70.196776 14.367047) (layer "F.SilkS") (width 0.00575) (tstamp 917f7ac8-e17f-42f7-94ca-e065f007f10d)) + (gr_line (start 66.894035 16.826764) (end 67.020598 16.84144) (layer "F.SilkS") (width 0.00575) (tstamp 9181c56e-c878-48c4-84e8-6e634641005a)) + (gr_line (start 78.96392 29.025226) (end 78.966923 28.821789) (layer "F.SilkS") (width 0.00575) (tstamp 91894078-6f50-4e5f-b1f1-5f5ab629c32b)) + (gr_line (start 72.715935 25.824878) (end 72.724168 25.784997) (layer "F.SilkS") (width 0.00575) (tstamp 918aea6c-1591-48dc-811a-351f21c2f67a)) + (gr_line (start 77.127354 29.086462) (end 77.126176 28.922058) (layer "F.SilkS") (width 0.00575) (tstamp 918f6876-9354-4224-8cce-f15f10d71041)) + (gr_line (start 75.961369 14.063489) (end 76.016026 14.062753) (layer "F.SilkS") (width 0.00575) (tstamp 91ac4179-fb21-41be-8757-0d37c12469c5)) + (gr_line (start 72.238597 27.856079) (end 72.008037 28.143536) (layer "F.SilkS") (width 0.00575) (tstamp 91ad7044-0106-4fea-b92a-266299ea8b6c)) + (gr_line (start 60.331175 29.39964) (end 60.443571 29.650647) (layer "F.SilkS") (width 0.00575) (tstamp 924c4e22-6a4b-446c-870c-d5fe1b9a1b0a)) + (gr_line (start 66.891325 10.278577) (end 66.922117 10.345727) (layer "F.SilkS") (width 0.00575) (tstamp 92d47879-9c37-4440-8f57-8150e87c76a4)) + (gr_line (start 67.976274 15.064358) (end 68.005552 15.149267) (layer "F.SilkS") (width 0.00575) (tstamp 92d531c2-6647-4284-8fa9-cf4f43bd081f)) + (gr_line (start 72.230321 17.02937) (end 72.287425 17.187115) (layer "F.SilkS") (width 0.00575) (tstamp 92e20158-0567-4a01-9df8-c212aeb6bdd8)) + (gr_line (start 76.35601 26.32113) (end 76.241789 26.206048) (layer "F.SilkS") (width 0.00575) (tstamp 92eca9ff-7265-4caa-b839-5507004e94f1)) + (gr_line (start 63.758568 25.991473) (end 63.753355 25.980401) (layer "F.SilkS") (width 0.00575) (tstamp 92f429fc-670e-416a-8033-d9d1bbef94e9)) + (gr_line (start 75.492875 21.218121) (end 75.551372 21.285242) (layer "F.SilkS") (width 0.00575) (tstamp 92f63bfb-c5a3-4b4a-b393-ee7c19a1d020)) + (gr_line (start 68.231895 26.324914) (end 68.19597 26.248694) (layer "F.SilkS") (width 0.00575) (tstamp 93466818-73b9-47da-b5a8-d9bf2415a961)) + (gr_line (start 69.298942 13.958401) (end 69.245732 13.940417) (layer "F.SilkS") (width 0.00575) (tstamp 939a676b-fe22-499e-9864-e497b54ad3f9)) + (gr_line (start 74.146123 32.256976) (end 74.069914 32.258935) (layer "F.SilkS") (width 0.00575) (tstamp 93bfdd9f-c7b8-46e8-92da-578fe7ca834d)) + (gr_line (start 63.671477 25.844037) (end 63.562535 25.712756) (layer "F.SilkS") (width 0.00575) (tstamp 93f24ca7-6635-4774-aee0-c786e09cb544)) + (gr_line (start 82.288627 32.199823) (end 82.266057 32.21142) (layer "F.SilkS") (width 0.00575) (tstamp 94448aac-435f-406c-841a-b6bda12d3a77)) + (gr_line (start 66.103728 17.9992) (end 66.145543 18.325738) (layer "F.SilkS") (width 0.00575) (tstamp 944d7a4d-7ccb-431b-bb4e-a618ca75c13a)) + (gr_line (start 61.419853 21.434442) (end 61.271043 21.363226) (layer "F.SilkS") (width 0.00575) (tstamp 945d67db-b70a-40ed-af59-9ecd7ef076a4)) + (gr_line (start 71.302171 19.642273) (end 71.528099 19.882983) (layer "F.SilkS") (width 0.00575) (tstamp 9480428b-070b-4b67-b9ee-aed79c46ad32)) + (gr_line (start 69.063878 17.459039) (end 68.933696 17.500232) (layer "F.SilkS") (width 0.00575) (tstamp 949957eb-4185-4ae0-93a2-2e9a56b89bc1)) + (gr_line (start 64.741478 24.720959) (end 64.616118 24.716412) (layer "F.SilkS") (width 0.00575) (tstamp 949dcbec-97e3-4936-a504-dea9106f8d4a)) + (gr_line (start 78.445775 15.08107) (end 78.439165 15.080728) (layer "F.SilkS") (width 0.00575) (tstamp 94a4994f-2bcf-4201-aa49-b8aefaede820)) + (gr_line (start 63.700364 17.632025) (end 63.719304 17.719629) (layer "F.SilkS") (width 0.00575) (tstamp 94de7c16-4b5f-478c-8efc-26941ba9ec97)) + (gr_line (start 62.487895 23.857617) (end 62.687132 23.933002) (layer "F.SilkS") (width 0.00575) (tstamp 94e1d40b-abdd-45ba-bc9b-bddaaf1a16fc)) + (gr_line (start 61.49879 23.12763) (end 61.586522 22.98349) (layer "F.SilkS") (width 0.00575) (tstamp 94f6e63f-67f3-44e1-9d24-ef94485dff93)) + (gr_line (start 68.290532 26.158911) (end 68.368138 26.129028) (layer "F.SilkS") (width 0.00575) (tstamp 94ffc0e5-fb4c-469b-bafe-9a88fa4fefea)) + (gr_line (start 70.46934 17.317798) (end 70.384697 17.322015) (layer "F.SilkS") (width 0.00575) (tstamp 95775075-b174-43e7-8d9b-2bbcceec5cca)) + (gr_line (start 81.067137 28.57857) (end 80.926988 28.573993) (layer "F.SilkS") (width 0.00575) (tstamp 957e6670-ada4-4956-a322-0057277f4fdb)) + (gr_line (start 61.607707 21.800189) (end 61.632676 21.780286) (layer "F.SilkS") (width 0.00575) (tstamp 958bb685-83db-4525-8a2d-0777a09b33c9)) + (gr_line (start 74.861308 21.001959) (end 75.042326 20.74671) (layer "F.SilkS") (width 0.00575) (tstamp 95b011b7-53ec-4ac1-aa26-466a7afc8d15)) + (gr_line (start 81.286448 31.132672) (end 81.285618 30.898083) (layer "F.SilkS") (width 0.00575) (tstamp 95b212ba-286c-4fac-b6f4-9441aad80d3e)) + (gr_line (start 63.393895 25.775159) (end 63.51237 25.895892) (layer "F.SilkS") (width 0.00575) (tstamp 95baa0de-9e22-4524-8649-1ce294b78f5a)) + (gr_line (start 72.30562 16.51842) (end 72.214732 16.553604) (layer "F.SilkS") (width 0.00575) (tstamp 95d9764a-5250-41aa-9d9d-1c680c06f80a)) + (gr_line (start 78.234691 22.312787) (end 78.066765 22.641241) (layer "F.SilkS") (width 0.00575) (tstamp 95dc3612-c240-4ae6-b8ec-df0fafa346b6)) + (gr_line (start 68.941557 15.592126) (end 68.899009 15.647378) (layer "F.SilkS") (width 0.00575) (tstamp 95ed66c4-da78-472b-965c-6ba66817f22b)) + (gr_line (start 68.334672 15.398968) (end 68.258122 15.339169) (layer "F.SilkS") (width 0.00575) (tstamp 95f07fb7-b009-4d76-9835-8d901ed57ecb)) + (gr_line (start 65.558745 11.603256) (end 65.612864 11.491907) (layer "F.SilkS") (width 0.00575) (tstamp 961f434a-d965-421b-8868-ddde0ce4a3d0)) + (gr_line (start 76.853911 23.85462) (end 76.843211 23.895026) (layer "F.SilkS") (width 0.00575) (tstamp 96219182-c6fd-4229-b5c1-5e64c2ad10c6)) + (gr_line (start 66.968437 13.48811) (end 66.987773 13.587903) (layer "F.SilkS") (width 0.00575) (tstamp 962d47f2-c118-442d-80c4-746820504065)) + (gr_line (start 67.562291 13.524097) (end 67.413719 13.527042) (layer "F.SilkS") (width 0.00575) (tstamp 9658de59-709b-43aa-8373-c35ddfc8f978)) + (gr_line (start 70.281584 32.262195) (end 70.083769 32.26189) (layer "F.SilkS") (width 0.00575) (tstamp 969e5015-e81f-4afd-8901-0dcbf81ab116)) + (gr_line (start 77.113585 29.927832) (end 77.120292 29.86604) (layer "F.SilkS") (width 0.00575) (tstamp 96bba2a4-804c-417a-ae33-2ad8821a78d4)) + (gr_line (start 69.47603 14.150073) (end 69.418644 14.058368) (layer "F.SilkS") (width 0.00575) (tstamp 971b9f7f-c930-4fa8-bf30-b3dd49659a3f)) + (gr_line (start 73.771135 21.35213) (end 73.576823 21.570929) (layer "F.SilkS") (width 0.00575) (tstamp 9726333b-3c79-414c-bfef-512e56aba712)) + (gr_line (start 72.074333 16.777453) (end 72.117039 16.768732) (layer "F.SilkS") (width 0.00575) (tstamp 97573e0e-f5fc-444c-bfce-44eed1da3a8c)) + (gr_line (start 75.873613 19.34165) (end 75.87328 19.341361) (layer "F.SilkS") (width 0.00575) (tstamp 9757b823-83a7-4cb7-86b9-d5be713bb4d7)) + (gr_line (start 79.42086 22.69154) (end 79.340501 22.837366) (layer "F.SilkS") (width 0.00575) (tstamp 977b2986-00b3-47c1-8598-dfcc2ef19cf7)) + (gr_line (start 73.923771 25.594452) (end 73.765696 25.592663) (layer "F.SilkS") (width 0.00575) (tstamp 977ddac3-f911-43c6-8cbb-876959fee001)) + (gr_line (start 71.925322 31.466119) (end 71.123564 31.474005) (layer "F.SilkS") (width 0.00575) (tstamp 97a76d07-5539-4e24-bf66-e9439e809015)) + (gr_line (start 78.498271 21.273834) (end 78.448552 21.639783) (layer "F.SilkS") (width 0.00575) (tstamp 980a66ca-dcf9-498b-9a42-cdd0b15798df)) + (gr_line (start 72.99727 25.525384) (end 72.495524 25.444348) (layer "F.SilkS") (width 0.00575) (tstamp 983ea4b8-1439-4a30-9ca0-364ecd6cb08f)) + (gr_line (start 68.124699 14.945254) (end 68.104179 14.890509) (layer "F.SilkS") (width 0.00575) (tstamp 98416d4d-8ab8-4da7-904a-0fd7d02ce8b7)) + (gr_line (start 74.693352 21.316199) (end 74.75847 21.344903) (layer "F.SilkS") (width 0.00575) (tstamp 985b06f4-bdf5-4973-a702-4441f371d041)) + (gr_line (start 77.741722 25.290594) (end 77.572325 25.207355) (layer "F.SilkS") (width 0.00575) (tstamp 985df551-cbe3-4e68-96e0-de95dbe8f033)) + (gr_line (start 73.257597 23.514825) (end 73.045616 23.367712) (layer "F.SilkS") (width 0.00575) (tstamp 98607c73-3faf-42b3-9a57-c58e4fd09076)) + (gr_line (start 67.128142 17.662762) (end 67.115416 17.788495) (layer "F.SilkS") (width 0.00575) (tstamp 98675e31-6019-4d1e-ac16-d5a6d604e41e)) + (gr_line (start 69.703752 13.271994) (end 69.840709 13.368985) (layer "F.SilkS") (width 0.00575) (tstamp 98ac7754-e353-4cdc-9a5f-a07d1832202c)) + (gr_line (start 71.314879 22.713409) (end 71.053331 22.916675) (layer "F.SilkS") (width 0.00575) (tstamp 98ee7aa8-b7a7-48e8-9aad-75ad5fab56d5)) + (gr_line (start 67.48557 14.467782) (end 67.4338 14.376037) (layer "F.SilkS") (width 0.00575) (tstamp 9902437b-42df-4d59-8bc8-bcd79f4380fd)) + (gr_line (start 80.203721 29.949969) (end 80.218632 29.963287) (layer "F.SilkS") (width 0.00575) (tstamp 991ccf2d-6d6b-419f-a70c-16758725438c)) + (gr_line (start 63.114763 23.124536) (end 62.990709 22.762677) (layer "F.SilkS") (width 0.00575) (tstamp 9928227c-53f0-4ad1-bc5a-82e72559b2c4)) + (gr_line (start 80.727159 28.202276) (end 81.054759 28.202356) (layer "F.SilkS") (width 0.00575) (tstamp 9940114c-df8c-468c-aa38-c4cd6f88a774)) + (gr_line (start 77.141948 23.623376) (end 77.017344 23.708447) (layer "F.SilkS") (width 0.00575) (tstamp 994022c5-4081-4b42-856b-ee1231f3a2a3)) + (gr_line (start 78.678526 14.930752) (end 78.758024 14.984268) (layer "F.SilkS") (width 0.00575) (tstamp 9970f5a3-1ea1-4e5a-b94f-ed5608599ed7)) + (gr_line (start 73.285679 17.725043) (end 73.089036 17.502453) (layer "F.SilkS") (width 0.00575) (tstamp 99887f14-da5b-4f1f-90ac-04320b89a892)) + (gr_line (start 68.806553 15.574621) (end 68.885423 15.559872) (layer "F.SilkS") (width 0.00575) (tstamp 99a40a8b-5685-4d98-93a3-3c1c536c8269)) + (gr_line (start 78.263988 22.607092) (end 78.268205 22.599005) (layer "F.SilkS") (width 0.00575) (tstamp 99a81abe-c334-419b-9168-aea5914729df)) + (gr_line (start 64.207109 25.525409) (end 64.233201 25.6836) (layer "F.SilkS") (width 0.00575) (tstamp 99ad621b-d623-4158-9c8d-f157d5c02b6d)) + (gr_line (start 72.35562 16.498611) (end 72.30562 16.51842) (layer "F.SilkS") (width 0.00575) (tstamp 99c30506-8f82-4d77-ad7a-1a531221cc06)) + (gr_line (start 77.094627 31.822302) (end 77.099583 31.816052) (layer "F.SilkS") (width 0.00575) (tstamp 99c7dd0f-78c8-4c37-8f21-443891152300)) + (gr_line (start 69.303435 13.064526) (end 69.526268 13.172067) (layer "F.SilkS") (width 0.00575) (tstamp 99d166b0-c168-42ec-9171-e7d46ba353d9)) + (gr_line (start 72.165886 17.7974) (end 72.11082 17.634192) (layer "F.SilkS") (width 0.00575) (tstamp 99eca942-d840-4aa5-8cad-9f76a8dfb262)) + (gr_line (start 67.954173 25.761713) (end 68.540227 25.521368) (layer "F.SilkS") (width 0.00575) (tstamp 9a011279-084d-48fa-a14e-f4aa89c9699e)) + (gr_line (start 61.551207 21.809674) (end 61.607707 21.800189) (layer "F.SilkS") (width 0.00575) (tstamp 9a13f8f7-c61a-4c96-86b8-5b3f14c7baf3)) + (gr_line (start 70.218059 17.133453) (end 70.820714 16.809332) (layer "F.SilkS") (width 0.00575) (tstamp 9a35244b-b1c5-49c1-8338-c0ad4dc9f7ee)) + (gr_line (start 76.58336 27.277179) (end 76.349504 27.182818) (layer "F.SilkS") (width 0.00575) (tstamp 9a38772a-1ad5-442f-bf7c-53797b0616fc)) + (gr_line (start 78.516337 24.350629) (end 78.576439 24.519141) (layer "F.SilkS") (width 0.00575) (tstamp 9a3a2c6e-84ce-4aac-a88d-572bc4d1e552)) + (gr_line (start 80.552733 28.574414) (end 80.426689 28.576227) (layer "F.SilkS") (width 0.00575) (tstamp 9a445904-0055-4521-9c58-d7399e374143)) + (gr_line (start 64.184276 26.343872) (end 64.124602 26.433703) (layer "F.SilkS") (width 0.00575) (tstamp 9a5723ea-9918-45f6-a49c-480df8327923)) + (gr_line (start 68.535911 14.016324) (end 68.585368 14.122116) (layer "F.SilkS") (width 0.00575) (tstamp 9a8be041-d611-4e20-b1b6-394d006de7fa)) + (gr_line (start 68.34857 11.844659) (end 68.387138 11.892557) (layer "F.SilkS") (width 0.00575) (tstamp 9a8cf84a-c9cf-4fb8-b720-d96d7ceae661)) + (gr_line (start 76.616551 25.950061) (end 76.61815 25.924756) (layer "F.SilkS") (width 0.00575) (tstamp 9a8e9ad2-2d6f-4ab6-8099-0d285f7464b1)) + (gr_line (start 77.779411 26.88352) (end 77.613359 27.054059) (layer "F.SilkS") (width 0.00575) (tstamp 9ada7d79-d1a4-45c4-8152-e3222ec764fd)) + (gr_line (start 82.490275 28.860425) (end 82.491081 28.997436) (layer "F.SilkS") (width 0.00575) (tstamp 9af4f20c-689b-43e1-b2f5-3de8e85d68dc)) + (gr_line (start 65.913115 16.769211) (end 65.940013 16.76448) (layer "F.SilkS") (width 0.00575) (tstamp 9af59552-1385-44dc-a994-49e8256de132)) + (gr_line (start 75.320396 16.105594) (end 75.564805 16.274332) (layer "F.SilkS") (width 0.00575) (tstamp 9b080bbe-6ff2-4831-92dd-12b80531368f)) + (gr_line (start 66.86212 17.337207) (end 66.89246 17.317554) (layer "F.SilkS") (width 0.00575) (tstamp 9b4be32a-89b6-4fb0-8ca0-5fb83673f6c0)) + (gr_line (start 66.643992 22.579578) (end 66.810606 22.695093) (layer "F.SilkS") (width 0.00575) (tstamp 9b55679d-0bce-4635-bec6-2b18526fbb5a)) + (gr_line (start 77.035924 30.009582) (end 77.072136 30.000683) (layer "F.SilkS") (width 0.00575) (tstamp 9b64500a-08ef-4048-bcd3-23706340240d)) + (gr_line (start 80.359233 29.989661) (end 80.457237 29.995026) (layer "F.SilkS") (width 0.00575) (tstamp 9b81e6e2-3d95-499b-ac4b-49af9e67e4d8)) + (gr_line (start 68.097227 25.535419) (end 68.025151 25.568536) (layer "F.SilkS") (width 0.00575) (tstamp 9b83e2e4-a2a4-40fa-8015-c890296f0219)) + (gr_line (start 62.191411 25.275696) (end 62.132957 25.398254) (layer "F.SilkS") (width 0.00575) (tstamp 9b8d23a7-e943-4fa6-a9bf-26f3b0470dd3)) + (gr_line (start 70.616881 23.131598) (end 70.43947 23.176538) (layer "F.SilkS") (width 0.00575) (tstamp 9be54f05-8850-4a0a-9a9c-711d4f8ed6a1)) + (gr_line (start 74.443327 25.579559) (end 74.35338 25.586383) (layer "F.SilkS") (width 0.00575) (tstamp 9c0a72bc-28d5-4b0a-be93-d7b7055bac06)) + (gr_line (start 65.929716 20.844556) (end 65.925584 20.820746) (layer "F.SilkS") (width 0.00575) (tstamp 9c0e4609-bf6d-40cb-a419-8a903d1fc15f)) + (gr_line (start 67.680314 12.170593) (end 67.62816 12.163086) (layer "F.SilkS") (width 0.00575) (tstamp 9c1ea3fe-8177-4c26-9272-73233f9ab09b)) + (gr_line (start 76.3317 28.193927) (end 78.002403 28.202276) (layer "F.SilkS") (width 0.00575) (tstamp 9c3c3de1-6e05-4e4c-83a1-1cb448f0a53a)) + (gr_line (start 67.546843 13.792941) (end 67.589085 13.738745) (layer "F.SilkS") (width 0.00575) (tstamp 9c494602-f94f-4d6f-a714-5022d099c06c)) + (gr_line (start 71.778728 20.288) (end 71.903117 20.606) (layer "F.SilkS") (width 0.00575) (tstamp 9c4a431d-30b4-436a-852a-4274953a27e2)) + (gr_line (start 74.854008 20.738751) (end 74.480321 21.238983) (layer "F.SilkS") (width 0.00575) (tstamp 9c4da9af-3092-4964-ae5e-3ef3010fd4b4)) + (gr_line (start 60.848259 21.282379) (end 60.78856 21.264178) (layer "F.SilkS") (width 0.00575) (tstamp 9c6c2ea7-1e7d-4bf3-9cb7-f45a7bf1db6a)) + (gr_line (start 63.875279 10.186905) (end 63.796458 9.96954) (layer "F.SilkS") (width 0.00575) (tstamp 9c7e81a4-78c1-4362-b37c-fb660b8e88db)) + (gr_line (start 78.991826 30.073877) (end 78.978312 29.976239) (layer "F.SilkS") (width 0.00575) (tstamp 9c9b8201-bf77-465d-8a84-bf94516a6d86)) + (gr_line (start 73.18715 24.954559) (end 73.179539 24.997412) (layer "F.SilkS") (width 0.00575) (tstamp 9cbb994b-42c8-4944-84d1-f71cda1eae85)) + (gr_line (start 75.678996 24.676288) (end 75.805601 24.646558) (layer "F.SilkS") (width 0.00575) (tstamp 9cdc9751-7271-40d7-83ed-0c431338bbc7)) + (gr_line (start 78.209099 22.71098) (end 78.263988 22.607092) (layer "F.SilkS") (width 0.00575) (tstamp 9cee44cb-bf34-42d5-808e-f88e090a3e1a)) + (gr_line (start 74.638591 15.878323) (end 74.857921 15.925284) (layer "F.SilkS") (width 0.00575) (tstamp 9cfa89f5-a8f5-4d13-80c0-d5ee8137b722)) + (gr_line (start 73.391801 22.694061) (end 73.587529 22.491974) (layer "F.SilkS") (width 0.00575) (tstamp 9d1bcc31-8f2f-47cf-baad-4eff71c55b82)) + (gr_line (start 67.884263 15.944894) (end 67.795726 15.906747) (layer "F.SilkS") (width 0.00575) (tstamp 9d1f8165-ad1a-44cb-b358-2640c329ac7c)) + (gr_line (start 71.812419 16.176907) (end 71.746379 16.211029) (layer "F.SilkS") (width 0.00575) (tstamp 9d389790-0733-4502-abd9-71d20b2b7cef)) + (gr_line (start 78.318034 22.503717) (end 78.356022 22.431518) (layer "F.SilkS") (width 0.00575) (tstamp 9d7fd1e6-00f3-4233-8dce-998e60eb9cbe)) + (gr_line (start 81.278898 31.711615) (end 81.283116 31.619501) (layer "F.SilkS") (width 0.00575) (tstamp 9dab51d1-fc47-4fe7-bca5-390c2e1bf383)) + (gr_line (start 81.858226 30.016144) (end 81.987315 30.020245) (layer "F.SilkS") (width 0.00575) (tstamp 9db83ad9-0d2a-4f66-a6ba-2728c87c6b36)) + (gr_line (start 63.604735 26.027722) (end 63.633677 26.087518) (layer "F.SilkS") (width 0.00575) (tstamp 9de30389-b22d-445d-a264-7378c1da9428)) + (gr_line (start 67.919108 12.324023) (end 67.818083 12.224673) (layer "F.SilkS") (width 0.00575) (tstamp 9dee9dfc-5835-4ab4-a61d-b6fe7ddb3e4d)) + (gr_line (start 78.375078 22.395886) (end 78.375499 22.395158) (layer "F.SilkS") (width 0.00575) (tstamp 9e48a007-8bd2-4a89-8f1f-3e300ef19a19)) + (gr_line (start 79.64904 23.745441) (end 79.49312 23.746442) (layer "F.SilkS") (width 0.00575) (tstamp 9e57859b-6172-4be4-a454-1450e8ab790a)) + (gr_line (start 61.737932 26.782385) (end 61.626817 26.572443) (layer "F.SilkS") (width 0.00575) (tstamp 9e6c5d27-fe3b-4eef-9444-52feba840ee8)) + (gr_line (start 77.794328 15.821759) (end 77.80385 15.909674) (layer "F.SilkS") (width 0.00575) (tstamp 9ea415a3-b786-44a0-94b2-6dd8bc6baebc)) + (gr_line (start 68.35164 26.557464) (end 68.285429 26.431171) (layer "F.SilkS") (width 0.00575) (tstamp 9edd442f-a68b-4fea-a74a-03593fe4991e)) + (gr_line (start 65.899406 21.123865) (end 66.008342 21.163977) (layer "F.SilkS") (width 0.00575) (tstamp 9f1e2270-a004-4eb2-aa1b-fcd19a17dd5c)) + (gr_line (start 63.797618 26.08457) (end 63.758568 25.991473) (layer "F.SilkS") (width 0.00575) (tstamp 9f1fdedf-18e7-4bba-86f9-9ea6bf7ef961)) + (gr_line (start 66.024168 21.018909) (end 65.992589 20.999463) (layer "F.SilkS") (width 0.00575) (tstamp 9f2d27c7-3875-4749-8d57-04b5e73fa2c9)) + (gr_line (start 81.043138 31.868005) (end 81.152311 31.859619) (layer "F.SilkS") (width 0.00575) (tstamp 9f353ced-76e6-4e2a-9df1-08837e27224d)) + (gr_line (start 73.466673 19.554071) (end 73.431267 19.504535) (layer "F.SilkS") (width 0.00575) (tstamp 9f3704e2-5b79-454a-8029-2b8e608492d2)) + (gr_line (start 61.524803 20.712793) (end 61.450535 20.676056) (layer "F.SilkS") (width 0.00575) (tstamp 9f3a4eef-622a-4961-aec4-8825ef0fba0a)) + (gr_line (start 72.357573 16.206872) (end 72.37296 16.247531) (layer "F.SilkS") (width 0.00575) (tstamp 9f3cabf7-badf-4a8e-806a-60137ce8ab12)) + (gr_line (start 66.869798 14.889645) (end 66.786009 14.780896) (layer "F.SilkS") (width 0.00575) (tstamp 9f490066-15c7-4ba6-9b9d-7d213c4299c1)) + (gr_line (start 79.167253 28.261767) (end 79.190861 28.248443) (layer "F.SilkS") (width 0.00575) (tstamp 9f765c92-b79c-4c0c-aaa6-f0c72adbe771)) + (gr_line (start 70.352702 28.655371) (end 70.341063 28.651544) (layer "F.SilkS") (width 0.00575) (tstamp 9f8cd8b6-e930-49d3-be82-eaa2424cf843)) + (gr_line (start 73.868889 16.139667) (end 73.84088 16.096872) (layer "F.SilkS") (width 0.00575) (tstamp 9f8e13f3-fe30-441f-a9e1-cd6309b8169e)) + (gr_line (start 68.898503 19.511029) (end 69.178087 19.3401) (layer "F.SilkS") (width 0.00575) (tstamp 9fba033d-b3fb-44db-b664-5de3fddb1ade)) + (gr_line (start 68.004234 23.171429) (end 67.966014 23.214136) (layer "F.SilkS") (width 0.00575) (tstamp 9fbb428e-c6e3-4d51-85ae-5a0588fd1a8e)) + (gr_line (start 71.797032 16.039972) (end 71.832335 16.117038) (layer "F.SilkS") (width 0.00575) (tstamp 9ffbad65-b7d4-43c7-9dc4-9c363ec11f6e)) + (gr_line (start 73.281907 23.790161) (end 73.284276 23.870557) (layer "F.SilkS") (width 0.00575) (tstamp a034644d-9329-44d7-8b3f-e842d7f5ab1f)) + (gr_line (start 75.978795 19.037738) (end 76.038939 18.871258) (layer "F.SilkS") (width 0.00575) (tstamp a0379a24-8698-4c16-ace8-bb8ed0b2179d)) + (gr_line (start 80.997148 30.408649) (end 80.829606 30.402515) (layer "F.SilkS") (width 0.00575) (tstamp a0666105-6c44-4a24-a152-5f8647afc139)) + (gr_line (start 70.965745 24.504736) (end 70.387687 24.888025) (layer "F.SilkS") (width 0.00575) (tstamp a084453e-8517-4869-8cf2-96235275a328)) + (gr_line (start 69.883391 14.619519) (end 69.767399 14.777441) (layer "F.SilkS") (width 0.00575) (tstamp a0af984f-a451-45fb-bdbe-f9d9db5924ec)) + (gr_line (start 80.226469 28.621246) (end 80.212517 28.640173) (layer "F.SilkS") (width 0.00575) (tstamp a0dc3593-e1ca-43e6-852e-3e2e8f80ff3c)) + (gr_line (start 80.395006 31.865179) (end 80.525181 31.871857) (layer "F.SilkS") (width 0.00575) (tstamp a0e1a28f-df70-4c6f-83e2-6af44c1eaa59)) + (gr_line (start 72.724174 25.784997) (end 72.744584 25.657922) (layer "F.SilkS") (width 0.00575) (tstamp a115ffc6-e6b6-44f7-a8a0-9cf7cdc94bdc)) + (gr_line (start 75.891942 14.046823) (end 75.961369 14.063489) (layer "F.SilkS") (width 0.00575) (tstamp a13080be-c2c4-4e11-9c06-794f302eead4)) + (gr_line (start 78.402415 22.400885) (end 78.464189 22.426196) (layer "F.SilkS") (width 0.00575) (tstamp a1319826-5ee7-424e-8eaf-2b9f2c766d8a)) + (gr_line (start 73.666917 15.712054) (end 73.672227 15.960654) (layer "F.SilkS") (width 0.00575) (tstamp a13dc30e-0909-43b7-b015-14d45c6ece7f)) + (gr_line (start 82.129606 32.235046) (end 82.044749 32.239911) (layer "F.SilkS") (width 0.00575) (tstamp a1a84552-d4f8-449e-8cf6-d955b37e602c)) + (gr_line (start 68.076237 14.867428) (end 68.0322 14.862555) (layer "F.SilkS") (width 0.00575) (tstamp a1cf6869-917d-41f7-be1b-141afd99dc97)) + (gr_line (start 79.197209 30.373517) (end 79.119548 30.299158) (layer "F.SilkS") (width 0.00575) (tstamp a213082a-76e6-469c-84d6-a4bedf05a185)) + (gr_line (start 70.226622 14.198071) (end 70.245836 14.108856) (layer "F.SilkS") (width 0.00575) (tstamp a224241c-b34f-4b0c-aeff-6d437e1ce0c5)) + (gr_line (start 76.050175 18.283478) (end 76.029783 18.372223) (layer "F.SilkS") (width 0.00575) (tstamp a22519b5-79ee-4085-8578-d5e06e3fdbab)) + (gr_line (start 77.80385 15.909674) (end 77.809495 16.035583) (layer "F.SilkS") (width 0.00575) (tstamp a2286bff-78c6-4b17-aa0b-79add274f20c)) + (gr_line (start 78.117723 19.432397) (end 78.302556 19.779266) (layer "F.SilkS") (width 0.00575) (tstamp a2361d17-c1ab-4bbc-8ebf-cbb83c7aa87b)) + (gr_line (start 64.791923 10.924533) (end 64.537852 10.847122) (layer "F.SilkS") (width 0.00575) (tstamp a25ddc1a-fc73-467e-b9da-d4df339f95eb)) + (gr_line (start 65.917418 16.785498) (end 65.913115 16.769211) (layer "F.SilkS") (width 0.00575) (tstamp a271f702-5d24-4c04-852c-7477381deeaf)) + (gr_line (start 75.310563 21.023718) (end 75.410075 21.128125) (layer "F.SilkS") (width 0.00575) (tstamp a283196c-90a1-4cf4-abc1-2dd399e83bdc)) + (gr_line (start 66.024627 21.018827) (end 66.02456 21.018837) (layer "F.SilkS") (width 0.00575) (tstamp a283cb22-e48a-41db-99c0-e4f58099f3a7)) + (gr_line (start 61.646763 25.169855) (end 61.572337 25.229901) (layer "F.SilkS") (width 0.00575) (tstamp a28a4938-567b-4ecd-a528-90b50d1130dd)) + (gr_line (start 67.536241 24.050452) (end 67.611174 23.980218) (layer "F.SilkS") (width 0.00575) (tstamp a2a844ba-84ef-41c4-b280-63c6725e9451)) + (gr_line (start 66.534385 9.867267) (end 66.678489 9.996985) (layer "F.SilkS") (width 0.00575) (tstamp a2ad05a7-fd01-4140-936b-f34d78913264)) + (gr_line (start 63.064512 20.896344) (end 63.197008 20.525915) (layer "F.SilkS") (width 0.00575) (tstamp a2b8f4ca-635c-4e0c-a96c-d1ae74135530)) + (gr_poly + (pts + (xy 76.334526 28.193927) + (xy 76.334522 28.194078) + (xy 76.334511 28.194226) + (xy 76.334493 28.194373) + (xy 76.334469 28.194517) + (xy 76.334437 28.194658) + (xy 76.334399 28.194797) + (xy 76.334355 28.194933) + (xy 76.334304 28.195066) + (xy 76.334247 28.195196) + (xy 76.334185 28.195322) + (xy 76.334117 28.195444) + (xy 76.334044 28.195563) + (xy 76.333965 28.195677) + (xy 76.333881 28.195788) + (xy 76.333792 28.195894) + (xy 76.333699 28.195995) + (xy 76.333601 28.196092) + (xy 76.333498 28.196184) + (xy 76.333391 28.19627) + (xy 76.333281 28.196352) + (xy 76.333166 28.196428) + (xy 76.333048 28.196498) + (xy 76.332926 28.196563) + (xy 76.332801 28.196621) + (xy 76.332672 28.196673) + (xy 76.332541 28.196719) + (xy 76.332407 28.196759) + (xy 76.33227 28.196791) + (xy 76.332131 28.196817) + (xy 76.331989 28.196835) + (xy 76.331845 28.196847) + (xy 76.3317 28.19685) + (xy 76.331555 28.196847) + (xy 76.331412 28.196835) + (xy 76.331271 28.196817) + (xy 76.331132 28.196791) + (xy 76.330996 28.196759) + (xy 76.330862 28.196719) + (xy 76.330731 28.196673) + (xy 76.330603 28.196621) + (xy 76.330478 28.196563) + (xy 76.330356 28.196498) + (xy 76.330238 28.196428) + (xy 76.330124 28.196352) + (xy 76.330013 28.19627) + (xy 76.329907 28.196184) + (xy 76.329804 28.196092) + (xy 76.329706 28.195995) + (xy 76.329613 28.195894) + (xy 76.329524 28.195788) + (xy 76.329441 28.195677) + (xy 76.329362 28.195563) + (xy 76.329289 28.195444) + (xy 76.329221 28.195322) + (xy 76.329158 28.195196) + (xy 76.329102 28.195066) + (xy 76.329051 28.194933) + (xy 76.329007 28.194797) + (xy 76.328969 28.194658) + (xy 76.328937 28.194517) + (xy 76.328913 28.194373) + (xy 76.328895 28.194226) + (xy 76.328884 28.194078) + (xy 76.32888 28.193927) + (xy 76.328884 28.193776) + (xy 76.328895 28.193626) + (xy 76.328913 28.193479) + (xy 76.328937 28.193335) + (xy 76.328969 28.193193) + (xy 76.329007 28.193054) + (xy 76.329051 28.192917) + (xy 76.329102 28.192784) + (xy 76.329158 28.192654) + (xy 76.329221 28.192528) + (xy 76.329289 28.192405) + (xy 76.329362 28.192286) + (xy 76.329441 28.192172) + (xy 76.329524 28.192061) + (xy 76.329613 28.191955) + (xy 76.329706 28.191853) + (xy 76.329804 28.191756) + (xy 76.329907 28.191664) + (xy 76.330013 28.191578) + (xy 76.330124 28.191496) + (xy 76.330238 28.19142) + (xy 76.330356 28.19135) + (xy 76.330478 28.191285) + (xy 76.330603 28.191227) + (xy 76.330731 28.191174) + (xy 76.330862 28.191128) + (xy 76.330996 28.191089) + (xy 76.331132 28.191056) + (xy 76.331271 28.191031) + (xy 76.331412 28.191012) + (xy 76.331555 28.191001) + (xy 76.3317 28.190997) + (xy 76.331845 28.191001) + (xy 76.331989 28.191012) + (xy 76.332131 28.191031) + (xy 76.33227 28.191056) + (xy 76.332407 28.191089) + (xy 76.332541 28.191128) + (xy 76.332672 28.191174) + (xy 76.332801 28.191227) + (xy 76.332926 28.191285) + (xy 76.333048 28.19135) + (xy 76.333166 28.19142) + (xy 76.333281 28.191496) + (xy 76.333391 28.191578) + (xy 76.333498 28.191664) + (xy 76.333601 28.191756) + (xy 76.333699 28.191853) + (xy 76.333792 28.191955) + (xy 76.333881 28.192061) + (xy 76.333965 28.192172) + (xy 76.334044 28.192286) + (xy 76.334117 28.192405) + (xy 76.334185 28.192528) + (xy 76.334247 28.192654) + (xy 76.334304 28.192784) + (xy 76.334355 28.192917) + (xy 76.334399 28.193054) + (xy 76.334437 28.193193) + (xy 76.334469 28.193335) + (xy 76.334493 28.193479) + (xy 76.334511 28.193626) + (xy 76.334522 28.193776) + (xy 76.334526 28.193927) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp a2d257c8-3665-44ba-9a90-426f2bbfdaa9)) + (gr_line (start 72.650987 18.318341) (end 72.685789 18.438556) (layer "F.SilkS") (width 0.00575) (tstamp a2d705e6-8456-4775-a09f-d9fc7f418094)) + (gr_line (start 67.644145 13.703961) (end 67.722941 13.684299) (layer "F.SilkS") (width 0.00575) (tstamp a2d78bcc-0124-4392-a7ce-3a51ea6bd391)) + (gr_line (start 63.302861 18.183026) (end 63.404814 17.901709) (layer "F.SilkS") (width 0.00575) (tstamp a2dc9497-a937-42ac-ba70-deae1f09ad2b)) + (gr_line (start 79.181248 24.497925) (end 79.146507 24.490088) (layer "F.SilkS") (width 0.00575) (tstamp a3140ab5-bfff-4f46-b252-d12556c83cbe)) + (gr_line (start 64.267473 10.196854) (end 64.366337 10.260852) (layer "F.SilkS") (width 0.00575) (tstamp a3258986-e662-4f0e-b006-0d2598d7f29a)) + (gr_line (start 66.804374 10.141815) (end 66.855577 10.216159) (layer "F.SilkS") (width 0.00575) (tstamp a32c179b-c592-40ad-869e-90b06cf11491)) + (gr_line (start 67.74611 14.950101) (end 67.848887 14.999081) (layer "F.SilkS") (width 0.00575) (tstamp a32cfb28-d2e5-4457-942f-18e12cd96202)) + (gr_line (start 81.265202 28.688513) (end 81.228782 28.628992) (layer "F.SilkS") (width 0.00575) (tstamp a3423147-f966-43f4-be74-4492d656efec)) + (gr_line (start 78.248765 30.021704) (end 78.230192 30.051056) (layer "F.SilkS") (width 0.00575) (tstamp a34d2da6-f04e-48bd-b929-b7fed2bf5a9c)) + (gr_line (start 61.889665 26.968329) (end 61.737932 26.782385) (layer "F.SilkS") (width 0.00575) (tstamp a356a0e8-c35f-4734-b653-ca52154e1f57)) + (gr_line (start 77.127501 29.462073) (end 77.127617 29.302771) (layer "F.SilkS") (width 0.00575) (tstamp a35b111f-6308-4303-bd24-8706355379b1)) + (gr_line (start 67.347148 16.412756) (end 67.317668 16.533234) (layer "F.SilkS") (width 0.00575) (tstamp a3609e53-3668-4b67-8094-4cbb805fccff)) + (gr_line (start 77.904765 15.704715) (end 77.827659 15.67185) (layer "F.SilkS") (width 0.00575) (tstamp a3692813-1c6d-4324-b8e6-24722f2c23bd)) + (gr_line (start 75.872949 19.34107) (end 75.872294 19.340491) (layer "F.SilkS") (width 0.00575) (tstamp a3824a6a-c07e-47c9-8f4d-d8cc83cffd6b)) + (gr_line (start 69.711168 32.216125) (end 69.713249 32.21015) (layer "F.SilkS") (width 0.00575) (tstamp a3bcca27-5984-48a3-8328-1c9b675dcb18)) + (gr_line (start 63.647148 19.146484) (end 63.727977 19.378039) (layer "F.SilkS") (width 0.00575) (tstamp a3c3e024-c2e7-4610-89ba-2a35f0739a9a)) + (gr_line (start 67.915959 21.507825) (end 67.930613 21.61925) (layer "F.SilkS") (width 0.00575) (tstamp a3ccf9a7-c443-45d6-a66f-d31c2155aa2d)) + (gr_line (start 72.590452 18.109015) (end 72.610856 18.178894) (layer "F.SilkS") (width 0.00575) (tstamp a3dd3860-7027-4c56-8414-11421b2c5472)) + (gr_line (start 69.948314 17.286603) (end 70.218059 17.133453) (layer "F.SilkS") (width 0.00575) (tstamp a40c3b1d-bb00-443e-91f2-1a813210a9a2)) + (gr_line (start 72.414451 17.556067) (end 72.478154 17.74939) (layer "F.SilkS") (width 0.00575) (tstamp a437c386-7710-4418-a46f-b548fbf84876)) + (gr_line (start 63.719304 17.719629) (end 63.738176 17.825) (layer "F.SilkS") (width 0.00575) (tstamp a459a3ca-cb45-4059-88da-72ee4b8c33ba)) + (gr_line (start 77.342723 24.51383) (end 77.470585 24.580041) (layer "F.SilkS") (width 0.00575) (tstamp a464b19a-f755-424e-b6e8-37c63d914cc8)) + (gr_line (start 78.285209 30.66767) (end 78.287822 30.797699) (layer "F.SilkS") (width 0.00575) (tstamp a4675f1b-f53f-4b80-9499-04827c873e83)) + (gr_line (start 72.5757 18.461322) (end 72.505662 18.393146) (layer "F.SilkS") (width 0.00575) (tstamp a4897246-cc76-420a-97e8-a694946eeef3)) + (gr_line (start 64.51923 26.148627) (end 64.472288 26.106995) (layer "F.SilkS") (width 0.00575) (tstamp a499adb0-9cf9-4dad-ba6d-50c3dc3b5aa9)) + (gr_line (start 70.713041 29.492206) (end 70.787645 29.293213) (layer "F.SilkS") (width 0.00575) (tstamp a49cc424-fcdd-475f-97fd-aac7cb64eb11)) + (gr_line (start 65.341582 9.640607) (end 65.290513 9.57113) (layer "F.SilkS") (width 0.00575) (tstamp a4cfab74-f02f-4489-b42f-2e3bac564c81)) + (gr_line (start 69.777842 17.488605) (end 70.029558 17.479932) (layer "F.SilkS") (width 0.00575) (tstamp a56db9c4-d506-4d18-97d1-7a7718457f55)) + (gr_line (start 82.409904 28.369293) (end 82.446831 28.443756) (layer "F.SilkS") (width 0.00575) (tstamp a59a7483-10de-421f-888a-40d491544ae5)) + (gr_poly + (pts + (xy 62.698472 28.194293) + (xy 63.346086 28.202276) + (xy 63.346086 32.247327) + (xy 62.794243 32.255401) + (xy 62.242393 32.263476) + (xy 62.234947 30.8143) + (xy 62.227507 29.365124) + (xy 61.766453 30.360083) + (xy 61.305394 31.355029) + (xy 60.510515 31.355029) + (xy 59.588792 29.34303) + (xy 59.573912 32.262195) + (xy 58.48455 32.262195) + (xy 58.48455 28.187402) + (xy 59.789567 28.187811) + (xy 60.331175 29.39964) + (xy 60.443571 29.650647) + (xy 60.548955 29.885113) + (xy 60.64481 30.097485) + (xy 60.72863 30.282239) + (xy 60.797874 30.433838) + (xy 60.850047 30.546747) + (xy 60.882634 30.615417) + (xy 60.892759 30.634662) + (xy 60.908763 30.613476) + (xy 60.946721 30.543671) + (xy 61.004124 30.430438) + (xy 61.078453 30.278943) + (xy 61.167192 30.094372) + (xy 61.267827 29.881909) + (xy 61.37783 29.646735) + (xy 61.481791 29.422089) + (xy 62.050847 28.186316) + (xy 62.698472 28.194293) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp a59d6daf-5e75-4d21-8079-30eb99043a9c)) + (gr_line (start 78.601976 24.008514) (end 78.580424 23.919287) (layer "F.SilkS") (width 0.00575) (tstamp a5c450ce-6200-44c0-9d32-bc35c7e10b1b)) + (gr_line (start 68.460655 22.427264) (end 68.288676 22.152673) (layer "F.SilkS") (width 0.00575) (tstamp a5d5f995-a6e9-4034-a62e-b906ba1d6288)) + (gr_line (start 72.640599 15.174982) (end 72.77288 14.89559) (layer "F.SilkS") (width 0.00575) (tstamp a5f12ed6-a019-43df-8925-fb2c80841b14)) + (gr_line (start 70.272307 17.316156) (end 70.132017 17.303772) (layer "F.SilkS") (width 0.00575) (tstamp a5f9aadb-7052-4b0b-b9ef-43e07a61f0a8)) + (gr_line (start 72.725065 18.571924) (end 72.725401 18.572986) (layer "F.SilkS") (width 0.00575) (tstamp a60b5681-fb56-4f6a-84b9-afa91ec159ab)) + (gr_line (start 78.159654 28.306915) (end 78.201341 28.347803) (layer "F.SilkS") (width 0.00575) (tstamp a618dbbe-5a61-4dda-9320-dd7f51154f9c)) + (gr_line (start 67.688389 24.009009) (end 67.7158 24.096686) (layer "F.SilkS") (width 0.00575) (tstamp a6278058-4a37-4e4f-890b-0e450dbc9af4)) + (gr_line (start 73.179539 24.997412) (end 73.154851 25.047772) (layer "F.SilkS") (width 0.00575) (tstamp a65b7218-0ea0-4f38-b673-21f0978d519f)) + (gr_line (start 76.785612 28.579089) (end 76.60952 28.57514) (layer "F.SilkS") (width 0.00575) (tstamp a660faa5-cf96-473f-8ca3-b33c1c6ee860)) + (gr_line (start 66.879924 23.315588) (end 67.228038 23.532623) (layer "F.SilkS") (width 0.00575) (tstamp a67297ae-12e8-44fc-82a4-9ee4c8f5dba3)) + (gr_line (start 65.945445 19.921918) (end 65.940593 19.937537) (layer "F.SilkS") (width 0.00575) (tstamp a6744e02-ff60-4376-b212-386d885f2d5d)) + (gr_line (start 69.000206 15.310681) (end 68.802763 15.395398) (layer "F.SilkS") (width 0.00575) (tstamp a681eca9-6736-422f-9ff6-ee05a65d2c09)) + (gr_line (start 78.980803 31.763422) (end 78.972954 31.605572) (layer "F.SilkS") (width 0.00575) (tstamp a6846598-5bc2-4406-a003-78af08813900)) + (gr_line (start 73.822337 15.259347) (end 73.716978 15.478113) (layer "F.SilkS") (width 0.00575) (tstamp a69ea050-43e1-4dc6-9910-ec46196e11b9)) + (gr_line (start 77.782036 15.683652) (end 77.782475 15.743472) (layer "F.SilkS") (width 0.00575) (tstamp a6aba88e-f091-4d6a-b9ac-d3aa8f767bf5)) + (gr_line (start 60.510515 31.355029) (end 59.588792 29.34303) (layer "F.SilkS") (width 0.00575) (tstamp a6b7fd08-c396-49c8-b1d5-5bf611a3d544)) + (gr_line (start 60.804887 22.155847) (end 60.898558 22.034173) (layer "F.SilkS") (width 0.00575) (tstamp a6ce7c76-df62-4f13-b4fe-6035a643240c)) + (gr_line (start 63.423668 19.475329) (end 63.314927 19.129553) (layer "F.SilkS") (width 0.00575) (tstamp a6e73e03-b175-4336-b41c-78746f5214a9)) + (gr_line (start 80.741502 31.875537) (end 80.90255 31.873541) (layer "F.SilkS") (width 0.00575) (tstamp a7551faa-9208-4da0-a8fa-6230e0f19d01)) + (gr_line (start 69.868071 24.632184) (end 69.823375 24.647308) (layer "F.SilkS") (width 0.00575) (tstamp a78a2fe4-ff9c-4283-8b41-e760b506df10)) + (gr_line (start 61.626811 26.572443) (end 61.55789 26.345801) (layer "F.SilkS") (width 0.00575) (tstamp a797abbb-7394-4ceb-b7d8-448ac3b6f04b)) + (gr_line (start 72.22946 29.875641) (end 72.164952 29.671252) (layer "F.SilkS") (width 0.00575) (tstamp a7a8c91b-bc42-437d-9e4f-da74ea5d337c)) + (gr_line (start 76.465153 16.067136) (end 76.425694 15.718994) (layer "F.SilkS") (width 0.00575) (tstamp a7be6d33-6ad5-41b3-9a4d-ca7bcdda0676)) + (gr_line (start 64.441417 10.296677) (end 64.52072 10.324084) (layer "F.SilkS") (width 0.00575) (tstamp a7c3a0c4-a4de-48b7-9731-870297b559ff)) + (gr_line (start 69.227336 14.118823) (end 69.312663 14.196838) (layer "F.SilkS") (width 0.00575) (tstamp a7d09baf-8da2-42e5-8f31-e3ed3ee51951)) + (gr_line (start 76.96447 27.317065) (end 76.71862 27.306384) (layer "F.SilkS") (width 0.00575) (tstamp a7e027c7-9b6b-489f-8218-5fd4e8a0545d)) + (gr_line (start 68.660216 17.182135) (end 69.051402 17.295447) (layer "F.SilkS") (width 0.00575) (tstamp a8001c20-3172-4719-a73a-b192797f89b8)) + (gr_line (start 74.70236 25.856323) (end 74.688164 25.715723) (layer "F.SilkS") (width 0.00575) (tstamp a80344d5-418f-4e78-80fe-b20034c3e250)) + (gr_line (start 62.808897 27.287457) (end 62.606474 27.292444) (layer "F.SilkS") (width 0.00575) (tstamp a80beba1-dae9-483c-b115-af8891779518)) + (gr_line (start 77.827659 15.67185) (end 77.799168 15.665607) (layer "F.SilkS") (width 0.00575) (tstamp a80eb1c5-2dbb-4545-ba0e-66dd6b4c1d4f)) + (gr_line (start 65.576616 16.441299) (end 65.654515 16.617383) (layer "F.SilkS") (width 0.00575) (tstamp a8134606-141d-4d96-9a99-4ede2868394c)) + (gr_line (start 69.956236 24.36864) (end 70.061247 24.414007) (layer "F.SilkS") (width 0.00575) (tstamp a82a86ec-c5be-4367-b736-d76256105dfc)) + (gr_line (start 76.699119 30.419531) (end 76.478526 30.418133) (layer "F.SilkS") (width 0.00575) (tstamp a849f8d1-1100-4697-a581-27c8870a9cc7)) + (gr_line (start 78.14351 32.155029) (end 78.106584 32.180139) (layer "F.SilkS") (width 0.00575) (tstamp a868dbfa-8918-4c00-9411-c687c3d1a4f4)) + (gr_line (start 75.879143 19.31159) (end 75.903642 19.239288) (layer "F.SilkS") (width 0.00575) (tstamp a88050de-dc0a-48df-b0f8-194dd9ecad23)) + (gr_line (start 78.464189 22.426196) (end 78.507237 22.445831) (layer "F.SilkS") (width 0.00575) (tstamp a88442f4-c990-448e-a5db-9cf6637c2b07)) + (gr_line (start 68.053441 25.119379) (end 68.10189 25.251538) (layer "F.SilkS") (width 0.00575) (tstamp a8876314-9d3e-4224-93b3-42037212f41f)) + (gr_line (start 74.997777 21.147284) (end 74.861314 21.001959) (layer "F.SilkS") (width 0.00575) (tstamp a887ece9-e535-4bfa-9331-38c280dc65ad)) + (gr_line (start 67.115416 17.788495) (end 67.101158 17.958942) (layer "F.SilkS") (width 0.00575) (tstamp a889982b-7493-4b81-9763-d687b9940f28)) + (gr_line (start 64.058806 20.586926) (end 63.795982 20.205182) (layer "F.SilkS") (width 0.00575) (tstamp a8a4f2ea-ad4c-4d39-b4a1-d98d3a48f1f8)) + (gr_line (start 79.157286 16.586572) (end 79.178697 16.676871) (layer "F.SilkS") (width 0.00575) (tstamp a8d7b098-c29d-4068-b9a5-98a63fa7ab4d)) + (gr_line (start 74.070567 14.97319) (end 73.982951 15.056296) (layer "F.SilkS") (width 0.00575) (tstamp a8de53a0-76a9-4eba-bf1d-a35a5544263f)) + (gr_line (start 82.204936 28.228815) (end 82.239189 28.238196) (layer "F.SilkS") (width 0.00575) (tstamp a8e65eb2-b535-4e41-926d-5f40da455571)) + (gr_line (start 77.77852 16.888177) (end 77.768229 16.92568) (layer "F.SilkS") (width 0.00575) (tstamp a90d20ae-89b3-496c-9f77-aa44f9f60666)) + (gr_line (start 79.575487 28.207074) (end 79.726317 28.204834) (layer "F.SilkS") (width 0.00575) (tstamp a9266dce-6eab-48cf-97f6-257cc096656a)) + (gr_line (start 78.855986 22.480926) (end 78.995707 22.422974) (layer "F.SilkS") (width 0.00575) (tstamp a9337507-743a-4305-8a17-4bb0e173109b)) + (gr_line (start 73.732957 16.223379) (end 73.826134 16.453125) (layer "F.SilkS") (width 0.00575) (tstamp a936db15-4aa0-499e-bfa7-d6f36d503b7e)) + (gr_line (start 71.186082 15.582092) (end 70.929814 15.194311) (layer "F.SilkS") (width 0.00575) (tstamp a96ec3cf-af7b-468f-8a73-42336a73e857)) + (gr_line (start 65.290513 9.57113) (end 65.232689 9.479123) (layer "F.SilkS") (width 0.00575) (tstamp a971e98b-ba9d-44c8-947b-97cb79dfb53d)) + (gr_line (start 72.207579 16.20867) (end 72.283366 16.18761) (layer "F.SilkS") (width 0.00575) (tstamp a97e5f76-27b7-4ada-8de3-9ea659561140)) + (gr_line (start 60.644804 30.097485) (end 60.728624 30.282239) (layer "F.SilkS") (width 0.00575) (tstamp a9838ccd-77c2-4fd4-b08c-b2d2816e9607)) + (gr_line (start 68.599217 24.109131) (end 67.9088 23.745221) (layer "F.SilkS") (width 0.00575) (tstamp a9a920e0-1a13-4508-9b51-cf322df63cee)) + (gr_line (start 70.90009 25.045788) (end 70.784831 25.012042) (layer "F.SilkS") (width 0.00575) (tstamp a9ab6df9-59c7-4592-a946-b331612013e0)) + (gr_line (start 67.476243 15.918426) (end 67.383024 16.273166) (layer "F.SilkS") (width 0.00575) (tstamp a9f42a91-c0df-4958-bbf9-8c2889eb2552)) + (gr_line (start 61.533085 23.328717) (end 61.437913 23.338153) (layer "F.SilkS") (width 0.00575) (tstamp aa04fcf2-6fa3-4e15-b4fe-455a67baf0f2)) + (gr_line (start 62.917784 22.432141) (end 62.883055 22.139111) (layer "F.SilkS") (width 0.00575) (tstamp aa27a214-179c-4aa1-ad67-25b86e1c2f0d)) + (gr_line (start 66.028929 19.639514) (end 65.945439 19.921918) (layer "F.SilkS") (width 0.00575) (tstamp aa308161-0feb-4353-a283-e24261abcfc3)) + (gr_line (start 63.848015 30.685815) (end 63.848015 30.299158) (layer "F.SilkS") (width 0.00575) (tstamp aa51a0a4-0a7c-4c58-b7bd-9d8981eeb59e)) + (gr_line (start 74.229124 25.591211) (end 74.082084 25.593933) (layer "F.SilkS") (width 0.00575) (tstamp aa5fd532-d2e8-4f39-8f10-828acadeef82)) + (gr_line (start 67.066801 16.250137) (end 67.104448 16.10907) (layer "F.SilkS") (width 0.00575) (tstamp aa7ef58f-21b6-428e-99af-01ff51c1107b)) + (gr_line (start 62.406688 21.786035) (end 62.47144 21.889166) (layer "F.SilkS") (width 0.00575) (tstamp aac951aa-27bc-4a9b-94d6-43c643f3fe28)) + (gr_line (start 71.936241 16.31134) (end 72.094694 16.249966) (layer "F.SilkS") (width 0.00575) (tstamp aacc4793-ee68-4725-8348-b0e99c07d7c1)) + (gr_line (start 72.006285 16.801703) (end 72.074333 16.777453) (layer "F.SilkS") (width 0.00575) (tstamp aaccb960-ef69-4886-8d0f-a06e4a4a9269)) + (gr_line (start 68.124919 12.756961) (end 68.09478 12.700955) (layer "F.SilkS") (width 0.00575) (tstamp aad7a5d1-cc85-466e-922d-7bcf020a067a)) + (gr_line (start 75.623698 18.635455) (end 75.712352 18.347552) (layer "F.SilkS") (width 0.00575) (tstamp ab0853d6-f2aa-4c03-98dc-dcb9510ad0e5)) + (gr_line (start 71.946788 28.990466) (end 71.933897 29.006122) (layer "F.SilkS") (width 0.00575) (tstamp ab12018a-ea89-4440-9f04-6e1731db8a10)) + (gr_line (start 82.503398 30.653229) (end 82.504881 30.823035) (layer "F.SilkS") (width 0.00575) (tstamp ab15e189-a9d2-4055-a997-30342b9231c4)) + (gr_line (start 65.93759 20.685828) (end 65.968919 20.631476) (layer "F.SilkS") (width 0.00575) (tstamp ab257d97-d9bc-4525-945e-3d67f67821ac)) + (gr_line (start 68.466801 13.903793) (end 68.535911 14.016324) (layer "F.SilkS") (width 0.00575) (tstamp abbf2d1b-ac1e-45aa-b49e-153a3d6a4950)) + (gr_line (start 78.113676 24.137469) (end 78.125566 23.971295) (layer "F.SilkS") (width 0.00575) (tstamp abc9a6cd-44c9-4270-adf6-067ef4bac2a8)) + (gr_line (start 64.975975 20.946661) (end 64.624943 20.545569) (layer "F.SilkS") (width 0.00575) (tstamp abd7516c-d342-48ea-9575-5d553f00a077)) + (gr_line (start 65.325535 15.376742) (end 65.325529 15.427664) (layer "F.SilkS") (width 0.00575) (tstamp abff78d4-df0e-472c-b2bd-a8d32263473e)) + (gr_line (start 69.057811 19.223187) (end 68.774248 19.402057) (layer "F.SilkS") (width 0.00575) (tstamp ac27f6b5-cddd-4591-b3a2-3e2c407bdfc8)) + (gr_line (start 64.616118 24.716412) (end 64.517515 24.728851) (layer "F.SilkS") (width 0.00575) (tstamp ac2bdf28-0dcc-4348-ae25-10cf34448c12)) + (gr_line (start 72.985039 23.638507) (end 72.993345 23.588959) (layer "F.SilkS") (width 0.00575) (tstamp ac335d20-47d3-4d84-b5ec-f2ef54841284)) + (gr_line (start 63.899943 17.863196) (end 63.855937 17.628259) (layer "F.SilkS") (width 0.00575) (tstamp ac3423c0-0217-41c9-a137-f3100bce8d5b)) + (gr_line (start 65.354613 15.820532) (end 65.384691 15.944412) (layer "F.SilkS") (width 0.00575) (tstamp ac347ba7-1a18-4aa9-bbe4-2aa1acf4d7a4)) + (gr_line (start 67.9601 20.641534) (end 67.916746 20.944122) (layer "F.SilkS") (width 0.00575) (tstamp ac39ddd6-9dfd-491a-83ac-1ab8a2043924)) + (gr_line (start 75.807762 17.608984) (end 75.785264 17.30105) (layer "F.SilkS") (width 0.00575) (tstamp ac62908f-ef1a-4d0f-9a67-f8915acdf97e)) + (gr_line (start 61.872911 24.505804) (end 62.057097 24.403162) (layer "F.SilkS") (width 0.00575) (tstamp ac7fca6b-dbdc-4565-9db5-dc802c6016d7)) + (gr_line (start 78.467802 20.256122) (end 78.488969 20.350616) (layer "F.SilkS") (width 0.00575) (tstamp ac91f45d-ee51-48a2-84ef-58213b5e3db8)) + (gr_line (start 69.947624 31.57265) (end 70.034929 31.334546) (layer "F.SilkS") (width 0.00575) (tstamp acb6903b-2a91-4528-9e83-3a91b89267a8)) + (gr_line (start 68.042174 20.365478) (end 67.960093 20.641534) (layer "F.SilkS") (width 0.00575) (tstamp acd2eb02-c4ea-46fc-ab34-532b6d66e431)) + (gr_line (start 72.405272 18.293017) (end 72.336851 18.215771) (layer "F.SilkS") (width 0.00575) (tstamp ace0ec22-dafb-4f90-adb1-d95449ac02f9)) + (gr_line (start 66.115794 14.437158) (end 66.101848 14.43689) (layer "F.SilkS") (width 0.00575) (tstamp ace90fa3-1363-4de3-b71d-9fba2cf0b54e)) + (gr_line (start 65.97523 20.980688) (end 65.951109 20.927801) (layer "F.SilkS") (width 0.00575) (tstamp acf0c798-53d9-45b6-9047-453cb07b7df0)) + (gr_line (start 62.213164 25.794214) (end 62.241075 25.569995) (layer "F.SilkS") (width 0.00575) (tstamp acfc5f11-2eb3-425f-bb8d-57c150ba2486)) + (gr_line (start 75.77064 18.074164) (end 75.801292 17.805426) (layer "F.SilkS") (width 0.00575) (tstamp acff9467-545f-4579-bd9d-21c59c529b20)) + (gr_line (start 62.739738 22.246246) (end 62.750645 22.369653) (layer "F.SilkS") (width 0.00575) (tstamp ad248061-09c0-4812-a19e-6556961fb4a1)) + (gr_line (start 75.712352 18.347552) (end 75.77064 18.074164) (layer "F.SilkS") (width 0.00575) (tstamp ad2befa2-a913-425f-ab03-a56dedc48e9f)) + (gr_line (start 73.924864 16.161767) (end 73.868901 16.139667) (layer "F.SilkS") (width 0.00575) (tstamp ad340478-c354-416d-94fe-18dd53d7eb13)) + (gr_line (start 75.997905 24.584686) (end 76.021452 24.572198) (layer "F.SilkS") (width 0.00575) (tstamp ad5fc913-367d-4fa4-aacf-25b53646f7e5)) + (gr_line (start 79.006346 31.956464) (end 78.991624 31.877417) (layer "F.SilkS") (width 0.00575) (tstamp ad66e888-027a-43cb-8793-ef6e85f3754a)) + (gr_line (start 74.660991 28.574066) (end 75.865623 28.574066) (layer "F.SilkS") (width 0.00575) (tstamp ad7ac0ce-e39a-45a7-af6d-bd05b941cde5)) + (gr_line (start 66.577214 20.708313) (end 66.681895 20.482617) (layer "F.SilkS") (width 0.00575) (tstamp ad7c73a1-2470-4434-a965-115f10c9210f)) + (gr_line (start 75.002379 16.309262) (end 74.71649 16.224646) (layer "F.SilkS") (width 0.00575) (tstamp ad8e3f3d-218f-4b68-80ec-fa83b7f81d39)) + (gr_line (start 66.980784 10.53417) (end 67.014628 10.675418) (layer "F.SilkS") (width 0.00575) (tstamp ada4ec6b-3bf6-41c1-80dd-ae511ec48a85)) + (gr_line (start 70.929814 15.194318) (end 70.657426 14.844815) (layer "F.SilkS") (width 0.00575) (tstamp adb8d1a7-b322-4b6c-9cb6-8338fc23ba48)) + (gr_line (start 78.504515 24.175873) (end 78.516337 24.350629) (layer "F.SilkS") (width 0.00575) (tstamp adbe5dde-67f1-4a79-a716-095e8b57d418)) + (gr_line (start 77.799168 15.665607) (end 77.782036 15.683652) (layer "F.SilkS") (width 0.00575) (tstamp adc6b651-8038-4fd3-8396-1fab48182787)) + (gr_line (start 79.32979 23.72442) (end 79.180992 23.681146) (layer "F.SilkS") (width 0.00575) (tstamp add59ec7-18bc-44ab-9c88-a3ef7b286788)) + (gr_line (start 75.980571 25.47409) (end 75.959269 25.465387) (layer "F.SilkS") (width 0.00575) (tstamp adf9e8e9-a3cf-4e66-9845-ffece7322220)) + (gr_line (start 75.847104 21.434812) (end 75.847185 21.434778) (layer "F.SilkS") (width 0.00575) (tstamp adfeadb3-065f-4398-9039-4a42c089dced)) + (gr_line (start 63.254149 18.482226) (end 63.302861 18.183026) (layer "F.SilkS") (width 0.00575) (tstamp ae013602-752e-44d3-b1c9-f43d415b178e)) + (gr_line (start 65.336644 15.700088) (end 65.354613 15.820535) (layer "F.SilkS") (width 0.00575) (tstamp ae119a84-4ea7-4632-8627-fca6f9e7ec28)) + (gr_line (start 75.869835 25.819342) (end 75.920622 25.973364) (layer "F.SilkS") (width 0.00575) (tstamp ae1d6e36-1a18-4cbf-b53f-7e5ff5f2665d)) + (gr_line (start 67.973784 21.791907) (end 68.07885 22.083569) (layer "F.SilkS") (width 0.00575) (tstamp ae443d59-8d29-4753-ab6e-f133ffbda549)) + (gr_line (start 74.416551 13.895053) (end 74.819829 13.856851) (layer "F.SilkS") (width 0.00575) (tstamp ae70287b-c1e8-49b8-a69c-2772356edcf4)) + (gr_line (start 68.672355 22.670618) (end 68.460649 22.427264) (layer "F.SilkS") (width 0.00575) (tstamp ae8c11f6-bcf0-4dce-bfe9-7d6383ad0ad8)) + (gr_line (start 71.532182 23.691547) (end 72.110997 23.219684) (layer "F.SilkS") (width 0.00575) (tstamp ae903c75-e9fd-4312-892f-711a12a25b14)) + (gr_line (start 66.365721 11.100845) (end 66.431144 11.189288) (layer "F.SilkS") (width 0.00575) (tstamp aeba30e0-b502-40df-8e70-0a1c2e5cc36f)) + (gr_line (start 74.96942 19.986365) (end 75.257457 19.469739) (layer "F.SilkS") (width 0.00575) (tstamp aec2e9e4-c38e-430a-9468-2882c8815105)) + (gr_line (start 78.543681 24.008514) (end 78.504515 24.175873) (layer "F.SilkS") (width 0.00575) (tstamp aec7c037-b32d-4521-a6f7-9a18dc87ebff)) + (gr_line (start 76.92628 28.585596) (end 76.785612 28.579089) (layer "F.SilkS") (width 0.00575) (tstamp aed50846-9d1c-42f9-a589-80d78384455d)) + (gr_line (start 70.387687 24.888025) (end 69.807701 25.237225) (layer "F.SilkS") (width 0.00575) (tstamp aee0667d-dc64-46d1-a2c3-ab5b24e57885)) + (gr_line (start 65.394865 11.078982) (end 65.258812 11.019772) (layer "F.SilkS") (width 0.00575) (tstamp aef15e91-bac2-436a-b51f-e953ceb595a2)) + (gr_line (start 65.774296 9.574829) (end 65.951311 9.625235) (layer "F.SilkS") (width 0.00575) (tstamp af32342c-bc1b-467a-bc58-d309f3930779)) + (gr_line (start 64.063414 20.031036) (end 64.311247 20.39151) (layer "F.SilkS") (width 0.00575) (tstamp af337954-fde5-49fd-91a8-3730603be9f6)) + (gr_line (start 77.085374 28.613605) (end 77.072136 28.604858) (layer "F.SilkS") (width 0.00575) (tstamp af65dda8-c46f-4c15-a938-416c005e39bf)) + (gr_line (start 71.441008 17.822113) (end 71.698509 17.963324) (layer "F.SilkS") (width 0.00575) (tstamp af785ff8-8530-4fcc-b272-468fd2ed6d63)) + (gr_line (start 62.602287 22.62547) (end 62.449779 22.635455) (layer "F.SilkS") (width 0.00575) (tstamp afacd20a-cbad-4ab6-b63e-158b1dc2dd4f)) + (gr_line (start 67.525352 14.778265) (end 67.633299 14.87297) (layer "F.SilkS") (width 0.00575) (tstamp aff41fd1-8c85-4f46-a111-b8d4a613462f)) + (gr_line (start 73.130583 25.060174) (end 73.082817 25.053247) (layer "F.SilkS") (width 0.00575) (tstamp b00ce659-8309-4341-aff8-3f8f40ea850d)) + (gr_line (start 72.216002 17.953107) (end 72.207536 17.926074) (layer "F.SilkS") (width 0.00575) (tstamp b022b99e-0c4d-46dd-878d-d9dd2180e871)) + (gr_line (start 75.488127 21.34306) (end 75.401097 21.343811) (layer "F.SilkS") (width 0.00575) (tstamp b045b3ac-d30f-408f-ad9a-3a7c18fef61c)) + (gr_line (start 70.341301 24.537823) (end 70.94077 24.131866) (layer "F.SilkS") (width 0.00575) (tstamp b07d21d5-091a-46e2-8500-530b8cf132fc)) + (gr_line (start 66.717827 19.965674) (end 66.660313 20.114099) (layer "F.SilkS") (width 0.00575) (tstamp b0917529-86f3-4357-a953-eaa4d182833e)) + (gr_line (start 75.300462 19.029681) (end 75.268333 19.024939) (layer "F.SilkS") (width 0.00575) (tstamp b0988dae-2452-4485-880c-4ce5e4fb526d)) + (gr_line (start 66.42285 20.124005) (end 66.721098 19.808667) (layer "F.SilkS") (width 0.00575) (tstamp b0b69750-4c11-4f4d-9177-abd0e8187b99)) + (gr_line (start 67.770219 23.293353) (end 68.295976 23.585101) (layer "F.SilkS") (width 0.00575) (tstamp b0e481e1-0be4-4afe-bd98-bf59378367c4)) + (gr_line (start 78.535466 24.686151) (end 78.414103 24.620178) (layer "F.SilkS") (width 0.00575) (tstamp b0ee9bbb-ae85-4f8f-8c5c-235aa8ac70de)) + (gr_line (start 74.659611 21.30379) (end 74.693352 21.316199) (layer "F.SilkS") (width 0.00575) (tstamp b107f800-3628-4eca-a98e-a02f096fdfed)) + (gr_line (start 73.829619 15.940729) (end 73.829222 15.844064) (layer "F.SilkS") (width 0.00575) (tstamp b12ae90c-e076-4dfe-80f0-cce316225557)) + (gr_line (start 67.818077 12.224673) (end 67.680314 12.170593) (layer "F.SilkS") (width 0.00575) (tstamp b13fd25c-679b-4b53-8b70-2bdb1db8e5c9)) + (gr_line (start 77.090111 29.987579) (end 77.103728 29.96582) (layer "F.SilkS") (width 0.00575) (tstamp b1cef9ca-bc70-4265-bace-919daf940955)) + (gr_line (start 68.061656 20.953149) (end 68.127415 20.618433) (layer "F.SilkS") (width 0.00575) (tstamp b1e50de5-aba1-4c90-bf66-8f3f2b4df757)) + (gr_line (start 78.302556 19.779266) (end 78.437529 20.14798) (layer "F.SilkS") (width 0.00575) (tstamp b1e59ab5-c075-4ef2-9967-f81d2a7b10a6)) + (gr_line (start 66.370683 26.164758) (end 66.649876 26.127856) (layer "F.SilkS") (width 0.00575) (tstamp b1f27aad-8871-4ad4-8a56-ad98a3fdf9c5)) + (gr_line (start 69.402134 14.479437) (end 69.411571 14.671554) (layer "F.SilkS") (width 0.00575) (tstamp b201f946-c4ee-4427-a7dd-7e4045320367)) + (gr_line (start 75.844218 26.648028) (end 75.760209 26.409424) (layer "F.SilkS") (width 0.00575) (tstamp b2036527-baaa-4ae4-87ed-80d801072a59)) + (gr_line (start 76.808305 15.387625) (end 76.791185 15.460638) (layer "F.SilkS") (width 0.00575) (tstamp b20cc3fd-fbe0-4756-8f06-e0e84d36fb8f)) + (gr_line (start 62.13864 22.862097) (end 62.13203 22.871301) (layer "F.SilkS") (width 0.00575) (tstamp b23f0456-4457-428a-b90b-224ec9caba8f)) + (gr_line (start 71.715342 20.164038) (end 71.778728 20.288) (layer "F.SilkS") (width 0.00575) (tstamp b24fc77a-17f6-49fa-ba52-d916d6bad1d6)) + (gr_line (start 74.7088 25.881201) (end 74.70236 25.856323) (layer "F.SilkS") (width 0.00575) (tstamp b25a281a-3441-4c66-aeda-ce332a98179b)) + (gr_line (start 66.737138 18.872418) (end 66.74666 18.619562) (layer "F.SilkS") (width 0.00575) (tstamp b2623646-bb8e-4f99-acf9-c5a0ce6dd3a3)) + (gr_line (start 67.173045 15.864651) (end 67.197081 15.787424) (layer "F.SilkS") (width 0.00575) (tstamp b26b7335-a8f3-42f7-b024-b8639e6ade0b)) + (gr_line (start 63.475194 23.742633) (end 63.277519 23.454138) (layer "F.SilkS") (width 0.00575) (tstamp b26e25fa-4248-4a10-816e-6b6f0ba9d2b3)) + (gr_line (start 65.795134 20.519018) (end 65.77166 20.738666) (layer "F.SilkS") (width 0.00575) (tstamp b2753767-d1c3-4a9b-b0b7-5ab234ac4561)) + (gr_line (start 70.130961 31.072479) (end 71.253221 31.072479) (layer "F.SilkS") (width 0.00575) (tstamp b2813b60-c920-4eec-af04-b74bde481672)) + (gr_line (start 79.767296 32.249164) (end 79.579503 32.246118) (layer "F.SilkS") (width 0.00575) (tstamp b28cc2c3-5e39-4d65-b3e3-eb47da83c0f4)) + (gr_line (start 72.184099 28.18493) (end 72.435014 28.193603) (layer "F.SilkS") (width 0.00575) (tstamp b2997d1d-069a-4dec-9f79-924b780b51da)) + (gr_line (start 67.472154 22.930084) (end 67.447563 22.914715) (layer "F.SilkS") (width 0.00575) (tstamp b2c19b89-1477-4848-961e-e7fcb1a71e00)) + (gr_line (start 62.559678 25.04762) (end 62.610447 24.976184) (layer "F.SilkS") (width 0.00575) (tstamp b2c96dc4-a77f-4f9a-923f-f41fa7925d87)) + (gr_line (start 82.274968 30.068738) (end 82.289293 30.077136) (layer "F.SilkS") (width 0.00575) (tstamp b2ce630b-6b0f-4345-96a1-3525b5c62a05)) + (gr_line (start 81.270964 30.496558) (end 81.261094 30.445264) (layer "F.SilkS") (width 0.00575) (tstamp b2e9f90b-54fe-4421-aa45-bce395a3d73e)) + (gr_line (start 79.875749 22.420666) (end 79.824815 22.429175) (layer "F.SilkS") (width 0.00575) (tstamp b2fd8922-6a4c-4230-8576-543292e69337)) + (gr_line (start 68.062394 23.097418) (end 68.004234 23.171429) (layer "F.SilkS") (width 0.00575) (tstamp b30d8e55-0a17-4a6c-bbba-3ccceb118de9)) + (gr_line (start 77.69293 24.712286) (end 77.724138 24.734601) (layer "F.SilkS") (width 0.00575) (tstamp b31f1bd5-6709-4353-a46f-8424c4f4067d)) + (gr_line (start 70.729973 28.389874) (end 70.978868 28.384961) (layer "F.SilkS") (width 0.00575) (tstamp b3324a58-d466-4c96-9a32-ffd78c31b38d)) + (gr_line (start 63.415513 20.000421) (end 63.437712 19.959827) (layer "F.SilkS") (width 0.00575) (tstamp b3482b22-7d05-4789-8b87-e4225e88a769)) + (gr_line (start 62.709501 22.026593) (end 62.722972 22.076459) (layer "F.SilkS") (width 0.00575) (tstamp b3579e6b-a1e1-41a8-9c48-010fbc65142d)) + (gr_line (start 65.22288 10.422854) (end 65.466844 10.468487) (layer "F.SilkS") (width 0.00575) (tstamp b39b830f-31d5-4ee0-bf1b-e59ecb046553)) + (gr_line (start 79.824815 22.429175) (end 79.669389 22.476794) (layer "F.SilkS") (width 0.00575) (tstamp b3bdbdcb-513b-4d4c-9c45-e0c7ab51a6c3)) + (gr_line (start 71.48914 28.552093) (end 71.20128 28.672589) (layer "F.SilkS") (width 0.00575) (tstamp b3cceeaf-8675-46b3-81b2-16b9fe4f595a)) + (gr_line (start 78.510344 20.558246) (end 78.513701 20.70116) (layer "F.SilkS") (width 0.00575) (tstamp b4168bdf-c503-4e55-8fe4-d6af8f853f5f)) + (gr_line (start 62.110374 25.458563) (end 62.071599 25.648425) (layer "F.SilkS") (width 0.00575) (tstamp b416dd6b-8a69-4dc7-9b7c-1fa24efffabf)) + (gr_line (start 76.933067 23.769739) (end 76.88123 23.815668) (layer "F.SilkS") (width 0.00575) (tstamp b43818f3-6d0f-4f90-b7c9-836c44e12b4a)) + (gr_line (start 61.701835 24.661981) (end 61.872911 24.505804) (layer "F.SilkS") (width 0.00575) (tstamp b44c6008-b42e-41ca-80e5-06c7d0c7a992)) + (gr_line (start 68.057268 12.764767) (end 68.113011 12.778073) (layer "F.SilkS") (width 0.00575) (tstamp b44c830f-c214-4df4-9249-6f5dc799c83f)) + (gr_line (start 82.504881 30.823035) (end 82.505382 31.041644) (layer "F.SilkS") (width 0.00575) (tstamp b4a2d9eb-5bb8-4ec2-8714-73040e6dcf08)) + (gr_poly + (pts + (xy 63.681065 17.556238) + (xy 63.700364 17.632025) + (xy 63.719304 17.719629) + (xy 63.738176 17.825) + (xy 63.741453 17.894183) + (xy 63.727946 17.948566) + (xy 63.703947 17.996356) + (xy 63.616044 18.210333) + (xy 63.571702 18.457538) + (xy 63.569871 18.698407) + (xy 63.59597 18.925) + (xy 63.647142 19.146484) + (xy 63.727965 19.378039) + (xy 63.84301 19.634845) + (xy 63.866661 19.682831) + (xy 64.063414 20.031036) + (xy 64.311247 20.39151) + (xy 64.606108 20.760101) + (xy 64.94395 21.132654) + (xy 65.320701 21.505023) + (xy 65.732341 21.873065) + (xy 66.174785 22.232635) + (xy 66.643992 22.579578) + (xy 66.8106 22.695093) + (xy 67.26989 22.993854) + (xy 67.770213 23.293347) + (xy 68.295976 23.585101) + (xy 68.831523 23.860626) + (xy 69.361253 24.111444) + (xy 69.670384 24.246802) + (xy 69.82307 24.311651) + (xy 69.956236 24.368634) + (xy 70.061241 24.414007) + (xy 70.12946 24.444043) + (xy 70.152238 24.45487) + (xy 70.138048 24.474438) + (xy 70.087321 24.510791) + (xy 70.015263 24.555084) + (xy 69.937108 24.598498) + (xy 69.868071 24.632184) + (xy 69.823375 24.647308) + (xy 69.82053 24.647455) + (xy 69.778752 24.636017) + (xy 69.694078 24.604645) + (xy 69.576176 24.557214) + (xy 69.434733 24.497589) + (xy 69.311223 24.44375) + (xy 68.599217 24.109131) + (xy 67.908793 23.745221) + (xy 67.245408 23.35567) + (xy 66.614512 22.944147) + (xy 66.02158 22.514288) + (xy 65.47205 22.069769) + (xy 64.971391 21.614245) + (xy 64.735911 21.378113) + (xy 64.372239 20.97829) + (xy 64.058806 20.586926) + (xy 63.795982 20.205182) + (xy 63.584141 19.834265) + (xy 63.423668 19.475329) + (xy 63.314921 19.129553) + (xy 63.258293 18.798126) + (xy 63.254143 18.482226) + (xy 63.302861 18.183026) + (xy 63.404814 17.901709) + (xy 63.55012 17.653778) + (xy 63.606474 17.579297) + (xy 63.649547 17.531793) + (xy 63.668248 17.521539) + (xy 63.681065 17.556238) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp b4e609ff-a96c-4903-8a31-5a42f73a3326)) + (gr_line (start 62.417692 27.270099) (end 62.308641 27.238946) (layer "F.SilkS") (width 0.00575) (tstamp b514df37-c524-489c-b4ae-1ef0d15ce00e)) + (gr_line (start 70.056547 13.6892) (end 70.079545 13.821304) (layer "F.SilkS") (width 0.00575) (tstamp b52091ae-5c11-4685-bd49-f4a0d7c1418e)) + (gr_line (start 63.860411 19.293011) (end 63.836796 19.20805) (layer "F.SilkS") (width 0.00575) (tstamp b532e889-f254-43eb-aaef-f65a28230ceb)) + (gr_line (start 68.028874 14.862546) (end 67.875023 14.833249) (layer "F.SilkS") (width 0.00575) (tstamp b53561d3-b240-4bf2-bdfa-a690cfccca8b)) + (gr_line (start 71.698509 17.963324) (end 71.951488 18.134247) (layer "F.SilkS") (width 0.00575) (tstamp b53d1dfa-a056-43a6-a1ad-d9ca68381def)) + (gr_line (start 73.271141 19.56026) (end 73.570506 19.9849) (layer "F.SilkS") (width 0.00575) (tstamp b54cb057-78f4-49ce-93fb-f9cf0422be89)) + (gr_line (start 80.426689 28.576227) (end 80.340025 28.580664) (layer "F.SilkS") (width 0.00575) (tstamp b55c7f42-5ea3-4921-9ddc-b701e39e302e)) + (gr_line (start 77.024229 28.594305) (end 76.92628 28.585596) (layer "F.SilkS") (width 0.00575) (tstamp b55c8a2d-aba7-4a91-9f3e-70a7cd6790f4)) + (gr_line (start 80.216118 31.803961) (end 80.232231 31.830377) (layer "F.SilkS") (width 0.00575) (tstamp b586a73a-7ba4-404f-ae9f-1cf5de4f4e96)) + (gr_line (start 68.150358 15.064108) (end 68.14647 15.045132) (layer "F.SilkS") (width 0.00575) (tstamp b58c3f25-c966-4f16-a90c-50cf10ba9a95)) + (gr_line (start 67.575419 23.758722) (end 67.556132 23.791949) (layer "F.SilkS") (width 0.00575) (tstamp b58d7eb8-f4d7-4200-9ba2-16a9eaf23f96)) + (gr_line (start 67.067534 16.685098) (end 67.004045 16.666781) (layer "F.SilkS") (width 0.00575) (tstamp b58eea4b-17d8-4f63-bd72-eb0e5519856c)) + (gr_line (start 67.133885 17.345471) (end 67.146477 17.373566) (layer "F.SilkS") (width 0.00575) (tstamp b59379b7-8805-4f97-a4fa-b96200cc4877)) + (gr_line (start 78.072844 15.062143) (end 78.412309 15.415927) (layer "F.SilkS") (width 0.00575) (tstamp b5ef77eb-45ec-4793-9856-c20193266218)) + (gr_line (start 79.001298 23.947595) (end 79.019615 23.991632) (layer "F.SilkS") (width 0.00575) (tstamp b60d762f-44c2-4cf2-94e8-57e8c42431a8)) + (gr_line (start 75.601329 18.131818) (end 75.564457 18.274921) (layer "F.SilkS") (width 0.00575) (tstamp b63c7a89-4820-4dc0-861c-62ea32408781)) + (gr_line (start 68.0322 14.862555) (end 68.028874 14.862546) (layer "F.SilkS") (width 0.00575) (tstamp b65c69c9-b923-4934-aec6-800b09af728b)) + (gr_line (start 72.004899 29.167645) (end 71.970805 29.062079) (layer "F.SilkS") (width 0.00575) (tstamp b670e7f2-158b-4a5d-aa4f-e7e96bc65a1e)) + (gr_line (start 71.490385 16.847858) (end 71.220292 16.971619) (layer "F.SilkS") (width 0.00575) (tstamp b677180c-d668-44aa-8323-c25414741a3f)) + (gr_line (start 79.864744 23.637341) (end 79.877525 23.650433) (layer "F.SilkS") (width 0.00575) (tstamp b678437c-f30c-46f5-819a-a920f5911c60)) + (gr_line (start 67.180913 16.697912) (end 67.067534 16.685098) (layer "F.SilkS") (width 0.00575) (tstamp b69758ba-9152-4a55-9616-cbadd20f2415)) + (gr_line (start 76.676005 31.866003) (end 76.832585 31.861359) (layer "F.SilkS") (width 0.00575) (tstamp b717584f-eba8-49b7-9d7f-3c5ebe262e0b)) + (gr_line (start 67.41699 11.400433) (end 67.57924 11.464981) (layer "F.SilkS") (width 0.00575) (tstamp b71a166c-1e98-4083-be47-74b0efd3d947)) + (gr_line (start 69.406712 14.788302) (end 69.385576 14.876144) (layer "F.SilkS") (width 0.00575) (tstamp b71d0bc3-8398-4f5d-8f74-1ec0827454a4)) + (gr_line (start 73.261558 24.22558) (end 73.247117 24.392285) (layer "F.SilkS") (width 0.00575) (tstamp b724db20-7ee1-43ac-b280-1bb4b9057b14)) + (gr_line (start 82.472148 31.998529) (end 82.456798 32.027234) (layer "F.SilkS") (width 0.00575) (tstamp b75cce31-6eec-4bdc-9597-1a25a4261509)) + (gr_line (start 66.391063 10.763293) (end 66.359727 10.587201) (layer "F.SilkS") (width 0.00575) (tstamp b7a17a03-83ad-4363-988c-bff3f721e102)) + (gr_line (start 62.360093 24.355206) (end 62.50471 24.36543) (layer "F.SilkS") (width 0.00575) (tstamp b7ab562a-d306-4043-a596-11dbc4c4adac)) + (gr_line (start 74.018596 16.17001) (end 73.924864 16.161767) (layer "F.SilkS") (width 0.00575) (tstamp b7c201c6-6e19-4b5b-ae63-1a06b7c88388)) + (gr_line (start 68.717521 15.592703) (end 68.806553 15.574621) (layer "F.SilkS") (width 0.00575) (tstamp b7d96139-5dc0-4fb2-8254-6ed5c9f3f510)) + (gr_line (start 72.336851 18.215771) (end 72.289665 18.144586) (layer "F.SilkS") (width 0.00575) (tstamp b7eb6d62-9099-44b1-88e7-e483d739aae8)) + (gr_line (start 67.935863 13.512646) (end 67.767729 13.517013) (layer "F.SilkS") (width 0.00575) (tstamp b800ee9f-456e-4b94-b3f1-e7b9e6163877)) + (gr_line (start 79.353703 24.336371) (end 79.443651 24.389307) (layer "F.SilkS") (width 0.00575) (tstamp b844db36-9701-47f1-9677-075a8410b78a)) + (gr_line (start 78.233024 28.399414) (end 78.256047 28.469073) (layer "F.SilkS") (width 0.00575) (tstamp b8481422-b337-48f4-ba20-17b9b6d5910e)) + (gr_line (start 70.657426 14.844812) (end 70.405613 14.572769) (layer "F.SilkS") (width 0.00575) (tstamp b893555e-743d-4cf9-bd5a-f020e2718f96)) + (gr_line (start 62.050841 28.186316) (end 62.698472 28.194293) (layer "F.SilkS") (width 0.00575) (tstamp b8ab074b-3049-402c-8fa3-3dc465cd905a)) + (gr_line (start 74.397954 20.562305) (end 74.388597 20.573205) (layer "F.SilkS") (width 0.00575) (tstamp b8b17109-a4f8-4ae6-bf63-a01277d6c3e4)) + (gr_line (start 68.13358 13.676391) (end 68.219243 13.689264) (layer "F.SilkS") (width 0.00575) (tstamp b8c0a3fb-3560-4291-8a33-8e4894c4da6b)) + (gr_line (start 62.132957 25.398254) (end 62.110374 25.458563) (layer "F.SilkS") (width 0.00575) (tstamp b8ce5c5b-8a1d-4ab2-bfc4-3ef6323479cf)) + (gr_line (start 66.147777 10.211185) (end 66.016069 10.103955) (layer "F.SilkS") (width 0.00575) (tstamp b8d2024c-c9e0-4fba-b7d6-452a54abb0d7)) + (gr_line (start 67.28982 16.666769) (end 67.289818 16.666934) (layer "F.SilkS") (width 0.00575) (tstamp b8d9b137-4c6e-41a7-8c2d-75e07e3bd234)) + (gr_line (start 67.281303 16.689862) (end 67.248601 16.699795) (layer "F.SilkS") (width 0.00575) (tstamp b8db3272-b0a9-42b4-a9e8-7d0947532c31)) + (gr_line (start 64.806132 11.693143) (end 65.005174 11.750442) (layer "F.SilkS") (width 0.00575) (tstamp b929e4d0-3860-4cb1-bdea-5a318832b531)) + (gr_line (start 77.279313 13.870706) (end 77.571031 13.901749) (layer "F.SilkS") (width 0.00575) (tstamp b938a09f-97f5-40ad-ba21-738dbce6af56)) + (gr_line (start 79.185252 16.717236) (end 79.18051 16.734595) (layer "F.SilkS") (width 0.00575) (tstamp b942add4-2d2b-42d3-a274-19f6aba8a04e)) + (gr_line (start 62.209349 25.021808) (end 62.297166 25.00755) (layer "F.SilkS") (width 0.00575) (tstamp b94ea365-3315-4fc0-b2a6-08a9f746e485)) + (gr_line (start 62.687132 23.933002) (end 62.888292 24.061328) (layer "F.SilkS") (width 0.00575) (tstamp b95ccec3-68b5-4eaf-bf30-e4774d6ba7a5)) + (gr_line (start 78.91118 15.097784) (end 78.922185 15.117663) (layer "F.SilkS") (width 0.00575) (tstamp b95ede82-3cb1-4a0c-8750-4a762e564377)) + (gr_line (start 68.831242 15.716485) (end 68.749028 15.789151) (layer "F.SilkS") (width 0.00575) (tstamp b98370f4-1344-4529-aa7f-3d775107af2f)) + (gr_line (start 68.197331 15.228766) (end 68.150358 15.064114) (layer "F.SilkS") (width 0.00575) (tstamp b9838e43-3424-4d89-9ac6-f850363006d0)) + (gr_line (start 79.252977 28.22807) (end 79.30139 28.220636) (layer "F.SilkS") (width 0.00575) (tstamp b9a485cf-9bc2-4824-b880-d6563059aa4b)) + (gr_line (start 77.022514 31.847125) (end 77.06981 31.836334) (layer "F.SilkS") (width 0.00575) (tstamp b9a77cd6-badc-467b-9eb3-61714ec71b2e)) + (gr_line (start 78.513701 20.70116) (end 78.514311 20.87063) (layer "F.SilkS") (width 0.00575) (tstamp b9ade5e9-0d7a-4939-aa7b-d30e426647b2)) + (gr_line (start 76.88123 23.815668) (end 76.853911 23.85462) (layer "F.SilkS") (width 0.00575) (tstamp b9c710d4-9f39-49b2-97bb-c4568694862b)) + (gr_line (start 67.7158 24.096686) (end 67.753343 24.217383) (layer "F.SilkS") (width 0.00575) (tstamp b9f8cf06-a3ae-47c6-9b53-a4b336ceaea8)) + (gr_line (start 67.383024 16.273166) (end 67.347148 16.412756) (layer "F.SilkS") (width 0.00575) (tstamp ba40160c-32ac-4940-815e-21fc3ba95a59)) + (gr_line (start 77.117692 31.67644) (end 77.123338 31.545965) (layer "F.SilkS") (width 0.00575) (tstamp ba8c648f-de0e-4282-a73d-79e013b9e6aa)) + (gr_line (start 80.202873 29.948755) (end 80.203087 29.949057) (layer "F.SilkS") (width 0.00575) (tstamp ba9c4f41-544f-42a2-93bf-f13dd2c83b1b)) + (gr_line (start 75.469914 18.59436) (end 75.419633 18.746606) (layer "F.SilkS") (width 0.00575) (tstamp baa0413a-4300-493a-b271-95d2f4223e43)) + (gr_line (start 78.080906 30.177368) (end 77.991423 30.219165) (layer "F.SilkS") (width 0.00575) (tstamp baa987db-7b3b-4f67-8bed-ce2fed56446f)) + (gr_line (start 70.083769 32.26189) (end 69.936345 32.260559) (layer "F.SilkS") (width 0.00575) (tstamp bac1617e-d4ae-4c2f-8eec-83b2a7ddd507)) + (gr_line (start 66.098869 20.471887) (end 66.206236 20.35437) (layer "F.SilkS") (width 0.00575) (tstamp bac1739a-6a08-4edb-804e-3f5cf600f5c1)) + (gr_line (start 67.547947 28.187402) (end 67.547947 31.875537) (layer "F.SilkS") (width 0.00575) (tstamp badfae0d-f983-4145-ad06-f7c414643997)) + (gr_line (start 63.796458 9.969537) (end 63.74962 9.753454) (layer "F.SilkS") (width 0.00575) (tstamp bae6d179-b555-4e92-81ce-e3e530f1fafa)) + (gr_line (start 66.392625 9.772372) (end 66.534385 9.867267) (layer "F.SilkS") (width 0.00575) (tstamp baeec87b-aad5-4131-924e-f64f3b7c6433)) + (gr_line (start 70.049455 14.290225) (end 70.006248 14.392901) (layer "F.SilkS") (width 0.00575) (tstamp baf01b68-19c0-4af1-bef7-d5be7d0a91ef)) + (gr_line (start 76.51154 20.305145) (end 76.465776 20.060724) (layer "F.SilkS") (width 0.00575) (tstamp bafb5701-fd48-452a-9942-f052fea45a03)) + (gr_line (start 68.228966 15.97749) (end 68.19268 15.977634) (layer "F.SilkS") (width 0.00575) (tstamp bb1151d4-aa37-490f-8276-1bb1d8ac1de2)) + (gr_line (start 79.30139 28.220636) (end 79.367973 28.214783) (layer "F.SilkS") (width 0.00575) (tstamp bb49b0a3-600a-47ad-af53-30b84489393c)) + (gr_line (start 61.877067 25.242963) (end 61.984874 25.14729) (layer "F.SilkS") (width 0.00575) (tstamp bb531748-bc2a-4ed0-8406-7608f5fb0d09)) + (gr_line (start 74.280333 20.075287) (end 74.57368 20.312488) (layer "F.SilkS") (width 0.00575) (tstamp bb550354-58a2-4c5b-b1bd-33cb7e94b435)) + (gr_line (start 67.850584 12.642624) (end 67.955888 12.717523) (layer "F.SilkS") (width 0.00575) (tstamp bb630824-7d9e-481b-9fac-8261db20c956)) + (gr_line (start 70.341063 28.651544) (end 70.036992 28.519092) (layer "F.SilkS") (width 0.00575) (tstamp bb92a7a6-1b64-4b76-a0d8-2bdc243c77c0)) + (gr_line (start 65.078215 21.93363) (end 65.494005 22.295807) (layer "F.SilkS") (width 0.00575) (tstamp bbdf27b4-b97c-4a61-ae9a-d4bebba61cad)) + (gr_line (start 81.261094 30.445264) (end 81.250596 30.427319) (layer "F.SilkS") (width 0.00575) (tstamp bbe2a33b-6743-44ec-9e85-246edd89d9e9)) + (gr_line (start 77.945042 14.088171) (end 77.804362 14.096615) (layer "F.SilkS") (width 0.00575) (tstamp bbe51b75-1889-4544-9b78-624d178902c0)) + (gr_line (start 65.572252 26.181085) (end 65.817393 26.191955) (layer "F.SilkS") (width 0.00575) (tstamp bbf2093f-ae51-4dad-8551-d94a0ae22495)) + (gr_line (start 61.543748 22.301807) (end 61.418498 22.200696) (layer "F.SilkS") (width 0.00575) (tstamp bc0a10e9-e3e3-484b-8618-860091e34644)) + (gr_line (start 72.11082 17.634186) (end 72.049528 17.457428) (layer "F.SilkS") (width 0.00575) (tstamp bc0e9332-4685-4b9d-b11f-383303dc1743)) + (gr_line (start 68.968919 13.92702) (end 68.673778 13.928403) (layer "F.SilkS") (width 0.00575) (tstamp bc312004-25b0-4435-88a6-2b1a640f0360)) + (gr_line (start 81.219133 31.849078) (end 81.230974 31.844745) (layer "F.SilkS") (width 0.00575) (tstamp bc317138-4bce-4e03-92b7-926b7f81c141)) + (gr_line (start 62.698472 28.194293) (end 63.346086 28.202276) (layer "F.SilkS") (width 0.00575) (tstamp bc3d6713-4374-44ce-865e-f04803401400)) + (gr_line (start 61.984874 25.14729) (end 62.100444 25.070984) (layer "F.SilkS") (width 0.00575) (tstamp bc3d940d-f640-4195-b514-f0210521c48b)) + (gr_line (start 75.185905 24.140448) (end 74.913371 24.124621) (layer "F.SilkS") (width 0.00575) (tstamp bc3f95fe-a5e3-4a34-aa6d-fafd39432b3b)) + (gr_line (start 60.548955 29.885113) (end 60.644804 30.097485) (layer "F.SilkS") (width 0.00575) (tstamp bc9253da-b5ff-4cd9-9cfc-cdeeccca77fd)) + (gr_line (start 72.422655 31.066479) (end 72.526158 31.062384) (layer "F.SilkS") (width 0.00575) (tstamp bd0ebc36-2fdd-47a7-a731-a25f241941cb)) + (gr_line (start 72.554472 15.477624) (end 72.640587 15.174982) (layer "F.SilkS") (width 0.00575) (tstamp bd419186-1a11-4068-b9aa-64b0db7f1265)) + (gr_line (start 64.123332 11.35888) (end 64.110631 11.312811) (layer "F.SilkS") (width 0.00575) (tstamp bd4424d2-3d87-4df2-a52d-6630b02932ad)) + (gr_line (start 72.478355 30.669543) (end 72.422362 30.490076) (layer "F.SilkS") (width 0.00575) (tstamp bd442c5d-3ca4-4b20-af75-e456676aeda4)) + (gr_line (start 79.119548 30.299158) (end 79.074254 30.252563) (layer "F.SilkS") (width 0.00575) (tstamp bd4ae6cb-9b2f-4453-a2a2-059afc808753)) + (gr_line (start 77.02108 18.466357) (end 77.145854 18.529071) (layer "F.SilkS") (width 0.00575) (tstamp bd566d74-f39d-483c-a0ee-ac087ed17be3)) + (gr_line (start 72.116874 20.867621) (end 72.067479 20.598242) (layer "F.SilkS") (width 0.00575) (tstamp bd6d3256-acd8-49f7-ac40-65bfdb53963d)) + (gr_line (start 75.982976 25.516211) (end 75.980571 25.47409) (layer "F.SilkS") (width 0.00575) (tstamp bd85b227-55e0-4474-8873-d3b359d80328)) + (gr_line (start 63.741459 17.894183) (end 63.727952 17.948566) (layer "F.SilkS") (width 0.00575) (tstamp bd93de41-c6c9-477c-8931-ede7676c18c6)) + (gr_line (start 76.133262 24.37973) (end 76.123472 24.265021) (layer "F.SilkS") (width 0.00575) (tstamp bd9d4848-6532-400a-a691-452705995ef4)) + (gr_line (start 64.366337 10.260852) (end 64.441417 10.296677) (layer "F.SilkS") (width 0.00575) (tstamp bdd443c3-9845-4045-9121-37f405d00a63)) + (gr_line (start 69.871293 31.780707) (end 69.947624 31.57265) (layer "F.SilkS") (width 0.00575) (tstamp be15d275-b742-4668-abec-daf80e2b6298)) + (gr_line (start 71.41829 15.996988) (end 71.186082 15.582092) (layer "F.SilkS") (width 0.00575) (tstamp be305d33-9efe-4a5f-a856-af467e515a67)) + (gr_poly + (pts + (xy 65.133604 9.230667) + (xy 65.133601 9.230817) + (xy 65.13359 9.230966) + (xy 65.133572 9.231112) + (xy 65.133547 9.231256) + (xy 65.133515 9.231398) + (xy 65.133477 9.231536) + (xy 65.133433 9.231672) + (xy 65.133382 9.231805) + (xy 65.133326 9.231934) + (xy 65.133264 9.23206) + (xy 65.133196 9.232183) + (xy 65.133122 9.232301) + (xy 65.133044 9.232416) + (xy 65.13296 9.232527) + (xy 65.132871 9.232633) + (xy 65.132778 9.232734) + (xy 65.13268 9.232831) + (xy 65.132578 9.232923) + (xy 65.132471 9.23301) + (xy 65.132361 9.233091) + (xy 65.132246 9.233167) + (xy 65.132128 9.233238) + (xy 65.132006 9.233302) + (xy 65.131882 9.233361) + (xy 65.131754 9.233413) + (xy 65.131623 9.233459) + (xy 65.131489 9.233499) + (xy 65.131352 9.233531) + (xy 65.131214 9.233557) + (xy 65.131073 9.233575) + (xy 65.130929 9.233587) + (xy 65.130784 9.233591) + (xy 65.130639 9.233587) + (xy 65.130496 9.233575) + (xy 65.130355 9.233557) + (xy 65.130216 9.233531) + (xy 65.13008 9.233499) + (xy 65.129946 9.233459) + (xy 65.129815 9.233413) + (xy 65.129687 9.233361) + (xy 65.129562 9.233302) + (xy 65.129441 9.233238) + (xy 65.129323 9.233167) + (xy 65.129208 9.233091) + (xy 65.129098 9.23301) + (xy 65.128991 9.232923) + (xy 65.128889 9.232831) + (xy 65.128791 9.232734) + (xy 65.128697 9.232633) + (xy 65.128609 9.232527) + (xy 65.128525 9.232416) + (xy 65.128446 9.232301) + (xy 65.128373 9.232183) + (xy 65.128305 9.23206) + (xy 65.128243 9.231934) + (xy 65.128186 9.231805) + (xy 65.128136 9.231672) + (xy 65.128091 9.231536) + (xy 65.128053 9.231398) + (xy 65.128022 9.231256) + (xy 65.127997 9.231112) + (xy 65.127979 9.230966) + (xy 65.127968 9.230817) + (xy 65.127965 9.230667) + (xy 65.127968 9.230516) + (xy 65.127979 9.230368) + (xy 65.127997 9.230221) + (xy 65.128022 9.230077) + (xy 65.128053 9.229935) + (xy 65.128091 9.229796) + (xy 65.128136 9.22966) + (xy 65.128186 9.229527) + (xy 65.128243 9.229397) + (xy 65.128305 9.229271) + (xy 65.128373 9.229148) + (xy 65.128446 9.229029) + (xy 65.128525 9.228914) + (xy 65.128609 9.228804) + (xy 65.128697 9.228697) + (xy 65.128791 9.228596) + (xy 65.128889 9.228499) + (xy 65.128991 9.228406) + (xy 65.129098 9.22832) + (xy 65.129208 9.228238) + (xy 65.129323 9.228162) + (xy 65.129441 9.228091) + (xy 65.129562 9.228026) + (xy 65.129687 9.227968) + (xy 65.129815 9.227915) + (xy 65.129946 9.227869) + (xy 65.13008 9.22783) + (xy 65.130216 9.227797) + (xy 65.130355 9.227771) + (xy 65.130496 9.227752) + (xy 65.130639 9.227741) + (xy 65.130784 9.227737) + (xy 65.130929 9.227741) + (xy 65.131073 9.227752) + (xy 65.131214 9.227771) + (xy 65.131352 9.227797) + (xy 65.131489 9.22783) + (xy 65.131623 9.227869) + (xy 65.131754 9.227915) + (xy 65.131882 9.227968) + (xy 65.132006 9.228026) + (xy 65.132128 9.228091) + (xy 65.132246 9.228162) + (xy 65.132361 9.228238) + (xy 65.132471 9.22832) + (xy 65.132578 9.228406) + (xy 65.13268 9.228499) + (xy 65.132778 9.228596) + (xy 65.132871 9.228697) + (xy 65.13296 9.228804) + (xy 65.133044 9.228914) + (xy 65.133122 9.229029) + (xy 65.133196 9.229148) + (xy 65.133264 9.229271) + (xy 65.133326 9.229397) + (xy 65.133382 9.229527) + (xy 65.133433 9.22966) + (xy 65.133477 9.229796) + (xy 65.133515 9.229935) + (xy 65.133547 9.230077) + (xy 65.133572 9.230221) + (xy 65.13359 9.230368) + (xy 65.133601 9.230516) + (xy 65.133604 9.230667) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp be472ca7-b8a4-459b-a50d-013d0872b731)) + (gr_line (start 67.584074 14.606781) (end 67.48557 14.467786) (layer "F.SilkS") (width 0.00575) (tstamp be518d32-f193-42ac-90a1-2e9ecb6ea0e2)) + (gr_line (start 75.257457 19.469739) (end 75.344487 19.29425) (layer "F.SilkS") (width 0.00575) (tstamp be685f07-5000-420f-b605-3ae9f6c153ce)) + (gr_line (start 70.015532 18.982428) (end 69.685014 19.011267) (layer "F.SilkS") (width 0.00575) (tstamp be7f1476-401a-4e15-b5f8-b3fa654dc930)) + (gr_line (start 64.566936 26.183661) (end 64.51923 26.148627) (layer "F.SilkS") (width 0.00575) (tstamp be92dd80-c557-499c-a2de-21ef854a29de)) + (gr_line (start 63.284898 25.509228) (end 63.150798 25.485089) (layer "F.SilkS") (width 0.00575) (tstamp be95b4bb-0d4a-409c-b238-1c4e704589a1)) + (gr_line (start 74.688164 25.715723) (end 74.701066 25.549786) (layer "F.SilkS") (width 0.00575) (tstamp be9a2533-bb8b-4a82-a3b5-2817b19949a5)) + (gr_line (start 66.911229 19.513415) (end 66.854924 19.636688) (layer "F.SilkS") (width 0.00575) (tstamp beb27a0c-e4f9-419e-991b-715e16657765)) + (gr_line (start 73.389134 19.42417) (end 73.399003 19.426819) (layer "F.SilkS") (width 0.00575) (tstamp beba682a-bc11-486e-8a66-b93439a3197b)) + (gr_line (start 67.975541 18.292761) (end 68.297539 18.023218) (layer "F.SilkS") (width 0.00575) (tstamp becc549f-e0cd-44bd-b970-3e83ac2f9af6)) + (gr_line (start 62.657371 22.00086) (end 62.688963 22.005328) (layer "F.SilkS") (width 0.00575) (tstamp becc6a91-cf0a-43b9-957a-6dc6631b1d3e)) + (gr_line (start 77.109782 31.770026) (end 77.117692 31.67644) (layer "F.SilkS") (width 0.00575) (tstamp beda4bee-1591-4ff9-bef5-fcfef8df6778)) + (gr_line (start 74.584086 25.282349) (end 74.591234 25.292786) (layer "F.SilkS") (width 0.00575) (tstamp bee6bdf8-ec86-408e-beff-53df28890f26)) + (gr_line (start 79.030974 28.387079) (end 79.067186 28.337207) (layer "F.SilkS") (width 0.00575) (tstamp bf021ff6-5508-4e12-ab3e-50e7f7a9d121)) + (gr_line (start 65.855729 30.685815) (end 63.848015 30.685815) (layer "F.SilkS") (width 0.00575) (tstamp bf1c359c-db0c-40cf-9a0f-1fc308d62481)) + (gr_line (start 72.050236 29.309521) (end 72.004899 29.167645) (layer "F.SilkS") (width 0.00575) (tstamp bf230bf9-1542-45fe-b05b-1924ee9b6903)) + (gr_line (start 79.277543 24.509723) (end 79.181248 24.497925) (layer "F.SilkS") (width 0.00575) (tstamp bf418d27-7e3e-4053-a7b1-e6671a31c77e)) + (gr_line (start 79.509849 22.2401) (end 79.701433 22.252643) (layer "F.SilkS") (width 0.00575) (tstamp bf5b7882-d1e8-43c4-8c8a-5547d7760217)) + (gr_line (start 69.173039 27.271728) (end 69.430406 27.590204) (layer "F.SilkS") (width 0.00575) (tstamp bf5dfefb-eaab-4cc6-a316-3975d98127e2)) + (gr_line (start 79.423271 23.405194) (end 79.559971 23.516052) (layer "F.SilkS") (width 0.00575) (tstamp bf933059-14b8-4eac-93df-500291b45693)) + (gr_line (start 75.688261 25.663074) (end 75.63369 25.774603) (layer "F.SilkS") (width 0.00575) (tstamp bfa5d3f3-9f51-4767-86e8-fec7ae55edda)) + (gr_line (start 67.750682 23.101587) (end 67.620317 23.021973) (layer "F.SilkS") (width 0.00575) (tstamp bfd6f460-6708-4a16-965c-a5d9e187a363)) + (gr_line (start 80.218632 29.963287) (end 80.245689 29.974121) (layer "F.SilkS") (width 0.00575) (tstamp bfdbd624-d705-4e80-9df5-2b9edbe0b3af)) + (gr_line (start 75.562535 17.855023) (end 75.612523 17.890771) (layer "F.SilkS") (width 0.00575) (tstamp bfdfc14d-fe4f-4ff8-9096-380b6ed01f6f)) + (gr_line (start 61.844359 21.03529) (end 61.762456 20.889526) (layer "F.SilkS") (width 0.00575) (tstamp c066b2e6-13fe-47e8-b3cd-422debfe0057)) + (gr_line (start 61.457609 25.0427) (end 61.511155 24.932696) (layer "F.SilkS") (width 0.00575) (tstamp c0753466-ad2d-4fbb-9e15-b38a531ef4d9)) + (gr_line (start 64.405681 11.503696) (end 64.600694 11.608603) (layer "F.SilkS") (width 0.00575) (tstamp c07b7483-cec3-45dc-9213-600afa115338)) + (gr_line (start 63.378618 15.115362) (end 63.382274 14.860666) (layer "F.SilkS") (width 0.00575) (tstamp c0946f9d-e143-4a8a-9ea8-ba477e06de18)) + (gr_line (start 68.430461 26.108942) (end 68.450023 26.105395) (layer "F.SilkS") (width 0.00575) (tstamp c09c245e-7fb9-4601-a305-f1e76db03c23)) + (gr_line (start 70.429631 30.257538) (end 70.532145 29.979401) (layer "F.SilkS") (width 0.00575) (tstamp c0a48f93-7e22-4abd-ac5b-7ec1ed51223a)) + (gr_line (start 76.248076 15.164578) (end 76.110374 14.959546) (layer "F.SilkS") (width 0.00575) (tstamp c0c9d632-dbf0-461d-9517-dbfcb61afa09)) + (gr_line (start 82.093144 28.215253) (end 82.157915 28.221234) (layer "F.SilkS") (width 0.00575) (tstamp c0f33351-b177-420b-b167-950184ecf93a)) + (gr_line (start 63.574254 16.513235) (end 63.513762 16.279059) (layer "F.SilkS") (width 0.00575) (tstamp c0fe73e6-d842-4ca8-a00f-c009decf4ecc)) + (gr_line (start 77.728752 16.934256) (end 77.701616 16.873117) (layer "F.SilkS") (width 0.00575) (tstamp c10ddc34-b0d9-4fe8-8ce2-797aa7ef9dd5)) + (gr_line (start 77.128099 31.216992) (end 77.127293 31.039838) (layer "F.SilkS") (width 0.00575) (tstamp c12c5e9d-4d05-4f74-8411-95614b13ad1a)) + (gr_line (start 72.164952 29.671252) (end 72.104411 29.479968) (layer "F.SilkS") (width 0.00575) (tstamp c12e6a5f-b110-4eb5-bd59-d6e4a9b2f6fd)) + (gr_line (start 69.312663 14.196838) (end 69.370061 14.315298) (layer "F.SilkS") (width 0.00575) (tstamp c15306cb-ef90-4bbc-afa9-2774a6e98c44)) + (gr_line (start 63.328044 27.111694) (end 63.167753 27.199457) (layer "F.SilkS") (width 0.00575) (tstamp c1590143-c61b-46bb-a481-ae62670487c8)) + (gr_line (start 67.620317 23.021973) (end 67.472154 22.930084) (layer "F.SilkS") (width 0.00575) (tstamp c15d14ae-9413-4c99-80c3-7657873fe494)) + (gr_line (start 67.793034 25.318378) (end 67.723814 25.12655) (layer "F.SilkS") (width 0.00575) (tstamp c1712159-345b-4b62-b4ec-37c33497ac13)) + (gr_line (start 70.94077 24.131866) (end 71.532182 23.691547) (layer "F.SilkS") (width 0.00575) (tstamp c1939209-7c89-43c8-88cb-fd345ff9a999)) + (gr_line (start 63.264818 20.356195) (end 63.332573 20.191815) (layer "F.SilkS") (width 0.00575) (tstamp c1a82646-e859-4ffe-871e-8e7c24a6c678)) + (gr_line (start 64.836064 25.95423) (end 65.100755 26.078387) (layer "F.SilkS") (width 0.00575) (tstamp c1d60860-3852-4727-940d-69df17ec017f)) + (gr_line (start 63.753355 25.980401) (end 63.671477 25.844037) (layer "F.SilkS") (width 0.00575) (tstamp c223b2c8-1693-4185-9dbb-53dc42cdac0b)) + (gr_line (start 78.268205 22.599005) (end 78.318034 22.503717) (layer "F.SilkS") (width 0.00575) (tstamp c2272224-439e-4ecb-954c-2ddc8fa69d71)) + (gr_line (start 65.275877 26.475708) (end 65.013591 26.401453) (layer "F.SilkS") (width 0.00575) (tstamp c238a5ac-49b1-4895-b8cd-08dd503dbf72)) + (gr_line (start 63.959678 18.377692) (end 63.948271 18.216174) (layer "F.SilkS") (width 0.00575) (tstamp c2497ff4-d59c-4b6e-841c-c63bee077dd8)) + (gr_line (start 81.282945 30.717511) (end 81.278154 30.585486) (layer "F.SilkS") (width 0.00575) (tstamp c25ec456-f6e1-429e-a5a5-cac64c79f834)) + (gr_line (start 73.284276 23.870557) (end 73.281352 23.951721) (layer "F.SilkS") (width 0.00575) (tstamp c26a261c-283a-4a28-9871-62b9da386de1)) + (gr_line (start 68.479899 26.130316) (end 68.521257 26.194745) (layer "F.SilkS") (width 0.00575) (tstamp c273e856-6477-4333-b912-dad3aa5b3574)) + (gr_line (start 62.725645 22.623102) (end 62.640013 22.625409) (layer "F.SilkS") (width 0.00575) (tstamp c2773f68-11e2-49c3-a523-c832479a0f25)) + (gr_line (start 78.280546 30.573462) (end 78.285209 30.66767) (layer "F.SilkS") (width 0.00575) (tstamp c29e87cf-d2b8-41b7-977a-4a4e3501a9a0)) + (gr_line (start 73.399003 19.426819) (end 73.475725 19.476416) (layer "F.SilkS") (width 0.00575) (tstamp c2aea1fc-106c-40b0-94f9-c911e5144863)) + (gr_line (start 78.412309 15.415927) (end 78.682994 15.722598) (layer "F.SilkS") (width 0.00575) (tstamp c2b5e66b-c33e-433c-a93d-4309640ae404)) + (gr_line (start 78.857597 15.054449) (end 78.911192 15.097784) (layer "F.SilkS") (width 0.00575) (tstamp c2ca9f4a-7554-4267-911d-dee669f4cdbb)) + (gr_line (start 67.137602 17.577307) (end 67.128142 17.662762) (layer "F.SilkS") (width 0.00575) (tstamp c2cecd9c-fd4e-4d61-93f5-6b32e4941b05)) + (gr_line (start 63.67545 24.069995) (end 63.596135 23.91206) (layer "F.SilkS") (width 0.00575) (tstamp c2d087d6-0363-4d21-9ae6-6a4407de8ba2)) + (gr_line (start 63.465666 19.966345) (end 63.492467 20.019263) (layer "F.SilkS") (width 0.00575) (tstamp c30a3e6f-d660-4877-919e-7b963e811cda)) + (gr_line (start 76.100633 18.6823) (end 76.153496 18.503302) (layer "F.SilkS") (width 0.00575) (tstamp c352fc6f-dd19-443e-8082-85b5cbebd361)) + (gr_line (start 81.230974 31.844745) (end 81.248778 31.831787) (layer "F.SilkS") (width 0.00575) (tstamp c3738612-1c85-49e8-a4c2-705000732201)) + (gr_poly + (pts + (xy 68.13358 13.676391) + (xy 68.219243 13.689264) + (xy 68.285734 13.715826) + (xy 68.325285 13.740698) + (xy 68.391948 13.805069) + (xy 68.466801 13.903793) + (xy 68.535911 14.016324) + (xy 68.585368 14.122116) + (xy 68.587285 14.12745) + (xy 68.616807 14.132187) + (xy 68.686516 14.1224) + (xy 68.781505 14.100198) + (xy 68.78463 14.099353) + (xy 68.914763 14.070126) + (xy 69.018919 14.063794) + (xy 69.111424 14.076001) + (xy 69.227336 14.118814) + (xy 69.312663 14.196832) + (xy 69.370061 14.315295) + (xy 69.402141 14.479431) + (xy 69.411571 14.671551) + (xy 69.406712 14.788299) + (xy 69.385576 14.876141) + (xy 69.339921 14.96506) + (xy 69.323881 14.990701) + (xy 69.175963 15.174585) + (xy 69.000206 15.310681) + (xy 68.802763 15.395398) + (xy 68.589799 15.425131) + (xy 68.580491 15.425125) + (xy 68.473503 15.420727) + (xy 68.382731 15.410528) + (xy 68.334678 15.398971) + (xy 68.258122 15.339175) + (xy 68.197337 15.228769) + (xy 68.150358 15.064114) + (xy 68.14647 15.045135) + (xy 68.124711 14.945261) + (xy 68.104185 14.890515) + (xy 68.076237 14.867438) + (xy 68.032207 14.862561) + (xy 68.028874 14.862555) + (xy 67.875023 14.833255) + (xy 67.725541 14.747116) + (xy 67.584074 14.606784) + (xy 67.48557 14.467789) + (xy 67.433806 14.376047) + (xy 67.410661 14.303384) + (xy 67.409251 14.222146) + (xy 67.413542 14.1784) + (xy 67.436711 14.066266) + (xy 67.47772 13.939316) + (xy 67.50648 13.87084) + (xy 67.546843 13.792947) + (xy 67.589085 13.738751) + (xy 67.644145 13.703967) + (xy 67.722941 13.684302) + (xy 67.836387 13.675476) + (xy 67.995415 13.67319) + (xy 68.009776 13.67316) + (xy 68.13358 13.676391) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp c3d5bc59-39d4-48e3-8c3a-89d733c123fd)) + (gr_line (start 70.978868 28.384961) (end 71.219572 28.32298) (layer "F.SilkS") (width 0.00575) (tstamp c3f026a1-0c79-4cb7-a6cb-2855fef40d32)) + (gr_line (start 61.662785 24.051953) (end 61.837712 23.929327) (layer "F.SilkS") (width 0.00575) (tstamp c404a72c-5e81-4e57-91e7-de1b14617747)) + (gr_line (start 78.28527 29.779968) (end 78.28065 29.874731) (layer "F.SilkS") (width 0.00575) (tstamp c431ff0c-3429-46dd-a638-a3b648c2b96f)) + (gr_line (start 80.727159 28.574066) (end 80.552733 28.574414) (layer "F.SilkS") (width 0.00575) (tstamp c432f469-c638-4f46-bb33-3cb6df4181e1)) + (gr_line (start 62.630943 24.924951) (end 62.59185 24.890015) (layer "F.SilkS") (width 0.00575) (tstamp c434460a-3800-43b2-8c5c-390064f028dc)) + (gr_line (start 64.326103 20.146289) (end 64.081358 19.750665) (layer "F.SilkS") (width 0.00575) (tstamp c44f07e5-d756-406b-8f22-4aff12199f18)) + (gr_line (start 66.731535 19.110785) (end 66.737138 18.872424) (layer "F.SilkS") (width 0.00575) (tstamp c463c665-49fd-408f-be5f-9031b10b1286)) + (gr_line (start 67.9088 23.745221) (end 67.245415 23.35567) (layer "F.SilkS") (width 0.00575) (tstamp c465fa13-89b3-4ccb-9184-87067eb64f1d)) + (gr_line (start 71.537895 24.089691) (end 70.965745 24.504736) (layer "F.SilkS") (width 0.00575) (tstamp c47b63ca-d807-4e13-b354-a37fbcb6da6d)) + (gr_line (start 69.418651 14.058368) (end 69.343358 13.986816) (layer "F.SilkS") (width 0.00575) (tstamp c47c78d6-64ac-4e4f-bb00-248da8750f8c)) + (gr_line (start 69.738341 24.90661) (end 70.341301 24.537823) (layer "F.SilkS") (width 0.00575) (tstamp c4996991-33d5-4a77-a99d-7ef43466f010)) + (gr_line (start 70.130961 31.072479) (end 70.131142 31.071988) (layer "F.SilkS") (width 0.00575) (tstamp c4e63f0f-3079-4f20-aa26-ebfe0a020342)) + (gr_line (start 72.892515 24.806995) (end 72.906437 24.686981) (layer "F.SilkS") (width 0.00575) (tstamp c4ff9e0d-3227-480f-91fa-5aba6a07706e)) + (gr_line (start 73.550975 30.554791) (end 73.666136 30.868243) (layer "F.SilkS") (width 0.00575) (tstamp c51646ef-2c50-4288-9884-87b6e85a66aa)) + (gr_line (start 68.774248 19.402057) (end 68.51945 19.626715) (layer "F.SilkS") (width 0.00575) (tstamp c516b8fc-b9bc-4ea5-ac64-dd9ca7a26db3)) + (gr_line (start 61.883903 23.153162) (end 61.760527 23.252167) (layer "F.SilkS") (width 0.00575) (tstamp c54e7a2b-5500-4a93-a9d4-98ef841d38f2)) + (gr_line (start 70.034929 31.334546) (end 70.130961 31.072479) (layer "F.SilkS") (width 0.00575) (tstamp c579e141-49cd-452a-a340-4545ce2017ee)) + (gr_line (start 78.271617 23.448724) (end 78.297062 23.328668) (layer "F.SilkS") (width 0.00575) (tstamp c5930b16-0a37-47eb-9ea4-187d550b5f65)) + (gr_line (start 66.036943 17.677472) (end 66.103728 17.9992) (layer "F.SilkS") (width 0.00575) (tstamp c5d071c3-15ff-4caf-b04f-dcd18ab2681c)) + (gr_line (start 72.993345 23.588959) (end 72.993881 23.587591) (layer "F.SilkS") (width 0.00575) (tstamp c63cdfa6-9b57-4f0d-8fa2-d9fe9289f2e4)) + (gr_line (start 80.19655 30.983252) (end 80.19655 31.398602) (layer "F.SilkS") (width 0.00575) (tstamp c65bb44d-9785-478b-9f47-499c2d924e00)) + (gr_line (start 75.410075 21.128125) (end 75.492875 21.218121) (layer "F.SilkS") (width 0.00575) (tstamp c65ef0df-79cc-4a15-b078-cd25371c43b9)) + (gr_line (start 72.962706 22.224286) (end 72.774705 22.412164) (layer "F.SilkS") (width 0.00575) (tstamp c66cac81-f3d8-4056-a92d-310de3cfaff5)) + (gr_line (start 77.724138 24.734601) (end 77.85944 24.866772) (layer "F.SilkS") (width 0.00575) (tstamp c66fb341-080b-4033-aec8-980381d1d890)) + (gr_line (start 62.315141 24.837189) (end 62.265513 24.8422) (layer "F.SilkS") (width 0.00575) (tstamp c6c57a17-ff82-4f85-a641-2d9fd59eeeb4)) + (gr_line (start 81.165245 28.594623) (end 81.067137 28.57857) (layer "F.SilkS") (width 0.00575) (tstamp c6e2d2b3-9d75-4724-b90d-6c12e32c5812)) + (gr_line (start 78.262156 15.909805) (end 78.133604 15.830438) (layer "F.SilkS") (width 0.00575) (tstamp c7024502-13db-4572-a080-c0ef6b648d5c)) + (gr_line (start 69.953496 28.071326) (end 70.215355 28.232165) (layer "F.SilkS") (width 0.00575) (tstamp c71dbd09-2338-4ec9-848e-8b5a3027fddb)) + (gr_line (start 76.739915 26.68706) (end 76.775883 26.688245) (layer "F.SilkS") (width 0.00575) (tstamp c72197c0-7f22-4ad1-84ed-53e3810e7959)) + (gr_line (start 68.510991 15.605121) (end 68.717521 15.592703) (layer "F.SilkS") (width 0.00575) (tstamp c767ff1a-849d-44cd-9252-8b82c18c6345)) + (gr_line (start 60.908763 30.613476) (end 60.946715 30.543671) (layer "F.SilkS") (width 0.00575) (tstamp c78bca8d-9a6c-4e80-9aa3-fe6a5a0f7252)) + (gr_poly + (pts + (xy 66.125487 16.768515) + (xy 66.264207 16.775967) + (xy 66.420353 16.786175) + (xy 66.583989 16.798477) + (xy 66.745189 16.812222) + (xy 66.894041 16.826764) + (xy 67.020598 16.84144) + (xy 67.031682 16.842892) + (xy 67.409355 16.901709) + (xy 67.819676 16.980817) + (xy 68.243138 17.075775) + (xy 68.660222 17.182135) + (xy 69.051408 17.295447) + (xy 69.139775 17.323346) + (xy 69.31187 17.378662) + (xy 69.063878 17.459039) + (xy 68.933696 17.500232) + (xy 68.839421 17.524115) + (xy 68.762254 17.531293) + (xy 68.683378 17.522363) + (xy 68.584001 17.497919) + (xy 68.508787 17.476642) + (xy 67.909581 17.326691) + (xy 67.28109 17.209613) + (xy 66.644005 17.129101) + (xy 66.471525 17.113971) + (xy 66.022069 17.0784) + (xy 65.967601 16.929684) + (xy 65.937205 16.844662) + (xy 65.91743 16.785501) + (xy 65.913127 16.769211) + (xy 65.940019 16.76448) + (xy 66.014116 16.764468) + (xy 66.125487 16.768515) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp c7b76369-6e49-4d31-a8b3-acdd1e8adc47)) + (gr_line (start 61.266667 23.328381) (end 61.288328 23.30711) (layer "F.SilkS") (width 0.00575) (tstamp c7b76705-286f-4fea-a785-f32ee22216f1)) + (gr_line (start 81.228782 28.628992) (end 81.165245 28.594623) (layer "F.SilkS") (width 0.00575) (tstamp c7ef37c8-c783-43ff-8ecc-b13d4f019a8b)) + (gr_line (start 64.517515 24.728851) (end 64.436314 24.758154) (layer "F.SilkS") (width 0.00575) (tstamp c816e13f-4ab0-478f-bd79-c5a80a3a682f)) + (gr_line (start 66.787498 19.794513) (end 66.717827 19.965674) (layer "F.SilkS") (width 0.00575) (tstamp c81c4a76-4538-433c-b517-00f2954ef3e2)) + (gr_line (start 68.938328 15.55758) (end 68.948094 15.561023) (layer "F.SilkS") (width 0.00575) (tstamp c83ee918-6013-429d-aa47-4e14844fe2f4)) + (gr_line (start 67.735106 12.477951) (end 67.757701 12.516781) (layer "F.SilkS") (width 0.00575) (tstamp c844da43-b383-4fd7-8a33-4cefaa316108)) + (gr_line (start 72.71168 18.527197) (end 72.725065 18.571924) (layer "F.SilkS") (width 0.00575) (tstamp c85afcbe-4f42-4b92-bbd9-1c50ad8eb8f4)) + (gr_line (start 62.878953 21.847436) (end 62.906895 21.548688) (layer "F.SilkS") (width 0.00575) (tstamp c87fe4b9-2664-463b-a54d-b12756e8163e)) + (gr_line (start 75.519426 18.433118) (end 75.469914 18.59436) (layer "F.SilkS") (width 0.00575) (tstamp c8d88669-3846-41bc-a883-d4f466347828)) + (gr_line (start 77.341582 16.076852) (end 77.205955 15.839871) (layer "F.SilkS") (width 0.00575) (tstamp c8ecc9fc-dcbc-4b0b-a6ff-678540dafe8b)) + (gr_line (start 78.514311 20.87063) (end 78.498271 21.273834) (layer "F.SilkS") (width 0.00575) (tstamp c8f4d2aa-903d-43d0-a51d-8982d684a1d8)) + (gr_line (start 78.831138 16.381287) (end 78.698851 16.251999) (layer "F.SilkS") (width 0.00575) (tstamp c8fc59ca-cd82-4feb-8b8b-a4076cb94630)) + (gr_line (start 74.791893 25.244641) (end 74.904948 25.07218) (layer "F.SilkS") (width 0.00575) (tstamp c908de34-264f-497d-9e43-3540aee4f8e2)) + (gr_line (start 73.045616 23.367712) (end 72.849986 23.217529) (layer "F.SilkS") (width 0.00575) (tstamp c90ab7d5-44fb-4569-b6b5-f1e0141e1280)) + (gr_line (start 67.411827 24.177069) (end 67.536241 24.050452) (layer "F.SilkS") (width 0.00575) (tstamp c90e470e-7434-457c-a5a3-8c4a7e16946c)) + (gr_poly + (pts + (xy 72.437834 28.193603) + (xy 72.43783 28.193755) + (xy 72.437819 28.193904) + (xy 72.437801 28.194051) + (xy 72.437777 28.194195) + (xy 72.437745 28.194337) + (xy 72.437707 28.194477) + (xy 72.437663 28.194613) + (xy 72.437612 28.194746) + (xy 72.437556 28.194876) + (xy 72.437493 28.195002) + (xy 72.437425 28.195125) + (xy 72.437352 28.195244) + (xy 72.437273 28.195359) + (xy 72.43719 28.195469) + (xy 72.437101 28.195575) + (xy 72.437008 28.195677) + (xy 72.43691 28.195774) + (xy 72.436807 28.195866) + (xy 72.436701 28.195953) + (xy 72.43659 28.196034) + (xy 72.436476 28.19611) + (xy 72.436358 28.196181) + (xy 72.436236 28.196245) + (xy 72.436111 28.196304) + (xy 72.435983 28.196356) + (xy 72.435852 28.196402) + (xy 72.435719 28.196441) + (xy 72.435582 28.196474) + (xy 72.435443 28.196499) + (xy 72.435302 28.196518) + (xy 72.435159 28.196529) + (xy 72.435014 28.196533) + (xy 72.434869 28.196529) + (xy 72.434726 28.196518) + (xy 72.434585 28.196499) + (xy 72.434446 28.196474) + (xy 72.434309 28.196441) + (xy 72.434175 28.196402) + (xy 72.434044 28.196356) + (xy 72.433916 28.196304) + (xy 72.433791 28.196245) + (xy 72.433669 28.196181) + (xy 72.433551 28.19611) + (xy 72.433436 28.196034) + (xy 72.433325 28.195953) + (xy 72.433218 28.195866) + (xy 72.433116 28.195774) + (xy 72.433018 28.195677) + (xy 72.432924 28.195575) + (xy 72.432835 28.195469) + (xy 72.432751 28.195359) + (xy 72.432672 28.195244) + (xy 72.432598 28.195125) + (xy 72.43253 28.195002) + (xy 72.432468 28.194876) + (xy 72.432411 28.194746) + (xy 72.43236 28.194613) + (xy 72.432316 28.194477) + (xy 72.432277 28.194337) + (xy 72.432246 28.194195) + (xy 72.432221 28.194051) + (xy 72.432203 28.193904) + (xy 72.432192 28.193755) + (xy 72.432188 28.193603) + (xy 72.432192 28.193453) + (xy 72.432203 28.193305) + (xy 72.432221 28.193159) + (xy 72.432246 28.193015) + (xy 72.432277 28.192874) + (xy 72.432316 28.192735) + (xy 72.43236 28.1926) + (xy 72.432411 28.192467) + (xy 72.432468 28.192337) + (xy 72.43253 28.192211) + (xy 72.432598 28.192089) + (xy 72.432672 28.19197) + (xy 72.432751 28.191856) + (xy 72.432835 28.191745) + (xy 72.432924 28.191639) + (xy 72.433018 28.191537) + (xy 72.433116 28.19144) + (xy 72.433218 28.191348) + (xy 72.433325 28.191262) + (xy 72.433436 28.19118) + (xy 72.433551 28.191104) + (xy 72.433669 28.191033) + (xy 72.433791 28.190969) + (xy 72.433916 28.19091) + (xy 72.434044 28.190858) + (xy 72.434175 28.190812) + (xy 72.434309 28.190772) + (xy 72.434446 28.190739) + (xy 72.434585 28.190714) + (xy 72.434726 28.190695) + (xy 72.434869 28.190684) + (xy 72.435014 28.19068) + (xy 72.435159 28.190684) + (xy 72.435302 28.190695) + (xy 72.435443 28.190714) + (xy 72.435582 28.190739) + (xy 72.435719 28.190772) + (xy 72.435852 28.190812) + (xy 72.435983 28.190858) + (xy 72.436111 28.19091) + (xy 72.436236 28.190969) + (xy 72.436358 28.191033) + (xy 72.436476 28.191104) + (xy 72.43659 28.19118) + (xy 72.436701 28.191262) + (xy 72.436807 28.191348) + (xy 72.43691 28.19144) + (xy 72.437008 28.191537) + (xy 72.437101 28.191639) + (xy 72.43719 28.191745) + (xy 72.437273 28.191856) + (xy 72.437352 28.19197) + (xy 72.437425 28.192089) + (xy 72.437493 28.192211) + (xy 72.437556 28.192337) + (xy 72.437612 28.192467) + (xy 72.437663 28.1926) + (xy 72.437707 28.192735) + (xy 72.437745 28.192874) + (xy 72.437777 28.193015) + (xy 72.437801 28.193159) + (xy 72.437819 28.193305) + (xy 72.43783 28.193453) + (xy 72.437834 28.193603) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp c92d8384-ab7c-46a0-833e-b6766f1016a5)) + (gr_line (start 78.163444 30.326422) (end 78.22982 30.398004) (layer "F.SilkS") (width 0.00575) (tstamp c93fe220-03d3-41b7-89d8-8b21f2d1d295)) + (gr_line (start 68.17894 25.480375) (end 68.178941 25.480439) (layer "F.SilkS") (width 0.00575) (tstamp c96c428e-8ae2-4f13-85f9-647bf93ed332)) + (gr_line (start 73.788774 22.279455) (end 73.984752 22.067926) (layer "F.SilkS") (width 0.00575) (tstamp c9787f23-b424-43d1-a001-2f40c9ec9aa7)) + (gr_line (start 78.67072 24.65542) (end 78.710704 24.706854) (layer "F.SilkS") (width 0.00575) (tstamp c9cf09f7-265f-4bfc-9e8e-839e22c4296d)) + (gr_line (start 80.205717 31.757403) (end 80.216118 31.803961) (layer "F.SilkS") (width 0.00575) (tstamp c9e6d99a-d182-4aa4-abc1-c1e3e6bdf632)) + (gr_line (start 77.768229 16.92568) (end 77.748655 16.949203) (layer "F.SilkS") (width 0.00575) (tstamp c9e95f5a-32d3-4c12-9c29-f9f319231948)) + (gr_line (start 78.897252 16.019745) (end 79.055296 16.307641) (layer "F.SilkS") (width 0.00575) (tstamp ca19b523-2ff6-44e8-87fb-0d5a6ecefb5b)) + (gr_line (start 65.369444 15.002985) (end 65.346794 15.068335) (layer "F.SilkS") (width 0.00575) (tstamp ca292313-4a80-4d71-b88a-9ba0217d5c1c)) + (gr_line (start 72.885374 25.186761) (end 73.218992 25.223816) (layer "F.SilkS") (width 0.00575) (tstamp ca456eaa-da1c-4cb2-9096-35d92072f541)) + (gr_line (start 78.041191 26.163733) (end 78.039873 26.188269) (layer "F.SilkS") (width 0.00575) (tstamp ca5490ea-f485-47c9-a6e2-2cfb05eb4e7e)) + (gr_line (start 60.797416 22.170941) (end 60.804887 22.155847) (layer "F.SilkS") (width 0.00575) (tstamp ca561e1f-758e-44ed-bca7-3b7cb5b060d2)) + (gr_line (start 75.405974 25.246716) (end 75.383897 25.243506) (layer "F.SilkS") (width 0.00575) (tstamp caa70562-262e-40ef-8ba7-cac476f15d1c)) + (gr_poly + (pts + (xy 70.443937 19.197436) + (xy 70.754918 19.295972) + (xy 71.042711 19.445422) + (xy 71.302171 19.642273) + (xy 71.528099 19.882983) + (xy 71.715342 20.164038) + (xy 71.778728 20.288) + (xy 71.903117 20.606) + (xy 71.972777 20.919049) + (xy 71.988841 21.235602) + (xy 71.952488 21.564123) + (xy 71.95248 21.564161) + (xy 71.952471 21.564199) + (xy 71.952463 21.564237) + (xy 71.952456 21.564277) + (xy 71.952441 21.564356) + (xy 71.952427 21.564435) + (xy 71.863731 21.89104) + (xy 71.724772 22.195068) + (xy 71.540263 22.471033) + (xy 71.314879 22.713409) + (xy 71.053331 22.916675) + (xy 70.760307 23.075336) + (xy 70.616881 23.131598) + (xy 70.43947 23.176538) + (xy 70.22791 23.204108) + (xy 70.002641 23.213696) + (xy 69.784105 23.204706) + (xy 69.592717 23.176526) + (xy 69.541325 23.163568) + (xy 69.359758 23.106903) + (xy 69.211564 23.047296) + (xy 69.073289 22.973834) + (xy 68.921458 22.875604) + (xy 68.920845 22.875179) + (xy 68.920231 22.87475) + (xy 68.672355 22.670611) + (xy 68.460649 22.427264) + (xy 68.28867 22.152673) + (xy 68.159978 21.854803) + (xy 68.078129 21.541614) + (xy 68.046684 21.221075) + (xy 68.061656 20.953149) + (xy 68.127409 20.618433) + (xy 68.235545 20.318548) + (xy 68.390715 20.043744) + (xy 68.597581 19.784277) + (xy 68.643529 19.73548) + (xy 68.898497 19.511029) + (xy 69.178087 19.3401) + (xy 69.480626 19.22337) + (xy 69.804442 19.161499) + (xy 70.114958 19.153357) + (xy 70.443937 19.197436) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp cab7b3b0-2d01-4774-8ef3-7ec3a7204018)) + (gr_line (start 75.741539 24.106763) (end 75.468901 24.134643) (layer "F.SilkS") (width 0.00575) (tstamp cabbf716-7917-4c54-a1db-4c4dd98782a8)) + (gr_line (start 62.487852 25.13233) (end 62.559678 25.04762) (layer "F.SilkS") (width 0.00575) (tstamp cacd5a48-2939-4c2f-95f7-4b75852b6638)) + (gr_line (start 66.774681 18.112518) (end 66.791759 17.879413) (layer "F.SilkS") (width 0.00575) (tstamp caf40ed0-6be9-4161-8b97-7785747de54c)) + (gr_line (start 71.000102 28.712884) (end 70.78076 28.721667) (layer "F.SilkS") (width 0.00575) (tstamp caf5d113-a894-4e87-9a3f-22aaf947c18a)) + (gr_line (start 75.844682 25.703863) (end 75.869835 25.819342) (layer "F.SilkS") (width 0.00575) (tstamp cb220d1b-b8c5-43eb-a2ed-bf1f713b33b9)) + (gr_line (start 74.317833 21.693567) (end 74.372789 21.628357) (layer "F.SilkS") (width 0.00575) (tstamp cb4d2e03-ba57-419c-9dd3-bed647635c30)) + (gr_line (start 73.273375 24.07439) (end 73.261558 24.22558) (layer "F.SilkS") (width 0.00575) (tstamp cb61870c-fca3-491b-b421-4b4b9b6649e2)) + (gr_line (start 75.201188 25.615356) (end 75.267467 25.452533) (layer "F.SilkS") (width 0.00575) (tstamp cb74e223-3a21-4cf3-b4b5-85eba4e4f64b)) + (gr_line (start 63.512376 25.895892) (end 63.604735 26.027722) (layer "F.SilkS") (width 0.00575) (tstamp cb80ad3a-2091-4fd8-b9b7-bb2ab1c664ba)) + (gr_line (start 68.005552 15.149261) (end 68.014683 15.182284) (layer "F.SilkS") (width 0.00575) (tstamp cb8bdcfa-01bc-41a7-b00a-848ee52df5e6)) + (gr_line (start 74.558952 25.434869) (end 74.532481 25.514044) (layer "F.SilkS") (width 0.00575) (tstamp cba22dc0-d86e-4d36-918f-08eb7db8019d)) + (gr_line (start 71.924571 31.072479) (end 72.113926 31.071735) (layer "F.SilkS") (width 0.00575) (tstamp cba4d5f2-a7d9-4d5d-97f9-4827b1fe2a46)) + (gr_line (start 76.596098 16.133279) (end 76.558128 16.215854) (layer "F.SilkS") (width 0.00575) (tstamp cba989c8-f4c9-4b16-85ba-a13f19c41e82)) + (gr_line (start 78.039873 26.188269) (end 77.998869 26.445984) (layer "F.SilkS") (width 0.00575) (tstamp cbc7b7ca-92d1-41fd-9b66-06f320d2c541)) + (gr_line (start 65.992589 20.999463) (end 65.97523 20.980688) (layer "F.SilkS") (width 0.00575) (tstamp cbd456db-a165-4f72-9cd6-9c0aeb7f8b56)) + (gr_line (start 72.505662 18.393152) (end 72.405272 18.293017) (layer "F.SilkS") (width 0.00575) (tstamp cc038494-54bb-4aa7-86ba-22e0e82086f2)) + (gr_line (start 74.701066 25.549786) (end 74.737431 25.383386) (layer "F.SilkS") (width 0.00575) (tstamp cc125d52-2e96-4007-b9d1-150a396b7fcd)) + (gr_line (start 76.558128 16.215854) (end 76.483482 16.364569) (layer "F.SilkS") (width 0.00575) (tstamp cc20b387-8454-4fa1-97f2-d2d24ba7b5bf)) + (gr_line (start 67.808409 24.395172) (end 67.867216 24.57959) (layer "F.SilkS") (width 0.00575) (tstamp cc397f47-e7f3-428a-9c49-1d93696fc857)) + (gr_line (start 74.217698 17.012121) (end 74.490068 17.257269) (layer "F.SilkS") (width 0.00575) (tstamp cc4d8c9b-e610-4404-9e15-f2fab2111708)) + (gr_line (start 70.950828 17.101318) (end 70.709959 17.223443) (layer "F.SilkS") (width 0.00575) (tstamp cc82956e-9f0e-40f9-ae32-62c03804c116)) + (gr_line (start 61.483592 25.302154) (end 61.412254 25.354944) (layer "F.SilkS") (width 0.00575) (tstamp cc8a6b51-5d91-4aa9-8e42-9d9bdd57af85)) + (gr_line (start 72.978447 26.072217) (end 72.93961 26.224151) (layer "F.SilkS") (width 0.00575) (tstamp cc8f08dc-f81d-4cf3-a3fc-d72bcee43272)) + (gr_line (start 79.956962 22.37348) (end 79.967094 22.386969) (layer "F.SilkS") (width 0.00575) (tstamp cc9c1584-3025-4f24-8cb2-1acc86d494b5)) + (gr_line (start 78.134599 24.282422) (end 78.129905 24.267645) (layer "F.SilkS") (width 0.00575) (tstamp cca7ec51-540e-4e80-bc1d-a420666ec74b)) + (gr_line (start 76.61815 25.924756) (end 76.655028 25.717999) (layer "F.SilkS") (width 0.00575) (tstamp ccc367c6-0187-4d7a-b958-9f974397f400)) + (gr_line (start 61.450535 20.676056) (end 61.423027 20.654767) (layer "F.SilkS") (width 0.00575) (tstamp ccd21123-a8e3-4b5e-b751-736a48d8780f)) + (gr_line (start 61.379814 23.239819) (end 61.49879 23.12763) (layer "F.SilkS") (width 0.00575) (tstamp cce3a77d-3a61-474a-a8fd-bc3f4b7427e2)) + (gr_line (start 67.065458 17.332898) (end 67.124254 17.343072) (layer "F.SilkS") (width 0.00575) (tstamp ccfbea44-fac4-4185-a9cd-f9ebd23d5faa)) + (gr_line (start 64.443419 26.060968) (end 64.426127 25.993237) (layer "F.SilkS") (width 0.00575) (tstamp ccfdf65b-8d62-4069-b4e8-9cc33f51cee1)) + (gr_line (start 66.974445 16.636858) (end 66.974315 16.63544) (layer "F.SilkS") (width 0.00575) (tstamp ccfe8887-6481-4ad4-b4c9-d4aafb246840)) + (gr_line (start 82.372508 30.145941) (end 82.442186 30.232501) (layer "F.SilkS") (width 0.00575) (tstamp cd10839d-fb7d-4c76-8120-aa8657e54295)) + (gr_line (start 61.412254 25.354944) (end 61.369102 25.380524) (layer "F.SilkS") (width 0.00575) (tstamp cd1e3465-49ee-4579-b572-abc06643be68)) + (gr_line (start 66.088359 26.186322) (end 66.370683 26.164758) (layer "F.SilkS") (width 0.00575) (tstamp cd1edf3b-3e7c-43bc-a516-57c87c49d787)) + (gr_line (start 80.167869 29.303705) (end 80.169072 29.531225) (layer "F.SilkS") (width 0.00575) (tstamp cd2c80b5-227d-460c-8c4a-a8d000747a93)) + (gr_line (start 67.9088 21.373413) (end 67.915959 21.507825) (layer "F.SilkS") (width 0.00575) (tstamp cd45e3df-92eb-4a63-a40a-f7f795f0b97e)) + (gr_line (start 75.626323 18.015869) (end 75.601329 18.131818) (layer "F.SilkS") (width 0.00575) (tstamp cd57f1ec-0477-408b-aad8-89a5ce78b50d)) + (gr_line (start 69.759074 32.086206) (end 69.807823 31.953601) (layer "F.SilkS") (width 0.00575) (tstamp cd67c3f1-dd19-430b-bafb-88021cdf128e)) + (gr_line (start 61.004124 30.430438) (end 61.078447 30.278943) (layer "F.SilkS") (width 0.00575) (tstamp cdb32192-3e4c-463d-a0ac-43afdc2224eb)) + (gr_line (start 72.648558 23.173627) (end 72.100157 23.645215) (layer "F.SilkS") (width 0.00575) (tstamp cdbeb3e0-6a48-48fd-aa1e-a597408dc669)) + (gr_line (start 77.804362 14.096615) (end 77.655729 14.115723) (layer "F.SilkS") (width 0.00575) (tstamp cdf3a733-d625-4b07-b0d7-409ca924ef1f)) + (gr_line (start 71.843913 28.509363) (end 72.024321 28.345642) (layer "F.SilkS") (width 0.00575) (tstamp ce01dd1e-2b5a-4656-9830-cc228c34803b)) + (gr_line (start 75.785264 17.30105) (end 75.717167 17.028845) (layer "F.SilkS") (width 0.00575) (tstamp ce0912ac-812b-43da-a132-0cc11205b79d)) + (gr_line (start 72.144475 16.812063) (end 72.181645 16.90137) (layer "F.SilkS") (width 0.00575) (tstamp ce0edc92-af6f-44ca-ac48-09d666a832d8)) + (gr_line (start 62.080424 27.122961) (end 61.889665 26.968329) (layer "F.SilkS") (width 0.00575) (tstamp ce407722-3e7e-4b15-9302-b6f6e505f5ad)) + (gr_line (start 73.829222 15.844064) (end 74.137547 15.84429) (layer "F.SilkS") (width 0.00575) (tstamp ce4d83d4-1d5b-44d3-83b0-c8c0639697a4)) + (gr_line (start 78.296513 23.166809) (end 78.267448 23.097803) (layer "F.SilkS") (width 0.00575) (tstamp cea2dc83-9c96-4ebc-89dd-2eb3c6899f2b)) + (gr_line (start 66.983116 17.32185) (end 67.065458 17.332898) (layer "F.SilkS") (width 0.00575) (tstamp cea2f9fa-4180-4f8a-a2ee-99f5f3289f04)) + (gr_poly + (pts + (xy 67.674772 23.966174) + (xy 67.688389 24.009009) + (xy 67.7158 24.096686) + (xy 67.753343 24.217383) + (xy 67.797307 24.359277) + (xy 67.808409 24.395172) + (xy 67.867216 24.57959) + (xy 67.935039 24.783203) + (xy 68.002836 24.979278) + (xy 68.053441 25.119379) + (xy 68.10189 25.251538) + (xy 68.141655 25.363489) + (xy 68.168675 25.443603) + (xy 68.178929 25.480249) + (xy 68.178933 25.480312) + (xy 68.178937 25.480375) + (xy 68.178939 25.480406) + (xy 68.17894 25.480439) + (xy 68.178941 25.480471) + (xy 68.178941 25.480505) + (xy 68.155174 25.502942) + (xy 68.097227 25.535419) + (xy 68.025151 25.568536) + (xy 67.958989 25.592895) + (xy 67.924583 25.599768) + (xy 67.900657 25.574731) + (xy 67.867418 25.511371) + (xy 67.851469 25.473358) + (xy 67.793034 25.318378) + (xy 67.723808 25.12655) + (xy 67.650639 24.917633) + (xy 67.580388 24.711365) + (xy 67.51992 24.527502) + (xy 67.490782 24.434833) + (xy 67.411827 24.177069) + (xy 67.536241 24.050452) + (xy 67.611174 23.980218) + (xy 67.655986 23.954059) + (xy 67.674772 23.966174) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp cea540f6-245d-462a-9c26-f01ff85e2df6)) + (gr_line (start 72.897893 26.378351) (end 72.856016 26.523865) (layer "F.SilkS") (width 0.00575) (tstamp ceb426eb-ab41-42fa-bbb3-9746c1ee5b94)) + (gr_line (start 68.686784 26.510101) (end 68.783561 26.674341) (layer "F.SilkS") (width 0.00575) (tstamp ced05df4-a50b-4a78-be11-0c876a325fb8)) + (gr_line (start 79.539671 24.492554) (end 79.47252 24.506341) (layer "F.SilkS") (width 0.00575) (tstamp cee06e6b-3a9f-47b1-bf6b-0c42e6f70337)) + (gr_line (start 66.132603 19.181921) (end 66.090611 19.397247) (layer "F.SilkS") (width 0.00575) (tstamp ceed86b7-fe1c-4ec7-9376-a3e9f4f0e913)) + (gr_line (start 78.066765 22.641241) (end 77.914372 22.872089) (layer "F.SilkS") (width 0.00575) (tstamp cf00a440-f7ec-4c68-be62-417e3b0248c1)) + (gr_line (start 77.127617 29.302771) (end 77.127354 29.086462) (layer "F.SilkS") (width 0.00575) (tstamp cf25dacd-7421-4f45-8253-00b3237d3010)) + (gr_line (start 73.200053 24.855658) (end 73.18715 24.954559) (layer "F.SilkS") (width 0.00575) (tstamp cf27ade5-9d7c-4a69-97cc-1ce98fc7da21)) + (gr_line (start 75.924248 24.084296) (end 75.843901 24.091998) (layer "F.SilkS") (width 0.00575) (tstamp cf6ae5bf-f8fe-4d3c-820e-b47a0affd7a5)) + (gr_line (start 79.154252 23.669794) (end 79.071458 23.637219) (layer "F.SilkS") (width 0.00575) (tstamp cfb78e2b-04f0-4578-b12a-26f2ec900b89)) + (gr_line (start 67.289824 16.666604) (end 67.28982 16.666769) (layer "F.SilkS") (width 0.00575) (tstamp cfd45c63-f8d6-422a-8f8c-b198f01eb8bf)) + (gr_poly + (pts + (xy 70.234941 30.788617) + (xy 70.321599 30.551971) + (xy 70.429631 30.257538) + (xy 70.532145 29.979401) + (xy 70.627238 29.722613) + (xy 70.713035 29.492206) + (xy 70.787645 29.293213) + (xy 70.849174 29.130688) + (xy 70.895744 29.009656) + (xy 70.92545 28.935174) + (xy 70.935844 28.912433) + (xy 70.977629 28.889612) + (xy 71.055479 28.868115) + (xy 71.109422 28.858752) + (xy 71.354045 28.796649) + (xy 71.600889 28.679065) + (xy 71.843907 28.509363) + (xy 72.024321 28.345642) + (xy 72.184099 28.18493) + (xy 72.435014 28.193603) + (xy 72.685924 28.202276) + (xy 73.429661 30.224805) + (xy 73.550975 30.554791) + (xy 73.666136 30.868243) + (xy 73.77354 31.160779) + (xy 73.871574 31.428003) + (xy 73.958653 31.665552) + (xy 74.033153 31.869031) + (xy 74.09348 32.034058) + (xy 74.138023 32.156262) + (xy 74.165172 32.23125) + (xy 74.173405 32.254761) + (xy 74.146129 32.256976) + (xy 74.069914 32.258935) + (xy 73.953166 32.260522) + (xy 73.804326 32.261627) + (xy 73.63181 32.26217) + (xy 73.577073 32.262195) + (xy 72.980736 32.262195) + (xy 72.72708 31.458234) + (xy 71.925322 31.466119) + (xy 71.123564 31.474005) + (xy 70.996904 31.868103) + (xy 70.870231 32.262195) + (xy 70.281584 32.262195) + (xy 70.083763 32.26189) + (xy 69.936345 32.260559) + (xy 69.832347 32.257568) + (xy 69.764793 32.252258) + (xy 69.726726 32.244012) + (xy 69.71118 32.232178) + (xy 69.711168 32.216125) + (xy 69.713249 32.21015) + (xy 69.726927 32.173425) + (xy 69.759074 32.086206) + (xy 69.807823 31.953601) + (xy 69.871293 31.780707) + (xy 69.947624 31.57265) + (xy 70.034929 31.334546) + (xy 70.130961 31.072479) + (xy 71.253221 31.072479) + (xy 71.924571 31.072479) + (xy 72.113933 31.071735) + (xy 72.282603 31.069665) + (xy 72.422661 31.066479) + (xy 72.526158 31.062384) + (xy 72.585167 31.057599) + (xy 72.595915 31.05437) + (xy 72.587352 31.023584) + (xy 72.56328 30.944025) + (xy 72.526146 30.823437) + (xy 72.478361 30.669543) + (xy 72.422362 30.490076) + (xy 72.360594 30.292786) + (xy 72.295482 30.085394) + (xy 72.22946 29.875641) + (xy 72.164952 29.671252) + (xy 72.104411 29.479968) + (xy 72.050248 29.309521) + (xy 72.004899 29.167645) + (xy 71.970811 29.062079) + (xy 71.950401 29.000543) + (xy 71.946788 28.990466) + (xy 71.933897 29.006122) + (xy 71.905571 29.072186) + (xy 71.86392 29.18241) + (xy 71.811046 29.330578) + (xy 71.749064 29.510449) + (xy 71.680082 29.715796) + (xy 71.606206 29.940375) + (xy 71.529564 30.177972) + (xy 71.452244 30.422333) + (xy 71.376359 30.667242) + (xy 71.350291 30.75274) + (xy 71.253227 31.072479) + (xy 70.130967 31.072479) + (xy 70.13115 31.071988) + (xy 70.13124 31.071743) + (xy 70.131328 31.071496) + (xy 70.234941 30.788617) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp cfdde9b8-4832-4c5d-b125-0a8ad332f9e9)) + (gr_line (start 63.74005 9.554321) (end 63.745958 9.495129) (layer "F.SilkS") (width 0.00575) (tstamp cfe17c6d-e529-4cc3-96b3-85f09290c310)) + (gr_line (start 64.231639 26.200445) (end 64.217857 26.268982) (layer "F.SilkS") (width 0.00575) (tstamp cfe3ecfd-9b55-4255-8a61-62e08e05f581)) + (gr_line (start 67.054374 13.854092) (end 67.149248 14.126132) (layer "F.SilkS") (width 0.00575) (tstamp cfe5dbc8-31a5-4a78-8f2a-e7254aac5a66)) + (gr_line (start 72.207536 17.926074) (end 72.165886 17.7974) (layer "F.SilkS") (width 0.00575) (tstamp d00eba02-9675-461a-a0dd-60e5783ab122)) + (gr_line (start 82.084172 30.025677) (end 82.155089 30.032837) (layer "F.SilkS") (width 0.00575) (tstamp d00fc5d3-1591-436f-8a72-4f878c3cfed0)) + (gr_line (start 72.125877 21.441656) (end 72.136619 21.156384) (layer "F.SilkS") (width 0.00575) (tstamp d0416e4b-041b-42a5-975b-c932300bc81d)) + (gr_line (start 74.069914 32.258935) (end 73.953166 32.260522) (layer "F.SilkS") (width 0.00575) (tstamp d042b72c-6b83-4f27-a275-cbe354085468)) + (gr_line (start 80.212517 28.640173) (end 80.196788 28.672949) (layer "F.SilkS") (width 0.00575) (tstamp d0958452-4822-4f34-9694-a68496e3678c)) + (gr_line (start 61.735771 25.418634) (end 61.877067 25.242963) (layer "F.SilkS") (width 0.00575) (tstamp d0dbdd9f-d83e-42c4-9b1b-a4e6d7921b61)) + (gr_line (start 65.581578 11.239264) (end 65.576304 11.229718) (layer "F.SilkS") (width 0.00575) (tstamp d0ebc065-9e54-4588-8a23-124dd52c6e7f)) + (gr_line (start 67.040617 24.286603) (end 66.755839 24.475818) (layer "F.SilkS") (width 0.00575) (tstamp d0f77679-0031-48b8-bd3f-c17ca913e7c8)) + (gr_line (start 66.323247 22.142358) (end 65.827025 21.746905) (layer "F.SilkS") (width 0.00575) (tstamp d0f7dd6d-db36-4134-b8d8-55e6bc66911d)) + (gr_line (start 78.978312 29.976239) (end 78.969859 29.846997) (layer "F.SilkS") (width 0.00575) (tstamp d11cc9c2-da83-4ee6-aaf4-7aecd7e7905d)) + (gr_line (start 62.322624 24.222125) (end 62.229765 24.177008) (layer "F.SilkS") (width 0.00575) (tstamp d1229755-8987-4e00-b6e6-9c7d4aca1d8c)) + (gr_line (start 78.780736 24.303046) (end 78.665507 24.164337) (layer "F.SilkS") (width 0.00575) (tstamp d1645497-df89-4761-a82f-676e417585c8)) + (gr_line (start 68.597587 19.784283) (end 68.643535 19.73548) (layer "F.SilkS") (width 0.00575) (tstamp d1799145-95c2-4b0d-acf2-fd536de6f684)) + (gr_line (start 63.876567 18.904523) (end 63.901982 18.806171) (layer "F.SilkS") (width 0.00575) (tstamp d190d378-dbf9-484f-ba88-f72671414b2d)) + (gr_line (start 61.642161 21.107159) (end 61.770653 21.186871) (layer "F.SilkS") (width 0.00575) (tstamp d1c20c00-6f4b-42e1-b042-1e0350dbfcc4)) + (gr_line (start 77.789927 16.797076) (end 77.77852 16.888177) (layer "F.SilkS") (width 0.00575) (tstamp d1ca9a8e-2b9e-4187-8f69-0ae749d87866)) + (gr_line (start 73.804326 32.261627) (end 73.63181 32.26217) (layer "F.SilkS") (width 0.00575) (tstamp d1d2a3ff-c4f9-4bef-bcbb-b91ff059fbc2)) + (gr_line (start 76.075657 14.045535) (end 76.122709 14.02742) (layer "F.SilkS") (width 0.00575) (tstamp d1dc28dc-cefc-412b-91d7-faf7f5179a5e)) + (gr_line (start 66.28231 20.749609) (end 66.189012 20.852557) (layer "F.SilkS") (width 0.00575) (tstamp d1e6482d-44a7-4982-ab43-4ef2199ce771)) + (gr_line (start 76.120592 17.554108) (end 76.113035 17.799231) (layer "F.SilkS") (width 0.00575) (tstamp d23a3e3b-a91d-42ba-bfcd-166dc6276cc3)) + (gr_line (start 75.872294 19.340491) (end 75.879143 19.31159) (layer "F.SilkS") (width 0.00575) (tstamp d25a9725-f071-42c8-89aa-0b693609eb6b)) + (gr_line (start 66.024428 21.018861) (end 66.024168 21.018909) (layer "F.SilkS") (width 0.00575) (tstamp d2880643-cc0a-4173-a2d8-9b0ec7cb11a1)) + (gr_line (start 66.660313 20.114099) (end 66.601097 20.268298) (layer "F.SilkS") (width 0.00575) (tstamp d289e2b0-94c8-40ad-ac6b-db789266df5f)) + (gr_line (start 79.190867 28.248443) (end 79.21779 28.23728) (layer "F.SilkS") (width 0.00575) (tstamp d2ab3db8-f924-421b-b6ad-a7a67f63f6ad)) + (gr_line (start 63.727952 17.948566) (end 63.703947 17.996356) (layer "F.SilkS") (width 0.00575) (tstamp d2d9ad07-5a27-472c-9eab-882933d9e71a)) + (gr_line (start 62.968278 21.234473) (end 63.064512 20.896344) (layer "F.SilkS") (width 0.00575) (tstamp d2fd81f1-321a-4bef-9ab0-11dd08345780)) + (gr_line (start 77.103728 29.96582) (end 77.113585 29.927832) (layer "F.SilkS") (width 0.00575) (tstamp d32cd70e-6865-41c3-93ef-b1486af05b9e)) + (gr_line (start 73.619389 25.588476) (end 73.496354 25.581787) (layer "F.SilkS") (width 0.00575) (tstamp d3500641-1449-499d-9f07-f8fed745f7c5)) + (gr_line (start 63.322691 24.435144) (end 63.437822 24.467523) (layer "F.SilkS") (width 0.00575) (tstamp d35e9c43-f16b-46a0-a4d7-f008b52501b8)) + (gr_line (start 64.904204 12.222549) (end 64.781938 12.148959) (layer "F.SilkS") (width 0.00575) (tstamp d397b63e-bd9d-41aa-b447-0a14b34694fa)) + (gr_line (start 67.851469 25.473358) (end 67.793034 25.318378) (layer "F.SilkS") (width 0.00575) (tstamp d39a7a74-952d-40f3-9f04-e89c4c44bee1)) + (gr_line (start 67.147746 17.449444) (end 67.137602 17.577307) (layer "F.SilkS") (width 0.00575) (tstamp d3be1d17-4e47-49e0-8f80-76c95fe799b4)) + (gr_line (start 78.489586 16.067789) (end 78.384678 15.991168) (layer "F.SilkS") (width 0.00575) (tstamp d3d70fb4-a691-4c41-a81e-507c6f6f4dad)) + (gr_line (start 77.164262 25.311584) (end 77.372038 25.317737) (layer "F.SilkS") (width 0.00575) (tstamp d3e78cab-c14c-4a7f-a844-3d993a04e4ee)) + (gr_line (start 65.42979 16.082193) (end 65.492802 16.244333) (layer "F.SilkS") (width 0.00575) (tstamp d416e1c2-a85b-40ea-ab94-a8aa6541230f)) + (gr_line (start 80.737333 28.574066) (end 80.727159 28.574066) (layer "F.SilkS") (width 0.00575) (tstamp d41c81fc-2542-4ed0-bf07-58717c28ca5d)) + (gr_line (start 72.718333 18.8612) (end 72.988255 19.18689) (layer "F.SilkS") (width 0.00575) (tstamp d429dd79-efe8-47cb-b38f-17d0842b33d9)) + (gr_line (start 76.937852 15.457507) (end 76.875364 15.389011) (layer "F.SilkS") (width 0.00575) (tstamp d42d11d9-f34b-4876-bb4f-a7c382a92469)) + (gr_line (start 77.470585 24.580041) (end 77.593846 24.649975) (layer "F.SilkS") (width 0.00575) (tstamp d4321770-6a4f-478f-811e-16cbae3c05a3)) + (gr_line (start 63.833574 26.410266) (end 63.831004 26.271844) (layer "F.SilkS") (width 0.00575) (tstamp d43abb41-3627-455b-b98d-7cb533d08e93)) + (gr_line (start 74.687602 14.693292) (end 74.4528 14.753012) (layer "F.SilkS") (width 0.00575) (tstamp d43c711f-5650-4c31-8058-0f00a7255926)) + (gr_line (start 64.329228 10.745825) (end 64.161833 10.618835) (layer "F.SilkS") (width 0.00575) (tstamp d458a6f0-1ba0-43d7-a0f6-a2f5afbb6208)) + (gr_line (start 76.875364 15.389011) (end 76.838847 15.361017) (layer "F.SilkS") (width 0.00575) (tstamp d4591e83-1c8d-4342-af89-9cc60409c10f)) + (gr_line (start 82.377983 32.121948) (end 82.307969 32.185998) (layer "F.SilkS") (width 0.00575) (tstamp d46f129e-7ffb-474c-bdd4-76384da0306d)) + (gr_poly + (pts + (xy 67.550773 31.875537) + (xy 67.55077 31.875688) + (xy 67.550759 31.875836) + (xy 67.550741 31.875983) + (xy 67.550716 31.876127) + (xy 67.550684 31.876269) + (xy 67.550646 31.876407) + (xy 67.550601 31.876543) + (xy 67.550551 31.876676) + (xy 67.550494 31.876806) + (xy 67.550431 31.876932) + (xy 67.550363 31.877054) + (xy 67.55029 31.877173) + (xy 67.550211 31.877287) + (xy 67.550127 31.877398) + (xy 67.550038 31.877504) + (xy 67.549944 31.877605) + (xy 67.549846 31.877702) + (xy 67.549743 31.877794) + (xy 67.549636 31.877881) + (xy 67.549526 31.877962) + (xy 67.549411 31.878038) + (xy 67.549293 31.878108) + (xy 67.549171 31.878173) + (xy 67.549046 31.878231) + (xy 67.548917 31.878283) + (xy 67.548786 31.878329) + (xy 67.548652 31.878369) + (xy 67.548516 31.878401) + (xy 67.548377 31.878427) + (xy 67.548236 31.878446) + (xy 67.548093 31.878457) + (xy 67.547947 31.878461) + (xy 67.547802 31.878457) + (xy 67.547659 31.878446) + (xy 67.547518 31.878427) + (xy 67.547379 31.878401) + (xy 67.547243 31.878369) + (xy 67.547109 31.878329) + (xy 67.546978 31.878283) + (xy 67.54685 31.878231) + (xy 67.546725 31.878173) + (xy 67.546604 31.878108) + (xy 67.546486 31.878038) + (xy 67.546371 31.877962) + (xy 67.546261 31.877881) + (xy 67.546154 31.877794) + (xy 67.546052 31.877702) + (xy 67.545954 31.877605) + (xy 67.545861 31.877504) + (xy 67.545772 31.877398) + (xy 67.545688 31.877287) + (xy 67.545609 31.877173) + (xy 67.545536 31.877054) + (xy 67.545468 31.876932) + (xy 67.545406 31.876806) + (xy 67.545349 31.876676) + (xy 67.545299 31.876543) + (xy 67.545255 31.876407) + (xy 67.545216 31.876269) + (xy 67.545185 31.876127) + (xy 67.54516 31.875983) + (xy 67.545142 31.875836) + (xy 67.545131 31.875688) + (xy 67.545128 31.875537) + (xy 67.545131 31.875386) + (xy 67.545142 31.875238) + (xy 67.54516 31.875091) + (xy 67.545185 31.874947) + (xy 67.545216 31.874805) + (xy 67.545255 31.874667) + (xy 67.545299 31.874531) + (xy 67.545349 31.874398) + (xy 67.545406 31.874268) + (xy 67.545468 31.874142) + (xy 67.545536 31.87402) + (xy 67.545609 31.873901) + (xy 67.545688 31.873787) + (xy 67.545772 31.873676) + (xy 67.545861 31.87357) + (xy 67.545954 31.873469) + (xy 67.546052 31.873372) + (xy 67.546154 31.87328) + (xy 67.546261 31.873193) + (xy 67.546371 31.873112) + (xy 67.546486 31.873036) + (xy 67.546604 31.872966) + (xy 67.546725 31.872901) + (xy 67.54685 31.872843) + (xy 67.546978 31.87279) + (xy 67.547109 31.872745) + (xy 67.547243 31.872705) + (xy 67.547379 31.872673) + (xy 67.547518 31.872647) + (xy 67.547659 31.872628) + (xy 67.547802 31.872617) + (xy 67.547947 31.872613) + (xy 67.548093 31.872617) + (xy 67.548236 31.872628) + (xy 67.548377 31.872647) + (xy 67.548516 31.872673) + (xy 67.548652 31.872705) + (xy 67.548786 31.872745) + (xy 67.548917 31.87279) + (xy 67.549046 31.872843) + (xy 67.549171 31.872901) + (xy 67.549293 31.872966) + (xy 67.549411 31.873036) + (xy 67.549526 31.873112) + (xy 67.549636 31.873193) + (xy 67.549743 31.87328) + (xy 67.549846 31.873372) + (xy 67.549944 31.873469) + (xy 67.550038 31.87357) + (xy 67.550127 31.873676) + (xy 67.550211 31.873787) + (xy 67.55029 31.873901) + (xy 67.550363 31.87402) + (xy 67.550431 31.874142) + (xy 67.550494 31.874268) + (xy 67.550551 31.874398) + (xy 67.550601 31.874531) + (xy 67.550646 31.874667) + (xy 67.550684 31.874805) + (xy 67.550716 31.874947) + (xy 67.550741 31.875091) + (xy 67.550759 31.875238) + (xy 67.55077 31.875386) + (xy 67.550773 31.875537) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp d477b5d9-fa9a-44ed-a085-ce1f548811fc)) + (gr_line (start 78.698851 16.251999) (end 78.572569 16.136886) (layer "F.SilkS") (width 0.00575) (tstamp d487dd77-34cf-40e4-96e0-7dcd3e3c2dff)) + (gr_line (start 71.88441 22.263208) (end 71.953837 22.105945) (layer "F.SilkS") (width 0.00575) (tstamp d4a6afc7-ae2a-42e6-904e-91af7378cdd1)) + (gr_line (start 71.758134 28.375641) (end 71.48914 28.552093) (layer "F.SilkS") (width 0.00575) (tstamp d4fd8ca6-76d9-4c77-8c58-9accfd7181a4)) + (gr_line (start 75.229887 24.820532) (end 75.454368 24.733264) (layer "F.SilkS") (width 0.00575) (tstamp d5609e3b-d7e4-4205-be54-9a288fc1f6ef)) + (gr_line (start 75.048845 24.932159) (end 75.229887 24.820532) (layer "F.SilkS") (width 0.00575) (tstamp d57b985b-b057-45a8-8b29-4733913c8708)) + (gr_line (start 62.114848 20.786194) (end 62.136656 20.80954) (layer "F.SilkS") (width 0.00575) (tstamp d57f4acd-54ad-4ae7-8f1b-a3f6e1c85868)) + (gr_line (start 62.47144 21.889166) (end 62.555559 21.965088) (layer "F.SilkS") (width 0.00575) (tstamp d5820956-df05-44d1-a494-6366c8424b53)) + (gr_line (start 64.170793 25.179498) (end 64.180278 25.383398) (layer "F.SilkS") (width 0.00575) (tstamp d59701b0-3e93-4169-b1e5-958c7c08c033)) + (gr_line (start 75.301298 19.028784) (end 75.300878 19.029233) (layer "F.SilkS") (width 0.00575) (tstamp d5af6ff6-d3cc-48d3-a521-9e248d06cd3d)) + (gr_line (start 62.722972 22.076459) (end 62.733305 22.166742) (layer "F.SilkS") (width 0.00575) (tstamp d5d0d17f-9679-48e8-a0a4-7e12e50a3d27)) + (gr_line (start 78.131071 22.853021) (end 78.161497 22.799066) (layer "F.SilkS") (width 0.00575) (tstamp d5fdb02f-0aeb-491b-9d29-b4e5fd985c26)) + (gr_line (start 67.907097 21.197803) (end 67.9088 21.373413) (layer "F.SilkS") (width 0.00575) (tstamp d63c9fcb-e88c-415f-b60d-794ab0d7b1ce)) + (gr_line (start 62.883055 22.139111) (end 62.878953 21.847436) (layer "F.SilkS") (width 0.00575) (tstamp d63d0381-8c90-47f1-82b1-4e1314f46c97)) + (gr_line (start 76.512102 26.422552) (end 76.47509 26.406781) (layer "F.SilkS") (width 0.00575) (tstamp d652aca5-609c-438a-bffa-7daed3bd5878)) + (gr_line (start 78.22982 30.398004) (end 78.248472 30.42735) (layer "F.SilkS") (width 0.00575) (tstamp d670832a-9e4a-46ca-8dad-9ac08576116b)) + (gr_line (start 72.038902 20.49884) (end 71.901005 20.163745) (layer "F.SilkS") (width 0.00575) (tstamp d6a0e736-8758-4b0e-952c-038870d06bee)) + (gr_line (start 69.38358 31.875537) (end 69.38358 32.262195) (layer "F.SilkS") (width 0.00575) (tstamp d6a5dd3b-c632-4f75-bca5-dd486639df4a)) + (gr_line (start 63.492467 20.019263) (end 63.546959 20.109711) (layer "F.SilkS") (width 0.00575) (tstamp d6ad3649-e069-4a11-8d22-69a2825fb04b)) + (gr_line (start 78.036387 25.961249) (end 78.044304 26.046722) (layer "F.SilkS") (width 0.00575) (tstamp d6b0be31-7b82-40e3-9268-0ae2e4b57e72)) + (gr_line (start 69.370061 14.315298) (end 69.402141 14.479437) (layer "F.SilkS") (width 0.00575) (tstamp d6d09c24-2b60-405d-b985-9d42fa6d8e0e)) + (gr_line (start 72.402446 16.410541) (end 72.401567 16.460065) (layer "F.SilkS") (width 0.00575) (tstamp d6e246f4-665d-43e1-b8fd-e9aa3ae85d89)) + (gr_line (start 69.373289 17.543439) (end 69.777842 17.488605) (layer "F.SilkS") (width 0.00575) (tstamp d6eaba52-2dc2-4a44-9550-461721e7ccb0)) + (gr_line (start 61.437907 23.338153) (end 61.350664 23.341839) (layer "F.SilkS") (width 0.00575) (tstamp d6fb9c93-b9bc-4e5f-8ce8-33964f61c22b)) + (gr_line (start 61.572337 25.229901) (end 61.483592 25.302154) (layer "F.SilkS") (width 0.00575) (tstamp d71e53d9-6e57-4eb1-95d0-ab357f007c0f)) + (gr_poly + (pts + (xy 72.124742 16.770383) + (xy 72.124738 16.770533) + (xy 72.124727 16.770682) + (xy 72.124709 16.770829) + (xy 72.124685 16.770973) + (xy 72.124653 16.771115) + (xy 72.124615 16.771254) + (xy 72.124571 16.77139) + (xy 72.12452 16.771523) + (xy 72.124464 16.771652) + (xy 72.124401 16.771779) + (xy 72.124333 16.771901) + (xy 72.12426 16.77202) + (xy 72.124181 16.772135) + (xy 72.124098 16.772246) + (xy 72.124009 16.772352) + (xy 72.123916 16.772454) + (xy 72.123818 16.772551) + (xy 72.123715 16.772643) + (xy 72.123609 16.77273) + (xy 72.123498 16.772812) + (xy 72.123384 16.772888) + (xy 72.123266 16.772959) + (xy 72.123144 16.773023) + (xy 72.123019 16.773082) + (xy 72.122891 16.773134) + (xy 72.12276 16.77318) + (xy 72.122626 16.77322) + (xy 72.12249 16.773253) + (xy 72.122351 16.773278) + (xy 72.12221 16.773297) + (xy 72.122067 16.773308) + (xy 72.121922 16.773312) + (xy 72.121777 16.773308) + (xy 72.121633 16.773297) + (xy 72.121491 16.773278) + (xy 72.121352 16.773253) + (xy 72.121215 16.77322) + (xy 72.121081 16.77318) + (xy 72.12095 16.773134) + (xy 72.120821 16.773082) + (xy 72.120696 16.773023) + (xy 72.120574 16.772959) + (xy 72.120456 16.772888) + (xy 72.120341 16.772812) + (xy 72.120231 16.77273) + (xy 72.120124 16.772643) + (xy 72.120021 16.772551) + (xy 72.119923 16.772454) + (xy 72.11983 16.772352) + (xy 72.119741 16.772246) + (xy 72.119657 16.772135) + (xy 72.119578 16.77202) + (xy 72.119505 16.771901) + (xy 72.119437 16.771779) + (xy 72.119375 16.771652) + (xy 72.119318 16.771523) + (xy 72.119267 16.77139) + (xy 72.119223 16.771254) + (xy 72.119185 16.771115) + (xy 72.119153 16.770973) + (xy 72.119129 16.770829) + (xy 72.119111 16.770682) + (xy 72.1191 16.770533) + (xy 72.119096 16.770383) + (xy 72.1191 16.770232) + (xy 72.119111 16.770084) + (xy 72.119129 16.769937) + (xy 72.119153 16.769793) + (xy 72.119185 16.769652) + (xy 72.119223 16.769513) + (xy 72.119267 16.769377) + (xy 72.119318 16.769244) + (xy 72.119375 16.769115) + (xy 72.119437 16.768988) + (xy 72.119505 16.768866) + (xy 72.119578 16.768747) + (xy 72.119657 16.768632) + (xy 72.119741 16.768522) + (xy 72.11983 16.768416) + (xy 72.119923 16.768314) + (xy 72.120021 16.768217) + (xy 72.120124 16.768125) + (xy 72.120231 16.768038) + (xy 72.120341 16.767956) + (xy 72.120456 16.76788) + (xy 72.120574 16.76781) + (xy 72.120696 16.767745) + (xy 72.120821 16.767686) + (xy 72.12095 16.767634) + (xy 72.121081 16.767588) + (xy 72.121215 16.767548) + (xy 72.121352 16.767515) + (xy 72.121491 16.76749) + (xy 72.121633 16.767471) + (xy 72.121777 16.76746) + (xy 72.121922 16.767456) + (xy 72.122067 16.76746) + (xy 72.12221 16.767471) + (xy 72.122351 16.76749) + (xy 72.12249 16.767515) + (xy 72.122626 16.767548) + (xy 72.12276 16.767588) + (xy 72.122891 16.767634) + (xy 72.123019 16.767686) + (xy 72.123144 16.767745) + (xy 72.123266 16.76781) + (xy 72.123384 16.76788) + (xy 72.123498 16.767956) + (xy 72.123609 16.768038) + (xy 72.123715 16.768125) + (xy 72.123818 16.768217) + (xy 72.123916 16.768314) + (xy 72.124009 16.768416) + (xy 72.124098 16.768522) + (xy 72.124181 16.768632) + (xy 72.12426 16.768747) + (xy 72.124333 16.768866) + (xy 72.124401 16.768988) + (xy 72.124464 16.769115) + (xy 72.12452 16.769244) + (xy 72.124571 16.769377) + (xy 72.124615 16.769513) + (xy 72.124653 16.769652) + (xy 72.124685 16.769793) + (xy 72.124709 16.769937) + (xy 72.124727 16.770084) + (xy 72.124738 16.770232) + (xy 72.124742 16.770383) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp d78b3cee-3d2d-4e49-899a-6221d797a123)) + (gr_line (start 79.180516 16.734595) (end 79.158781 16.724704) (layer "F.SilkS") (width 0.00575) (tstamp d78b8dc6-3505-4e68-bb10-29a26d656336)) + (gr_line (start 72.422362 30.490076) (end 72.360594 30.292786) (layer "F.SilkS") (width 0.00575) (tstamp d78d4a90-36b8-4431-946a-190c860b94d3)) + (gr_line (start 68.51945 19.626715) (end 68.339451 19.839752) (layer "F.SilkS") (width 0.00575) (tstamp d78ea572-889a-469a-853a-9e84ae9f8cab)) + (gr_line (start 64.472294 26.106988) (end 64.443419 26.060968) (layer "F.SilkS") (width 0.00575) (tstamp d79598ce-ba27-4ec0-bcdb-8daccf2604ab)) + (gr_line (start 69.764793 32.252258) (end 69.726732 32.244012) (layer "F.SilkS") (width 0.00575) (tstamp d79f4d9b-d118-4816-8673-153eabb41858)) + (gr_line (start 65.778868 24.799969) (end 65.44796 24.817712) (layer "F.SilkS") (width 0.00575) (tstamp d7bf7fcd-413c-4546-9640-7b880d199598)) + (gr_line (start 73.569084 23.690808) (end 73.257597 23.514825) (layer "F.SilkS") (width 0.00575) (tstamp d7c6bc66-4df3-4b71-886c-32c400735cc3)) + (gr_line (start 66.678489 9.996985) (end 66.804387 10.141815) (layer "F.SilkS") (width 0.00575) (tstamp d80b3a9d-05a5-4298-b9a8-29a7f960ecf2)) + (gr_line (start 75.635722 17.939123) (end 75.626317 18.015869) (layer "F.SilkS") (width 0.00575) (tstamp d820c79a-9b25-4f7f-a064-2aff1091a757)) + (gr_line (start 66.01411 16.764468) (end 66.125487 16.768515) (layer "F.SilkS") (width 0.00575) (tstamp d8231fdd-8cb3-4956-a0d1-579610b47ef5)) + (gr_line (start 68.471409 12.069498) (end 68.522294 12.205221) (layer "F.SilkS") (width 0.00575) (tstamp d834ff4d-d8d5-452f-8a80-9c96502f2824)) + (gr_line (start 77.971147 25.790423) (end 78.013359 25.883685) (layer "F.SilkS") (width 0.00575) (tstamp d835bc40-64e5-4bb3-b1ca-c03e195bb793)) + (gr_line (start 65.341612 11.752832) (end 65.466289 11.693106) (layer "F.SilkS") (width 0.00575) (tstamp d8423d31-e0b8-40c7-825e-4ab6af9d6b84)) + (gr_line (start 75.190202 26.14389) (end 75.159099 25.946155) (layer "F.SilkS") (width 0.00575) (tstamp d88f3307-3820-4e28-a835-c96699483344)) + (gr_line (start 61.388414 21.819311) (end 61.450291 21.814746) (layer "F.SilkS") (width 0.00575) (tstamp d8f75488-c22f-49cc-abed-93f9e44f399e)) + (gr_line (start 62.324919 25.358118) (end 62.464873 25.158197) (layer "F.SilkS") (width 0.00575) (tstamp d921b372-73b3-44a0-9dea-a53622dfbe8a)) + (gr_line (start 63.596135 23.91206) (end 63.475194 23.742633) (layer "F.SilkS") (width 0.00575) (tstamp d9261a0e-ec92-4bae-b416-71491a0bd409)) + (gr_line (start 69.178087 19.3401) (end 69.480626 19.22337) (layer "F.SilkS") (width 0.00575) (tstamp d9910a96-c4b9-4848-a1db-6f4a4a5d2663)) + (gr_line (start 77.806138 16.516135) (end 77.799229 16.66889) (layer "F.SilkS") (width 0.00575) (tstamp d99161ef-8c68-4104-861b-6a1dfa155f87)) + (gr_line (start 78.361802 21.981695) (end 78.234691 22.312787) (layer "F.SilkS") (width 0.00575) (tstamp d9bf16e9-aeda-45f9-ab5b-c9490701a9c1)) + (gr_line (start 66.818559 20.13819) (end 67.00341 19.688202) (layer "F.SilkS") (width 0.00575) (tstamp d9c39d74-dc50-41ce-be37-4f1dff72c583)) + (gr_line (start 80.167882 29.266046) (end 80.167869 29.303705) (layer "F.SilkS") (width 0.00575) (tstamp d9db3066-bc09-47d9-8d92-96411a7ee070)) + (gr_line (start 74.720939 25.905414) (end 74.7088 25.881201) (layer "F.SilkS") (width 0.00575) (tstamp d9dbf9e5-afa6-4347-a956-dfe553166519)) + (gr_line (start 67.674772 23.966174) (end 67.688389 24.009009) (layer "F.SilkS") (width 0.00575) (tstamp d9ea8df8-c060-4a9f-859c-8d748b093035)) + (gr_poly + (pts + (xy 68.136412 13.676391) + (xy 68.136408 13.676542) + (xy 68.136397 13.676691) + (xy 68.136379 13.676838) + (xy 68.136354 13.676982) + (xy 68.136323 13.677123) + (xy 68.136284 13.677262) + (xy 68.13624 13.677399) + (xy 68.136189 13.677532) + (xy 68.136132 13.677661) + (xy 68.13607 13.677788) + (xy 68.136001 13.67791) + (xy 68.135928 13.678029) + (xy 68.135849 13.678144) + (xy 68.135765 13.678255) + (xy 68.135676 13.678361) + (xy 68.135582 13.678463) + (xy 68.135483 13.67856) + (xy 68.135381 13.678652) + (xy 68.135274 13.678739) + (xy 68.135163 13.678821) + (xy 68.135048 13.678897) + (xy 68.134929 13.678967) + (xy 68.134807 13.679032) + (xy 68.134681 13.679091) + (xy 68.134553 13.679143) + (xy 68.134421 13.679189) + (xy 68.134287 13.679229) + (xy 68.13415 13.679262) + (xy 68.134011 13.679287) + (xy 68.133869 13.679306) + (xy 68.133725 13.679317) + (xy 68.13358 13.679321) + (xy 68.133435 13.679317) + (xy 68.133292 13.679306) + (xy 68.133151 13.679287) + (xy 68.133012 13.679262) + (xy 68.132876 13.679229) + (xy 68.132742 13.679189) + (xy 68.132611 13.679143) + (xy 68.132484 13.679091) + (xy 68.132359 13.679032) + (xy 68.132238 13.678967) + (xy 68.13212 13.678897) + (xy 68.132006 13.678821) + (xy 68.131895 13.678739) + (xy 68.131789 13.678652) + (xy 68.131687 13.67856) + (xy 68.131589 13.678463) + (xy 68.131496 13.678361) + (xy 68.131408 13.678255) + (xy 68.131324 13.678144) + (xy 68.131246 13.678029) + (xy 68.131173 13.67791) + (xy 68.131105 13.677788) + (xy 68.131043 13.677661) + (xy 68.130987 13.677532) + (xy 68.130937 13.677399) + (xy 68.130892 13.677262) + (xy 68.130855 13.677123) + (xy 68.130823 13.676982) + (xy 68.130798 13.676838) + (xy 68.130781 13.676691) + (xy 68.13077 13.676542) + (xy 68.130766 13.676391) + (xy 68.13077 13.676241) + (xy 68.130781 13.676093) + (xy 68.130798 13.675946) + (xy 68.130823 13.675802) + (xy 68.130855 13.675661) + (xy 68.130892 13.675522) + (xy 68.130937 13.675386) + (xy 68.130987 13.675253) + (xy 68.131043 13.675124) + (xy 68.131105 13.674997) + (xy 68.131173 13.674875) + (xy 68.131246 13.674756) + (xy 68.131324 13.674641) + (xy 68.131408 13.674531) + (xy 68.131496 13.674424) + (xy 68.131589 13.674323) + (xy 68.131687 13.674226) + (xy 68.131789 13.674134) + (xy 68.131895 13.674047) + (xy 68.132006 13.673965) + (xy 68.13212 13.673889) + (xy 68.132238 13.673818) + (xy 68.132359 13.673754) + (xy 68.132484 13.673695) + (xy 68.132611 13.673643) + (xy 68.132742 13.673597) + (xy 68.132876 13.673557) + (xy 68.133012 13.673524) + (xy 68.133151 13.673499) + (xy 68.133292 13.67348) + (xy 68.133435 13.673469) + (xy 68.13358 13.673465) + (xy 68.133725 13.673469) + (xy 68.133869 13.67348) + (xy 68.134011 13.673499) + (xy 68.13415 13.673524) + (xy 68.134287 13.673557) + (xy 68.134421 13.673597) + (xy 68.134553 13.673643) + (xy 68.134681 13.673695) + (xy 68.134807 13.673754) + (xy 68.134929 13.673818) + (xy 68.135048 13.673889) + (xy 68.135163 13.673965) + (xy 68.135274 13.674047) + (xy 68.135381 13.674134) + (xy 68.135483 13.674226) + (xy 68.135582 13.674323) + (xy 68.135676 13.674424) + (xy 68.135765 13.674531) + (xy 68.135849 13.674641) + (xy 68.135928 13.674756) + (xy 68.136001 13.674875) + (xy 68.13607 13.674997) + (xy 68.136132 13.675124) + (xy 68.136189 13.675253) + (xy 68.13624 13.675386) + (xy 68.136284 13.675522) + (xy 68.136323 13.675661) + (xy 68.136354 13.675802) + (xy 68.136379 13.675946) + (xy 68.136397 13.676093) + (xy 68.136408 13.676241) + (xy 68.136412 13.676391) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp d9eaa258-ee75-40cd-b14b-bbe05c6faff4)) + (gr_line (start 62.610447 24.976184) (end 62.631389 24.930731) (layer "F.SilkS") (width 0.00575) (tstamp da503909-14c4-4665-9384-d2af2e4400d6)) + (gr_line (start 73.951384 25.254864) (end 74.173863 25.256659) (layer "F.SilkS") (width 0.00575) (tstamp da584c4c-f38d-4bf5-866c-3580cde59995)) + (gr_line (start 72.811754 26.664667) (end 72.640703 27.116345) (layer "F.SilkS") (width 0.00575) (tstamp da7e5a40-6d71-42c5-963d-478a26f8c2fb)) + (gr_line (start 67.031511 16.386368) (end 67.066801 16.250137) (layer "F.SilkS") (width 0.00575) (tstamp da88d95b-a83e-4ec3-8a3e-6ed3f9b5e1f0)) + (gr_line (start 66.75941 18.36275) (end 66.774681 18.112518) (layer "F.SilkS") (width 0.00575) (tstamp daa771da-c637-4c10-8746-6332e294a89b)) + (gr_line (start 73.84088 16.096872) (end 73.831035 16.026541) (layer "F.SilkS") (width 0.00575) (tstamp daad1165-4e68-4918-a3f6-d7029335904a)) + (gr_line (start 76.625517 26.147436) (end 76.615013 26.052276) (layer "F.SilkS") (width 0.00575) (tstamp dacb269e-f369-4c4b-8bff-97f95b96d4e7)) + (gr_line (start 75.383903 25.243506) (end 75.299003 25.263818) (layer "F.SilkS") (width 0.00575) (tstamp dacb4653-ece4-4ee0-9a9a-eb7c513d20e2)) + (gr_line (start 61.552946 25.87171) (end 61.620097 25.638885) (layer "F.SilkS") (width 0.00575) (tstamp dadbc87f-2d21-4291-a836-21d8ac2695a0)) + (gr_line (start 72.685924 28.202276) (end 73.429661 30.224805) (layer "F.SilkS") (width 0.00575) (tstamp daed1387-5cce-4964-86eb-9d4770429b98)) + (gr_poly + (pts + (xy 71.375084 24.852319) + (xy 71.37508 24.85247) + (xy 71.375069 24.852619) + (xy 71.375051 24.852765) + (xy 71.375026 24.852909) + (xy 71.374995 24.853051) + (xy 71.374957 24.85319) + (xy 71.374912 24.853326) + (xy 71.374862 24.853459) + (xy 71.374805 24.853589) + (xy 71.374743 24.853715) + (xy 71.374675 24.853838) + (xy 71.374602 24.853957) + (xy 71.374523 24.854072) + (xy 71.374439 24.854183) + (xy 71.374351 24.854289) + (xy 71.374257 24.854391) + (xy 71.374159 24.854488) + (xy 71.374057 24.85458) + (xy 71.373951 24.854667) + (xy 71.37384 24.854748) + (xy 71.373726 24.854825) + (xy 71.373608 24.854895) + (xy 71.373486 24.85496) + (xy 71.373361 24.855019) + (xy 71.373233 24.855071) + (xy 71.373102 24.855117) + (xy 71.372968 24.855157) + (xy 71.372832 24.855189) + (xy 71.372693 24.855215) + (xy 71.372552 24.855234) + (xy 71.372409 24.855245) + (xy 71.372264 24.855249) + (xy 71.372118 24.855245) + (xy 71.371975 24.855234) + (xy 71.371833 24.855215) + (xy 71.371694 24.855189) + (xy 71.371557 24.855157) + (xy 71.371423 24.855117) + (xy 71.371292 24.855071) + (xy 71.371163 24.855019) + (xy 71.371038 24.85496) + (xy 71.370916 24.854895) + (xy 71.370798 24.854825) + (xy 71.370683 24.854748) + (xy 71.370572 24.854667) + (xy 71.370466 24.85458) + (xy 71.370363 24.854488) + (xy 71.370265 24.854391) + (xy 71.370171 24.854289) + (xy 71.370083 24.854183) + (xy 71.369999 24.854072) + (xy 71.36992 24.853957) + (xy 71.369847 24.853838) + (xy 71.369779 24.853715) + (xy 71.369716 24.853589) + (xy 71.36966 24.853459) + (xy 71.369609 24.853326) + (xy 71.369565 24.85319) + (xy 71.369527 24.853051) + (xy 71.369495 24.852909) + (xy 71.36947 24.852765) + (xy 71.369453 24.852619) + (xy 71.369442 24.85247) + (xy 71.369438 24.852319) + (xy 71.369442 24.852169) + (xy 71.369453 24.85202) + (xy 71.36947 24.851873) + (xy 71.369495 24.851729) + (xy 71.369527 24.851588) + (xy 71.369565 24.851449) + (xy 71.369609 24.851313) + (xy 71.36966 24.85118) + (xy 71.369716 24.851051) + (xy 71.369779 24.850924) + (xy 71.369847 24.850802) + (xy 71.36992 24.850683) + (xy 71.369999 24.850569) + (xy 71.370083 24.850458) + (xy 71.370171 24.850352) + (xy 71.370265 24.850251) + (xy 71.370363 24.850154) + (xy 71.370466 24.850062) + (xy 71.370572 24.849976) + (xy 71.370683 24.849894) + (xy 71.370798 24.849818) + (xy 71.370916 24.849748) + (xy 71.371038 24.849683) + (xy 71.371163 24.849625) + (xy 71.371292 24.849573) + (xy 71.371423 24.849527) + (xy 71.371557 24.849488) + (xy 71.371694 24.849455) + (xy 71.371833 24.849429) + (xy 71.371975 24.849411) + (xy 71.372118 24.849399) + (xy 71.372264 24.849396) + (xy 71.372409 24.849399) + (xy 71.372552 24.849411) + (xy 71.372693 24.849429) + (xy 71.372832 24.849455) + (xy 71.372968 24.849488) + (xy 71.373102 24.849527) + (xy 71.373233 24.849573) + (xy 71.373361 24.849625) + (xy 71.373486 24.849683) + (xy 71.373608 24.849748) + (xy 71.373726 24.849818) + (xy 71.37384 24.849894) + (xy 71.373951 24.849976) + (xy 71.374057 24.850062) + (xy 71.374159 24.850154) + (xy 71.374257 24.850251) + (xy 71.374351 24.850352) + (xy 71.374439 24.850458) + (xy 71.374523 24.850569) + (xy 71.374602 24.850683) + (xy 71.374675 24.850802) + (xy 71.374743 24.850924) + (xy 71.374805 24.851051) + (xy 71.374862 24.85118) + (xy 71.374912 24.851313) + (xy 71.374957 24.851449) + (xy 71.374995 24.851588) + (xy 71.375026 24.851729) + (xy 71.375051 24.851873) + (xy 71.375069 24.85202) + (xy 71.37508 24.852169) + (xy 71.375084 24.852319) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp db1747ef-103d-495a-89d2-c4ab65c54d21)) + (gr_line (start 78.414103 24.620178) (end 78.295897 24.51961) (layer "F.SilkS") (width 0.00575) (tstamp db1e511c-55df-4eb0-8503-38f6b5f8ecc3)) + (gr_line (start 63.633677 26.087518) (end 63.668516 26.227716) (layer "F.SilkS") (width 0.00575) (tstamp db272f72-615f-4989-81ef-1a84d631f51f)) + (gr_line (start 64.600694 11.608606) (end 64.806132 11.693146) (layer "F.SilkS") (width 0.00575) (tstamp db39927a-24ca-4f85-b61a-ed1c592032de)) + (gr_line (start 63.556096 24.449591) (end 63.629039 24.407892) (layer "F.SilkS") (width 0.00575) (tstamp db57e6ee-d925-4f7f-82a8-0282e20eb4fc)) + (gr_line (start 65.403941 14.930566) (end 65.369444 15.002988) (layer "F.SilkS") (width 0.00575) (tstamp db7f7973-738f-434b-953d-12a6f64b7ec5)) + (gr_line (start 71.950395 29.000543) (end 71.946788 28.990466) (layer "F.SilkS") (width 0.00575) (tstamp dbc23c4b-6e30-43cb-950f-7c56123ab04a)) + (gr_line (start 80.172899 29.704394) (end 80.179619 29.82832) (layer "F.SilkS") (width 0.00575) (tstamp dbd669cc-80af-4311-a11f-4917e3864947)) + (gr_line (start 69.385576 14.876144) (end 69.339915 14.96506) (layer "F.SilkS") (width 0.00575) (tstamp dbd6e6c0-780e-4a3e-9c46-3beddec4e654)) + (gr_line (start 78.384678 15.991168) (end 78.262156 15.909805) (layer "F.SilkS") (width 0.00575) (tstamp dc277d6c-b13f-4ff2-a544-4bff28ce3eda)) + (gr_line (start 72.034007 27.582623) (end 72.213121 27.260065) (layer "F.SilkS") (width 0.00575) (tstamp dc2ddcf8-9735-4828-9be9-aa34f8e3e3cd)) + (gr_line (start 81.127684 30.415002) (end 80.997148 30.408649) (layer "F.SilkS") (width 0.00575) (tstamp dc60d558-9e55-4964-b86b-22adf4614a68)) + (gr_poly + (pts + (xy 63.461033 19.954852) + (xy 63.465666 19.966345) + (xy 63.492467 20.019263) + (xy 63.546959 20.109711) + (xy 63.622386 20.22763) + (xy 63.712047 20.362964) + (xy 63.809166 20.505664) + (xy 63.90703 20.645672) + (xy 63.998887 20.772925) + (xy 64.065831 20.861798) + (xy 64.357237 21.210809) + (xy 64.696989 21.570019) + (xy 65.078215 21.93363) + (xy 65.494005 22.295813) + (xy 65.93748 22.650745) + (xy 66.40175 22.992615) + (xy 66.879918 23.315588) + (xy 67.228038 23.532635) + (xy 67.353044 23.608978) + (xy 67.458134 23.675378) + (xy 67.534337 23.725983) + (xy 67.572636 23.754932) + (xy 67.575413 23.758722) + (xy 67.556126 23.791955) + (xy 67.502336 23.85451) + (xy 67.423295 23.937237) + (xy 67.328258 24.031018) + (xy 67.226494 24.126709) + (xy 67.12725 24.215161) + (xy 67.040617 24.286603) + (xy 66.755839 24.475818) + (xy 66.443492 24.626276) + (xy 66.114274 24.735242) + (xy 65.778862 24.799969) + (xy 65.44796 24.817712) + (xy 65.132261 24.785742) + (xy 65.110008 24.78136) + (xy 64.902989 24.742572) + (xy 64.741478 24.720959) + (xy 64.616118 24.716418) + (xy 64.517515 24.728857) + (xy 64.436314 24.758154) + (xy 64.40789 24.773804) + (xy 64.283989 24.87699) + (xy 64.205156 25.011462) + (xy 64.170793 25.179504) + (xy 64.180278 25.383398) + (xy 64.207109 25.525415) + (xy 64.233201 25.6836) + (xy 64.246208 25.863788) + (xy 64.245799 26.043542) + (xy 64.231639 26.200445) + (xy 64.217857 26.268982) + (xy 64.184276 26.343878) + (xy 64.124602 26.43371) + (xy 64.050688 26.524817) + (xy 63.974376 26.603558) + (xy 63.907506 26.656262) + (xy 63.869523 26.670514) + (xy 63.850743 26.65155) + (xy 63.839244 26.5901) + (xy 63.834086 26.479339) + (xy 63.833574 26.410266) + (xy 63.831004 26.271844) + (xy 63.82039 26.170654) + (xy 63.79763 26.08457) + (xy 63.758574 25.991479) + (xy 63.753361 25.980401) + (xy 63.671483 25.844043) + (xy 63.562547 25.712762) + (xy 63.441331 25.601422) + (xy 63.3226 25.524872) + (xy 63.284904 25.509235) + (xy 63.150798 25.485095) + (xy 62.990758 25.488653) + (xy 62.828361 25.517566) + (xy 62.689934 25.568127) + (xy 62.596544 25.626977) + (xy 62.489726 25.711731) + (xy 62.399052 25.797583) + (xy 62.324327 25.874054) + (xy 62.265129 25.93042) + (xy 62.232622 25.956122) + (xy 62.231618 25.956405) + (xy 62.23062 25.956683) + (xy 62.221031 25.929791) + (xy 62.214696 25.860455) + (xy 62.21317 25.794214) + (xy 62.241087 25.569995) + (xy 62.324937 25.358124) + (xy 62.464879 25.158197) + (xy 62.487858 25.13233) + (xy 62.559691 25.047626) + (xy 62.61046 24.97619) + (xy 62.631401 24.930731) + (xy 62.630949 24.924951) + (xy 62.591862 24.890021) + (xy 62.51389 24.860956) + (xy 62.415501 24.841955) + (xy 62.315147 24.837189) + (xy 62.265513 24.8422) + (xy 62.135899 24.881384) + (xy 61.979973 24.954419) + (xy 61.812132 25.053259) + (xy 61.646769 25.169855) + (xy 61.572337 25.229907) + (xy 61.483598 25.302161) + (xy 61.41226 25.35495) + (xy 61.369108 25.38053) + (xy 61.361748 25.381097) + (xy 61.35775 25.339899) + (xy 61.375798 25.260376) + (xy 61.410789 25.156622) + (xy 61.457615 25.0427) + (xy 61.511162 24.932703) + (xy 61.547496 24.869427) + (xy 61.701842 24.661981) + (xy 61.872911 24.505804) + (xy 62.057103 24.403168) + (xy 62.250804 24.356341) + (xy 62.360106 24.355206) + (xy 62.504716 24.36543) + (xy 62.409623 24.283124) + (xy 62.322636 24.222131) + (xy 62.229771 24.177008) + (xy 62.213658 24.171753) + (xy 62.088945 24.150299) + (xy 61.935838 24.144446) + (xy 61.781279 24.154224) + (xy 61.683366 24.171173) + (xy 61.608561 24.184741) + (xy 61.560509 24.185278) + (xy 61.554295 24.182367) + (xy 61.565574 24.155768) + (xy 61.610332 24.103467) + (xy 61.662791 24.051953) + (xy 61.837712 23.929339) + (xy 62.043687 23.856225) + (xy 62.281456 23.832373) + (xy 62.28488 23.832385) + (xy 62.487895 23.857617) + (xy 62.687132 23.933002) + (xy 62.888304 24.061328) + (xy 63.06251 24.211639) + (xy 63.156749 24.299188) + (xy 63.241997 24.373633) + (xy 63.304985 24.42359) + (xy 63.322703 24.435144) + (xy 63.437828 24.467523) + (xy 63.556108 24.449591) + (xy 63.629045 24.407892) + (xy 63.692686 24.321289) + (xy 63.707902 24.207532) + (xy 63.675456 24.069995) + (xy 63.596147 23.91206) + (xy 63.4752 23.742633) + (xy 63.277519 23.454138) + (xy 63.114769 23.124536) + (xy 62.990709 22.762677) + (xy 62.917784 22.432141) + (xy 62.883061 22.139111) + (xy 62.878966 21.847436) + (xy 62.906901 21.548688) + (xy 62.968284 21.234473) + (xy 63.064512 20.896344) + (xy 63.197014 20.525915) + (xy 63.264818 20.356201) + (xy 63.332585 20.191815) + (xy 63.381645 20.075488) + (xy 63.415526 20.000421) + (xy 63.437718 19.959827) + (xy 63.451719 19.946905) + (xy 63.461033 19.954852) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp dc79cc61-8637-4f14-a772-f8ccfa4a72e6)) + (gr_line (start 74.173399 32.254761) (end 74.146123 32.256976) (layer "F.SilkS") (width 0.00575) (tstamp dc8675bd-77a6-4131-a902-22c40a365657)) + (gr_line (start 67.413719 13.527042) (end 67.273497 13.525528) (layer "F.SilkS") (width 0.00575) (tstamp dcab8576-3f4f-4ebd-a73c-4169b2dd6230)) + (gr_line (start 62.221025 25.929785) (end 62.214689 25.860455) (layer "F.SilkS") (width 0.00575) (tstamp dcd284d2-a8d5-42fe-810e-45228cdd4e39)) + (gr_line (start 73.371898 21.795001) (end 73.164482 22.01568) (layer "F.SilkS") (width 0.00575) (tstamp dcdf0792-e8c0-46ed-8702-89fb0f661601)) + (gr_line (start 73.613872 19.765643) (end 73.533018 19.648816) (layer "F.SilkS") (width 0.00575) (tstamp dcdf376a-2888-4870-a930-e7d52a92566e)) + (gr_line (start 67.954802 21.725867) (end 67.973784 21.791919) (layer "F.SilkS") (width 0.00575) (tstamp dcf293d4-e098-49f8-9238-3622edc061cd)) + (gr_line (start 77.126646 29.640735) (end 77.127501 29.462073) (layer "F.SilkS") (width 0.00575) (tstamp dd34c3d7-0db9-4418-9737-e896efc76acd)) + (gr_line (start 68.295976 23.585101) (end 68.831523 23.860626) (layer "F.SilkS") (width 0.00575) (tstamp dd43957b-6891-4bcc-9c31-cd49901e071a)) + (gr_line (start 68.178935 25.480249) (end 68.178937 25.480281) (layer "F.SilkS") (width 0.00575) (tstamp dd5aca85-9ade-4265-be30-b572e88d6cbc)) + (gr_line (start 75.661265 21.481952) (end 75.846538 21.435034) (layer "F.SilkS") (width 0.00575) (tstamp dd702bc4-d18e-4dfd-bcd6-5a7faad6cac5)) + (gr_line (start 76.501646 26.312152) (end 76.506621 26.338519) (layer "F.SilkS") (width 0.00575) (tstamp dd8cc9e2-b98f-4bd9-9627-e43c45b59350)) + (gr_line (start 66.981822 16.592084) (end 67.002037 16.504703) (layer "F.SilkS") (width 0.00575) (tstamp dd9b9454-0774-405d-b904-552701f46dec)) + (gr_line (start 78.894029 15.11748) (end 78.891533 15.116983) (layer "F.SilkS") (width 0.00575) (tstamp dd9c6c59-a411-47a0-b9e1-5fc80a192bd6)) + (gr_line (start 65.486448 9.463663) (end 65.616691 9.521191) (layer "F.SilkS") (width 0.00575) (tstamp ddb2d181-70af-4871-8697-3484f1a53145)) + (gr_line (start 65.153032 12.347681) (end 64.904204 12.222549) (layer "F.SilkS") (width 0.00575) (tstamp ddbe577a-89dd-4d06-9539-9b60d832e7d6)) + (gr_line (start 71.800413 24.967474) (end 72.18762 25.060394) (layer "F.SilkS") (width 0.00575) (tstamp ddefd57b-0a9c-4fa4-afa0-55adc3adac41)) + (gr_line (start 71.192479 28.675299) (end 71.000102 28.712884) (layer "F.SilkS") (width 0.00575) (tstamp de177443-ce14-4109-bf99-6520d18bb588)) + (gr_line (start 75.903642 19.239288) (end 75.941673 19.135223) (layer "F.SilkS") (width 0.00575) (tstamp de1d7ebe-608b-496e-a23b-0f8307e1f99a)) + (gr_line (start 76.733427 25.551306) (end 76.851866 25.426337) (layer "F.SilkS") (width 0.00575) (tstamp de2796ac-729b-405f-9235-525a993ef881)) + (gr_line (start 78.356022 22.431518) (end 78.375071 22.395886) (layer "F.SilkS") (width 0.00575) (tstamp de32ce7c-48c8-4236-92bb-a837c2655fb1)) + (gr_line (start 77.093547 30.454211) (end 77.093193 30.453821) (layer "F.SilkS") (width 0.00575) (tstamp de3e0360-43de-4202-9d6f-ea75c79b80cf)) + (gr_line (start 74.388597 20.573205) (end 74.365678 20.556024) (layer "F.SilkS") (width 0.00575) (tstamp de574ba5-ed75-4eac-97d3-b2b911b0eeef)) + (gr_line (start 71.792747 27.891046) (end 71.936809 27.720984) (layer "F.SilkS") (width 0.00575) (tstamp de5c8819-262e-4383-bb74-10e42566eab4)) + (gr_line (start 75.730906 25.999896) (end 75.752342 25.918072) (layer "F.SilkS") (width 0.00575) (tstamp de5e644f-3fea-4e5d-befc-cb6433d56876)) + (gr_line (start 77.126793 31.389252) (end 77.128087 31.216992) (layer "F.SilkS") (width 0.00575) (tstamp de823ae6-d6de-43c4-97f1-259cb892caec)) + (gr_line (start 66.066673 21.16806) (end 66.176549 21.15227) (layer "F.SilkS") (width 0.00575) (tstamp de827e1b-bd84-4766-8e48-d9f051f6abc2)) + (gr_line (start 69.832347 32.257568) (end 69.764793 32.252258) (layer "F.SilkS") (width 0.00575) (tstamp de9b69e4-e379-4880-b39e-810fd7f69495)) + (gr_line (start 73.164482 22.01568) (end 72.962706 22.224286) (layer "F.SilkS") (width 0.00575) (tstamp deb0eab5-6b2c-4548-9f39-a718ab18b96f)) + (gr_line (start 62.415489 24.841955) (end 62.315141 24.837189) (layer "F.SilkS") (width 0.00575) (tstamp dec07c16-ed9d-4e14-8252-8625d38498ea)) + (gr_line (start 76.110374 14.959546) (end 76.03452 14.880093) (layer "F.SilkS") (width 0.00575) (tstamp dec2c159-9262-420f-a6d0-a59a5db1909e)) + (gr_line (start 62.19575 26.288336) (end 62.221159 26.320636) (layer "F.SilkS") (width 0.00575) (tstamp df152a18-e3ae-49e5-867e-3c512252f8ec)) + (gr_line (start 62.250804 24.356341) (end 62.360093 24.355206) (layer "F.SilkS") (width 0.00575) (tstamp df60deea-5b1c-4932-a505-cf0f6d358886)) + (gr_line (start 67.955888 12.717523) (end 68.057268 12.764767) (layer "F.SilkS") (width 0.00575) (tstamp df646fb5-5d1e-4688-9726-7ccbae7b42ca)) + (gr_line (start 78.200029 23.017792) (end 78.139805 22.950397) (layer "F.SilkS") (width 0.00575) (tstamp df71d3c0-057d-4093-8c72-be4d6bfde21e)) + (gr_line (start 71.376359 30.667242) (end 71.350285 30.75274) (layer "F.SilkS") (width 0.00575) (tstamp df7b0f63-d81f-47b6-8023-2f20557d8938)) + (gr_line (start 65.82371 21.040198) (end 65.899406 21.123865) (layer "F.SilkS") (width 0.00575) (tstamp df8b9373-5e97-4816-8e92-0c03daf5d814)) + (gr_line (start 61.560502 24.185272) (end 61.554289 24.182367) (layer "F.SilkS") (width 0.00575) (tstamp df9af143-a23b-49d2-b379-22d53cc645d4)) + (gr_line (start 80.197154 31.564569) (end 80.199809 31.680896) (layer "F.SilkS") (width 0.00575) (tstamp dfc66901-c29d-4783-b45a-86afb1282159)) + (gr_line (start 61.619462 23.314776) (end 61.533079 23.328717) (layer "F.SilkS") (width 0.00575) (tstamp dfe635a8-9834-4331-935e-b4c2ad7bb898)) + (gr_line (start 75.805601 24.646558) (end 75.917454 24.614343) (layer "F.SilkS") (width 0.00575) (tstamp dfe97f85-d8aa-4757-b181-6af20f4c9a61)) + (gr_poly + (pts + (xy 67.357695 15.803519) + (xy 67.357691 15.80367) + (xy 67.35768 15.803819) + (xy 67.357662 15.803965) + (xy 67.357637 15.80411) + (xy 67.357606 15.804252) + (xy 67.357568 15.804391) + (xy 67.357524 15.804527) + (xy 67.357473 15.80466) + (xy 67.357416 15.80479) + (xy 67.357354 15.804917) + (xy 67.357286 15.80504) + (xy 67.357213 15.805159) + (xy 67.357134 15.805274) + (xy 67.35705 15.805384) + (xy 67.356961 15.805491) + (xy 67.356868 15.805593) + (xy 67.35677 15.80569) + (xy 67.356667 15.805782) + (xy 67.35656 15.805869) + (xy 67.35645 15.805951) + (xy 67.356335 15.806027) + (xy 67.356217 15.806097) + (xy 67.356095 15.806162) + (xy 67.35597 15.806221) + (xy 67.355841 15.806273) + (xy 67.35571 15.80632) + (xy 67.355576 15.806359) + (xy 67.355439 15.806392) + (xy 67.3553 15.806418) + (xy 67.355158 15.806436) + (xy 67.355014 15.806447) + (xy 67.354869 15.806451) + (xy 67.354724 15.806447) + (xy 67.354581 15.806436) + (xy 67.35444 15.806418) + (xy 67.354301 15.806392) + (xy 67.354164 15.806359) + (xy 67.354031 15.80632) + (xy 67.3539 15.806273) + (xy 67.353772 15.806221) + (xy 67.353647 15.806162) + (xy 67.353525 15.806097) + (xy 67.353407 15.806027) + (xy 67.353293 15.805951) + (xy 67.353182 15.805869) + (xy 67.353076 15.805782) + (xy 67.352973 15.80569) + (xy 67.352875 15.805593) + (xy 67.352782 15.805491) + (xy 67.352693 15.805384) + (xy 67.35261 15.805274) + (xy 67.352531 15.805159) + (xy 67.352457 15.80504) + (xy 67.35239 15.804917) + (xy 67.352327 15.80479) + (xy 67.352271 15.80466) + (xy 67.35222 15.804527) + (xy 67.352176 15.804391) + (xy 67.352138 15.804252) + (xy 67.352106 15.80411) + (xy 67.352082 15.803965) + (xy 67.352064 15.803819) + (xy 67.352053 15.80367) + (xy 67.352049 15.803519) + (xy 67.352053 15.803368) + (xy 67.352064 15.80322) + (xy 67.352082 15.803074) + (xy 67.352106 15.80293) + (xy 67.352138 15.802789) + (xy 67.352176 15.80265) + (xy 67.35222 15.802515) + (xy 67.352271 15.802382) + (xy 67.352327 15.802253) + (xy 67.35239 15.802126) + (xy 67.352457 15.802004) + (xy 67.352531 15.801885) + (xy 67.35261 15.801771) + (xy 67.352693 15.80166) + (xy 67.352782 15.801554) + (xy 67.352875 15.801453) + (xy 67.352973 15.801356) + (xy 67.353076 15.801264) + (xy 67.353182 15.801177) + (xy 67.353293 15.801095) + (xy 67.353407 15.801019) + (xy 67.353525 15.800949) + (xy 67.353647 15.800884) + (xy 67.353772 15.800825) + (xy 67.3539 15.800773) + (xy 67.354031 15.800727) + (xy 67.354164 15.800687) + (xy 67.354301 15.800655) + (xy 67.35444 15.800629) + (xy 67.354581 15.80061) + (xy 67.354724 15.800599) + (xy 67.354869 15.800595) + (xy 67.355014 15.800599) + (xy 67.355158 15.80061) + (xy 67.3553 15.800629) + (xy 67.355439 15.800655) + (xy 67.355576 15.800687) + (xy 67.35571 15.800727) + (xy 67.355841 15.800773) + (xy 67.35597 15.800825) + (xy 67.356095 15.800884) + (xy 67.356217 15.800949) + (xy 67.356335 15.801019) + (xy 67.35645 15.801095) + (xy 67.35656 15.801177) + (xy 67.356667 15.801264) + (xy 67.35677 15.801356) + (xy 67.356868 15.801453) + (xy 67.356961 15.801554) + (xy 67.35705 15.80166) + (xy 67.357134 15.801771) + (xy 67.357213 15.801885) + (xy 67.357286 15.802004) + (xy 67.357354 15.802126) + (xy 67.357416 15.802253) + (xy 67.357473 15.802382) + (xy 67.357524 15.802515) + (xy 67.357568 15.80265) + (xy 67.357606 15.802789) + (xy 67.357637 15.80293) + (xy 67.357662 15.803074) + (xy 67.35768 15.80322) + (xy 67.357691 15.803368) + (xy 67.357695 15.803519) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp e0146e15-d846-41fd-b342-f7baa8c8945e)) + (gr_line (start 63.901982 18.806171) (end 63.937425 18.651929) (layer "F.SilkS") (width 0.00575) (tstamp e02f2772-b1c3-4fdc-8191-489bf24497d9)) + (gr_line (start 67.002037 16.504703) (end 67.031511 16.386368) (layer "F.SilkS") (width 0.00575) (tstamp e04a9972-bc29-4994-8d97-10cd0dec0506)) + (gr_line (start 78.013005 25.536444) (end 77.898509 25.421222) (layer "F.SilkS") (width 0.00575) (tstamp e050967a-e8af-4dad-a0c3-c1acae3a43ff)) + (gr_line (start 70.706767 24.989844) (end 70.681419 24.983179) (layer "F.SilkS") (width 0.00575) (tstamp e056eeae-36a0-45ff-a2ca-0a67e9f04317)) + (gr_line (start 63.451713 19.946899) (end 63.461033 19.954852) (layer "F.SilkS") (width 0.00575) (tstamp e05942e8-35fa-4458-b6f4-869d32719cd1)) + (gr_line (start 67.502336 23.854504) (end 67.423295 23.937231) (layer "F.SilkS") (width 0.00575) (tstamp e0684f6e-3115-42f0-8970-eb3638ea7798)) + (gr_line (start 65.232689 9.479126) (end 65.177373 9.381235) (layer "F.SilkS") (width 0.00575) (tstamp e07d3ab4-c792-4d8a-a8b2-416b4730d864)) + (gr_line (start 77.375267 25.16499) (end 77.290519 25.158276) (layer "F.SilkS") (width 0.00575) (tstamp e08eaf2e-0a0f-4f24-ae20-9af1d34583b7)) + (gr_line (start 70.002647 23.213696) (end 69.784105 23.204712) (layer "F.SilkS") (width 0.00575) (tstamp e0bb912a-0a3f-4a67-a26c-baeb38a6d3aa)) + (gr_line (start 64.111577 11.307584) (end 64.140068 11.315781) (layer "F.SilkS") (width 0.00575) (tstamp e0ca81e5-3ac0-4b67-a0e2-1c2447db9f67)) + (gr_line (start 81.152305 31.859619) (end 81.219127 31.849078) (layer "F.SilkS") (width 0.00575) (tstamp e0ef6436-dbf6-482c-9dec-7064763e33a2)) + (gr_line (start 63.745958 9.495132) (end 63.766667 9.351935) (layer "F.SilkS") (width 0.00575) (tstamp e0f17788-7b47-4bce-808a-a6b193fe84be)) + (gr_line (start 79.299827 22.283838) (end 79.509849 22.2401) (layer "F.SilkS") (width 0.00575) (tstamp e15301dc-6063-4f7f-b488-0f10bed36fb6)) + (gr_line (start 65.967601 16.929684) (end 65.937205 16.844662) (layer "F.SilkS") (width 0.00575) (tstamp e1691612-1ed0-4268-9a40-0554eee0a424)) + (gr_line (start 76.48524 26.093127) (end 76.491862 26.218188) (layer "F.SilkS") (width 0.00575) (tstamp e176fec8-deea-4fcc-abdd-f8438734183c)) + (gr_line (start 71.99492 21.998993) (end 72.039537 21.861249) (layer "F.SilkS") (width 0.00575) (tstamp e19dd40c-e931-4570-8764-d931306d9d6a)) + (gr_line (start 72.70916 18.589154) (end 72.654338 18.537158) (layer "F.SilkS") (width 0.00575) (tstamp e1adb013-9784-4c70-bd80-8a6fd7339f4a)) + (gr_line (start 75.31215 14.666098) (end 75.277647 14.664596) (layer "F.SilkS") (width 0.00575) (tstamp e1cca8cd-6a24-4547-ad44-8278509e9052)) + (gr_line (start 75.340184 26.537005) (end 75.252543 26.347076) (layer "F.SilkS") (width 0.00575) (tstamp e1ee6047-9dcb-401b-8abd-e527865b666e)) + (gr_line (start 76.065355 21.324658) (end 76.237816 21.180047) (layer "F.SilkS") (width 0.00575) (tstamp e232ca28-1637-4ede-82bc-34a86479ce0f)) + (gr_line (start 60.898558 22.034173) (end 61.035417 21.933099) (layer "F.SilkS") (width 0.00575) (tstamp e2466ea9-3bbb-421c-a06c-ca53494a924d)) + (gr_line (start 77.199687 27.275476) (end 76.96447 27.317065) (layer "F.SilkS") (width 0.00575) (tstamp e278a783-fd08-405a-85c7-583dd09cdc6e)) + (gr_line (start 82.235319 32.221014) (end 82.191484 32.228815) (layer "F.SilkS") (width 0.00575) (tstamp e2b2cf7d-378e-4025-81ea-47661040ec08)) + (gr_line (start 75.865623 28.574066) (end 75.865623 30.031476) (layer "F.SilkS") (width 0.00575) (tstamp e2c08321-5025-4ee9-a3be-d82400864db3)) + (gr_line (start 76.773155 15.600625) (end 76.742692 15.71813) (layer "F.SilkS") (width 0.00575) (tstamp e2ef45df-3a23-4ee6-9926-fe32d1170cdf)) + (gr_line (start 68.762254 17.531293) (end 68.683378 17.522363) (layer "F.SilkS") (width 0.00575) (tstamp e30a18a1-dda4-4c63-85cd-824801d8759f)) + (gr_line (start 72.849986 23.217529) (end 73.059953 23.021216) (layer "F.SilkS") (width 0.00575) (tstamp e32501cb-5384-4281-a400-e42c2fcd1eca)) + (gr_line (start 82.492479 31.90838) (end 82.483879 31.961334) (layer "F.SilkS") (width 0.00575) (tstamp e329256e-bdf0-4014-9891-c83b43b0f3d7)) + (gr_line (start 82.155089 30.032837) (end 82.206334 30.04212) (layer "F.SilkS") (width 0.00575) (tstamp e34df6de-8f9e-4b59-8d00-7b34dd7c97b9)) + (gr_line (start 61.979814 20.687915) (end 62.114848 20.786194) (layer "F.SilkS") (width 0.00575) (tstamp e359ffad-a4d8-4c96-95e1-e095287476ee)) + (gr_line (start 63.907036 20.64566) (end 63.998887 20.772925) (layer "F.SilkS") (width 0.00575) (tstamp e35bed2f-9ff7-472b-91f3-dbf438b829c0)) + (gr_line (start 68.16721 20.102649) (end 68.042174 20.365478) (layer "F.SilkS") (width 0.00575) (tstamp e379bee6-9052-4725-b5e1-8eeece37106e)) + (gr_poly + (pts + (xy 62.701286 28.194293) + (xy 62.701282 28.194444) + (xy 62.701272 28.194594) + (xy 62.701254 28.194741) + (xy 62.701229 28.194885) + (xy 62.701198 28.195027) + (xy 62.70116 28.195166) + (xy 62.701116 28.195303) + (xy 62.701065 28.195436) + (xy 62.701009 28.195566) + (xy 62.700947 28.195692) + (xy 62.700879 28.195815) + (xy 62.700806 28.195934) + (xy 62.700728 28.196048) + (xy 62.700644 28.196159) + (xy 62.700556 28.196265) + (xy 62.700463 28.196367) + (xy 62.700365 28.196464) + (xy 62.700263 28.196556) + (xy 62.700157 28.196642) + (xy 62.700047 28.196724) + (xy 62.699932 28.1968) + (xy 62.699815 28.19687) + (xy 62.699693 28.196935) + (xy 62.699569 28.196993) + (xy 62.699441 28.197046) + (xy 62.69931 28.197092) + (xy 62.699176 28.197131) + (xy 62.69904 28.197163) + (xy 62.698901 28.197189) + (xy 62.69876 28.197208) + (xy 62.698617 28.197219) + (xy 62.698472 28.197223) + (xy 62.698327 28.197219) + (xy 62.698183 28.197208) + (xy 62.698041 28.197189) + (xy 62.697902 28.197163) + (xy 62.697765 28.197131) + (xy 62.697631 28.197092) + (xy 62.697499 28.197046) + (xy 62.697371 28.196993) + (xy 62.697245 28.196935) + (xy 62.697123 28.19687) + (xy 62.697005 28.1968) + (xy 62.69689 28.196724) + (xy 62.696779 28.196642) + (xy 62.696672 28.196556) + (xy 62.696569 28.196464) + (xy 62.69647 28.196367) + (xy 62.696377 28.196265) + (xy 62.696288 28.196159) + (xy 62.696203 28.196048) + (xy 62.696124 28.195934) + (xy 62.696051 28.195815) + (xy 62.695982 28.195692) + (xy 62.69592 28.195566) + (xy 62.695863 28.195436) + (xy 62.695812 28.195303) + (xy 62.695768 28.195166) + (xy 62.69573 28.195027) + (xy 62.695698 28.194885) + (xy 62.695673 28.194741) + (xy 62.695655 28.194594) + (xy 62.695644 28.194444) + (xy 62.69564 28.194293) + (xy 62.695644 28.194142) + (xy 62.695655 28.193994) + (xy 62.695673 28.193847) + (xy 62.695698 28.193703) + (xy 62.69573 28.193562) + (xy 62.695768 28.193423) + (xy 62.695812 28.193287) + (xy 62.695863 28.193154) + (xy 62.69592 28.193024) + (xy 62.695982 28.192898) + (xy 62.696051 28.192776) + (xy 62.696124 28.192657) + (xy 62.696203 28.192543) + (xy 62.696288 28.192432) + (xy 62.696377 28.192326) + (xy 62.69647 28.192225) + (xy 62.696569 28.192128) + (xy 62.696672 28.192036) + (xy 62.696779 28.19195) + (xy 62.69689 28.191868) + (xy 62.697005 28.191792) + (xy 62.697123 28.191722) + (xy 62.697245 28.191657) + (xy 62.697371 28.191599) + (xy 62.697499 28.191547) + (xy 62.697631 28.191501) + (xy 62.697765 28.191461) + (xy 62.697902 28.191429) + (xy 62.698041 28.191403) + (xy 62.698183 28.191385) + (xy 62.698327 28.191373) + (xy 62.698472 28.19137) + (xy 62.698617 28.191373) + (xy 62.69876 28.191385) + (xy 62.698901 28.191403) + (xy 62.69904 28.191429) + (xy 62.699176 28.191461) + (xy 62.69931 28.191501) + (xy 62.699441 28.191547) + (xy 62.699569 28.191599) + (xy 62.699693 28.191657) + (xy 62.699815 28.191722) + (xy 62.699932 28.191792) + (xy 62.700047 28.191868) + (xy 62.700157 28.19195) + (xy 62.700263 28.192036) + (xy 62.700365 28.192128) + (xy 62.700463 28.192225) + (xy 62.700556 28.192326) + (xy 62.700644 28.192432) + (xy 62.700728 28.192543) + (xy 62.700806 28.192657) + (xy 62.700879 28.192776) + (xy 62.700947 28.192898) + (xy 62.701009 28.193024) + (xy 62.701065 28.193154) + (xy 62.701116 28.193287) + (xy 62.70116 28.193423) + (xy 62.701198 28.193562) + (xy 62.701229 28.193703) + (xy 62.701254 28.193847) + (xy 62.701272 28.193994) + (xy 62.701282 28.194142) + (xy 62.701286 28.194293) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp e39b7e88-8285-4276-8730-58bec91e3be0)) + (gr_line (start 74.75847 21.344903) (end 74.782756 21.356183) (layer "F.SilkS") (width 0.00575) (tstamp e3ba76a9-87e7-47b1-a172-2c23d2a87816)) + (gr_line (start 75.769523 16.483563) (end 75.931505 16.729883) (layer "F.SilkS") (width 0.00575) (tstamp e3cea3fd-63d0-4237-b17e-f038c3682f38)) + (gr_line (start 69.434739 24.497589) (end 69.311229 24.44375) (layer "F.SilkS") (width 0.00575) (tstamp e406c74e-4522-4904-b96d-1ab3052f3755)) + (gr_line (start 77.41591 14.268564) (end 77.518986 14.313934) (layer "F.SilkS") (width 0.00575) (tstamp e412b11b-3396-466b-bbe5-a2133245abb6)) + (gr_line (start 68.220976 26.189343) (end 68.290532 26.158905) (layer "F.SilkS") (width 0.00575) (tstamp e42ccb7f-61a3-4bd3-bded-bd62d8f4ea50)) + (gr_poly + (pts + (xy 75.310563 21.023718) + (xy 75.410075 21.128125) + (xy 75.492875 21.218127) + (xy 75.551384 21.285242) + (xy 75.577983 21.320972) + (xy 75.578396 21.322296) + (xy 75.578813 21.32362) + (xy 75.553215 21.33642) + (xy 75.488139 21.34306) + (xy 75.401097 21.343811) + (xy 75.309648 21.338953) + (xy 75.231321 21.328741) + (xy 75.191606 21.317676) + (xy 75.142644 21.284033) + (xy 75.070988 21.220721) + (xy 74.997783 21.147284) + (xy 74.861326 21.001959) + (xy 75.042326 20.74671) + (xy 75.310563 21.023718) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp e42dec8e-008e-422d-b3fe-e2477b943b3d)) + (gr_poly + (pts + (xy 65.130784 9.230667) + (xy 65.184752 9.264755) + (xy 65.246245 9.309213) + (xy 65.36812 9.395309) + (xy 65.486448 9.463663) + (xy 65.616685 9.521191) + (xy 65.774284 9.574829) + (xy 65.951311 9.625235) + (xy 66.093815 9.665991) + (xy 66.226506 9.708194) + (xy 66.33336 9.74649) + (xy 66.392625 9.772372) + (xy 66.534379 9.867267) + (xy 66.678489 9.996985) + (xy 66.804374 10.141815) + (xy 66.855577 10.216159) + (xy 66.891325 10.278577) + (xy 66.922117 10.345727) + (xy 66.95095 10.427603) + (xy 66.980784 10.534173) + (xy 67.014622 10.675424) + (xy 67.055436 10.861331) + (xy 67.073088 10.944321) + (xy 67.106627 11.076956) + (xy 67.149656 11.181799) + (xy 67.210325 11.265933) + (xy 67.296721 11.336447) + (xy 67.416984 11.400436) + (xy 67.57924 11.464981) + (xy 67.728435 11.516388) + (xy 67.948771 11.596289) + (xy 68.120176 11.674548) + (xy 68.250737 11.755798) + (xy 68.34857 11.844665) + (xy 68.387138 11.892557) + (xy 68.424309 11.960159) + (xy 68.471409 12.069504) + (xy 68.522288 12.205221) + (xy 68.568828 12.345599) + (xy 68.627775 12.525067) + (xy 68.682445 12.65777) + (xy 68.741466 12.754904) + (xy 68.813444 12.827661) + (xy 68.907036 12.88724) + (xy 69.030443 12.944678) + (xy 69.303435 13.064526) + (xy 69.526256 13.172067) + (xy 69.703746 13.271994) + (xy 69.840703 13.368982) + (xy 69.941954 13.467724) + (xy 70.012291 13.572903) + (xy 70.056547 13.689197) + (xy 70.079539 13.821304) + (xy 70.086082 13.971912) + (xy 70.083879 14.104455) + (xy 70.073497 14.202316) + (xy 70.049443 14.290222) + (xy 70.006242 14.392895) + (xy 69.980375 14.447797) + (xy 69.883384 14.619519) + (xy 69.767399 14.777432) + (xy 69.722301 14.827743) + (xy 69.570006 14.986273) + (xy 69.555174 14.634415) + (xy 69.540922 14.427774) + (xy 69.51566 14.269766) + (xy 69.47603 14.150067) + (xy 69.418644 14.058368) + (xy 69.343358 13.986816) + (xy 69.298942 13.958401) + (xy 69.245732 13.940417) + (xy 69.17028 13.930707) + (xy 69.059117 13.927124) + (xy 68.968919 13.92702) + (xy 68.673771 13.928403) + (xy 68.595482 13.800064) + (xy 68.515208 13.699176) + (xy 68.412968 13.60986) + (xy 68.385454 13.591669) + (xy 68.334678 13.562494) + (xy 68.285557 13.540646) + (xy 68.229594 13.525433) + (xy 68.158311 13.516162) + (xy 68.063231 13.512134) + (xy 67.935863 13.512646) + (xy 67.767729 13.517013) + (xy 67.562291 13.524103) + (xy 67.413719 13.527042) + (xy 67.273497 13.525531) + (xy 67.158714 13.519995) + (xy 67.093272 13.512323) + (xy 66.968431 13.488113) + (xy 66.987773 13.587906) + (xy 67.054368 13.854095) + (xy 67.149248 14.126135) + (xy 67.264354 14.384506) + (xy 67.39163 14.609701) + (xy 67.437199 14.676565) + (xy 67.525352 14.778268) + (xy 67.633293 14.872974) + (xy 67.74611 14.950107) + (xy 67.848887 14.999084) + (xy 67.908934 15.010986) + (xy 67.946611 15.022378) + (xy 67.976274 15.064358) + (xy 68.005552 15.149267) + (xy 68.014683 15.182284) + (xy 68.086271 15.357376) + (xy 68.193858 15.486709) + (xy 68.335936 15.569543) + (xy 68.510991 15.605121) + (xy 68.717521 15.592703) + (xy 68.806553 15.574621) + (xy 68.885423 15.559872) + (xy 68.938328 15.55758) + (xy 68.948094 15.561023) + (xy 68.941557 15.592132) + (xy 68.899009 15.647381) + (xy 68.831242 15.716485) + (xy 68.749028 15.789151) + (xy 68.663133 15.855084) + (xy 68.594822 15.898413) + (xy 68.51544 15.937851) + (xy 68.44077 15.961658) + (xy 68.351652 15.973614) + (xy 68.228966 15.97749) + (xy 68.19268 15.977634) + (xy 68.061235 15.975668) + (xy 67.965593 15.966312) + (xy 67.884257 15.944894) + (xy 67.795726 15.906747) + (xy 67.741081 15.879449) + (xy 67.598039 15.795032) + (xy 67.467113 15.691003) + (xy 67.33997 15.558652) + (xy 67.208305 15.389255) + (xy 67.063823 15.174094) + (xy 67.053514 15.157889) + (xy 66.96234 15.01987) + (xy 66.869798 14.889648) + (xy 66.786009 14.780902) + (xy 66.721129 14.707303) + (xy 66.714915 14.701324) + (xy 66.528563 14.561447) + (xy 66.325505 14.471942) + (xy 66.115788 14.437161) + (xy 66.101848 14.436896) + (xy 65.908122 14.458707) + (xy 65.738829 14.529883) + (xy 65.590385 14.652969) + (xy 65.459184 14.830514) + (xy 65.403941 14.930572) + (xy 65.369444 15.002991) + (xy 65.346794 15.068341) + (xy 65.333555 15.142099) + (xy 65.327281 15.239737) + (xy 65.325529 15.376745) + (xy 65.325523 15.427667) + (xy 65.32791 15.572623) + (xy 65.336638 15.700091) + (xy 65.3546 15.820538) + (xy 65.384691 15.944418) + (xy 65.429783 16.082202) + (xy 65.492802 16.244339) + (xy 65.576616 16.441305) + (xy 65.654515 16.617389) + (xy 65.815556 16.998944) + (xy 65.941954 17.348181) + (xy 66.036943 17.677478) + (xy 66.103728 17.999206) + (xy 66.145543 18.325745) + (xy 66.161766 18.565552) + (xy 66.166057 18.779278) + (xy 66.157042 18.980334) + (xy 66.132603 19.181927) + (xy 66.090611 19.397253) + (xy 66.028929 19.63952) + (xy 65.945439 19.921924) + (xy 65.940587 19.937549) + (xy 65.851469 20.252289) + (xy 65.795128 20.519031) + (xy 65.77166 20.738672) + (xy 65.781157 20.912103) + (xy 65.823704 21.040204) + (xy 65.899406 21.123871) + (xy 66.008342 21.163989) + (xy 66.066667 21.168072) + (xy 66.176549 21.152283) + (xy 66.279698 21.102307) + (xy 66.378959 21.014264) + (xy 66.477183 20.884235) + (xy 66.577208 20.708319) + (xy 66.681895 20.482623) + (xy 66.794072 20.203253) + (xy 66.818559 20.138202) + (xy 67.003404 19.688214) + (xy 67.204521 19.289044) + (xy 67.425975 18.933362) + (xy 67.667161 18.619372) + (xy 67.975541 18.292767) + (xy 68.297526 18.023224) + (xy 68.635942 17.809393) + (xy 68.993602 17.649921) + (xy 69.373283 17.543445) + (xy 69.777842 17.488617) + (xy 70.029558 17.479938) + (xy 70.328752 17.490594) + (xy 70.60106 17.525317) + (xy 70.863463 17.588214) + (xy 71.132915 17.683404) + (xy 71.42642 17.815008) + (xy 71.441002 17.822113) + (xy 71.698497 17.96333) + (xy 71.951488 18.134253) + (xy 72.203453 18.338476) + (xy 72.457902 18.579596) + (xy 72.718333 18.8612) + (xy 72.988249 19.186896) + (xy 73.271135 19.560266) + (xy 73.570494 19.984906) + (xy 73.62675 20.067578) + (xy 73.734495 20.226465) + (xy 73.839818 20.381348) + (xy 73.934788 20.520599) + (xy 74.011473 20.63258) + (xy 74.056144 20.697333) + (xy 74.173399 20.866064) + (xy 74.095372 20.965015) + (xy 73.946684 21.147278) + (xy 73.771123 21.352136) + (xy 73.576817 21.570935) + (xy 73.371885 21.795007) + (xy 73.164464 22.01568) + (xy 72.962694 22.224292) + (xy 72.774693 22.41217) + (xy 72.608598 22.570642) + (xy 72.490196 22.676202) + (xy 72.357963 22.788568) + (xy 71.884404 22.263208) + (xy 71.953831 22.105945) + (xy 71.994914 21.998999) + (xy 72.039525 21.861255) + (xy 72.078923 21.720019) + (xy 72.083763 21.700574) + (xy 72.125865 21.441662) + (xy 72.136613 21.15639) + (xy 72.116868 20.867627) + (xy 72.067479 20.598248) + (xy 72.038896 20.498852) + (xy 71.900993 20.163751) + (xy 71.717503 19.861792) + (xy 71.492351 19.597027) + (xy 71.229478 19.373535) + (xy 70.932799 19.195373) + (xy 70.677556 19.089508) + (xy 70.348558 19.007806) + (xy 70.015526 18.982434) + (xy 69.685014 19.011279) + (xy 69.363585 19.092236) + (xy 69.057805 19.223199) + (xy 68.774235 19.402063) + (xy 68.51945 19.626721) + (xy 68.339445 19.839758) + (xy 68.167204 20.102655) + (xy 68.042174 20.365485) + (xy 67.960087 20.641547) + (xy 67.916734 20.944128) + (xy 67.907091 21.197809) + (xy 67.908793 21.373419) + (xy 67.915953 21.507837) + (xy 67.930613 21.619256) + (xy 67.954802 21.725873) + (xy 67.973778 21.791925) + (xy 68.078837 22.083581) + (xy 68.208586 22.338647) + (xy 68.28549 22.458557) + (xy 68.341734 22.547125) + (xy 68.36544 22.606836) + (xy 68.361986 22.653454) + (xy 68.356669 22.666766) + (xy 68.325493 22.722021) + (xy 68.272026 22.804401) + (xy 68.204728 22.902222) + (xy 68.13203 23.00379) + (xy 68.062388 23.097424) + (xy 68.004234 23.171442) + (xy 67.966008 23.214142) + (xy 67.956944 23.220105) + (xy 67.926787 23.205328) + (xy 67.855424 23.16427) + (xy 67.75067 23.101599) + (xy 67.620311 23.021985) + (xy 67.472148 22.930096) + (xy 67.447551 22.914722) + (xy 66.864049 22.532251) + (xy 66.32324 22.142364) + (xy 65.827019 21.746912) + (xy 65.377293 21.347729) + (xy 64.975969 20.946667) + (xy 64.624937 20.545575) + (xy 64.326091 20.146295) + (xy 64.081358 19.750677) + (xy 63.972722 19.539978) + (xy 63.90518 19.398028) + (xy 63.860405 19.293017) + (xy 63.83679 19.208057) + (xy 63.832695 19.126245) + (xy 63.846501 19.030701) + (xy 63.876567 18.904529) + (xy 63.901976 18.806177) + (xy 63.937419 18.651935) + (xy 63.956364 18.516028) + (xy 63.959678 18.377698) + (xy 63.948271 18.21618) + (xy 63.932786 18.084387) + (xy 63.899943 17.863202) + (xy 63.855937 17.628271) + (xy 63.798381 17.368652) + (xy 63.72487 17.073407) + (xy 63.650114 16.793771) + (xy 63.574248 16.513241) + (xy 63.513756 16.279065) + (xy 63.466942 16.080804) + (xy 63.432127 15.908017) + (xy 63.407609 15.750259) + (xy 63.391704 15.597098) + (xy 63.382731 15.438092) + (xy 63.378996 15.262793) + (xy 63.378612 15.115372) + (xy 63.382261 14.860675) + (xy 63.393675 14.650235) + (xy 63.415513 14.469037) + (xy 63.450462 14.302087) + (xy 63.501182 14.134387) + (xy 63.570353 13.950931) + (xy 63.598002 13.883615) + (xy 63.771098 13.541711) + (xy 63.992833 13.224386) + (xy 64.257219 12.938125) + (xy 64.558244 12.689404) + (xy 64.88994 12.484711) + (xy 64.894505 12.482324) + (xy 65.153026 12.34769) + (xy 64.904197 12.222562) + (xy 64.781932 12.148968) + (xy 67.476237 12.148968) + (xy 67.579204 12.263995) + (xy 67.658507 12.363922) + (xy 67.735093 12.47796) + (xy 67.757695 12.516794) + (xy 67.850572 12.642633) + (xy 67.955876 12.717535) + (xy 68.057261 12.76478) + (xy 68.113005 12.778082) + (xy 68.124913 12.75697) + (xy 68.094774 12.700967) + (xy 68.082652 12.683884) + (xy 68.027226 12.587543) + (xy 67.984739 12.479486) + (xy 67.98126 12.46683) + (xy 67.919096 12.324032) + (xy 67.818071 12.224686) + (xy 67.680308 12.170605) + (xy 67.628154 12.163098) + (xy 67.476237 12.148968) + (xy 64.781926 12.148968) + (xy 64.644224 12.066092) + (xy 64.433818 11.882294) + (xy 64.270415 11.668692) + (xy 64.202098 11.542792) + (xy 64.15554 11.440008) + (xy 64.123326 11.358893) + (xy 64.110618 11.31282) + (xy 64.111577 11.307593) + (xy 64.140062 11.31579) + (xy 64.197008 11.353177) + (xy 64.23798 11.385303) + (xy 64.405681 11.503705) + (xy 64.600676 11.608615) + (xy 64.806132 11.693152) + (xy 65.005162 11.750452) + (xy 65.180943 11.773639) + (xy 65.190825 11.773797) + (xy 65.341606 11.752838) + (xy 65.466289 11.693115) + (xy 65.558745 11.603262) + (xy 65.612864 11.49191) + (xy 65.622508 11.367706) + (xy 65.581578 11.23927) + (xy 65.576304 11.229721) + (xy 65.506114 11.151779) + (xy 65.394865 11.078982) + (xy 65.258806 11.019775) + (xy 65.114158 10.982593) + (xy 65.095665 10.979828) + (xy 64.791911 10.924533) + (xy 64.537846 10.847128) + (xy 64.329222 10.745825) + (xy 64.161815 10.618835) + (xy 64.107597 10.562866) + (xy 63.980748 10.389905) + (xy 63.875273 10.186905) + (xy 63.796452 9.96954) + (xy 63.74962 9.753461) + (xy 63.74005 9.554324) + (xy 63.745958 9.495135) + (xy 63.766667 9.351935) + (xy 63.861485 9.595807) + (xy 63.977904 9.844604) + (xy 64.114329 10.046402) + (xy 64.267467 10.196857) + (xy 64.366337 10.260852) + (xy 64.441411 10.29668) + (xy 64.520701 10.324084) + (xy 64.617204 10.345969) + (xy 64.743876 10.365216) + (xy 64.913713 10.384723) + (xy 64.930839 10.386514) + (xy 65.222874 10.422854) + (xy 65.466838 10.468487) + (xy 65.671831 10.527948) + (xy 65.846971 10.605768) + (xy 66.001359 10.706485) + (xy 66.144102 10.834625) + (xy 66.284312 10.994733) + (xy 66.365709 11.100848) + (xy 66.431132 11.189291) + (xy 66.413621 10.981088) + (xy 66.391063 10.763296) + (xy 66.359721 10.587201) + (xy 66.313072 10.443014) + (xy 66.244597 10.320938) + (xy 66.147764 10.211185) + (xy 66.016063 10.103955) + (xy 65.842949 9.989465) + (xy 65.692973 9.899353) + (xy 65.571715 9.823074) + (xy 65.460124 9.743884) + (xy 65.374089 9.673486) + (xy 65.341569 9.640604) + (xy 65.290507 9.571127) + (xy 65.232683 9.479123) + (xy 65.17736 9.381229) + (xy 65.133812 9.294086) + (xy 65.111284 9.234326) + (xy 65.110002 9.224701) + (xy 65.130784 9.230667) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp e43c9446-3692-4af4-b5f3-faabba97814f)) + (gr_line (start 61.935832 24.144446) (end 61.781273 24.154224) (layer "F.SilkS") (width 0.00575) (tstamp e4413ec8-4e8f-429a-86da-7a55ec44d981)) + (gr_line (start 78.965312 29.678638) (end 78.963493 29.463599) (layer "F.SilkS") (width 0.00575) (tstamp e448c873-046f-4a52-bdfa-60a1eaf29b01)) + (gr_line (start 62.61284 25.817627) (end 62.763249 25.72077) (layer "F.SilkS") (width 0.00575) (tstamp e449dae9-1a03-47e2-962d-a99ed6c8d1d5)) + (gr_line (start 66.176549 21.15227) (end 66.279704 21.102301) (layer "F.SilkS") (width 0.00575) (tstamp e4633e9f-91f6-483b-bc6a-1dfbb0f25ccd)) + (gr_line (start 61.37783 29.646735) (end 61.481791 29.422089) (layer "F.SilkS") (width 0.00575) (tstamp e46ad914-787a-497a-8f16-2a4f86d6fe17)) + (gr_line (start 68.839421 17.524115) (end 68.762254 17.531293) (layer "F.SilkS") (width 0.00575) (tstamp e49ab0ca-a578-4194-9664-e7d0528306f3)) + (gr_line (start 67.296727 11.336444) (end 67.41699 11.400433) (layer "F.SilkS") (width 0.00575) (tstamp e4a66c1d-5056-4870-9ebd-b779a5ab784a)) + (gr_line (start 62.223015 20.937622) (end 62.285801 21.100183) (layer "F.SilkS") (width 0.00575) (tstamp e4b6b9fb-7e47-4649-8c7b-ae8996fc8a81)) + (gr_line (start 72.121922 16.770383) (end 72.144475 16.812063) (layer "F.SilkS") (width 0.00575) (tstamp e4ed0100-ffd9-4da7-8ecf-5fe58a5f7ce8)) + (gr_line (start 62.76273 22.476941) (end 62.773771 22.548779) (layer "F.SilkS") (width 0.00575) (tstamp e4f9aeff-ccf3-47df-ab8a-d17047a1ea68)) + (gr_line (start 67.086436 18.159387) (end 67.072307 18.375122) (layer "F.SilkS") (width 0.00575) (tstamp e4fc90cb-1927-4cc1-8ae8-5c294ec2c3ad)) + (gr_line (start 66.052122 20.995587) (end 66.024693 21.018817) (layer "F.SilkS") (width 0.00575) (tstamp e52044cc-bcf7-44d9-8d8b-fef8013c1a07)) + (gr_line (start 70.079545 13.821304) (end 70.086082 13.971912) (layer "F.SilkS") (width 0.00575) (tstamp e52ed078-ad33-4069-ad9a-a2a7efa98cdd)) + (gr_line (start 70.754912 19.295972) (end 71.042711 19.445422) (layer "F.SilkS") (width 0.00575) (tstamp e57475c6-156c-43ad-b33b-e24c3a02de2b)) + (gr_line (start 79.800755 23.709473) (end 79.77559 23.719659) (layer "F.SilkS") (width 0.00575) (tstamp e578f2ce-9866-4b4b-a88e-ef969711db3c)) + (gr_line (start 71.326536 15.215655) (end 71.410325 15.350848) (layer "F.SilkS") (width 0.00575) (tstamp e5971fca-ec1e-410a-baef-8286a8795640)) + (gr_line (start 76.91475 25.206915) (end 76.767076 25.290527) (layer "F.SilkS") (width 0.00575) (tstamp e5ba17cd-dee0-4463-a22c-2c421c27693e)) + (gr_line (start 68.113011 12.778073) (end 68.124919 12.756961) (layer "F.SilkS") (width 0.00575) (tstamp e60ebd8b-5fb1-48c9-a91d-1994c0e14a80)) + (gr_line (start 68.921154 22.875393) (end 68.920846 22.875179) (layer "F.SilkS") (width 0.00575) (tstamp e68dd1da-35e8-42fd-bca3-61db8b44a0e3)) + (gr_line (start 72.545433 25.132892) (end 72.885374 25.186761) (layer "F.SilkS") (width 0.00575) (tstamp e6b3cbaf-611b-4bc5-b406-2db6ea0336e8)) + (gr_line (start 79.726317 28.204834) (end 79.915135 28.20343) (layer "F.SilkS") (width 0.00575) (tstamp e6c4f0ee-ebd7-4cd8-bfec-c43dbfffa4a3)) + (gr_line (start 65.937205 16.844662) (end 65.917418 16.785501) (layer "F.SilkS") (width 0.00575) (tstamp e6cbcd9d-2800-42c6-879c-9518ecffdd09)) + (gr_line (start 62.444609 25.987152) (end 62.61284 25.817627) (layer "F.SilkS") (width 0.00575) (tstamp e6d0ffac-55e9-4122-97c0-47b6bda3fd6c)) + (gr_line (start 70.977635 28.889612) (end 71.055485 28.868115) (layer "F.SilkS") (width 0.00575) (tstamp e6dd5214-8109-42c7-8a29-d3efd9270eaf)) + (gr_line (start 73.087156 23.60141) (end 73.148338 23.63833) (layer "F.SilkS") (width 0.00575) (tstamp e70c6f27-cf52-4a21-a605-e3cfadb8c6ce)) + (gr_line (start 68.094786 12.700955) (end 68.082664 12.683871) (layer "F.SilkS") (width 0.00575) (tstamp e70fd33e-dc75-47c1-9b06-254974d8a6f2)) + (gr_line (start 78.287852 29.649231) (end 78.28527 29.779968) (layer "F.SilkS") (width 0.00575) (tstamp e72c358d-7678-49a5-889b-34984e26c647)) + (gr_line (start 80.525181 31.871857) (end 80.679905 31.87525) (layer "F.SilkS") (width 0.00575) (tstamp e73c1dbb-d214-40cb-b5d7-db0a643c9aae)) + (gr_line (start 78.032072 25.446393) (end 78.013005 25.536444) (layer "F.SilkS") (width 0.00575) (tstamp e73dfd94-99cf-4a98-bc4a-ce1c0728ac2f)) + (gr_line (start 76.068486 24.160522) (end 76.048454 24.138855) (layer "F.SilkS") (width 0.00575) (tstamp e750e560-e79c-448a-8794-c2d34e82eb23)) + (gr_line (start 78.139805 22.950397) (end 78.116563 22.905401) (layer "F.SilkS") (width 0.00575) (tstamp e752efd5-90a7-410f-8853-5ef847e7d5a6)) + (gr_line (start 61.766453 30.360083) (end 61.305394 31.355029) (layer "F.SilkS") (width 0.00575) (tstamp e753ae2a-30d0-4e02-a069-b24392c2c7f7)) + (gr_line (start 71.865947 16.936877) (end 71.85106 16.888638) (layer "F.SilkS") (width 0.00575) (tstamp e76a1776-353d-481c-bf68-64569c0cc536)) + (gr_line (start 62.344639 21.459814) (end 62.359617 21.585596) (layer "F.SilkS") (width 0.00575) (tstamp e7780186-3cec-4746-8f99-2e31c3a69060)) + (gr_line (start 76.838835 15.361017) (end 76.817991 15.367956) (layer "F.SilkS") (width 0.00575) (tstamp e7bc6dcd-34af-46c5-bafe-babf394b2060)) + (gr_line (start 72.349833 17.365662) (end 72.414451 17.556067) (layer "F.SilkS") (width 0.00575) (tstamp e7c0947f-f690-4619-a4df-b4d8b918687a)) + (gr_line (start 81.286302 31.312237) (end 81.286448 31.132672) (layer "F.SilkS") (width 0.00575) (tstamp e7c35a28-5a1e-4aea-9f3d-cbc797febc28)) + (gr_line (start 77.898509 25.421222) (end 77.741722 25.290588) (layer "F.SilkS") (width 0.00575) (tstamp e7c36b58-3e78-4ccb-8db9-58ed13278fb8)) + (gr_poly + (pts + (xy 65.855729 30.685815) + (xy 63.848015 30.685815) + (xy 63.848015 30.299158) + (xy 65.855729 30.299158) + (xy 65.855729 30.685815) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp e7d91da0-107a-4302-96e3-62674cae2c0a)) + (gr_line (start 80.235887 32.252832) (end 79.988029 32.251428) (layer "F.SilkS") (width 0.00575) (tstamp e7e0c282-b307-4b37-a05c-a360e369fe1b)) + (gr_line (start 63.809166 20.505658) (end 63.90703 20.64566) (layer "F.SilkS") (width 0.00575) (tstamp e7f8b487-7983-4f76-aa1c-2f09c64852bc)) + (gr_line (start 71.933897 29.006122) (end 71.905571 29.072186) (layer "F.SilkS") (width 0.00575) (tstamp e8154154-493e-45be-962e-dddfd1466bd4)) + (gr_line (start 71.634184 28.048932) (end 71.792747 27.891046) (layer "F.SilkS") (width 0.00575) (tstamp e8202723-28e3-49fd-91fa-c740cbefe82e)) + (gr_line (start 67.093272 13.51232) (end 66.968431 13.48811) (layer "F.SilkS") (width 0.00575) (tstamp e8545875-45cf-4b6f-9a55-5f7236df9a8d)) + (gr_line (start 73.154851 25.047772) (end 73.130583 25.060174) (layer "F.SilkS") (width 0.00575) (tstamp e86b96ed-2c2e-4a84-bcfb-91415cc1eddf)) + (gr_line (start 61.450291 21.814746) (end 61.551207 21.809674) (layer "F.SilkS") (width 0.00575) (tstamp e86e428a-aa89-4a17-a7a5-e1bc90ebc5cd)) + (gr_line (start 63.97791 9.844601) (end 64.114329 10.046402) (layer "F.SilkS") (width 0.00575) (tstamp e883cbc0-0c1f-4bef-a1bb-e3a11bebc6d3)) + (gr_line (start 76.484489 25.872906) (end 76.482994 25.956683) (layer "F.SilkS") (width 0.00575) (tstamp e88456b7-aebd-4ef6-b958-d3ba953f0cab)) + (gr_line (start 72.213121 27.260065) (end 72.380797 26.879449) (layer "F.SilkS") (width 0.00575) (tstamp e8a35d52-af5b-4084-91b8-0a059613f0e2)) + (gr_line (start 74.4528 14.753012) (end 74.249315 14.845645) (layer "F.SilkS") (width 0.00575) (tstamp e8acd0df-f381-40d3-9ab7-c6a4fc445ed3)) + (gr_line (start 76.048454 24.138855) (end 76.011723 24.106171) (layer "F.SilkS") (width 0.00575) (tstamp e900b66c-f872-4c6f-b6b8-8d2557519f04)) + (gr_line (start 74.056151 20.697327) (end 74.173411 20.866064) (layer "F.SilkS") (width 0.00575) (tstamp e9287c8a-3c3e-4e75-92e9-ae8cf7168ddf)) + (gr_line (start 74.365678 20.556024) (end 74.307072 20.509473) (layer "F.SilkS") (width 0.00575) (tstamp e9416a41-f2de-45e5-b6d9-c28814b8632c)) + (gr_line (start 68.412968 13.609863) (end 68.38546 13.591672) (layer "F.SilkS") (width 0.00575) (tstamp e97ade9b-a473-4a13-91a9-8cb7c639ec0a)) + (gr_line (start 65.460136 9.743887) (end 65.374095 9.673489) (layer "F.SilkS") (width 0.00575) (tstamp e988d609-a036-4d15-abcc-2fe51e13d3e6)) + (gr_poly + (pts + (xy 74.140379 15.84429) + (xy 74.140375 15.844441) + (xy 74.140364 15.844589) + (xy 74.140346 15.844736) + (xy 74.140322 15.84488) + (xy 74.14029 15.845022) + (xy 74.140252 15.845161) + (xy 74.140207 15.845297) + (xy 74.140156 15.84543) + (xy 74.1401 15.84556) + (xy 74.140037 15.845686) + (xy 74.139969 15.845809) + (xy 74.139895 15.845928) + (xy 74.139816 15.846043) + (xy 74.139732 15.846153) + (xy 74.139643 15.84626) + (xy 74.139549 15.846361) + (xy 74.139451 15.846458) + (xy 74.139348 15.846551) + (xy 74.139241 15.846638) + (xy 74.13913 15.846719) + (xy 74.139015 15.846795) + (xy 74.138896 15.846866) + (xy 74.138774 15.846931) + (xy 74.138649 15.846989) + (xy 74.13852 15.847042) + (xy 74.138389 15.847088) + (xy 74.138254 15.847127) + (xy 74.138117 15.84716) + (xy 74.137978 15.847186) + (xy 74.137836 15.847205) + (xy 74.137693 15.847216) + (xy 74.137547 15.84722) + (xy 74.137402 15.847216) + (xy 74.137259 15.847205) + (xy 74.137118 15.847186) + (xy 74.136979 15.84716) + (xy 74.136843 15.847127) + (xy 74.136709 15.847088) + (xy 74.136579 15.847042) + (xy 74.136451 15.846989) + (xy 74.136326 15.846931) + (xy 74.136205 15.846866) + (xy 74.136087 15.846795) + (xy 74.135973 15.846719) + (xy 74.135863 15.846638) + (xy 74.135756 15.846551) + (xy 74.135654 15.846458) + (xy 74.135557 15.846361) + (xy 74.135463 15.84626) + (xy 74.135375 15.846153) + (xy 74.135292 15.846043) + (xy 74.135213 15.845928) + (xy 74.13514 15.845809) + (xy 74.135072 15.845686) + (xy 74.13501 15.84556) + (xy 74.134954 15.84543) + (xy 74.134904 15.845297) + (xy 74.13486 15.845161) + (xy 74.134822 15.845022) + (xy 74.13479 15.84488) + (xy 74.134766 15.844736) + (xy 74.134748 15.844589) + (xy 74.134737 15.844441) + (xy 74.134733 15.84429) + (xy 74.134737 15.84414) + (xy 74.134748 15.843991) + (xy 74.134766 15.843845) + (xy 74.13479 15.843701) + (xy 74.134822 15.843559) + (xy 74.13486 15.84342) + (xy 74.134904 15.843285) + (xy 74.134954 15.843152) + (xy 74.13501 15.843022) + (xy 74.135072 15.842896) + (xy 74.13514 15.842773) + (xy 74.135213 15.842655) + (xy 74.135292 15.84254) + (xy 74.135375 15.842429) + (xy 74.135463 15.842323) + (xy 74.135557 15.842221) + (xy 74.135654 15.842124) + (xy 74.135756 15.842032) + (xy 74.135863 15.841945) + (xy 74.135973 15.841864) + (xy 74.136087 15.841788) + (xy 74.136205 15.841717) + (xy 74.136326 15.841652) + (xy 74.136451 15.841594) + (xy 74.136579 15.841541) + (xy 74.136709 15.841495) + (xy 74.136843 15.841456) + (xy 74.136979 15.841423) + (xy 74.137118 15.841397) + (xy 74.137259 15.841379) + (xy 74.137402 15.841367) + (xy 74.137547 15.841363) + (xy 74.137693 15.841367) + (xy 74.137836 15.841379) + (xy 74.137978 15.841397) + (xy 74.138117 15.841423) + (xy 74.138254 15.841456) + (xy 74.138389 15.841495) + (xy 74.13852 15.841541) + (xy 74.138649 15.841594) + (xy 74.138774 15.841652) + (xy 74.138896 15.841717) + (xy 74.139015 15.841788) + (xy 74.13913 15.841864) + (xy 74.139241 15.841945) + (xy 74.139348 15.842032) + (xy 74.139451 15.842124) + (xy 74.139549 15.842221) + (xy 74.139643 15.842323) + (xy 74.139732 15.842429) + (xy 74.139816 15.84254) + (xy 74.139895 15.842655) + (xy 74.139969 15.842773) + (xy 74.140037 15.842896) + (xy 74.1401 15.843022) + (xy 74.140156 15.843152) + (xy 74.140207 15.843285) + (xy 74.140252 15.84342) + (xy 74.14029 15.843559) + (xy 74.140322 15.843701) + (xy 74.140346 15.843845) + (xy 74.140364 15.843991) + (xy 74.140375 15.84414) + (xy 74.140379 15.84429) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp e99fa8e5-2b99-4b05-a15d-7d9303c111cb)) + (gr_line (start 78.710704 24.706854) (end 78.710283 24.730884) (layer "F.SilkS") (width 0.00575) (tstamp e9a59180-3688-42f5-8d85-3a841c47bada)) + (gr_line (start 66.001365 10.706476) (end 66.144102 10.834625) (layer "F.SilkS") (width 0.00575) (tstamp e9d0ac69-1499-47dd-a9a8-da830421aae7)) + (gr_line (start 68.258122 15.339175) (end 68.197331 15.228766) (layer "F.SilkS") (width 0.00575) (tstamp e9fc2e01-ee40-4b1f-8697-90a793464eb2)) + (gr_line (start 67.650639 24.917633) (end 67.580388 24.711365) (layer "F.SilkS") (width 0.00575) (tstamp ea0a3b06-9113-41ff-bbcd-ded694a168d9)) + (gr_line (start 75.865623 30.418127) (end 75.865623 31.878876) (layer "F.SilkS") (width 0.00575) (tstamp ea1b2924-6f76-47c5-a351-6fa114924f7c)) + (gr_line (start 80.232225 31.830377) (end 80.252025 31.844745) (layer "F.SilkS") (width 0.00575) (tstamp ea1ed4d8-3525-439a-900b-4ff56b6deca7)) + (gr_line (start 61.579228 22.349133) (end 61.543748 22.301807) (layer "F.SilkS") (width 0.00575) (tstamp ea40ffb8-c99e-4e72-b472-d0f9c23d24c0)) + (gr_line (start 61.760527 23.252167) (end 61.680308 23.297571) (layer "F.SilkS") (width 0.00575) (tstamp ea4bd19e-02b1-49e7-a393-9d50f7876a72)) + (gr_poly + (pts + (xy 62.313572 25.009534) + (xy 62.313569 25.009684) + (xy 62.313558 25.009833) + (xy 62.31354 25.00998) + (xy 62.313515 25.010124) + (xy 62.313484 25.010266) + (xy 62.313446 25.010405) + (xy 62.313401 25.010541) + (xy 62.313351 25.010674) + (xy 62.313294 25.010803) + (xy 62.313232 25.01093) + (xy 62.313164 25.011052) + (xy 62.313091 25.011171) + (xy 62.313012 25.011286) + (xy 62.312928 25.011397) + (xy 62.31284 25.011503) + (xy 62.312746 25.011605) + (xy 62.312648 25.011702) + (xy 62.312546 25.011794) + (xy 62.312439 25.011881) + (xy 62.312329 25.011963) + (xy 62.312214 25.012039) + (xy 62.312096 25.01211) + (xy 62.311975 25.012174) + (xy 62.31185 25.012233) + (xy 62.311722 25.012285) + (xy 62.311591 25.012331) + (xy 62.311457 25.012371) + (xy 62.311321 25.012404) + (xy 62.311182 25.012429) + (xy 62.311041 25.012448) + (xy 62.310898 25.012459) + (xy 62.310753 25.012463) + (xy 62.310608 25.012459) + (xy 62.310464 25.012448) + (xy 62.310323 25.012429) + (xy 62.310185 25.012404) + (xy 62.310048 25.012371) + (xy 62.309914 25.012331) + (xy 62.309783 25.012285) + (xy 62.309655 25.012233) + (xy 62.309531 25.012174) + (xy 62.309409 25.01211) + (xy 62.309291 25.012039) + (xy 62.309176 25.011963) + (xy 62.309066 25.011881) + (xy 62.308959 25.011794) + (xy 62.308857 25.011702) + (xy 62.308759 25.011605) + (xy 62.308666 25.011503) + (xy 62.308577 25.011397) + (xy 62.308493 25.011286) + (xy 62.308415 25.011171) + (xy 62.308341 25.011052) + (xy 62.308273 25.01093) + (xy 62.308211 25.010803) + (xy 62.308155 25.010674) + (xy 62.308104 25.010541) + (xy 62.30806 25.010405) + (xy 62.308022 25.010266) + (xy 62.30799 25.010124) + (xy 62.307965 25.00998) + (xy 62.307947 25.009833) + (xy 62.307936 25.009684) + (xy 62.307933 25.009534) + (xy 62.307936 25.009383) + (xy 62.307947 25.009235) + (xy 62.307965 25.009089) + (xy 62.30799 25.008945) + (xy 62.308022 25.008804) + (xy 62.30806 25.008665) + (xy 62.308104 25.00853) + (xy 62.308155 25.008397) + (xy 62.308211 25.008268) + (xy 62.308273 25.008141) + (xy 62.308341 25.008019) + (xy 62.308415 25.0079) + (xy 62.308493 25.007786) + (xy 62.308577 25.007675) + (xy 62.308666 25.007569) + (xy 62.308759 25.007468) + (xy 62.308857 25.007371) + (xy 62.308959 25.007279) + (xy 62.309066 25.007192) + (xy 62.309176 25.00711) + (xy 62.309291 25.007034) + (xy 62.309409 25.006964) + (xy 62.309531 25.006899) + (xy 62.309655 25.00684) + (xy 62.309783 25.006788) + (xy 62.309914 25.006742) + (xy 62.310048 25.006702) + (xy 62.310185 25.00667) + (xy 62.310323 25.006644) + (xy 62.310464 25.006625) + (xy 62.310608 25.006614) + (xy 62.310753 25.00661) + (xy 62.310898 25.006614) + (xy 62.311041 25.006625) + (xy 62.311182 25.006644) + (xy 62.311321 25.00667) + (xy 62.311457 25.006702) + (xy 62.311591 25.006742) + (xy 62.311722 25.006788) + (xy 62.31185 25.00684) + (xy 62.311975 25.006899) + (xy 62.312096 25.006964) + (xy 62.312214 25.007034) + (xy 62.312329 25.00711) + (xy 62.312439 25.007192) + (xy 62.312546 25.007279) + (xy 62.312648 25.007371) + (xy 62.312746 25.007468) + (xy 62.31284 25.007569) + (xy 62.312928 25.007675) + (xy 62.313012 25.007786) + (xy 62.313091 25.0079) + (xy 62.313164 25.008019) + (xy 62.313232 25.008141) + (xy 62.313294 25.008268) + (xy 62.313351 25.008397) + (xy 62.313401 25.00853) + (xy 62.313446 25.008665) + (xy 62.313484 25.008804) + (xy 62.313515 25.008945) + (xy 62.31354 25.009089) + (xy 62.313558 25.009235) + (xy 62.313569 25.009383) + (xy 62.313572 25.009534) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp ea5fb46c-b677-4b67-9fa3-8ac158298d3f)) + (gr_line (start 82.044749 32.239911) (end 81.931975 32.24364) (layer "F.SilkS") (width 0.00575) (tstamp eac9ff3b-1342-4a62-bc27-b04f125883d5)) + (gr_line (start 67.437199 14.676562) (end 67.525352 14.778265) (layer "F.SilkS") (width 0.00575) (tstamp ead64496-04e7-44ab-ac86-e6330b94a5df)) + (gr_line (start 65.384691 15.944412) (end 65.429783 16.082193) (layer "F.SilkS") (width 0.00575) (tstamp eaf0b044-60de-4f40-9968-38e000e4b494)) + (gr_line (start 67.95695 23.220093) (end 67.926787 23.205322) (layer "F.SilkS") (width 0.00575) (tstamp eaf4fbe5-4dcb-49b7-b045-0ffa888ead7e)) + (gr_line (start 73.570506 19.9849) (end 73.626762 20.067572) (layer "F.SilkS") (width 0.00575) (tstamp eb1f945a-5ec4-4624-95a9-2366f294adec)) + (gr_line (start 69.229747 25.550043) (end 68.657829 25.824127) (layer "F.SilkS") (width 0.00575) (tstamp eb21d29d-d22a-42fd-9012-bde361cd9833)) + (gr_line (start 82.266057 32.21142) (end 82.235319 32.221014) (layer "F.SilkS") (width 0.00575) (tstamp eb3e8655-3948-43b0-b6a8-73b80821fd45)) + (gr_line (start 62.265117 25.930414) (end 62.232615 25.956122) (layer "F.SilkS") (width 0.00575) (tstamp eb57a754-8395-4492-bea5-16a0d4b8abd7)) + (gr_line (start 70.245836 14.108856) (end 70.265074 14.050683) (layer "F.SilkS") (width 0.00575) (tstamp eb5be0a3-9eeb-4904-9ded-1292c69a5d07)) + (gr_line (start 76.038939 18.871258) (end 76.100633 18.6823) (layer "F.SilkS") (width 0.00575) (tstamp eb714e4b-0ed7-478f-816a-0321e5fba6b2)) + (gr_line (start 66.420353 16.786175) (end 66.583989 16.798477) (layer "F.SilkS") (width 0.00575) (tstamp eb8b48ee-78d2-4cec-8411-ae1caa04a1b8)) + (gr_poly + (pts + (xy 73.399003 19.426819) + (xy 73.475725 19.476416) + (xy 73.58726 19.553931) + (xy 73.722697 19.651343) + (xy 73.871153 19.760614) + (xy 74.021702 19.873749) + (xy 74.163457 19.982709) + (xy 74.280333 20.075287) + (xy 74.57368 20.312494) + (xy 74.483403 20.442847) + (xy 74.432695 20.514972) + (xy 74.397947 20.562311) + (xy 74.388579 20.573205) + (xy 74.365672 20.556024) + (xy 74.30706 20.509473) + (xy 74.222099 20.441016) + (xy 74.137663 20.372443) + (xy 73.981694 20.235089) + (xy 73.850255 20.093182) + (xy 73.722172 19.923425) + (xy 73.69893 19.889703) + (xy 73.613872 19.765649) + (xy 73.533018 19.648822) + (xy 73.466673 19.554071) + (xy 73.431285 19.504541) + (xy 73.39539 19.448974) + (xy 73.389134 19.42417) + (xy 73.399003 19.426819) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp eb96fea6-1047-43a5-b643-b6567d9c44d5)) + (gr_line (start 77.111082 28.664581) (end 77.1002 28.632074) (layer "F.SilkS") (width 0.00575) (tstamp ebc7f551-6fe8-43c1-a9b7-dfafb900b75a)) + (gr_line (start 71.372264 24.852319) (end 71.800413 24.967474) (layer "F.SilkS") (width 0.00575) (tstamp ebe0ae76-fb08-40a9-86ac-8f36742bd004)) + (gr_line (start 67.353044 23.608972) (end 67.458134 23.675378) (layer "F.SilkS") (width 0.00575) (tstamp ebe512dd-f4db-4b6b-a417-66a297a3d8e9)) + (gr_line (start 62.221159 26.320636) (end 62.242375 26.32124) (layer "F.SilkS") (width 0.00575) (tstamp ebe750df-c8bb-4e19-b572-16ca7010dab6)) + (gr_line (start 69.051402 17.295447) (end 69.139775 17.323346) (layer "F.SilkS") (width 0.00575) (tstamp ebef27ec-5755-420d-9ed1-f2f74ae9557b)) + (gr_line (start 79.272343 32.233075) (end 79.268492 32.232147) (layer "F.SilkS") (width 0.00575) (tstamp ebf72619-788c-487f-a349-72c88e9a03da)) + (gr_line (start 78.297062 23.328668) (end 78.303563 23.256836) (layer "F.SilkS") (width 0.00575) (tstamp ebf95751-323a-41f9-856b-882b404bbaa3)) + (gr_line (start 61.632676 21.780286) (end 61.639042 21.743951) (layer "F.SilkS") (width 0.00575) (tstamp ec2640e2-15a6-44aa-af7c-7524fa9446cf)) + (gr_line (start 74.660991 28.574066) (end 74.660991 28.185571) (layer "F.SilkS") (width 0.00575) (tstamp ec3556fb-1501-405e-8b69-7712069bd9ab)) + (gr_line (start 73.734501 20.226465) (end 73.839824 20.381348) (layer "F.SilkS") (width 0.00575) (tstamp ec355cf7-46c5-458e-b78c-2510dbf81c0f)) + (gr_line (start 81.272166 31.772711) (end 81.278905 31.711615) (layer "F.SilkS") (width 0.00575) (tstamp ec53332f-7f3b-4e1b-a3cf-22a77fdb51ef)) + (gr_line (start 78.273259 30.507239) (end 78.280546 30.573462) (layer "F.SilkS") (width 0.00575) (tstamp ec67a41d-c87f-4941-875a-ac2473b3514d)) + (gr_line (start 63.569871 18.698407) (end 63.595976 18.925) (layer "F.SilkS") (width 0.00575) (tstamp ec77b9ff-d22b-4ff0-9de1-edf94f6b4a69)) + (gr_line (start 77.120292 29.86604) (end 77.124443 29.772864) (layer "F.SilkS") (width 0.00575) (tstamp ec7f6e03-97e0-4bfe-921c-1ea5cd2c58f4)) + (gr_line (start 74.672093 24.087604) (end 74.654387 24.083655) (layer "F.SilkS") (width 0.00575) (tstamp ec90f306-12b3-4707-8308-92b4f4ed988b)) + (gr_poly + (pts + (xy 76.286668 18.144427) + (xy 76.286664 18.144578) + (xy 76.286654 18.144727) + (xy 76.286636 18.144873) + (xy 76.286611 18.145017) + (xy 76.286579 18.145159) + (xy 76.286541 18.145298) + (xy 76.286496 18.145434) + (xy 76.286445 18.145567) + (xy 76.286389 18.145696) + (xy 76.286326 18.145822) + (xy 76.286258 18.145945) + (xy 76.286184 18.146063) + (xy 76.286105 18.146178) + (xy 76.286021 18.146288) + (xy 76.285932 18.146394) + (xy 76.285838 18.146496) + (xy 76.28574 18.146592) + (xy 76.285637 18.146684) + (xy 76.28553 18.146771) + (xy 76.285419 18.146852) + (xy 76.285304 18.146928) + (xy 76.285185 18.146999) + (xy 76.285063 18.147063) + (xy 76.284938 18.147122) + (xy 76.284809 18.147174) + (xy 76.284678 18.14722) + (xy 76.284543 18.147259) + (xy 76.284406 18.147292) + (xy 76.284267 18.147317) + (xy 76.284125 18.147336) + (xy 76.283982 18.147347) + (xy 76.283836 18.147351) + (xy 76.283692 18.147347) + (xy 76.283549 18.147336) + (xy 76.283408 18.147317) + (xy 76.28327 18.147292) + (xy 76.283134 18.147259) + (xy 76.283001 18.14722) + (xy 76.28287 18.147174) + (xy 76.282742 18.147122) + (xy 76.282618 18.147063) + (xy 76.282497 18.146999) + (xy 76.282379 18.146928) + (xy 76.282265 18.146852) + (xy 76.282154 18.146771) + (xy 76.282048 18.146684) + (xy 76.281946 18.146592) + (xy 76.281848 18.146496) + (xy 76.281755 18.146394) + (xy 76.281666 18.146288) + (xy 76.281582 18.146178) + (xy 76.281504 18.146063) + (xy 76.281431 18.145945) + (xy 76.281363 18.145822) + (xy 76.281301 18.145696) + (xy 76.281244 18.145567) + (xy 76.281194 18.145434) + (xy 76.281149 18.145298) + (xy 76.281111 18.145159) + (xy 76.28108 18.145017) + (xy 76.281055 18.144873) + (xy 76.281037 18.144727) + (xy 76.281026 18.144578) + (xy 76.281022 18.144427) + (xy 76.281026 18.144277) + (xy 76.281037 18.144128) + (xy 76.281055 18.143981) + (xy 76.28108 18.143837) + (xy 76.281111 18.143695) + (xy 76.281149 18.143556) + (xy 76.281194 18.14342) + (xy 76.281244 18.143287) + (xy 76.281301 18.143157) + (xy 76.281363 18.143031) + (xy 76.281431 18.142908) + (xy 76.281504 18.14279) + (xy 76.281582 18.142675) + (xy 76.281666 18.142564) + (xy 76.281755 18.142458) + (xy 76.281848 18.142356) + (xy 76.281946 18.142259) + (xy 76.282048 18.142167) + (xy 76.282154 18.14208) + (xy 76.282265 18.141998) + (xy 76.282379 18.141922) + (xy 76.282497 18.141851) + (xy 76.282618 18.141787) + (xy 76.282742 18.141728) + (xy 76.28287 18.141676) + (xy 76.283001 18.141629) + (xy 76.283134 18.14159) + (xy 76.28327 18.141557) + (xy 76.283408 18.141531) + (xy 76.283549 18.141513) + (xy 76.283692 18.141501) + (xy 76.283836 18.141498) + (xy 76.283982 18.141501) + (xy 76.284125 18.141513) + (xy 76.284267 18.141531) + (xy 76.284406 18.141557) + (xy 76.284543 18.14159) + (xy 76.284678 18.141629) + (xy 76.284809 18.141676) + (xy 76.284938 18.141728) + (xy 76.285063 18.141787) + (xy 76.285185 18.141851) + (xy 76.285304 18.141922) + (xy 76.285419 18.141998) + (xy 76.28553 18.14208) + (xy 76.285637 18.142167) + (xy 76.28574 18.142259) + (xy 76.285838 18.142356) + (xy 76.285932 18.142458) + (xy 76.286021 18.142564) + (xy 76.286105 18.142675) + (xy 76.286184 18.14279) + (xy 76.286258 18.142908) + (xy 76.286326 18.143031) + (xy 76.286389 18.143157) + (xy 76.286445 18.143287) + (xy 76.286496 18.14342) + (xy 76.286541 18.143556) + (xy 76.286579 18.143695) + (xy 76.286611 18.143837) + (xy 76.286636 18.143981) + (xy 76.286654 18.144128) + (xy 76.286664 18.144277) + (xy 76.286668 18.144427) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp eca51e4c-e12b-4de9-8bdc-c632c9d27e43)) + (gr_line (start 77.909984 26.679285) (end 77.779411 26.88352) (layer "F.SilkS") (width 0.00575) (tstamp ecce5398-2c42-4777-a838-f58996147b8d)) + (gr_line (start 76.72108 26.476251) (end 76.696165 26.399115) (layer "F.SilkS") (width 0.00575) (tstamp ecdefdee-a654-4a0c-bbfb-55cfd941361b)) + (gr_line (start 71.492363 19.597021) (end 71.229478 19.373529) (layer "F.SilkS") (width 0.00575) (tstamp ecf84159-fa51-4fc5-be3a-5012ff898ee0)) + (gr_line (start 73.996635 16.744287) (end 74.217698 17.012121) (layer "F.SilkS") (width 0.00575) (tstamp ed14f41e-6fd7-42e1-a2e9-afbe3abe62f7)) + (gr_line (start 60.824858 22.188122) (end 60.795329 22.190967) (layer "F.SilkS") (width 0.00575) (tstamp ed2f054d-ea44-4d23-8837-b3c29cee042f)) + (gr_line (start 62.50471 24.36543) (end 62.409611 24.283124) (layer "F.SilkS") (width 0.00575) (tstamp ed3250d2-39f9-48d3-a35c-122eb650f5a0)) + (gr_line (start 71.452238 30.422333) (end 71.376359 30.667242) (layer "F.SilkS") (width 0.00575) (tstamp ed8183e7-cb4e-4528-9633-06ad25aa571e)) + (gr_line (start 66.093815 9.665991) (end 66.226518 9.708194) (layer "F.SilkS") (width 0.00575) (tstamp ed8b8dda-b893-44d3-8a8d-7ff5507f82e9)) + (gr_line (start 68.607615 26.367895) (end 68.686784 26.510101) (layer "F.SilkS") (width 0.00575) (tstamp ed8fab9e-2191-47cd-a1a6-59571201289f)) + (gr_poly + (pts + (xy 61.818663 20.626196) + (xy 61.818659 20.626347) + (xy 61.818648 20.626496) + (xy 61.81863 20.626642) + (xy 61.818605 20.626786) + (xy 61.818574 20.626928) + (xy 61.818536 20.627067) + (xy 61.818492 20.627203) + (xy 61.818441 20.627335) + (xy 61.818385 20.627465) + (xy 61.818322 20.627591) + (xy 61.818255 20.627713) + (xy 61.818181 20.627832) + (xy 61.818103 20.627947) + (xy 61.818019 20.628057) + (xy 61.817931 20.628163) + (xy 61.817837 20.628265) + (xy 61.81774 20.628361) + (xy 61.817637 20.628453) + (xy 61.817531 20.62854) + (xy 61.817421 20.628621) + (xy 61.817306 20.628697) + (xy 61.817189 20.628767) + (xy 61.817067 20.628832) + (xy 61.816943 20.62889) + (xy 61.816815 20.628943) + (xy 61.816684 20.628989) + (xy 61.816551 20.629028) + (xy 61.816415 20.62906) + (xy 61.816277 20.629086) + (xy 61.816136 20.629105) + (xy 61.815994 20.629116) + (xy 61.815849 20.62912) + (xy 61.815703 20.629116) + (xy 61.81556 20.629105) + (xy 61.815418 20.629086) + (xy 61.815279 20.62906) + (xy 61.815142 20.629028) + (xy 61.815008 20.628989) + (xy 61.814876 20.628943) + (xy 61.814747 20.62889) + (xy 61.814622 20.628832) + (xy 61.8145 20.628767) + (xy 61.814381 20.628697) + (xy 61.814266 20.628621) + (xy 61.814155 20.62854) + (xy 61.814048 20.628453) + (xy 61.813946 20.628361) + (xy 61.813847 20.628265) + (xy 61.813753 20.628163) + (xy 61.813664 20.628057) + (xy 61.81358 20.627947) + (xy 61.813501 20.627832) + (xy 61.813427 20.627713) + (xy 61.813359 20.627591) + (xy 61.813297 20.627465) + (xy 61.81324 20.627335) + (xy 61.813189 20.627203) + (xy 61.813145 20.627067) + (xy 61.813106 20.626928) + (xy 61.813075 20.626786) + (xy 61.81305 20.626642) + (xy 61.813032 20.626496) + (xy 61.813021 20.626347) + (xy 61.813017 20.626196) + (xy 61.813021 20.626045) + (xy 61.813032 20.625896) + (xy 61.81305 20.625749) + (xy 61.813075 20.625604) + (xy 61.813106 20.625463) + (xy 61.813145 20.625323) + (xy 61.813189 20.625187) + (xy 61.81324 20.625054) + (xy 61.813297 20.624925) + (xy 61.813359 20.624799) + (xy 61.813427 20.624676) + (xy 61.813501 20.624558) + (xy 61.81358 20.624443) + (xy 61.813664 20.624333) + (xy 61.813753 20.624227) + (xy 61.813847 20.624126) + (xy 61.813946 20.624029) + (xy 61.814048 20.623937) + (xy 61.814155 20.623851) + (xy 61.814266 20.62377) + (xy 61.814381 20.623694) + (xy 61.8145 20.623624) + (xy 61.814622 20.623559) + (xy 61.814747 20.623501) + (xy 61.814876 20.623449) + (xy 61.815008 20.623403) + (xy 61.815142 20.623364) + (xy 61.815279 20.623332) + (xy 61.815418 20.623306) + (xy 61.81556 20.623288) + (xy 61.815703 20.623276) + (xy 61.815849 20.623273) + (xy 61.815994 20.623276) + (xy 61.816136 20.623288) + (xy 61.816277 20.623306) + (xy 61.816415 20.623332) + (xy 61.816551 20.623364) + (xy 61.816684 20.623403) + (xy 61.816815 20.623449) + (xy 61.816943 20.623501) + (xy 61.817067 20.623559) + (xy 61.817189 20.623624) + (xy 61.817306 20.623694) + (xy 61.817421 20.62377) + (xy 61.817531 20.623851) + (xy 61.817637 20.623937) + (xy 61.81774 20.624029) + (xy 61.817837 20.624126) + (xy 61.817931 20.624227) + (xy 61.818019 20.624333) + (xy 61.818103 20.624443) + (xy 61.818181 20.624558) + (xy 61.818255 20.624676) + (xy 61.818322 20.624799) + (xy 61.818385 20.624925) + (xy 61.818441 20.625054) + (xy 61.818492 20.625187) + (xy 61.818536 20.625323) + (xy 61.818574 20.625463) + (xy 61.818605 20.625604) + (xy 61.81863 20.625749) + (xy 61.818648 20.625896) + (xy 61.818659 20.626045) + (xy 61.818663 20.626196) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp ed917fcf-2180-4a52-9549-3e385efd995c)) + (gr_line (start 62.331151 25.02381) (end 62.323533 25.058862) (layer "F.SilkS") (width 0.00575) (tstamp edafc8a9-7b23-4e08-b6f6-5d6eb30c65df)) + (gr_line (start 71.219572 28.32298) (end 71.328526 28.274292) (layer "F.SilkS") (width 0.00575) (tstamp edb07bfb-0429-4471-b663-e73750c18789)) + (gr_line (start 66.313072 10.443014) (end 66.244603 10.320938) (layer "F.SilkS") (width 0.00575) (tstamp edb56c51-88ca-4a88-84ee-d1e564d76cdd)) + (gr_line (start 70.131233 31.071743) (end 70.131328 31.071496) (layer "F.SilkS") (width 0.00575) (tstamp edd0a57a-f8c3-4824-b011-548d3a956256)) + (gr_line (start 68.921464 22.875604) (end 68.921154 22.875393) (layer "F.SilkS") (width 0.00575) (tstamp edfdcae7-2696-4ba2-a5e1-841593826d4a)) + (gr_line (start 78.995726 22.422974) (end 79.071318 22.383868) (layer "F.SilkS") (width 0.00575) (tstamp ee00d71a-1874-443d-b05c-7f498642c204)) + (gr_line (start 69.430406 27.590204) (end 69.691203 27.857043) (layer "F.SilkS") (width 0.00575) (tstamp ee10faea-ae92-45a5-bb3c-b225669b99cd)) + (gr_line (start 74.137663 20.372436) (end 73.981694 20.235089) (layer "F.SilkS") (width 0.00575) (tstamp ee29511b-94fe-4f5d-b84b-9c7348f0f9d8)) + (gr_line (start 73.981694 20.235089) (end 73.850255 20.093182) (layer "F.SilkS") (width 0.00575) (tstamp ee43797b-aa6f-4d50-acbe-abafc13c7fc9)) + (gr_line (start 79.329588 23.256598) (end 79.423271 23.405194) (layer "F.SilkS") (width 0.00575) (tstamp ee52802c-535d-4845-b5b2-cc03956d9fb2)) + (gr_line (start 69.15388 27.770569) (end 68.870756 27.40564) (layer "F.SilkS") (width 0.00575) (tstamp ee5ff6ba-7fe2-4aad-9daa-4bef68958b75)) + (gr_line (start 68.948094 15.56102) (end 68.941557 15.592126) (layer "F.SilkS") (width 0.00575) (tstamp ee793572-beec-462d-ba26-7df6551dfd54)) + (gr_line (start 66.471525 17.113971) (end 66.022062 17.0784) (layer "F.SilkS") (width 0.00575) (tstamp ee796e8f-f56b-498a-ae68-63718e4ba7ad)) + (gr_line (start 66.828514 17.506689) (end 66.846776 17.388147) (layer "F.SilkS") (width 0.00575) (tstamp eeb97124-dcd3-4e55-85ad-9020839da682)) + (gr_line (start 63.692686 24.321289) (end 63.70789 24.207532) (layer "F.SilkS") (width 0.00575) (tstamp eef7ae47-b26c-4a08-9ae8-e43edaa9de5b)) + (gr_line (start 70.073497 14.202319) (end 70.049455 14.290225) (layer "F.SilkS") (width 0.00575) (tstamp eefa3894-a1df-45a0-b48d-dbd1286e546c)) + (gr_line (start 68.014689 15.182284) (end 68.086271 15.357376) (layer "F.SilkS") (width 0.00575) (tstamp eefbd716-5ca4-4e8b-beb3-63287f9cb949)) + (gr_line (start 78.665898 24.728326) (end 78.573997 24.699982) (layer "F.SilkS") (width 0.00575) (tstamp ef048adc-a7b7-4acc-a37f-cc627e90df2a)) + (gr_line (start 70.34857 19.0078) (end 70.015532 18.982428) (layer "F.SilkS") (width 0.00575) (tstamp ef0dcba0-1195-4d4a-ab8b-41b32a961bf8)) + (gr_line (start 80.252025 31.844745) (end 80.30031 31.85592) (layer "F.SilkS") (width 0.00575) (tstamp ef0fc819-5b4e-40b8-9e22-a9c39d42faa8)) + (gr_line (start 63.90518 19.398022) (end 63.860411 19.293011) (layer "F.SilkS") (width 0.00575) (tstamp ef141289-e94e-4bbe-b57a-fd51da9cc89d)) + (gr_line (start 73.036082 25.701196) (end 73.050505 25.705902) (layer "F.SilkS") (width 0.00575) (tstamp ef2109af-c10f-479a-ab8a-647c9cb53103)) + (gr_line (start 71.952445 21.564356) (end 71.952439 21.564396) (layer "F.SilkS") (width 0.00575) (tstamp ef24b13a-8085-41ad-ac64-585208904fcc)) + (gr_line (start 72.094694 16.249963) (end 72.207579 16.20867) (layer "F.SilkS") (width 0.00575) (tstamp ef2cd917-5512-48b0-94ea-726a7a38acf5)) + (gr_line (start 63.268352 25.686474) (end 63.393895 25.775165) (layer "F.SilkS") (width 0.00575) (tstamp ef35f9e3-c4df-47be-b556-fcc1ae1c3bf1)) + (gr_line (start 63.850737 26.651544) (end 63.839244 26.5901) (layer "F.SilkS") (width 0.00575) (tstamp ef386be6-15dd-4adb-893c-c5ac3d30d95d)) + (gr_line (start 74.082084 25.593933) (end 73.923771 25.594452) (layer "F.SilkS") (width 0.00575) (tstamp ef5948f2-1d53-4df4-88c7-6d047aa8e1e1)) + (gr_line (start 69.784105 23.204712) (end 69.592717 23.176526) (layer "F.SilkS") (width 0.00575) (tstamp ef681394-c44a-4218-b672-8d59072fd179)) + (gr_line (start 76.752849 26.581811) (end 76.72108 26.476251) (layer "F.SilkS") (width 0.00575) (tstamp ef68df28-ed50-4c46-81c2-387eef0ff8dd)) + (gr_line (start 76.011723 24.106171) (end 75.975255 24.088501) (layer "F.SilkS") (width 0.00575) (tstamp ef84d186-a30d-485e-9d7f-e6bd33f8a010)) + (gr_line (start 61.55789 26.345801) (end 61.532738 26.109784) (layer "F.SilkS") (width 0.00575) (tstamp ef986c7e-c9b5-45a0-b5bd-29b89c9999e6)) + (gr_line (start 68.285557 13.540646) (end 68.229594 13.525433) (layer "F.SilkS") (width 0.00575) (tstamp efbf8616-466b-4223-a943-1cb791dbd055)) + (gr_line (start 64.735911 21.378113) (end 64.372239 20.97829) (layer "F.SilkS") (width 0.00575) (tstamp f0345487-3688-43a9-95f4-54556b24a846)) + (gr_line (start 63.062504 24.211639) (end 63.156749 24.299188) (layer "F.SilkS") (width 0.00575) (tstamp f04c4e7d-27da-4a46-bf67-804e181b740f)) + (gr_line (start 67.014628 10.675418) (end 67.055436 10.861328) (layer "F.SilkS") (width 0.00575) (tstamp f05e4a59-5534-4a62-a712-8ba236027441)) + (gr_line (start 64.930839 10.386511) (end 65.22288 10.422854) (layer "F.SilkS") (width 0.00575) (tstamp f07b7b77-3a9a-44a0-8827-56467fe31adc)) + (gr_line (start 61.680308 23.297571) (end 61.619462 23.314776) (layer "F.SilkS") (width 0.00575) (tstamp f0a0c6bd-61a5-433f-a805-dad8a4da8289)) + (gr_line (start 66.02122 20.562207) (end 66.098869 20.471887) (layer "F.SilkS") (width 0.00575) (tstamp f0db7442-270d-45e4-bf1f-1a2c4487bb8a)) + (gr_line (start 77.348375 14.197189) (end 77.336754 14.213824) (layer "F.SilkS") (width 0.00575) (tstamp f1014f39-b49b-468a-87f9-f6c2f9ca3634)) + (gr_line (start 71.85106 16.888638) (end 71.850194 16.883948) (layer "F.SilkS") (width 0.00575) (tstamp f11f3db3-dc46-40c0-a41d-8ed879616fe2)) + (gr_line (start 68.086271 15.357376) (end 68.193858 15.486709) (layer "F.SilkS") (width 0.00575) (tstamp f1354d5c-15ec-4e36-9c25-f01557e71b9e)) + (gr_line (start 78.96793 23.668017) (end 78.963841 23.749597) (layer "F.SilkS") (width 0.00575) (tstamp f138a8e9-4a5e-49be-8ca8-40f94d58109c)) + (gr_line (start 65.654515 16.617383) (end 65.815556 16.998938) (layer "F.SilkS") (width 0.00575) (tstamp f14a57bf-7341-40f9-af74-347d093bc063)) + (gr_line (start 74.819829 13.856851) (end 75.220579 13.877939) (layer "F.SilkS") (width 0.00575) (tstamp f1620bd0-127d-41a4-a7ad-b74a625d7ae0)) + (gr_line (start 75.372313 18.877783) (end 75.331627 18.975867) (layer "F.SilkS") (width 0.00575) (tstamp f177043f-f889-42f7-97a5-3b6b57cad25c)) + (gr_line (start 65.013591 26.401453) (end 64.772093 26.302222) (layer "F.SilkS") (width 0.00575) (tstamp f1871ceb-e974-4de9-836c-a088d7a3f986)) + (gr_line (start 62.234947 30.8143) (end 62.227507 29.365124) (layer "F.SilkS") (width 0.00575) (tstamp f1a79c41-bdcf-437b-b646-99ff18856b46)) + (gr_line (start 74.490068 17.257269) (end 74.8145 17.480377) (layer "F.SilkS") (width 0.00575) (tstamp f1cc1329-d022-497f-8323-658ac7e8935e)) + (gr_line (start 80.631846 30.396844) (end 80.410643 30.391888) (layer "F.SilkS") (width 0.00575) (tstamp f1d32c00-f73c-44b9-b4ee-ead640e0effe)) + (gr_line (start 81.286448 29.466351) (end 81.286448 29.086316) (layer "F.SilkS") (width 0.00575) (tstamp f1ec0547-96a9-4068-9792-7d854eb038d5)) + (gr_line (start 65.622514 11.3677) (end 65.581578 11.239264) (layer "F.SilkS") (width 0.00575) (tstamp f1f87d95-25df-4f4a-8bca-f053ef979b76)) + (gr_line (start 79.114366 28.296472) (end 79.167253 28.261767) (layer "F.SilkS") (width 0.00575) (tstamp f200c2d7-5324-4d21-b557-e2e4ee7ae038)) + (gr_line (start 61.418498 22.200696) (end 61.263121 22.140741) (layer "F.SilkS") (width 0.00575) (tstamp f23cfb2c-0c4c-4191-9fa0-bbeb1ebed328)) + (gr_line (start 77.572325 25.207355) (end 77.375267 25.164984) (layer "F.SilkS") (width 0.00575) (tstamp f240da6d-c1dd-43fe-a975-b9e3a9d6ad7a)) + (gr_line (start 62.782066 22.594128) (end 62.769139 22.61416) (layer "F.SilkS") (width 0.00575) (tstamp f25a5e1d-a3e4-4c66-82b5-56a077eb19a2)) + (gr_line (start 58.48455 28.187402) (end 59.789567 28.187811) (layer "F.SilkS") (width 0.00575) (tstamp f2620c1f-3259-40eb-888f-5c8242211e1a)) + (gr_line (start 62.489726 25.711725) (end 62.399046 25.797577) (layer "F.SilkS") (width 0.00575) (tstamp f26600a9-3923-4246-b1a3-2dac6aaa740b)) + (gr_line (start 71.410325 15.350848) (end 71.498741 15.498996) (layer "F.SilkS") (width 0.00575) (tstamp f271c26b-e37b-403b-a18b-d627c8179d27)) + (gr_line (start 62.297166 25.007556) (end 62.310753 25.00954) (layer "F.SilkS") (width 0.00575) (tstamp f271cee6-45b2-4abf-a797-3e1804bc06a2)) + (gr_line (start 76.237816 21.180047) (end 76.367582 20.997882) (layer "F.SilkS") (width 0.00575) (tstamp f2726f5f-c915-474d-af1f-69fe1055a580)) + (gr_line (start 79.579503 32.246118) (end 79.430534 32.242364) (layer "F.SilkS") (width 0.00575) (tstamp f28a9220-b477-453f-aafa-e8dca2d137ff)) + (gr_line (start 72.772874 14.89559) (end 72.950218 14.644729) (layer "F.SilkS") (width 0.00575) (tstamp f2dc74d6-999a-4a32-9346-7c7be8ebd648)) + (gr_line (start 65.842955 9.989465) (end 65.692979 9.899356) (layer "F.SilkS") (width 0.00575) (tstamp f2e47cc8-d032-4985-9a81-9572d4dea433)) + (gr_line (start 63.407615 15.750253) (end 63.39171 15.597092) (layer "F.SilkS") (width 0.00575) (tstamp f2e4b690-d244-449e-b2c0-996a51be231b)) + (gr_line (start 73.089036 17.502453) (end 72.924632 17.271698) (layer "F.SilkS") (width 0.00575) (tstamp f2f82f62-5f0a-4a3a-ab38-7af093010bc2)) + (gr_line (start 69.941954 13.467724) (end 70.012291 13.572903) (layer "F.SilkS") (width 0.00575) (tstamp f2fa3111-ef61-48da-bc96-8a11a5887ddb)) + (gr_line (start 73.982945 15.05629) (end 73.822337 15.259347) (layer "F.SilkS") (width 0.00575) (tstamp f30546ad-be89-4787-b844-ab96ec043320)) + (gr_line (start 61.620097 25.638885) (end 61.735771 25.418628) (layer "F.SilkS") (width 0.00575) (tstamp f31ab092-7302-4aea-b193-117d59bfac5f)) + (gr_line (start 75.865623 28.574066) (end 74.660991 28.574066) (layer "F.SilkS") (width 0.00575) (tstamp f332ae17-6bc6-4348-b411-8bb086a0694a)) + (gr_line (start 68.178941 25.480439) (end 68.178941 25.480505) (layer "F.SilkS") (width 0.00575) (tstamp f333403b-e5f2-4d98-902d-e552f7334b46)) + (gr_line (start 68.141655 25.363489) (end 68.168687 25.443603) (layer "F.SilkS") (width 0.00575) (tstamp f3626ade-12d8-41f3-bdfc-1e5d68e1db58)) + (gr_line (start 72.731248 18.608789) (end 72.70916 18.589154) (layer "F.SilkS") (width 0.00575) (tstamp f375370f-1e22-42d6-b12f-a53a7a3b1c5f)) + (gr_line (start 69.480626 19.223376) (end 69.804442 19.161499) (layer "F.SilkS") (width 0.00575) (tstamp f3aac7a1-d95d-40a5-8ffe-3bb01f621bf4)) + (gr_line (start 63.84301 19.634845) (end 63.866661 19.682831) (layer "F.SilkS") (width 0.00575) (tstamp f3d74d0c-f681-4cc7-a942-39268379e52a)) + (gr_line (start 76.425694 15.718994) (end 76.353264 15.417935) (layer "F.SilkS") (width 0.00575) (tstamp f3dee702-dcdd-4aeb-b30c-35e6c7b94b09)) + (gr_line (start 78.201341 28.347803) (end 78.233024 28.399414) (layer "F.SilkS") (width 0.00575) (tstamp f424afed-3e5b-4582-850d-0a724d0d4705)) + (gr_poly + (pts + (xy 70.310258 14.058139) + (xy 70.310255 14.05829) + (xy 70.310244 14.058438) + (xy 70.310226 14.058585) + (xy 70.310201 14.058729) + (xy 70.310169 14.058871) + (xy 70.310131 14.05901) + (xy 70.310086 14.059146) + (xy 70.310036 14.059279) + (xy 70.309979 14.059409) + (xy 70.309917 14.059535) + (xy 70.309848 14.059658) + (xy 70.309775 14.059777) + (xy 70.309696 14.059892) + (xy 70.309612 14.060002) + (xy 70.309523 14.060109) + (xy 70.30943 14.06021) + (xy 70.309332 14.060307) + (xy 70.309229 14.060399) + (xy 70.309123 14.060486) + (xy 70.309012 14.060568) + (xy 70.308897 14.060644) + (xy 70.308779 14.060715) + (xy 70.308658 14.06078) + (xy 70.308533 14.060838) + (xy 70.308405 14.060891) + (xy 70.308274 14.060937) + (xy 70.308141 14.060976) + (xy 70.308005 14.061009) + (xy 70.307866 14.061035) + (xy 70.307726 14.061053) + (xy 70.307583 14.061065) + (xy 70.307438 14.061069) + (xy 70.307293 14.061065) + (xy 70.30715 14.061053) + (xy 70.307009 14.061035) + (xy 70.30687 14.061009) + (xy 70.306734 14.060976) + (xy 70.3066 14.060937) + (xy 70.306469 14.060891) + (xy 70.30634 14.060838) + (xy 70.306215 14.06078) + (xy 70.306093 14.060715) + (xy 70.305975 14.060644) + (xy 70.30586 14.060568) + (xy 70.305749 14.060486) + (xy 70.305643 14.060399) + (xy 70.30554 14.060307) + (xy 70.305442 14.06021) + (xy 70.305348 14.060109) + (xy 70.305259 14.060002) + (xy 70.305175 14.059892) + (xy 70.305096 14.059777) + (xy 70.305023 14.059658) + (xy 70.304954 14.059535) + (xy 70.304892 14.059409) + (xy 70.304835 14.059279) + (xy 70.304784 14.059146) + (xy 70.30474 14.05901) + (xy 70.304702 14.058871) + (xy 70.30467 14.058729) + (xy 70.304645 14.058585) + (xy 70.304627 14.058438) + (xy 70.304616 14.05829) + (xy 70.304613 14.058139) + (xy 70.304616 14.057988) + (xy 70.304627 14.05784) + (xy 70.304645 14.057694) + (xy 70.30467 14.05755) + (xy 70.304702 14.057408) + (xy 70.30474 14.057269) + (xy 70.304784 14.057133) + (xy 70.304835 14.057001) + (xy 70.304892 14.056871) + (xy 70.304954 14.056745) + (xy 70.305023 14.056622) + (xy 70.305096 14.056503) + (xy 70.305175 14.056389) + (xy 70.305259 14.056278) + (xy 70.305348 14.056172) + (xy 70.305442 14.05607) + (xy 70.30554 14.055973) + (xy 70.305643 14.055881) + (xy 70.305749 14.055794) + (xy 70.30586 14.055713) + (xy 70.305975 14.055636) + (xy 70.306093 14.055566) + (xy 70.306215 14.055501) + (xy 70.30634 14.055443) + (xy 70.306469 14.05539) + (xy 70.3066 14.055344) + (xy 70.306734 14.055305) + (xy 70.30687 14.055272) + (xy 70.307009 14.055246) + (xy 70.30715 14.055227) + (xy 70.307293 14.055216) + (xy 70.307438 14.055212) + (xy 70.307583 14.055216) + (xy 70.307726 14.055227) + (xy 70.307866 14.055246) + (xy 70.308005 14.055272) + (xy 70.308141 14.055305) + (xy 70.308274 14.055344) + (xy 70.308405 14.05539) + (xy 70.308533 14.055443) + (xy 70.308658 14.055501) + (xy 70.308779 14.055566) + (xy 70.308897 14.055636) + (xy 70.309012 14.055713) + (xy 70.309123 14.055794) + (xy 70.309229 14.055881) + (xy 70.309332 14.055973) + (xy 70.30943 14.05607) + (xy 70.309523 14.056172) + (xy 70.309612 14.056278) + (xy 70.309696 14.056389) + (xy 70.309775 14.056503) + (xy 70.309848 14.056622) + (xy 70.309917 14.056745) + (xy 70.309979 14.056871) + (xy 70.310036 14.057001) + (xy 70.310086 14.057133) + (xy 70.310131 14.057269) + (xy 70.310169 14.057408) + (xy 70.310201 14.05755) + (xy 70.310226 14.057694) + (xy 70.310244 14.05784) + (xy 70.310255 14.057988) + (xy 70.310258 14.058139) + ) (layer "F.SilkS") (width 0) (fill solid) (tstamp f4440d3b-9f79-47ec-a998-318f679ce161)) + (gr_line (start 76.707017 25.34035) (end 76.607023 25.447156) (layer "F.SilkS") (width 0.00575) (tstamp f48c162e-41da-42d6-8b1b-e852be1ee2c8)) + (gr_line (start 73.475725 19.476416) (end 73.58726 19.553931) (layer "F.SilkS") (width 0.00575) (tstamp f4cc8e54-b7ce-44b6-b4ce-2ff7b76b200b)) + (gr_line (start 71.746379 16.211026) (end 71.699412 16.230911) (layer "F.SilkS") (width 0.00575) (tstamp f4f76f07-addd-41ab-be58-ae3e5acc25fc)) + (gr_line (start 62.236339 22.744153) (end 62.13864 22.862097) (layer "F.SilkS") (width 0.00575) (tstamp f50bb4fc-69fe-455d-98fb-1a0063cdb7b1)) + (gr_line (start 67.28109 17.209613) (end 66.644005 17.129101) (layer "F.SilkS") (width 0.00575) (tstamp f52aed33-0eb7-4515-add8-84b676ee8f5c)) + (gr_poly + (pts + (xy 66.956181 17.318829) + (xy 66.983116 17.32185) + (xy 67.065458 17.332898) + (xy 67.124254 17.343072) + (xy 67.133885 17.345471) + (xy 67.146483 17.373566) + (xy 67.147746 17.449444) + (xy 67.137602 17.577307) + (xy 67.128142 17.662762) + (xy 67.115422 17.788495) + (xy 67.101164 17.958942) + (xy 67.086436 18.159387) + (xy 67.072307 18.375122) + (xy 67.059862 18.591431) + (xy 67.058098 18.625037) + (xy 67.024302 19.279376) + (xy 66.911229 19.513415) + (xy 66.854924 19.636688) + (xy 66.787504 19.794513) + (xy 66.717827 19.965674) + (xy 66.660313 20.114099) + (xy 66.601103 20.268298) + (xy 66.551848 20.383032) + (xy 66.50283 20.474676) + (xy 66.444273 20.559625) + (xy 66.366459 20.654272) + (xy 66.28231 20.749609) + (xy 66.189012 20.852557) + (xy 66.109587 20.93736) + (xy 66.052122 20.995587) + (xy 66.024693 21.018817) + (xy 66.024627 21.018827) + (xy 66.024561 21.018837) + (xy 66.024431 21.018861) + (xy 66.0243 21.018885) + (xy 66.024168 21.018909) + (xy 65.992589 20.999463) + (xy 65.97523 20.980688) + (xy 65.951115 20.927801) + (xy 65.929716 20.844556) + (xy 65.925596 20.820746) + (xy 65.920305 20.774335) + (xy 65.922844 20.731402) + (xy 65.93759 20.685828) + (xy 65.968919 20.631476) + (xy 66.02122 20.562207) + (xy 66.098869 20.471887) + (xy 66.206236 20.35437) + (xy 66.347709 20.203534) + (xy 66.42285 20.124005) + (xy 66.721104 19.808667) + (xy 66.731541 19.110785) + (xy 66.737138 18.872424) + (xy 66.74666 18.619562) + (xy 66.759416 18.36275) + (xy 66.774681 18.112518) + (xy 66.791759 17.879413) + (xy 66.809941 17.67395) + (xy 66.828514 17.506689) + (xy 66.846782 17.388147) + (xy 66.846959 17.387234) + (xy 66.847142 17.386322) + (xy 66.86212 17.337207) + (xy 66.892467 17.317554) + (xy 66.956181 17.318829) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp f58791e0-9934-4df5-b7ff-328e795f8a16)) + (gr_line (start 76.03452 14.880093) (end 75.942454 14.804205) (layer "F.SilkS") (width 0.00575) (tstamp f5a7391c-9e44-4f2b-9769-35105407352e)) + (gr_line (start 82.491081 29.466351) (end 81.286448 29.466351) (layer "F.SilkS") (width 0.00575) (tstamp f5f279b3-3a59-45f5-a908-641fb0687453)) + (gr_line (start 82.505418 31.152087) (end 82.505229 31.39317) (layer "F.SilkS") (width 0.00575) (tstamp f60cbad1-fa0a-483e-b53e-6cf64148feb8)) + (gr_line (start 69.059123 13.927124) (end 68.968919 13.92702) (layer "F.SilkS") (width 0.00575) (tstamp f6123df2-5b55-48e9-acf1-53eff676d1ae)) + (gr_line (start 76.089305 18.048187) (end 76.050175 18.283478) (layer "F.SilkS") (width 0.00575) (tstamp f61b072f-52f4-49d1-a12a-f66434d1ca0e)) + (gr_line (start 75.039372 15.980755) (end 75.320396 16.105594) (layer "F.SilkS") (width 0.00575) (tstamp f61d5d08-b664-4ca0-9a63-c33612e37c47)) + (gr_line (start 74.660991 28.185571) (end 76.3317 28.193927) (layer "F.SilkS") (width 0.00575) (tstamp f622531a-1a3a-424b-ac3d-f379781b3716)) + (gr_line (start 64.233201 25.6836) (end 64.246208 25.863782) (layer "F.SilkS") (width 0.00575) (tstamp f628dbc4-b676-4019-9c8a-9401fe7ff746)) + (gr_line (start 76.113035 17.799225) (end 76.089305 18.048187) (layer "F.SilkS") (width 0.00575) (tstamp f62cb124-ebbb-4e44-a5a9-137ddf18c330)) + (gr_line (start 80.199809 31.680896) (end 80.205717 31.757403) (layer "F.SilkS") (width 0.00575) (tstamp f6571c99-7fc8-4a58-a887-3abe8d0aa42a)) + (gr_line (start 64.894517 12.482318) (end 65.153032 12.347681) (layer "F.SilkS") (width 0.00575) (tstamp f65b9f34-d1de-40c7-b295-1a035cfe4d10)) + (gr_line (start 69.245732 13.940417) (end 69.17028 13.930707) (layer "F.SilkS") (width 0.00575) (tstamp f67363d7-b25d-4206-8532-5c1ad3c16b47)) + (gr_line (start 67.409349 16.901709) (end 67.819676 16.980817) (layer "F.SilkS") (width 0.00575) (tstamp f67ba7f0-99ee-4876-b5f0-5f53ecff8435)) + (gr_line (start 67.12725 24.215155) (end 67.040617 24.286603) (layer "F.SilkS") (width 0.00575) (tstamp f67f016d-9d83-4d56-b5aa-c83ab3acc423)) + (gr_line (start 74.487449 25.570825) (end 74.443321 25.579559) (layer "F.SilkS") (width 0.00575) (tstamp f68fe0ad-d526-4172-9e63-b11e39934df8)) + (gr_line (start 62.057091 24.403168) (end 62.250804 24.356341) (layer "F.SilkS") (width 0.00575) (tstamp f6af3e99-424e-4011-98bf-5368613216cd)) + (gr_line (start 72.988255 19.18689) (end 73.271141 19.56026) (layer "F.SilkS") (width 0.00575) (tstamp f6e03e5f-9e6a-48ab-949d-876618125271)) + (gr_line (start 64.376603 25.570019) (end 64.368187 25.524988) (layer "F.SilkS") (width 0.00575) (tstamp f6f2d357-f026-486b-b842-9b38a3ba742f)) + (gr_line (start 63.724876 17.073401) (end 63.65012 16.793765) (layer "F.SilkS") (width 0.00575) (tstamp f70b847c-5e42-4098-b206-a46fe2d5a4fc)) + (gr_line (start 71.041661 25.087884) (end 70.90009 25.045788) (layer "F.SilkS") (width 0.00575) (tstamp f71bb7a9-0903-4447-ba84-3aaa6413f91c)) + (gr_poly + (pts + (xy 77.372038 25.317737) + (xy 77.566435 25.378754) + (xy 77.740233 25.490314) + (xy 77.88618 25.648138) + (xy 77.971147 25.790423) + (xy 78.013359 25.883685) + (xy 78.036387 25.961249) + (xy 78.044298 26.046722) + (xy 78.041191 26.163739) + (xy 78.03986 26.188269) + (xy 77.998857 26.445984) + (xy 77.909978 26.679285) + (xy 77.779405 26.88352) + (xy 77.613353 27.054059) + (xy 77.418046 27.186255) + (xy 77.199681 27.275476) + (xy 76.964464 27.317065) + (xy 76.718614 27.306384) + (xy 76.58336 27.277179) + (xy 76.349498 27.182818) + (xy 76.144554 27.041797) + (xy 75.974235 26.861175) + (xy 75.844212 26.648028) + (xy 75.760203 26.409424) + (xy 75.730919 26.219391) + (xy 75.724821 26.092309) + (xy 75.730906 25.999896) + (xy 75.752336 25.918072) + (xy 75.77971 25.850745) + (xy 75.84467 25.703863) + (xy 75.869822 25.819336) + (xy 75.920616 25.973364) + (xy 76.002739 26.138507) + (xy 76.102653 26.290967) + (xy 76.200566 26.401288) + (xy 76.26555 26.450482) + (xy 76.357408 26.507477) + (xy 76.463457 26.565948) + (xy 76.571013 26.619586) + (xy 76.667393 26.662067) + (xy 76.739915 26.68706) + (xy 76.775877 26.688245) + (xy 76.77606 26.688061) + (xy 76.772337 26.656634) + (xy 76.752836 26.581811) + (xy 76.721068 26.476251) + (xy 76.696153 26.399115) + (xy 76.651414 26.256171) + (xy 76.625499 26.147436) + (xy 76.615001 26.052276) + (xy 76.616533 25.950061) + (xy 76.618138 25.924756) + (xy 76.655003 25.717999) + (xy 76.733409 25.5513) + (xy 76.851848 25.426337) + (xy 77.00883 25.344781) + (xy 77.16425 25.311578) + (xy 77.372038 25.317737) + ) (layer "F.SilkS") (width 0.00575) (fill solid) (tstamp f72f3a00-fc46-4d77-87cd-ffcf7a194fde)) + (gr_line (start 66.40175 22.992609) (end 66.879918 23.315588) (layer "F.SilkS") (width 0.00575) (tstamp f75b720c-8d52-4a3a-bb98-cc6cca55197f)) + (gr_line (start 75.564805 16.274332) (end 75.76953 16.483563) (layer "F.SilkS") (width 0.00575) (tstamp f7702888-900c-41c7-ba54-8c1fab344b36)) + (gr_line (start 78.116563 22.905401) (end 78.123558 22.866699) (layer "F.SilkS") (width 0.00575) (tstamp f7782b49-6ea9-4558-bb7c-b6673f212636)) + (gr_line (start 67.658513 12.363913) (end 67.735106 12.477951) (layer "F.SilkS") (width 0.00575) (tstamp f77ecab7-2c28-435d-aa64-3daa72d5e509)) + (gr_line (start 61.098344 21.314014) (end 60.961448 21.295001) (layer "F.SilkS") (width 0.00575) (tstamp f7aa31a3-d314-4e7d-b50f-98a5e66b1168)) + (gr_line (start 74.962968 18.881744) (end 74.890178 18.842657) (layer "F.SilkS") (width 0.00575) (tstamp f80444ea-2f25-44e7-b7f2-7152a3c35892)) + (gr_line (start 71.529551 30.177972) (end 71.452238 30.422333) (layer "F.SilkS") (width 0.00575) (tstamp f81677cf-6f34-4c5d-9d13-0f63c9de9648)) + (gr_line (start 69.17028 13.930707) (end 69.059123 13.927124) (layer "F.SilkS") (width 0.00575) (tstamp f818d74a-5ffc-4388-be9e-aa337070bc87)) + (gr_line (start 68.997923 27.01654) (end 69.099479 27.168353) (layer "F.SilkS") (width 0.00575) (tstamp f82b6538-4f59-4838-a175-f39074c9f2dc)) + (gr_line (start 81.742924 28.205005) (end 81.890605 28.207318) (layer "F.SilkS") (width 0.00575) (tstamp f834c70e-c474-4f00-b0ad-4dd5c7119b5f)) + (gr_line (start 78.145817 14.085028) (end 77.945042 14.088171) (layer "F.SilkS") (width 0.00575) (tstamp f83c1d05-6449-4f6c-8710-782a9f1e6d88)) + (gr_line (start 67.149248 14.126132) (end 67.26436 14.384503) (layer "F.SilkS") (width 0.00575) (tstamp f83e3a8c-8fad-4ea2-95eb-917e07ea4109)) + (gr_line (start 79.074254 30.252563) (end 79.03864 30.20451) (layer "F.SilkS") (width 0.00575) (tstamp f84cfdf4-47a6-4c73-a0f1-0da964480891)) + (gr_line (start 73.429661 30.224805) (end 73.550975 30.554791) (layer "F.SilkS") (width 0.00575) (tstamp f877747f-8b47-453c-a2cb-c01644297db2)) + (gr_line (start 68.178939 25.480312) (end 68.17894 25.480343) (layer "F.SilkS") (width 0.00575) (tstamp f883b85d-ef2f-485b-9c49-e4582d462e1b)) + (gr_line (start 71.498741 15.498996) (end 71.586699 15.651037) (layer "F.SilkS") (width 0.00575) (tstamp f89c9bfb-376e-40fc-aaad-0a9fcaa290b5)) + (gr_line (start 64.889946 12.484701) (end 64.894517 12.482318) (layer "F.SilkS") (width 0.00575) (tstamp f8bb0816-6f67-4678-8d01-d6ae9417ebe8)) + (gr_line (start 67.354869 15.803519) (end 67.476243 15.918426) (layer "F.SilkS") (width 0.00575) (tstamp f8c32f63-d64e-4208-a10b-0a88cfa95c5a)) + (gr_line (start 76.811815 30.025519) (end 76.945842 30.018628) (layer "F.SilkS") (width 0.00575) (tstamp f8d3b222-dba7-4ef4-910d-bc613253d0b2)) + (gr_line (start 63.74962 9.753454) (end 63.74005 9.554321) (layer "F.SilkS") (width 0.00575) (tstamp f90ee271-8616-48db-9a03-62364c21dd21)) + (gr_line (start 61.886143 21.219598) (end 61.844359 21.03529) (layer "F.SilkS") (width 0.00575) (tstamp f91f54a6-234f-4422-bf78-6d61a42ec8cc)) + (gr_line (start 77.112797 30.58576) (end 77.1041 30.495752) (layer "F.SilkS") (width 0.00575) (tstamp f925509a-8aa2-4554-9adf-fbe7c0dde48f)) + (gr_line (start 73.791423 18.16026) (end 73.518504 17.943103) (layer "F.SilkS") (width 0.00575) (tstamp f93230c3-636b-4e05-9a22-1d7473de3f0f)) + (gr_line (start 62.640019 22.625409) (end 62.602293 22.62547) (layer "F.SilkS") (width 0.00575) (tstamp f93b33ea-a6ca-4212-89b4-22daf8de7264)) + (gr_line (start 71.964921 16.649103) (end 71.744218 16.737781) (layer "F.SilkS") (width 0.00575) (tstamp f97b3ef6-5f8f-4ae9-95d0-dac40b9e524b)) + (gr_line (start 66.343321 32.262195) (end 66.343321 28.187402) (layer "F.SilkS") (width 0.00575) (tstamp f9c4327f-dfc9-4961-af26-9cfce8c747b3)) + (gr_line (start 71.863731 21.89104) (end 71.724772 22.195068) (layer "F.SilkS") (width 0.00575) (tstamp f9cc074e-ae6c-4e40-bc94-9f42909edf67)) + (gr_line (start 72.360594 30.292786) (end 72.295482 30.085394) (layer "F.SilkS") (width 0.00575) (tstamp f9da38f3-4248-4dd1-9df1-1792b66f7971)) + (gr_line (start 71.253221 31.072479) (end 70.130961 31.072479) (layer "F.SilkS") (width 0.00575) (tstamp fa241cb6-559a-4661-93af-8e618adbd386)) + (gr_line (start 69.359758 23.106903) (end 69.211564 23.047296) (layer "F.SilkS") (width 0.00575) (tstamp fa41c84f-4989-4dcd-a514-e5a54d494427)) + (gr_line (start 66.206236 20.35437) (end 66.347703 20.203534) (layer "F.SilkS") (width 0.00575) (tstamp fa56821b-5073-40d9-8185-440402c37689)) + (gr_line (start 72.977922 25.690106) (end 73.036082 25.701196) (layer "F.SilkS") (width 0.00575) (tstamp faab8074-ad27-485f-8a9b-60b92dc41bdd)) + (gr_line (start 76.357414 26.507483) (end 76.463463 26.565948) (layer "F.SilkS") (width 0.00575) (tstamp fabc64da-92f3-4113-b8b9-0ed33bf44e06)) + (gr_line (start 79.216075 24.22995) (end 79.353703 24.336371) (layer "F.SilkS") (width 0.00575) (tstamp facdb474-d266-4c84-9bcf-f4bedd3330a5)) + (gr_line (start 67.33997 15.558652) (end 67.208305 15.389255) (layer "F.SilkS") (width 0.00575) (tstamp fae10207-833d-4fe3-abd9-c1749b5bd765)) + (gr_line (start 64.425139 25.584894) (end 64.609794 25.789087) (layer "F.SilkS") (width 0.00575) (tstamp fb081e85-6e5d-4265-9042-855f29850f04)) + (gr_line (start 73.171501 14.427673) (end 73.202122 14.403235) (layer "F.SilkS") (width 0.00575) (tstamp fb321295-4a97-4701-bdbb-64ab038188b2)) + (gr_line (start 66.145549 18.325738) (end 66.161766 18.565546) (layer "F.SilkS") (width 0.00575) (tstamp fb3dbda1-b0d7-4fe7-8e53-ea272e97e7eb)) + (gr_line (start 66.444273 20.559625) (end 66.366453 20.654272) (layer "F.SilkS") (width 0.00575) (tstamp fb42c735-9459-425e-84b2-a771ed35a92b)) + (gr_line (start 62.733305 22.166742) (end 62.739738 22.246246) (layer "F.SilkS") (width 0.00575) (tstamp fb44509f-521c-4557-9b1f-48bccb6de73f)) + (gr_line (start 66.125487 16.768515) (end 66.264207 16.775967) (layer "F.SilkS") (width 0.00575) (tstamp fb5c6f3b-21fe-4ac2-8a10-8ad2ca06085c)) + (gr_line (start 75.76038 25.574933) (end 75.688261 25.663074) (layer "F.SilkS") (width 0.00575) (tstamp fb6508b7-b151-46f8-ae5d-9bddff8eda01)) + (gr_line (start 68.686516 14.1224) (end 68.781505 14.100201) (layer "F.SilkS") (width 0.00575) (tstamp fb65941c-1162-4092-8f32-55aae290df2c)) + (gr_line (start 66.854924 19.636688) (end 66.787498 19.794513) (layer "F.SilkS") (width 0.00575) (tstamp fb7204da-3a8b-4d2c-a6f9-67091547ba68)) + (gr_line (start 66.090611 19.397247) (end 66.028929 19.639514) (layer "F.SilkS") (width 0.00575) (tstamp fb764fec-508b-4740-b105-d79a15fdfd65)) + (gr_line (start 76.945842 30.018628) (end 77.035924 30.009582) (layer "F.SilkS") (width 0.00575) (tstamp fb9992f5-2d24-475c-bba6-ac16c8a77380)) + (gr_line (start 71.669133 15.797912) (end 71.740935 15.930578) (layer "F.SilkS") (width 0.00575) (tstamp fb9dd614-ac19-4d77-a6c7-6a7c2333e522)) + (gr_line (start 71.229478 19.373529) (end 70.932799 19.195367) (layer "F.SilkS") (width 0.00575) (tstamp fbb8d747-42e0-46d8-be3d-ecff3f57c101)) + (gr_line (start 75.905345 19.366656) (end 75.873613 19.34165) (layer "F.SilkS") (width 0.00575) (tstamp fbbe1fa2-c5da-4d54-96b5-940791a713dd)) + (gr_line (start 80.203299 29.94936) (end 80.203721 29.949969) (layer "F.SilkS") (width 0.00575) (tstamp fbbee6b5-747e-4b57-a6e9-670883fcd5ba)) + (gr_line (start 76.607023 25.447156) (end 76.540135 25.56181) (layer "F.SilkS") (width 0.00575) (tstamp fbf0f5a8-1528-474a-a0e4-79c04f4a87ac)) + (gr_line (start 69.726732 32.244012) (end 69.71118 32.232178) (layer "F.SilkS") (width 0.00575) (tstamp fbf72bfb-0ffb-4cb5-8ef4-c28796a9a487)) + (gr_line (start 71.680076 29.715796) (end 71.606206 29.940375) (layer "F.SilkS") (width 0.00575) (tstamp fbfe59e1-271f-4aad-8a79-9dc592cec402)) + (gr_line (start 74.173411 20.866058) (end 74.095384 20.965008) (layer "F.SilkS") (width 0.00575) (tstamp fc0e5af6-e671-441e-89a4-dc5c4d4ddae7)) + (gr_line (start 67.248601 16.699795) (end 67.180906 16.697912) (layer "F.SilkS") (width 0.00575) (tstamp fc5f32ef-cd6b-4214-8d0d-12b0a43473a8)) + (gr_line (start 62.769139 22.61416) (end 62.725645 22.623102) (layer "F.SilkS") (width 0.00575) (tstamp fc792cb5-549b-486e-8efa-afe57c9a39bd)) + (gr_line (start 66.855577 10.216159) (end 66.891325 10.278577) (layer "F.SilkS") (width 0.00575) (tstamp fc8fd912-0c36-4ddf-acb7-5ff07178137e)) + (gr_line (start 74.617613 21.34425) (end 74.65413 21.307251) (layer "F.SilkS") (width 0.00575) (tstamp fcc214da-726d-4ba9-a969-11f989256d63)) + (gr_line (start 63.831004 26.271844) (end 63.82039 26.170648) (layer "F.SilkS") (width 0.00575) (tstamp fcc36088-4b07-4078-933d-371c539578c8)) + (gr_line (start 69.670384 24.246802) (end 69.823076 24.311658) (layer "F.SilkS") (width 0.00575) (tstamp fcd839b8-4e38-4a62-9735-18b2332e1e1b)) + (gr_line (start 68.391948 13.805069) (end 68.466801 13.903793) (layer "F.SilkS") (width 0.00575) (tstamp fcec6243-5bc0-4116-8559-ca26d8798f31)) + (gr_line (start 78.248472 30.42735) (end 78.262755 30.461145) (layer "F.SilkS") (width 0.00575) (tstamp fcf5e41a-d69d-48f3-b838-3981f39840a5)) + (gr_line (start 74.913371 24.124621) (end 74.672087 24.087604) (layer "F.SilkS") (width 0.00575) (tstamp fcfff86e-019e-4603-bf81-4f5331dd641e)) + (gr_line (start 81.931981 32.24364) (end 81.786351 32.246448) (layer "F.SilkS") (width 0.00575) (tstamp fd0288aa-35db-4bd7-b416-4eaeea65b321)) + (gr_line (start 67.556132 23.791949) (end 67.502336 23.854504) (layer "F.SilkS") (width 0.00575) (tstamp fd07af41-5662-436b-9a0e-3a79d0fbe710)) + (gr_line (start 71.042711 19.445422) (end 71.302171 19.642273) (layer "F.SilkS") (width 0.00575) (tstamp fd0b081b-4afb-45be-9a6f-59ea0672d64d)) + (gr_line (start 76.945769 31.855267) (end 77.022526 31.847125) (layer "F.SilkS") (width 0.00575) (tstamp fd0c2540-fc89-405e-aedc-5607f1c10813)) + (gr_line (start 70.617692 24.94939) (end 70.640758 24.925525) (layer "F.SilkS") (width 0.00575) (tstamp fd39f4b5-719f-4b8a-a2b2-b9e095dd463c)) + (gr_line (start 62.096586 26.047558) (end 62.156529 26.219775) (layer "F.SilkS") (width 0.00575) (tstamp fd3e7328-638a-40d0-ad18-b3901c7157fc)) + (gr_line (start 66.95095 10.4276) (end 66.980784 10.53417) (layer "F.SilkS") (width 0.00575) (tstamp fd4a7e16-264e-4ecf-80ce-743ac3bf453e)) + (gr_line (start 63.595976 18.925) (end 63.647148 19.146484) (layer "F.SilkS") (width 0.00575) (tstamp fd4da6ba-d8a9-476c-8027-3abb3f6ff6c5)) + (gr_line (start 76.462199 30.418127) (end 75.865623 30.418127) (layer "F.SilkS") (width 0.00575) (tstamp fd90de87-2b52-40bd-a428-b03f676851f4)) + (gr_line (start 63.550126 17.653778) (end 63.606474 17.579297) (layer "F.SilkS") (width 0.00575) (tstamp fdb1c245-ab6a-4b91-bd36-75aed258f7ca)) + (gr_line (start 78.289219 31.196582) (end 78.289219 31.201068) (layer "F.SilkS") (width 0.00575) (tstamp fdbd2dd5-b68e-4445-a7d5-be2622b208b0)) + (gr_line (start 67.004045 16.666778) (end 66.974571 16.638278) (layer "F.SilkS") (width 0.00575) (tstamp fdf0e490-f52a-4bc1-ab83-f02c9698316b)) + (gr_line (start 74.211223 13.935937) (end 74.416551 13.895053) (layer "F.SilkS") (width 0.00575) (tstamp fe0f6b5a-aa7f-4c5b-a9c6-9bcb00c59126)) + (gr_line (start 77.887321 19.121655) (end 78.117723 19.432397) (layer "F.SilkS") (width 0.00575) (tstamp fe3d97ea-c4de-4d07-9777-fafae73facca)) + (gr_line (start 74.280406 23.980969) (end 73.914329 23.848102) (layer "F.SilkS") (width 0.00575) (tstamp fe62c4df-0aa1-491a-8cf9-0151d861629c)) + (gr_line (start 67.722941 13.684299) (end 67.836387 13.67547) (layer "F.SilkS") (width 0.00575) (tstamp fe684a21-640f-4f44-ac56-18e9252c3e0a)) + (gr_line (start 69.515666 14.269766) (end 69.47603 14.150067) (layer "F.SilkS") (width 0.00575) (tstamp fe887a9e-abff-4e9b-9253-b3ce8a45a266)) + (gr_line (start 63.501195 14.134378) (end 63.570366 13.950922) (layer "F.SilkS") (width 0.00575) (tstamp fe9f7eda-3d79-4768-83c2-85dc9f381296)) + (gr_line (start 78.204551 23.022497) (end 78.200023 23.017792) (layer "F.SilkS") (width 0.00575) (tstamp fee521d0-07ac-40d6-bdbd-4ef8ea5b525f)) + (gr_line (start 64.140068 11.315781) (end 64.197008 11.353171) (layer "F.SilkS") (width 0.00575) (tstamp fee785bc-ee43-4964-8b22-db083ea51ac6)) + (gr_line (start 67.141014 15.976218) (end 67.173045 15.864651) (layer "F.SilkS") (width 0.00575) (tstamp fee98b0f-b0a2-4f1b-befc-a5e738d71b4f)) + (gr_line (start 75.194011 25.31532) (end 75.088859 25.386597) (layer "F.SilkS") (width 0.00575) (tstamp fefe340e-dd15-4be6-9344-b97d90d22a8e)) + (gr_line (start 77.124443 29.772864) (end 77.12664 29.640735) (layer "F.SilkS") (width 0.00575) (tstamp ff062df5-8115-40ac-9e51-b96c9808f42d)) + (gr_line (start 71.565165 16.283755) (end 71.41829 15.996988) (layer "F.SilkS") (width 0.00575) (tstamp ff1334c1-afc4-4cf0-93a3-ec8d84f40490)) + (gr_line (start 66.755839 24.475818) (end 66.443492 24.626276) (layer "F.SilkS") (width 0.00575) (tstamp ff15019c-92f4-410b-a273-f6928bf7058b)) + (gr_line (start 61.608549 24.184741) (end 61.560502 24.185272) (layer "F.SilkS") (width 0.00575) (tstamp ff2287ea-ab93-4b8f-b1c3-a1d11fa9d660)) + (gr_line (start 67.101158 17.958942) (end 67.086436 18.159387) (layer "F.SilkS") (width 0.00575) (tstamp ff3d190d-4d8b-4221-820f-704a89687ea9)) + (gr_line (start 79.367973 28.214783) (end 79.457689 28.210327) (layer "F.SilkS") (width 0.00575) (tstamp ff48de1e-bf06-451d-aa8a-12a3f12993e9)) + (gr_line (start 68.229594 13.525439) (end 68.158311 13.516165) (layer "F.SilkS") (width 0.00575) (tstamp ff9e1764-6810-434b-85a8-f480f1855a4e)) + (gr_line (start 62.232615 25.956122) (end 62.231614 25.9564) (layer "F.SilkS") (width 0.00575) (tstamp ffb7ac41-8065-4f1b-99ad-11bdf6ea88a9)) + (gr_line (start 68.243138 17.075775) (end 68.660216 17.182135) (layer "F.SilkS") (width 0.00575) (tstamp ffe7423b-3c4b-4d38-b389-bec6773e8a3f)) (gr_line (start 110 59) (end 160 59) (layer "Edge.Cuts") (width 0.1) (tstamp 72ee1bd7-4101-4f87-9035-9b11ff309f57)) (gr_line (start 0 100) (end 160 100) (layer "Edge.Cuts") (width 0.1) (tstamp 7b3780b9-f5f0-4cf6-96cf-c59df6deaf25)) (gr_line (start 160 59) (end 160 100) (layer "Edge.Cuts") (width 0.1) (tstamp 7bf14f63-fe3b-4750-af78-86da78df708f)) @@ -17617,30 +26847,51 @@ (gr_line (start 110 38) (end 110 59) (layer "Edge.Cuts") (width 0.1) (tstamp c79202b0-e9e5-4804-abc7-db19a7a72172)) (gr_line (start 160 0) (end 0 0) (layer "Edge.Cuts") (width 0.1) (tstamp c8d0ea19-a2af-420d-9bbf-3cf962e737b2)) (gr_line (start 160 38) (end 110 38) (layer "Edge.Cuts") (width 0.1) (tstamp f019a525-7b69-4e44-af6d-64e4eafe065d)) + (gr_text "250uA/V\n" (at 102.108 18.034) (layer "F.SilkS") (tstamp 275adda2-caa1-468c-b9c8-22d7621224b3) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "1" (at 53.99 14.43) (layer "F.SilkS") (tstamp 2bbd52b9-73c5-469a-acbb-fa515b8ce184) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "KIRDY\n" (at 54.01 51.36) (layer "F.SilkS") (tstamp 4a727663-1162-46be-ad2e-a1e063016c57) + (effects (font (size 3 3) (thickness 0.5))) + ) + (gr_text "2.5mA/V" (at 102.108 20.574) (layer "F.SilkS") (tstamp 7949873a-efad-44ca-a71a-21b69d103c1c) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "Designed by\nAlex Wong Tat Hang\naka Topquark12" (at 53.92 59.9) (layer "F.SilkS") (tstamp 81b8a32f-41fb-4d53-96ac-6b3410ccc3ec) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "V0.1\nPrecision laser driver" (at 53.65 55.25) (layer "F.SilkS") (tstamp 8a56c616-1d93-4c46-96ab-acb8b977af07) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "25mA/V" (at 102.362 23.114) (layer "F.SilkS") (tstamp c3064454-809f-4a28-9c71-049b08e45bdb) + (effects (font (size 1 1) (thickness 0.15))) + ) (segment (start 115.9974 84.4296) (end 117.1038 84.4296) (width 0.254) (layer "F.Cu") (net 1) (tstamp 0d0eb4ad-bfe1-46de-80c3-26b2e08a4b5e)) (segment (start 98.695 31.32) (end 98.298 30.923) (width 0.254) (layer "F.Cu") (net 1) (tstamp 183fade4-d218-4841-8fd8-818c39d8f6c9)) (segment (start 115.484 83.988) (end 115.316 83.82) (width 0.254) (layer "F.Cu") (net 1) (tstamp 189d20e4-4579-4e49-87a7-3ab698a8cf96)) (segment (start 117.4134 84.12) (end 116.9745 84.12) (width 0.254) (layer "F.Cu") (net 1) (tstamp 1de63af5-97f6-4946-9c0e-4cb7cf625808)) - (segment (start 86.8934 12.9614) (end 87.6734 13.7414) (width 0.254) (layer "F.Cu") (net 1) (tstamp 2cc263bf-dd1d-45bd-895e-143be369af9b)) + (segment (start 84.5312 12.9614) (end 85.3112 13.7414) (width 0.254) (layer "F.Cu") (net 1) (tstamp 2cc263bf-dd1d-45bd-895e-143be369af9b)) (segment (start 115.484 84.943) (end 115.9974 84.4296) (width 0.254) (layer "F.Cu") (net 1) (tstamp 46de3bf5-4dc8-479a-af32-9dcfe58706af)) - (segment (start 88.9254 15.0114) (end 87.6554 13.7414) (width 0.254) (layer "F.Cu") (net 1) (tstamp 5101086a-2690-4073-8f0d-db784a85a88d)) + (segment (start 86.5632 15.0114) (end 85.2932 13.7414) (width 0.254) (layer "F.Cu") (net 1) (tstamp 5101086a-2690-4073-8f0d-db784a85a88d)) (segment (start 116.9745 84.12) (end 116.332 83.4775) (width 0.254) (layer "F.Cu") (net 1) (tstamp 68cc268c-9044-429d-ad6c-1b4ba728f78d)) (segment (start 115.5436 85.0026) (end 115.484 84.943) (width 0.254) (layer "F.Cu") (net 1) (tstamp 6b654051-3ff3-4dc7-b37e-0882d0f0f709)) (segment (start 115.484 84.943) (end 115.484 83.988) (width 0.254) (layer "F.Cu") (net 1) (tstamp 6cc93fce-8fbd-48aa-aa67-0b1600b1d155)) (segment (start 100.8634 30.8255) (end 101.6 30.0889) (width 0.254) (layer "F.Cu") (net 1) (tstamp 82340428-87be-432b-bd63-9b679b40182b)) (segment (start 115.5436 86.7265) (end 115.5436 85.0026) (width 0.254) (layer "F.Cu") (net 1) (tstamp 8b5fa765-4c40-4aa8-a531-086bdd4fe5dd)) (segment (start 100.8634 31.32) (end 98.695 31.32) (width 0.254) (layer "F.Cu") (net 1) (tstamp 929e7605-ea86-47a4-8f41-7bff504618b6)) - (segment (start 86.8934 13.6906) (end 86.36 14.224) (width 0.254) (layer "F.Cu") (net 1) (tstamp 98661bc5-ba4d-4077-8d2f-fbb6db9c3ff1)) - (segment (start 86.8934 12.9614) (end 86.8934 13.6906) (width 0.254) (layer "F.Cu") (net 1) (tstamp b14d2b9a-6996-4bd4-8969-d7b500ee4a7c)) (segment (start 100.8634 31.32) (end 100.8634 30.8255) (width 0.254) (layer "F.Cu") (net 1) (tstamp cbad88f1-cf33-49ea-9ff2-8dbeeaeddab6)) - (segment (start 88.2904 13.7414) (end 89.9922 13.7414) (width 0.254) (layer "F.Cu") (net 1) (tstamp db94cd59-6fb4-4afb-8a4b-97a027bf433c)) - (segment (start 89.9922 15.0114) (end 88.9254 15.0114) (width 0.254) (layer "F.Cu") (net 1) (tstamp e6e7b680-bc45-4db1-889a-2c2622993d84)) + (segment (start 84.5312 14.1732) (end 84.5312 12.9614) (width 0.254) (layer "F.Cu") (net 1) (tstamp dae8afd2-e076-4366-b5a4-6ee7274d973f)) + (segment (start 85.9282 13.7414) (end 87.63 13.7414) (width 0.254) (layer "F.Cu") (net 1) (tstamp db94cd59-6fb4-4afb-8a4b-97a027bf433c)) + (segment (start 87.63 15.0114) (end 86.5632 15.0114) (width 0.254) (layer "F.Cu") (net 1) (tstamp e6e7b680-bc45-4db1-889a-2c2622993d84)) + (segment (start 85.09 14.732) (end 84.5312 14.1732) (width 0.254) (layer "F.Cu") (net 1) (tstamp eb56530e-59ad-4c08-b8c7-b7f062c61e28)) (segment (start 117.1038 84.4296) (end 117.4134 84.12) (width 0.254) (layer "F.Cu") (net 1) (tstamp effb4331-6090-4073-b4a8-780e31ed388a)) - (segment (start 87.6734 13.7414) (end 88.2904 13.7414) (width 0.254) (layer "F.Cu") (net 1) (tstamp f87e7d0c-ed1c-4ad5-9db9-08376d5d3885)) - (segment (start 87.6554 13.7414) (end 88.2904 13.7414) (width 0.254) (layer "F.Cu") (net 1) (tstamp ffb30b37-2727-42a9-a65b-43a0e16d073f)) + (segment (start 85.3112 13.7414) (end 85.9282 13.7414) (width 0.254) (layer "F.Cu") (net 1) (tstamp f87e7d0c-ed1c-4ad5-9db9-08376d5d3885)) + (segment (start 85.2932 13.7414) (end 85.9282 13.7414) (width 0.254) (layer "F.Cu") (net 1) (tstamp ffb30b37-2727-42a9-a65b-43a0e16d073f)) (via (at 91.44 43.18) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 1e911742-547d-4f40-b4bb-882a63677767)) - (via (at 86.36 14.224) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2e24ed1e-24f5-44a0-a639-a336a63d1a8a)) + (via (at 85.09 14.732) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2e24ed1e-24f5-44a0-a639-a336a63d1a8a)) (via (at 89.916 43.18) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 4f6a2a37-b17c-4f99-b32c-9a422171bc27)) (via (at 101.6 30.0889) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 745fb5b4-29c1-4318-92ac-e5c42babf6f6)) (via (at 116.332 83.4775) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 88ec3ada-66cc-42af-9023-a66993cd54b2)) @@ -17681,7 +26932,7 @@ (segment (start 147.32 33.02) (end 147.32 31.115) (width 0.254) (layer "F.Cu") (net 2) (tstamp 0ce2acfa-9ad1-447f-99cc-e07d7e945653)) (segment (start 101.5238 36.9062) (end 101.6 36.83) (width 0.254) (layer "F.Cu") (net 2) (tstamp 0d224772-63b5-46b6-9b17-4d322beafe87)) (segment (start 141.555 10.11) (end 141.478 10.033) (width 0.254) (layer "F.Cu") (net 2) (tstamp 0dc8bfe3-7106-405b-a6f2-50e0c36966c6)) - (segment (start 97.917 17.0868) (end 96.0554 17.0868) (width 0.254) (layer "F.Cu") (net 2) (tstamp 0e2303f4-26a8-439f-a6eb-5098cb3a50aa)) + (segment (start 95.5548 17.0868) (end 93.6932 17.0868) (width 0.254) (layer "F.Cu") (net 2) (tstamp 0e2303f4-26a8-439f-a6eb-5098cb3a50aa)) (segment (start 144.78 63.5) (end 144.78 65.659) (width 0.254) (layer "F.Cu") (net 2) (tstamp 0e351dfa-5383-4e20-b232-6f93b2e693ce)) (segment (start 117.186 89.1216) (end 117.186 90.135) (width 0.254) (layer "F.Cu") (net 2) (tstamp 0e796b45-cf93-4016-8c02-1eb122c9df0b)) (segment (start 136.221 11.443) (end 136.221 10.083) (width 0.254) (layer "F.Cu") (net 2) (tstamp 0eafe0f6-97a2-45d8-b4da-2238c54188bb)) @@ -17875,7 +27126,7 @@ (segment (start 127.917 31.033) (end 127.917 32.103) (width 0.254) (layer "F.Cu") (net 2) (tstamp 645bc1bf-5247-4ce3-981e-5b37e7b0cc1c)) (segment (start 134.5328 85.7648) (end 135.128 86.36) (width 0.254) (layer "F.Cu") (net 2) (tstamp 6463ba30-e81d-4540-8452-aaf1bcb1d55c)) (segment (start 99.695 51.5118) (end 99.695 51.464133) (width 0.254) (layer "F.Cu") (net 2) (tstamp 64c7afc9-fa53-4749-942e-6b95a21015b6)) - (segment (start 86.8934 17.0614) (end 86.8934 15.9004) (width 0.254) (layer "F.Cu") (net 2) (tstamp 660525f8-69a8-4877-b037-2efba3e22ba8)) + (segment (start 84.5312 17.0614) (end 84.5312 15.9004) (width 0.254) (layer "F.Cu") (net 2) (tstamp 660525f8-69a8-4877-b037-2efba3e22ba8)) (segment (start 87.787452 57.163) (end 87.09113 56.466678) (width 0.254) (layer "F.Cu") (net 2) (tstamp 660efba0-e8a6-4c34-8135-f072158c519a)) (segment (start 129.187 34.897) (end 129.54 34.544) (width 0.254) (layer "F.Cu") (net 2) (tstamp 663fbbe5-805b-40eb-8968-cc934fce06f3)) (segment (start 30.963 40.234) (end 31.242 40.513) (width 0.254) (layer "F.Cu") (net 2) (tstamp 669224fa-538f-499a-8683-39e124bebc97)) @@ -17903,7 +27154,7 @@ (segment (start 117.77 82.403) (end 117.77 82.49099) (width 0.254) (layer "F.Cu") (net 2) (tstamp 70490a76-2a8f-490f-98f9-d5fce5ba7b22)) (segment (start 156.99 21.717) (end 156.99 21.354) (width 0.254) (layer "F.Cu") (net 2) (tstamp 707be505-e994-4985-855a-5303a27871b6)) (segment (start 127.46 15.728) (end 126.639 15.728) (width 0.254) (layer "F.Cu") (net 2) (tstamp 70914c14-f0e0-4f8b-809b-af0efad08d9b)) - (segment (start 97.917 17.0868) (end 97.917 15.875) (width 0.254) (layer "F.Cu") (net 2) (tstamp 70b31b7a-5487-488b-993d-97e4477d0ce1)) + (segment (start 95.5548 17.0868) (end 95.5548 15.875) (width 0.254) (layer "F.Cu") (net 2) (tstamp 70b31b7a-5487-488b-993d-97e4477d0ce1)) (segment (start 100.8634 27.3304) (end 100.838 27.305) (width 0.254) (layer "F.Cu") (net 2) (tstamp 70d0ec7e-a51a-4b23-a0b3-c25cd79e8587)) (segment (start 50.7902 15.1052) (end 50.7902 16.8812) (width 0.254) (layer "F.Cu") (net 2) (tstamp 71526ffb-d4f1-458f-8d36-cdd72bb03244)) (segment (start 136.221 11.443) (end 136.221 12.777) (width 0.254) (layer "F.Cu") (net 2) (tstamp 7425c92c-24d6-4afc-baf8-82177b2b185b)) @@ -17921,7 +27172,7 @@ (segment (start 104.2292 53.0228) (end 105.0922 53.0228) (width 0.254) (layer "F.Cu") (net 2) (tstamp 793ccf55-5a8b-45fd-b4b1-e36bbff91ec3)) (segment (start 94.488 25.973) (end 94.488 27.305) (width 0.254) (layer "F.Cu") (net 2) (tstamp 794978fe-78aa-406a-bfe3-f839193d2c57)) (segment (start 129.560636 90.9504) (end 129.160118 90.549882) (width 0.254) (layer "F.Cu") (net 2) (tstamp 79dab7c7-35dc-4a13-beb3-58b1413e9f11)) - (segment (start 86.8934 17.0614) (end 87.6734 16.2814) (width 0.254) (layer "F.Cu") (net 2) (tstamp 7a15d6c4-2144-411a-96a4-45c18a0e6b2e)) + (segment (start 84.5312 17.0614) (end 85.3112 16.2814) (width 0.254) (layer "F.Cu") (net 2) (tstamp 7a15d6c4-2144-411a-96a4-45c18a0e6b2e)) (segment (start 148.9408 17.2522) (end 147.9748 17.2522) (width 0.254) (layer "F.Cu") (net 2) (tstamp 7a736526-03ac-4672-ab34-4d9909eaed97)) (segment (start 129.9868 87.9024) (end 129.1774 87.9024) (width 0.254) (layer "F.Cu") (net 2) (tstamp 7ad0c7fd-a613-442e-8268-449cb93e7b93)) (segment (start 6.6384 42.5876) (end 5.7234 41.6726) (width 0.254) (layer "F.Cu") (net 2) (tstamp 7b467a83-f201-4e44-b790-2190acf69efd)) @@ -17960,7 +27211,7 @@ (segment (start 156.99 21.717) (end 156.337 21.717) (width 0.254) (layer "F.Cu") (net 2) (tstamp 8accb9dd-f221-40e9-9311-2de544459944)) (segment (start 119.535 84.8925) (end 119.507 84.9205) (width 0.254) (layer "F.Cu") (net 2) (tstamp 8b39a4b5-8e38-4e9c-b9aa-fcb4ab793c1d)) (segment (start 133.7413 85.1148) (end 134.8988 85.1148) (width 0.254) (layer "F.Cu") (net 2) (tstamp 8cd0b3de-b550-470a-bd80-00e24e5f5d76)) - (segment (start 92.2274 17.2466) (end 92.2274 16.1544) (width 0.254) (layer "F.Cu") (net 2) (tstamp 8cf9a4cc-3592-4d7a-904e-0a0f26d7a295)) + (segment (start 89.8652 17.2466) (end 89.8652 16.1544) (width 0.254) (layer "F.Cu") (net 2) (tstamp 8cf9a4cc-3592-4d7a-904e-0a0f26d7a295)) (segment (start 89.9922 41.3744) (end 89.9922 40.6501) (width 0.254) (layer "F.Cu") (net 2) (tstamp 8dac7f54-23e7-41d3-80fa-8ed6fdffac9f)) (segment (start 12.637 51.88) (end 12.573 51.816) (width 0.254) (layer "F.Cu") (net 2) (tstamp 8f00f4b0-ec1a-4054-bd12-3f0cee3360d4)) (segment (start 148.9408 15.7018) (end 148.9408 15.7782) (width 0.254) (layer "F.Cu") (net 2) (tstamp 8fb98546-a52a-4eee-b0ce-b484091d2778)) @@ -17987,11 +27238,11 @@ (segment (start 128.806 26.891) (end 128.806 26.063) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9a91ed57-a1ad-44b3-bf91-d50f1495cfb3)) (segment (start 133.632 34.765) (end 134.333 34.765) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9b3a2b5d-a153-4413-aaa0-ddcfff1b325a)) (segment (start 117.6808 80.8278) (end 117.6808 80.816575) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9b50a013-c339-4444-ab27-d54171036911)) - (segment (start 87.6734 16.2814) (end 89.9922 16.2814) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9c5ce61d-c513-4c85-8c64-428a75b5ddba)) + (segment (start 85.3112 16.2814) (end 87.63 16.2814) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9c5ce61d-c513-4c85-8c64-428a75b5ddba)) (segment (start 133.7413 88.3648) (end 134.811004 88.3648) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9ca6b76c-c77c-4f2b-8468-19757c0fd815)) (segment (start 156.99 21.717) (end 156.21 21.717) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9cbc345b-402e-44ec-96cd-81934b03da44)) (segment (start 87.9602 46.8354) (end 87.9602 47.7012) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9cbf9c34-934d-429a-83ca-270b81bc6d25)) - (segment (start 92.2274 16.1544) (end 92.202 16.129) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9cc0601a-f20c-4f6b-86ef-d796c480efe8)) + (segment (start 89.8652 16.1544) (end 89.8398 16.129) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9cc0601a-f20c-4f6b-86ef-d796c480efe8)) (segment (start 77.2414 54.7186) (end 77.2414 53.8734) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9d4ca2e3-5b64-4033-9970-8cfdd5692e1c)) (segment (start 67.056 38.989) (end 67.056 38.646) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9d570091-24b6-443a-b14f-33400fa98d3c)) (segment (start 156.99 26.271) (end 155.702 27.559) (width 0.254) (layer "F.Cu") (net 2) (tstamp 9e384dbe-1801-4bf6-bba0-602d60d57aa9)) @@ -18056,7 +27307,7 @@ (segment (start 147.32 33.02) (end 147.32 35.052) (width 0.254) (layer "F.Cu") (net 2) (tstamp bc79a29c-1fc2-4f49-8ffc-4bbd4bb9ea8d)) (segment (start 115.6412 80.8278) (end 116.205 80.264) (width 0.254) (layer "F.Cu") (net 2) (tstamp bd743a3b-3fdb-4703-b80c-b31220cabe84)) (segment (start 149.652 9.825) (end 149.652 10.574) (width 0.254) (layer "F.Cu") (net 2) (tstamp bd7b5b6b-9350-4b48-af24-284b1b294b04)) - (segment (start 95.25 16.2814) (end 94.4626 16.2814) (width 0.254) (layer "F.Cu") (net 2) (tstamp be0ca725-85cc-4458-b049-51eaa5eea1c5)) + (segment (start 92.8878 16.2814) (end 92.1004 16.2814) (width 0.254) (layer "F.Cu") (net 2) (tstamp be0ca725-85cc-4458-b049-51eaa5eea1c5)) (segment (start 148.971 22.352) (end 149.8552 23.2362) (width 0.254) (layer "F.Cu") (net 2) (tstamp bf0cd441-945e-41ea-a9b5-dc82f61c8bc6)) (segment (start 84.2544 81.8616) (end 84.201 81.915) (width 0.254) (layer "F.Cu") (net 2) (tstamp bf699922-f449-479d-b3db-2c42c9321989)) (segment (start 113.377 13.061) (end 112.542 13.061) (width 0.254) (layer "F.Cu") (net 2) (tstamp bf75cee6-2447-4a31-9404-bd5142fdebd0)) @@ -18066,7 +27317,7 @@ (segment (start 139.171 12.476498) (end 139.521502 12.827) (width 0.254) (layer "F.Cu") (net 2) (tstamp c0e90ec6-9b75-4e89-9a34-bddf96a4d17c)) (segment (start 18.366 53.086) (end 17.653 53.086) (width 0.254) (layer "F.Cu") (net 2) (tstamp c1c7deea-4423-4f58-8715-c89e669eb06f)) (segment (start 4.381 9.652) (end 5.207 9.652) (width 0.254) (layer "F.Cu") (net 2) (tstamp c1ee7e33-0c66-4316-89bf-a6e1cdff1881)) - (segment (start 92.2274 14.8336) (end 92.202 14.859) (width 0.254) (layer "F.Cu") (net 2) (tstamp c2776d99-61b1-4a7b-bfaa-030098346522)) + (segment (start 89.8652 14.8336) (end 89.8398 14.859) (width 0.254) (layer "F.Cu") (net 2) (tstamp c2776d99-61b1-4a7b-bfaa-030098346522)) (segment (start 15.104 83.049) (end 16.002 83.947) (width 0.254) (layer "F.Cu") (net 2) (tstamp c2d610b3-3f3e-4d38-8397-78fad248cb7d)) (segment (start 147.32 63.5) (end 147.32 61.341) (width 0.254) (layer "F.Cu") (net 2) (tstamp c2f4968b-ae18-404c-a17f-dc02cb508424)) (segment (start 134.333 34.765) (end 134.62 35.052) (width 0.254) (layer "F.Cu") (net 2) (tstamp c2f674b8-45a7-4980-8926-facf7d850c9e)) @@ -18096,7 +27347,7 @@ (segment (start 126.393 84.8166) (end 126.393 85.697) (width 0.254) (layer "F.Cu") (net 2) (tstamp ce89e428-69ca-4e07-86b3-3bde883cc9ca)) (segment (start 126.7356 68.5346) (end 126.7356 69.4586) (width 0.254) (layer "F.Cu") (net 2) (tstamp cf066f46-c170-46e9-8a48-ac27ce4e6aa4)) (segment (start 95.758 30.923) (end 95.758 29.464) (width 0.254) (layer "F.Cu") (net 2) (tstamp cf23f857-f841-4377-bd75-35ebcc082ca6)) - (segment (start 86.8934 15.9004) (end 86.868 15.875) (width 0.254) (layer "F.Cu") (net 2) (tstamp cf322d16-d3b2-4277-8ed4-60b9834d25ba)) + (segment (start 84.5312 15.9004) (end 84.5058 15.875) (width 0.254) (layer "F.Cu") (net 2) (tstamp cf322d16-d3b2-4277-8ed4-60b9834d25ba)) (segment (start 148.844 15.875) (end 148.082 15.875) (width 0.254) (layer "F.Cu") (net 2) (tstamp cf6efba7-57d5-4996-afc6-2fa7ffcc2346)) (segment (start 49.43583 42.672) (end 49.825 42.28283) (width 0.254) (layer "F.Cu") (net 2) (tstamp d021b7c9-da38-469b-9f4d-db71d8709738)) (segment (start 80.518 41.91) (end 80.4957 41.9323) (width 0.254) (layer "F.Cu") (net 2) (tstamp d029a0e3-4986-49bb-b20a-14d60e55b952)) @@ -18137,7 +27388,7 @@ (segment (start 121.4374 29.096) (end 122.288 29.096) (width 0.254) (layer "F.Cu") (net 2) (tstamp de16c2c3-dccd-4847-99fc-21d05d63224e)) (segment (start 80.0004 73.1616) (end 79.883 73.279) (width 0.254) (layer "F.Cu") (net 2) (tstamp de18d450-49af-4638-b5a7-d85cebf1a9b9)) (segment (start 149.86 63.5) (end 149.86 61.341) (width 0.254) (layer "F.Cu") (net 2) (tstamp de807132-0f88-4d7b-958b-069eee95df02)) - (segment (start 96.0554 17.0868) (end 95.25 16.2814) (width 0.254) (layer "F.Cu") (net 2) (tstamp de9aabb4-d229-4af6-b1bc-21b5e18728ce)) + (segment (start 93.6932 17.0868) (end 92.8878 16.2814) (width 0.254) (layer "F.Cu") (net 2) (tstamp de9aabb4-d229-4af6-b1bc-21b5e18728ce)) (segment (start 144.5918 82.022) (end 143.657 82.022) (width 0.254) (layer "F.Cu") (net 2) (tstamp deeac30e-e559-4926-aba6-b6d7535bda1f)) (segment (start 33.302 34.772) (end 32.29295 34.772) (width 0.254) (layer "F.Cu") (net 2) (tstamp df42066d-357a-43f6-aac3-ff4e4498f286)) (segment (start 122.288 29.096) (end 122.428 28.956) (width 0.254) (layer "F.Cu") (net 2) (tstamp df442a18-8396-45dd-a0cd-f3c611df2de0)) @@ -18186,7 +27437,7 @@ (segment (start 126.639 15.728) (end 126.492 15.875) (width 0.254) (layer "F.Cu") (net 2) (tstamp f57285ab-5e28-4142-8304-7c3af224db81)) (segment (start 115.346622 63.1114) (end 114.883011 63.575011) (width 0.254) (layer "F.Cu") (net 2) (tstamp f5818943-fb46-4f7e-bfec-45bdb71c2a58)) (segment (start 112.542 13.061) (end 112.014 13.589) (width 0.254) (layer "F.Cu") (net 2) (tstamp f5efb030-2d06-41ce-a76d-a15f76666e11)) - (segment (start 92.2274 13.2842) (end 92.2274 14.8336) (width 0.254) (layer "F.Cu") (net 2) (tstamp f65f54fc-a433-4c1d-8383-b6166b43fecc)) + (segment (start 89.8652 13.2842) (end 89.8652 14.8336) (width 0.254) (layer "F.Cu") (net 2) (tstamp f65f54fc-a433-4c1d-8383-b6166b43fecc)) (segment (start 35.5608 61.941) (end 35.5608 62.6118) (width 0.254) (layer "F.Cu") (net 2) (tstamp f6d0f580-69cc-4ebc-8d0a-3e0237cbfe34)) (segment (start 109.2368 10.0498) (end 109.22 10.033) (width 0.254) (layer "F.Cu") (net 2) (tstamp f7a5b057-af34-4ba7-91e3-3a3babd469ed)) (segment (start 96.139 59.7727) (end 96.7903 60.424) (width 0.254) (layer "F.Cu") (net 2) (tstamp f7f42fad-6b29-482b-a071-8022a04aa431)) @@ -18273,7 +27524,7 @@ (via (at 109.22 10.033) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2295a164-aa85-4079-82bb-981b2d390a9d)) (via (at 143.256 15.748) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 229759bc-72c5-4c8a-9c5b-d8ede473266d)) (via (at 25.654 56.515) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2415a179-9842-4a81-b949-f02d3d4545b5)) - (via (at 92.202 14.859) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 241a7c45-dfd2-4aac-93f2-55bb1f186096)) + (via (at 89.8398 14.859) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 241a7c45-dfd2-4aac-93f2-55bb1f186096)) (via (at 139.7 29.7535) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 24925427-c125-4dd1-a4c7-a959708c0c8c)) (via (at 141.732 93.091) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 249985ce-6b61-488f-9552-b5881c9692df)) (via (at 5.461 90.297) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 24d6b51d-ce7a-4a42-92d3-5ef35b80eab4)) @@ -18356,7 +27607,7 @@ (via (at 155.702 24.511) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5a3886d5-68b5-4c4a-939c-db7501e98e48)) (via (at 127.508 32.512) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5be001fe-4b50-49b9-ad40-9745b0533527)) (via (at 95.25 51.308) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5c60d88f-5134-43b6-924e-2328bbe40a7f)) - (via (at 97.917 15.875) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5e9f487d-f47c-4834-993b-f07ff158c4b6)) + (via (at 95.5548 15.875) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5e9f487d-f47c-4834-993b-f07ff158c4b6)) (via (at 155.702 27.559) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5eb6f4a8-2b6a-465f-937f-1fdda398f383)) (via (at 90.037787 86.98605) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5ec1ea03-a970-4ff2-a1cc-e69ee25b0fb2)) (via (at 103.505 50.6831) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f0e1f69-dfde-42a8-8cc2-fbe7122fd439)) @@ -18431,7 +27682,7 @@ (via (at 118.364 74.803) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7f930d14-fb93-45ac-93dc-d69afd0cff39)) (via (at 68.834 43.18) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 80ec16cd-6f7b-46a9-979e-c39a617ef981)) (via (at 29.21 64.008) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 816dc816-f30f-46c8-affe-782f55ce8bd6)) - (via (at 92.202 16.129) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 81d7edff-7e07-4a36-9049-0f83cb32feac)) + (via (at 89.8398 16.129) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 81d7edff-7e07-4a36-9049-0f83cb32feac)) (via (at 107.188 63.57779) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 820a6a14-308a-4299-b9ea-0993e33f0e13)) (via (at 152.273 16.129) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8268d691-f20c-4c68-be7f-32191977247e)) (via (at 116.459 75.438) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 82d19a17-225e-4e37-ad91-acb309a0f429)) @@ -18592,7 +27843,7 @@ (via (at 95.25 39.751) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp dee920c4-497d-49af-a6f6-39e31966dbee)) (via (at 29.4894 49.4538) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp df97ebeb-dedc-4737-a994-acd74eb7be82)) (via (at 16.002 84.963) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e02ba5ac-f972-434a-921e-9d59a89da7e0)) - (via (at 86.868 15.875) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e0e34e6a-1329-476c-a2c7-2f1d5e08cc31)) + (via (at 84.5058 15.875) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e0e34e6a-1329-476c-a2c7-2f1d5e08cc31)) (via (at 151.003 16.891) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp e1ce9753-19b4-4f9c-9a41-61a0647b4809)) (via (at 38.406583 28.728255) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e1fd300f-8326-45f7-a909-e61f05ef96dd)) (via (at 129.54 34.544) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e259fc57-509c-4106-affe-b3a2adc1c21a)) @@ -18652,19 +27903,21 @@ (via (at 103.886 70.358) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp fcb0ed18-f66f-4aaf-b7cf-4a8f2db8083a)) (via (at 147.32 61.341) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp fdbdf00f-5ac2-4e2b-a256-44d149432292)) (via (at 109.601 70.993) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp ff71f3b8-5c65-4cfc-9bad-f5fed7ec2d03)) - (segment (start 92.1258 18.5928) (end 92.583 19.05) (width 0.254) (layer "F.Cu") (net 3) (tstamp 0e1d12b7-112a-4293-9000-3b55c0c38080)) - (segment (start 84.074 17.8054) (end 84.8614 18.5928) (width 0.254) (layer "F.Cu") (net 3) (tstamp 28e65b9b-99d9-4327-977e-a91b14af6c50)) - (segment (start 92.583 19.05) (end 92.583 21.7914) (width 0.254) (layer "F.Cu") (net 3) (tstamp 3cd4cee4-5d4a-496c-a885-03b68d60f235)) - (segment (start 96.7306 13.7414) (end 97.536 12.936) (width 0.254) (layer "F.Cu") (net 3) (tstamp 50ce74d3-05e8-4808-8c48-7d9a04d9a575)) - (segment (start 92.583 21.7914) (end 91.4382 22.9362) (width 0.254) (layer "F.Cu") (net 3) (tstamp 5c688436-1285-41d0-90e1-f14b681b4d0d)) - (segment (start 84.8106 11.4046) (end 84.074 12.1412) (width 0.254) (layer "F.Cu") (net 3) (tstamp 6e3740bd-056f-46c3-9678-e45ff4436e9f)) - (segment (start 84.074 12.1412) (end 84.074 17.8054) (width 0.254) (layer "F.Cu") (net 3) (tstamp 78178ca1-b94f-49ee-8623-bd13f20970e3)) - (segment (start 84.8614 18.5928) (end 92.1258 18.5928) (width 0.254) (layer "F.Cu") (net 3) (tstamp cec29ec6-4388-4ae1-abfe-6d20ecdef0eb)) - (segment (start 97.917 12.9868) (end 96.3348 11.4046) (width 0.254) (layer "F.Cu") (net 3) (tstamp ee89cf79-7530-40ab-927f-d44df47506e1)) - (segment (start 96.3348 11.4046) (end 84.8106 11.4046) (width 0.254) (layer "F.Cu") (net 3) (tstamp f212998d-28c5-4289-b7ab-589cca9e7698)) + (segment (start 95.5548 12.3698) (end 94.615 11.43) (width 0.254) (layer "F.Cu") (net 3) (tstamp 26d0cc72-1025-4843-9dba-1bc646c2da70)) + (segment (start 92.71 19.177) (end 92.71 22.225) (width 0.254) (layer "F.Cu") (net 3) (tstamp 42cdb3bb-51dc-4ba8-b349-5839a033d2cd)) + (segment (start 94.3684 13.7414) (end 95.1738 12.936) (width 0.254) (layer "F.Cu") (net 3) (tstamp 50ce74d3-05e8-4808-8c48-7d9a04d9a575)) + (segment (start 82.677 11.43) (end 81.915 12.192) (width 0.254) (layer "F.Cu") (net 3) (tstamp 6f162c95-4b09-4336-80c0-9768f19e90d3)) + (segment (start 91.948 18.415) (end 92.71 19.177) (width 0.254) (layer "F.Cu") (net 3) (tstamp 7c54e53b-3b04-41ca-a8f6-52571a3c0ee1)) + (segment (start 91.9988 22.9362) (end 91.4382 22.9362) (width 0.254) (layer "F.Cu") (net 3) (tstamp 80b779f3-8f28-4e56-8651-4c5e1593031a)) + (segment (start 95.5548 12.9868) (end 95.5548 12.3698) (width 0.254) (layer "F.Cu") (net 3) (tstamp 8d0a04e9-7e33-464e-9056-01d424239677)) + (segment (start 82.6135 18.415) (end 91.948 18.415) (width 0.254) (layer "F.Cu") (net 3) (tstamp aec37676-6cc8-4df7-b907-0e7767007c51)) + (segment (start 81.915 17.7165) (end 82.6135 18.415) (width 0.254) (layer "F.Cu") (net 3) (tstamp b3c0e9ce-de62-4cf5-a432-785d575f1c14)) + (segment (start 92.71 22.225) (end 91.9988 22.9362) (width 0.254) (layer "F.Cu") (net 3) (tstamp b4edabe8-3e89-4e59-b669-1348168d76b3)) + (segment (start 94.615 11.43) (end 82.677 11.43) (width 0.254) (layer "F.Cu") (net 3) (tstamp c8e3c21a-40e0-459c-a297-6a5d7ae0b6e9)) (segment (start 91.948 23.446) (end 91.948 25.973) (width 0.254) (layer "F.Cu") (net 3) (tstamp f46695bb-f0e5-4779-973f-df18c563d46c)) + (segment (start 81.915 12.192) (end 81.915 17.7165) (width 0.254) (layer "F.Cu") (net 3) (tstamp f68eb188-8a88-4e20-89db-f8dde9222448)) (segment (start 91.4382 22.9362) (end 91.948 23.446) (width 0.254) (layer "F.Cu") (net 3) (tstamp fcf5ecf1-12cd-4c43-b824-e413e0a17bdc)) - (segment (start 94.4626 13.7414) (end 96.7306 13.7414) (width 0.254) (layer "F.Cu") (net 3) (tstamp ffa87561-5b96-4e4c-94cd-bbf6462da940)) + (segment (start 92.1004 13.7414) (end 94.3684 13.7414) (width 0.254) (layer "F.Cu") (net 3) (tstamp ffa87561-5b96-4e4c-94cd-bbf6462da940)) (segment (start 107.462 29.0884) (end 108.3696 28.1808) (width 0.254) (layer "F.Cu") (net 4) (tstamp 329cc313-0cc4-4e52-857a-187543241230)) (segment (start 107.1614 29.0884) (end 107.462 29.0884) (width 0.254) (layer "F.Cu") (net 4) (tstamp 4fcc618a-d27b-45ec-b8bb-c2a74837b492)) (segment (start 106.8874 29.3624) (end 107.1614 29.0884) (width 0.254) (layer "F.Cu") (net 4) (tstamp 54c79cf2-a4c9-4b34-a3cb-cefbcc694c6b)) @@ -18722,45 +27975,45 @@ (segment (start 111.1126 14.7828) (end 111.5314 14.7828) (width 0.254) (layer "F.Cu") (net 8) (tstamp 36566243-aebd-4044-8d55-c6242a47f7f3)) (segment (start 116.8314 34.451) (end 116.8314 29.571) (width 0.254) (layer "F.Cu") (net 8) (tstamp 47d86db8-ea84-430d-8413-c3781c294491)) (segment (start 116.5098 34.7726) (end 116.8314 34.451) (width 0.254) (layer "F.Cu") (net 8) (tstamp 53bfe7c4-12e5-4fc4-bc9f-640ccf5f1286)) - (segment (start 115.852 13.0784) (end 115.852 12.426) (width 0.254) (layer "F.Cu") (net 8) (tstamp 5785f3b1-c0a5-47b0-9345-ff0fc625cfcb)) (segment (start 128.169 22.481) (end 127.143 21.455) (width 0.254) (layer "F.Cu") (net 8) (tstamp 66992622-580f-4d15-b6c0-76af0589db9c)) (segment (start 128.2252 23.2918) (end 128.169 23.348) (width 0.254) (layer "F.Cu") (net 8) (tstamp 6a79e40e-5e0f-4ff9-821e-7c668aef7e4f)) (segment (start 129.888 24.732) (end 130.048 24.892) (width 0.254) (layer "F.Cu") (net 8) (tstamp 82cd410e-6049-4ed5-b275-e9f1515e9b8a)) - (segment (start 115.2652 13.6652) (end 115.852 13.0784) (width 0.254) (layer "F.Cu") (net 8) (tstamp 9d04056b-0a37-4f4a-b42b-6df998a4a7bc)) + (segment (start 115.852 12.8752) (end 115.852 12.426) (width 0.254) (layer "F.Cu") (net 8) (tstamp a95296bf-d569-4588-b742-0015328fd58d)) (segment (start 128.169 23.348) (end 128.169 22.481) (width 0.254) (layer "F.Cu") (net 8) (tstamp b0557a62-2b71-4a64-9c67-768f9f58ff63)) (segment (start 113.7704 33.127) (end 113.7704 34.3192) (width 0.254) (layer "F.Cu") (net 8) (tstamp b7a9a40c-5373-4fb6-9482-11d10d2cc9e7)) (segment (start 113.7704 32.062) (end 114.3564 31.476) (width 0.254) (layer "F.Cu") (net 8) (tstamp b7f96585-4e8b-4a0b-8487-0e44f84c4084)) + (segment (start 115.2652 13.462) (end 115.852 12.8752) (width 0.254) (layer "F.Cu") (net 8) (tstamp c1784c84-b816-4778-8b35-0d0ff09a2fc2)) (segment (start 111.5314 14.7828) (end 111.9832 14.331) (width 0.254) (layer "F.Cu") (net 8) (tstamp ca1da8e3-4c49-40c0-a7c3-5fedd3a6ab19)) (segment (start 111.9832 14.331) (end 113.377 14.331) (width 0.254) (layer "F.Cu") (net 8) (tstamp ceb4952e-5ea4-4867-8637-e5bd01308657)) (segment (start 111.1126 15.6086) (end 111.252 15.748) (width 0.254) (layer "F.Cu") (net 8) (tstamp e52a69d1-00d8-4877-ad07-0700d8312c9e)) (segment (start 113.7704 34.3192) (end 114.2238 34.7726) (width 0.254) (layer "F.Cu") (net 8) (tstamp f748418c-718d-44d2-88ee-0b01f4787605)) - (via (at 130.048 20.828) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 0e5a2e4b-67d4-43f9-87e7-6157a0eff2dd)) - (via (at 116.332 28.448) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 1a8878db-5fd9-4f6b-bf7e-b4778232953e)) - (via (at 116.332 12.7) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 2211b5c8-bb4e-4c12-9bee-77d7a24e2f92)) + (via (at 130.048 20.701) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 0e5a2e4b-67d4-43f9-87e7-6157a0eff2dd)) + (via (at 116.332 28.575) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 1a8878db-5fd9-4f6b-bf7e-b4778232953e)) + (via (at 116.332 12.446) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 2211b5c8-bb4e-4c12-9bee-77d7a24e2f92)) (via (at 85.852 66.04) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 8) (tstamp 26a40147-785d-48f0-a782-98e55b659238)) (via (at 82.804 66.04) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 8) (tstamp 29bcfc3d-2501-4634-bca5-12766a4b5cc7)) - (via (at 116.332 11.684) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 2bb8bb09-ca41-4098-902b-7fefd3732033)) - (via (at 116.332 13.716) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 2d917aa1-cbf3-4699-b408-867ac737a314)) - (via (at 117.348 29.464) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 4db61d93-6738-40c4-82c9-565001d7de13)) - (via (at 117.348 28.448) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 563552ed-0170-45d6-ac9e-82a4199febea)) - (via (at 117.348 30.48) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 6f8a166a-d714-45f4-a1eb-60c95ce7bf24)) - (via (at 115.316 11.684) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 75a9d47f-86e9-4f43-ba2e-a064efaf7603)) - (via (at 115.316 12.7) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 7ba10f19-3431-4dcf-8430-5fe2f34820e8)) + (via (at 116.332 11.43) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 2bb8bb09-ca41-4098-902b-7fefd3732033)) + (via (at 116.332 13.462) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 2d917aa1-cbf3-4699-b408-867ac737a314)) + (via (at 117.348 29.591) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 4db61d93-6738-40c4-82c9-565001d7de13)) + (via (at 117.348 28.575) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 563552ed-0170-45d6-ac9e-82a4199febea)) + (via (at 117.348 30.607) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 6f8a166a-d714-45f4-a1eb-60c95ce7bf24)) + (via (at 115.316 11.43) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 75a9d47f-86e9-4f43-ba2e-a064efaf7603)) + (via (at 115.316 12.446) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 7ba10f19-3431-4dcf-8430-5fe2f34820e8)) (via (at 82.804 67.056) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 8) (tstamp 8c335efd-f12b-4158-b60f-3275db1bdfac)) - (via (at 129.032 18.796) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 8e8b00f2-9f14-48c4-b33f-073f337612ec)) + (via (at 129.032 18.669) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp 8e8b00f2-9f14-48c4-b33f-073f337612ec)) (via (at 84.328 67.056) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 8) (tstamp 93d44f30-7c8c-462a-ae0b-f6935f963e93)) - (via (at 116.332 29.464) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp ab609041-f941-4c90-a13c-0e4efac7d1c4)) - (via (at 129.032 20.828) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp b3f617c1-3976-4b30-a6ad-24b4c437a935)) - (via (at 129.032 19.812) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp b72abaf2-80e4-4c4e-a9de-ce8ab8ab3534)) + (via (at 116.332 29.591) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp ab609041-f941-4c90-a13c-0e4efac7d1c4)) + (via (at 129.032 20.701) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp b3f617c1-3976-4b30-a6ad-24b4c437a935)) + (via (at 129.032 19.685) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp b72abaf2-80e4-4c4e-a9de-ce8ab8ab3534)) (via (at 84.328 66.04) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 8) (tstamp bf1d9f36-897f-487e-91d1-896cd15dccfb)) - (via (at 115.2652 13.6652) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp bfc2eaed-00b0-405e-9b1a-fb4bf8141546)) + (via (at 115.2652 13.462) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp bfc2eaed-00b0-405e-9b1a-fb4bf8141546)) (via (at 81.28 67.056) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 8) (tstamp e58e8fca-86be-47b8-89d4-4b064d791e1d)) - (via (at 116.332 30.48) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp e77dd6b2-b5b1-4e17-9016-b0f0c5da9d1a)) + (via (at 116.332 30.607) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp e77dd6b2-b5b1-4e17-9016-b0f0c5da9d1a)) (via (at 111.252 15.748) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 8) (tstamp ef0e0927-4f70-4686-a534-df853931bf1d)) (via (at 85.852 67.056) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 8) (tstamp f516bdf6-0910-44fb-afaf-3bca54c2e8fa)) (via (at 130.048 24.892) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 8) (tstamp f6ed355e-3acd-4699-a4b0-7299d4fbe0e4)) - (via (at 130.048 18.796) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp f9ea742a-273b-4603-8bd9-07a00bcf8e6d)) - (via (at 130.048 19.812) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp fc3aa68f-776d-4ca3-be6b-a7a151a97d6a)) + (via (at 130.048 18.669) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp f9ea742a-273b-4603-8bd9-07a00bcf8e6d)) + (via (at 130.048 19.685) (size 0.45) (drill 0.2) (layers "F.Cu" "B.Cu") (net 8) (tstamp fc3aa68f-776d-4ca3-be6b-a7a151a97d6a)) (via (at 81.28 66.04) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 8) (tstamp fe58a82f-ba5b-4499-9956-1c5e5a0eff0d)) (segment (start 130.683 15.728) (end 130.937 15.982) (width 0.254) (layer "F.Cu") (net 9) (tstamp 0f5228a5-2de6-4173-9937-aa3e6940a658)) (segment (start 132.093 17.645) (end 133.2912 17.645) (width 0.254) (layer "F.Cu") (net 9) (tstamp 11fca727-efb5-4684-8f8a-7e95b27bb761)) @@ -20413,13 +29666,13 @@ (segment (start 88.288227 71.01453) (end 99.264897 81.9912) (width 0.0889) (layer "B.Cu") (net 193) (tstamp a8988a4a-ab16-4411-b5b6-cd40eb4fccec)) (segment (start 55.01474 35.356628) (end 55.01474 56.277299) (width 0.0889) (layer "B.Cu") (net 193) (tstamp b52aaf0d-7307-413a-b13d-e2b022ce9725)) (segment (start 132.712521 91.419891) (end 132.712521 91.414087) (width 0.0889) (layer "B.Cu") (net 193) (tstamp fc7f6c5c-9a40-4ee7-bfa7-f8f2cd6a027a)) - (segment (start 100.1522 17.9578) (end 99.6188 18.4912) (width 0.254) (layer "F.Cu") (net 194) (tstamp 3c70c199-2ee4-4f56-8b40-73d904f0f76c)) - (segment (start 93.218 19.05) (end 93.218 25.973) (width 0.254) (layer "F.Cu") (net 194) (tstamp 69c703dc-29c2-4287-8d56-bb5fecc63a48)) - (segment (start 93.7768 18.4912) (end 93.218 19.05) (width 0.254) (layer "F.Cu") (net 194) (tstamp 73f0ace7-20c9-4e1c-a8c6-7cebdfaa8cb4)) - (segment (start 100.1522 15.5194) (end 100.1522 17.9578) (width 0.254) (layer "F.Cu") (net 194) (tstamp 8be8b763-c9d8-4619-b564-84a6553940b8)) - (segment (start 99.6188 18.4912) (end 93.7768 18.4912) (width 0.254) (layer "F.Cu") (net 194) (tstamp 919c90e2-507c-486e-9e24-9394d95e3278)) - (segment (start 99.6442 15.0114) (end 100.1522 15.5194) (width 0.254) (layer "F.Cu") (net 194) (tstamp c1d1b7c4-1bca-4506-98ef-7e1a4e69fbf0)) - (segment (start 94.4626 15.0114) (end 99.6442 15.0114) (width 0.254) (layer "F.Cu") (net 194) (tstamp e0d56bc3-775e-422d-84dc-8174c6281bac)) + (segment (start 93.98 18.415) (end 93.218 19.177) (width 0.254) (layer "F.Cu") (net 194) (tstamp 670ff29d-e87f-4529-a4f3-551ae7fbf265)) + (segment (start 97.155 18.415) (end 93.98 18.415) (width 0.254) (layer "F.Cu") (net 194) (tstamp 8dafc393-f786-410a-9502-c0251fdf4ce0)) + (segment (start 92.1004 15.0114) (end 97.0534 15.0114) (width 0.254) (layer "F.Cu") (net 194) (tstamp a0248d8a-1490-45df-a528-afb8f4008994)) + (segment (start 97.0534 15.0114) (end 97.79 15.748) (width 0.254) (layer "F.Cu") (net 194) (tstamp a1b7dcad-f12c-4029-96c6-b71aa0d2c316)) + (segment (start 93.218 19.177) (end 93.218 25.973) (width 0.254) (layer "F.Cu") (net 194) (tstamp ca601066-f172-4618-b508-40ec8fb4844f)) + (segment (start 97.79 17.78) (end 97.155 18.415) (width 0.254) (layer "F.Cu") (net 194) (tstamp db045216-882d-4ada-8573-9b8e152f0f8a)) + (segment (start 97.79 15.748) (end 97.79 17.78) (width 0.254) (layer "F.Cu") (net 194) (tstamp e058f367-5115-431c-872c-bdfdeb38fd0f)) (segment (start 33.7312 47.2186) (end 33.7312 43.561) (width 0.0889) (layer "F.Cu") (net 208) (tstamp 0c5a0156-70f9-4f51-9edf-5c450d54afd1)) (segment (start 34.0168 53.7195) (end 34.33383 53.40247) (width 0.0889) (layer "F.Cu") (net 208) (tstamp 1e885003-2329-4c37-8337-7ca9d1191736)) (segment (start 33.8836 47.371) (end 33.7312 47.2186) (width 0.0889) (layer "F.Cu") (net 208) (tstamp 65e13236-7779-444c-8a94-7f0bd6e3655e)) @@ -27942,30 +37195,30 @@ (xy 39.116 91.948) (xy 39.116 85.344) (xy 42.799 85.344) - (xy 42.799 72.39) - (xy 30.353 72.39) + (xy 42.799 71.247) + (xy 30.353 71.247) (xy 30.353 75.946) (xy 28.194 75.946) - (xy 28.194 69.977) - (xy 45.339 69.977) + (xy 28.194 68.707) + (xy 45.339 68.707) ) ) (filled_polygon (layer "F.Cu") (pts - (xy 44.847188 69.978078) - (xy 44.951963 69.991872) - (xy 44.983735 70.000385) - (xy 45.073674 70.037639) - (xy 45.10216 70.054086) - (xy 45.179393 70.113349) - (xy 45.202651 70.136607) - (xy 45.261914 70.21384) - (xy 45.278361 70.242326) - (xy 45.315615 70.332265) - (xy 45.324128 70.364037) - (xy 45.337922 70.468812) - (xy 45.339 70.485258) + (xy 44.847188 68.708078) + (xy 44.951963 68.721872) + (xy 44.983735 68.730385) + (xy 45.073674 68.767639) + (xy 45.10216 68.784086) + (xy 45.179393 68.843349) + (xy 45.202651 68.866607) + (xy 45.261914 68.94384) + (xy 45.278361 68.972326) + (xy 45.315615 69.062265) + (xy 45.324128 69.094037) + (xy 45.337922 69.198812) + (xy 45.339 69.215258) (xy 45.339 89.153742) (xy 45.337922 89.170188) (xy 45.324128 89.274963) @@ -28044,26 +37297,26 @@ (xy 42.732013 85.094) (xy 42.781963 84.97341) (xy 42.799 84.844) - (xy 42.799 72.89) - (xy 42.781963 72.76059) - (xy 42.732013 72.64) - (xy 42.652553 72.536447) - (xy 42.549 72.456987) - (xy 42.541374 72.453828) - (xy 42.541372 72.453827) - (xy 42.436039 72.410197) - (xy 42.42841 72.407037) - (xy 42.299 72.39) - (xy 30.853 72.39) - (xy 30.72359 72.407037) - (xy 30.715961 72.410197) - (xy 30.610628 72.453827) - (xy 30.610626 72.453828) - (xy 30.603 72.456987) - (xy 30.499447 72.536447) - (xy 30.419987 72.64) - (xy 30.370037 72.76059) - (xy 30.353 72.89) + (xy 42.799 71.747) + (xy 42.781963 71.61759) + (xy 42.732013 71.497) + (xy 42.652553 71.393447) + (xy 42.549 71.313987) + (xy 42.541374 71.310828) + (xy 42.541372 71.310827) + (xy 42.436039 71.267197) + (xy 42.42841 71.264037) + (xy 42.299 71.247) + (xy 30.853 71.247) + (xy 30.72359 71.264037) + (xy 30.715961 71.267197) + (xy 30.610628 71.310827) + (xy 30.610626 71.310828) + (xy 30.603 71.313987) + (xy 30.499447 71.393447) + (xy 30.419987 71.497) + (xy 30.370037 71.61759) + (xy 30.353 71.747) (xy 30.353 75.437742) (xy 30.351922 75.454188) (xy 30.338128 75.558963) @@ -28092,21 +37345,21 @@ (xy 28.208872 75.558963) (xy 28.195078 75.454188) (xy 28.194 75.437742) - (xy 28.194 70.485258) - (xy 28.195078 70.468812) - (xy 28.208872 70.364037) - (xy 28.217385 70.332265) - (xy 28.254639 70.242326) - (xy 28.271086 70.21384) - (xy 28.330349 70.136607) - (xy 28.353607 70.113349) - (xy 28.43084 70.054086) - (xy 28.459326 70.037639) - (xy 28.549265 70.000385) - (xy 28.581037 69.991872) - (xy 28.685812 69.978078) - (xy 28.702258 69.977) - (xy 44.830742 69.977) + (xy 28.194 69.215258) + (xy 28.195078 69.198812) + (xy 28.208872 69.094037) + (xy 28.217385 69.062265) + (xy 28.254639 68.972326) + (xy 28.271086 68.94384) + (xy 28.330349 68.866607) + (xy 28.353607 68.843349) + (xy 28.43084 68.784086) + (xy 28.459326 68.767639) + (xy 28.549265 68.730385) + (xy 28.581037 68.721872) + (xy 28.685812 68.708078) + (xy 28.702258 68.707) + (xy 44.830742 68.707) ) ) ) @@ -42637,7 +51890,7 @@ (xy 42.133732 30.735992) (xy 42.13951 30.742865) (xy 42.13951 30.742866) - (xy 42.142101 30.745948) + (xy 42.141667 30.745432) (xy 42.222111 30.841132) (xy 42.336447 30.91724) (xy 42.467549 30.958199) @@ -42645,6 +51898,8 @@ (xy 42.614109 30.958199) (xy 42.728727 30.926951) (xy 42.737391 30.924589) + (xy 42.826516 30.869866) + (xy 42.846791 30.857417) (xy 42.854439 30.852721) (xy 42.946612 30.75089) (xy 42.950868 30.742107) @@ -42658,14 +51913,17 @@ (xy 43.247014 30.44935) (xy 43.288911 30.499193) (xy 43.403247 30.575301) - (xy 43.486683 30.601368) - (xy 43.515186 30.610273) + (xy 43.485767 30.601082) + (xy 43.520301 30.611871) (xy 43.534349 30.61626) (xy 43.671676 30.618777) (xy 43.680909 30.61626) (xy 43.795527 30.585012) (xy 43.804191 30.58265) (xy 43.921239 30.510782) + (xy 43.949171 30.479923) + (xy 44.007384 30.415611) + (xy 44.007385 30.41561) (xy 44.013412 30.408951) (xy 44.020403 30.394523) (xy 44.069385 30.293423) @@ -42679,7 +51937,7 @@ (xy 44.078032 30.010984) (xy 44.078032 30.010982) (xy 44.076759 30.002097) - (xy 44.070488 29.988304) + (xy 44.056285 29.957066) (xy 44.04025 29.921799) (xy 44.030264 29.851508) (xy 44.059864 29.786977) @@ -42687,15 +51945,13 @@ (xy 47.521605 29.783652) (xy 47.522769 29.792554) (xy 47.522769 29.792557) - (xy 47.529159 29.841419) + (xy 47.52415 29.803118) (xy 47.539414 29.919843) (xy 47.594732 30.045562) (xy 47.60051 30.052435) (xy 47.60051 30.052436) (xy 47.668427 30.133233) (xy 47.683111 30.150702) - (xy 47.690588 30.155679) - (xy 47.785659 30.218963) (xy 47.797447 30.22681) (xy 47.928549 30.267769) (xy 48.065876 30.270286) @@ -42706,12 +51962,12 @@ (xy 48.321463 30.155636) (xy 48.388119 30.081996) (xy 100.940729 30.081996) - (xy 100.948755 30.154694) + (xy 100.948348 30.151009) (xy 100.957013 30.229487) (xy 100.958113 30.239453) (xy 100.960723 30.246584) (xy 100.960723 30.246586) - (xy 100.999637 30.352923) + (xy 101.000096 30.354177) (xy 101.012553 30.388219) (xy 101.016789 30.394522) (xy 101.016789 30.394523) @@ -42734,205 +51990,210 @@ (xy 101.964855 30.638051) (xy 101.970626 30.633122) (xy 101.970629 30.63312) + (xy 102.00814 30.601082) + (xy 115.847605 30.601082) + (xy 115.848769 30.609984) + (xy 115.848769 30.609987) + (xy 115.852439 30.638051) + (xy 115.865414 30.737273) + (xy 115.920732 30.862992) + (xy 115.92651 30.869865) + (xy 115.92651 30.869866) + (xy 116.002877 30.960716) + (xy 116.009111 30.968132) + (xy 116.123447 31.04424) + (xy 116.254549 31.085199) + (xy 116.391876 31.087716) + (xy 116.401109 31.085199) + (xy 116.515727 31.053951) + (xy 116.524391 31.051589) + (xy 116.641439 30.979721) + (xy 116.66078 30.958354) + (xy 116.695571 30.919917) + (xy 116.733612 30.87789) + (xy 116.736406 30.872123) + (xy 116.790085 30.827658) + (xy 116.860567 30.819118) + (xy 116.924476 30.850038) + (xy 116.93589 30.8637) + (xy 116.936732 30.862992) + (xy 117.018739 30.960551) + (xy 117.025111 30.968132) + (xy 117.139447 31.04424) + (xy 117.270549 31.085199) + (xy 117.407876 31.087716) + (xy 117.417109 31.085199) + (xy 117.531727 31.053951) + (xy 117.540391 31.051589) + (xy 117.657439 30.979721) + (xy 117.674791 30.960551) + (xy 117.743584 30.88455) + (xy 117.743586 30.884547) + (xy 117.749612 30.87789) + (xy 117.761807 30.852721) + (xy 117.805585 30.762362) + (xy 117.805585 30.762361) + (xy 117.809499 30.754283) + (xy 117.832286 30.618836) + (xy 117.832351 30.613583) + (xy 117.832371 30.611871) + (xy 117.832431 30.607) + (xy 117.831625 30.601368) + (xy 117.814232 30.479923) + (xy 117.814232 30.479921) + (xy 117.812959 30.471036) + (xy 117.75611 30.346003) + (xy 117.666453 30.241951) + (xy 117.609206 30.204845) + (xy 117.562923 30.151009) + (xy 117.553092 30.080696) + (xy 117.582836 30.016231) + (xy 117.611809 29.991739) + (xy 117.649789 29.968419) + (xy 117.649793 29.968416) + (xy 117.657439 29.963721) + (xy 117.689715 29.928063) + (xy 117.743584 29.86855) + (xy 117.743586 29.868547) + (xy 117.749612 29.86189) + (xy 117.766956 29.826093) + (xy 117.805585 29.746362) + (xy 117.805585 29.746361) + (xy 117.809499 29.738283) + (xy 117.832286 29.602836) + (xy 117.832431 29.591) + (xy 117.831584 29.585082) + (xy 117.814232 29.463923) + (xy 117.814232 29.463921) + (xy 117.812959 29.455036) + (xy 117.75611 29.330003) + (xy 117.666453 29.225951) + (xy 117.609206 29.188845) + (xy 117.562923 29.135009) + (xy 117.553092 29.064696) + (xy 117.582836 29.000231) + (xy 117.611809 28.975739) + (xy 117.649789 28.952419) + (xy 117.649793 28.952416) + (xy 117.657439 28.947721) + (xy 117.671377 28.932323) + (xy 117.743584 28.85255) + (xy 117.743586 28.852547) + (xy 117.749612 28.84589) + (xy 117.759193 28.826116) + (xy 117.805585 28.730362) + (xy 117.805585 28.730361) + (xy 117.809499 28.722283) + (xy 117.832286 28.586836) + (xy 117.832431 28.575) + (xy 117.831584 28.569082) + (xy 117.814232 28.447923) + (xy 117.814232 28.447921) + (xy 117.812959 28.439036) + (xy 117.808757 28.429793) + (xy 117.774246 28.353892) + (xy 117.75611 28.314003) + (xy 117.666453 28.209951) + (xy 117.551196 28.135244) + (xy 117.419603 28.09589) + (xy 117.410627 28.095835) + (xy 117.410626 28.095835) + (xy 117.35308 28.095484) + (xy 117.282255 28.095051) + (xy 117.150192 28.132795) + (xy 117.142605 28.137582) + (xy 117.142603 28.137583) + (xy 117.08085 28.176546) + (xy 117.034031 28.206087) + (xy 116.943109 28.309036) + (xy 116.942478 28.310379) + (xy 116.891233 28.353892) + (xy 116.820861 28.36329) + (xy 116.756579 28.333151) + (xy 116.740157 28.313963) + (xy 116.74011 28.314003) + (xy 116.656314 28.216753) + (xy 116.650453 28.209951) + (xy 116.535196 28.135244) + (xy 116.403603 28.09589) + (xy 116.394627 28.095835) + (xy 116.394626 28.095835) + (xy 116.33708 28.095484) + (xy 116.266255 28.095051) + (xy 116.134192 28.132795) + (xy 116.126605 28.137582) + (xy 116.126603 28.137583) + (xy 116.06485 28.176546) + (xy 116.018031 28.206087) + (xy 115.927109 28.309036) + (xy 115.923295 28.317159) + (xy 115.923294 28.317161) + (xy 115.916096 28.332493) + (xy 115.868736 28.433366) + (xy 115.865077 28.456866) + (xy 115.848986 28.560209) + (xy 115.848986 28.560213) + (xy 115.847605 28.569082) + (xy 115.848769 28.577984) + (xy 115.848769 28.577987) + (xy 115.855155 28.626821) + (xy 115.865414 28.705273) + (xy 115.920732 28.830992) + (xy 115.92651 28.837865) + (xy 115.92651 28.837866) + (xy 116.003331 28.929256) + (xy 116.009111 28.936132) + (xy 116.016588 28.941109) + (xy 116.072171 28.978108) + (xy 116.117794 29.032505) + (xy 116.126765 29.102932) + (xy 116.096236 29.16703) + (xy 116.069588 29.189556) + (xy 116.025625 29.217295) + (xy 116.025622 29.217297) + (xy 116.018031 29.222087) + (xy 116.012088 29.228816) + (xy 115.997649 29.245165) + (xy 115.927109 29.325036) + (xy 115.923295 29.333159) + (xy 115.923294 29.333161) + (xy 115.90401 29.374235) + (xy 115.868736 29.449366) + (xy 115.864443 29.476939) + (xy 115.848986 29.576209) + (xy 115.848986 29.576213) + (xy 115.847605 29.585082) + (xy 115.848769 29.593984) + (xy 115.848769 29.593987) + (xy 115.852575 29.623092) + (xy 115.865414 29.721273) + (xy 115.920732 29.846992) + (xy 115.92651 29.853865) + (xy 115.92651 29.853866) + (xy 115.98591 29.924531) + (xy 116.009111 29.952132) + (xy 116.016588 29.957109) + (xy 116.072171 29.994108) + (xy 116.117794 30.048505) + (xy 116.126765 30.118932) + (xy 116.096236 30.18303) + (xy 116.069588 30.205556) + (xy 116.025625 30.233295) + (xy 116.025622 30.233297) + (xy 116.018031 30.238087) + (xy 115.927109 30.341036) + (xy 115.923295 30.349159) + (xy 115.923294 30.349161) + (xy 115.902217 30.394053) + (xy 115.868736 30.465366) + (xy 115.863469 30.499193) + (xy 115.848986 30.592209) + (xy 115.848986 30.592213) + (xy 115.847605 30.601082) + (xy 102.00814 30.601082) (xy 102.079536 30.540104) (xy 102.079536 30.540103) (xy 102.085314 30.535169) - (xy 102.12921 30.474082) - (xy 115.847605 30.474082) - (xy 115.848769 30.482984) - (xy 115.848769 30.482987) - (xy 115.854786 30.528995) - (xy 115.865414 30.610273) - (xy 115.920732 30.735992) - (xy 115.92651 30.742865) - (xy 115.92651 30.742866) - (xy 115.929101 30.745948) - (xy 116.009111 30.841132) - (xy 116.123447 30.91724) - (xy 116.254549 30.958199) - (xy 116.391876 30.960716) - (xy 116.401109 30.958199) - (xy 116.515727 30.926951) - (xy 116.524391 30.924589) - (xy 116.641439 30.852721) - (xy 116.733612 30.75089) - (xy 116.736406 30.745123) - (xy 116.790085 30.700658) - (xy 116.860567 30.692118) - (xy 116.924476 30.723038) - (xy 116.93589 30.7367) - (xy 116.936732 30.735992) - (xy 117.025111 30.841132) - (xy 117.139447 30.91724) - (xy 117.270549 30.958199) - (xy 117.407876 30.960716) - (xy 117.417109 30.958199) - (xy 117.531727 30.926951) - (xy 117.540391 30.924589) - (xy 117.657439 30.852721) - (xy 117.749612 30.75089) - (xy 117.753868 30.742107) - (xy 117.805585 30.635362) - (xy 117.805585 30.635361) - (xy 117.809499 30.627283) - (xy 117.832286 30.491836) - (xy 117.832431 30.48) - (xy 117.831584 30.474082) - (xy 117.814232 30.352923) - (xy 117.814232 30.352921) - (xy 117.812959 30.344036) - (xy 117.75611 30.219003) - (xy 117.666453 30.114951) - (xy 117.609206 30.077845) - (xy 117.562923 30.024009) - (xy 117.553092 29.953696) - (xy 117.582836 29.889231) - (xy 117.611809 29.864739) - (xy 117.649789 29.841419) - (xy 117.649793 29.841416) - (xy 117.657439 29.836721) - (xy 117.689405 29.801406) - (xy 117.743584 29.74155) - (xy 117.743586 29.741547) - (xy 117.749612 29.73489) - (xy 117.764543 29.704074) - (xy 117.805585 29.619362) - (xy 117.805585 29.619361) - (xy 117.809499 29.611283) - (xy 117.832286 29.475836) - (xy 117.832431 29.464) - (xy 117.831584 29.458082) - (xy 117.814232 29.336923) - (xy 117.814232 29.336921) - (xy 117.812959 29.328036) - (xy 117.80796 29.31704) - (xy 117.781909 29.259745) - (xy 117.75611 29.203003) - (xy 117.666453 29.098951) - (xy 117.609206 29.061845) - (xy 117.562923 29.008009) - (xy 117.553092 28.937696) - (xy 117.582836 28.873231) - (xy 117.611809 28.848739) - (xy 117.649789 28.825419) - (xy 117.649793 28.825416) - (xy 117.657439 28.820721) - (xy 117.696494 28.777574) - (xy 117.743584 28.72555) - (xy 117.743586 28.725547) - (xy 117.749612 28.71889) - (xy 117.75795 28.701682) - (xy 117.805585 28.603362) - (xy 117.805585 28.603361) - (xy 117.809499 28.595283) - (xy 117.832286 28.459836) - (xy 117.832431 28.448) - (xy 117.831584 28.442082) - (xy 117.814232 28.320923) - (xy 117.814232 28.320921) - (xy 117.812959 28.312036) - (xy 117.75611 28.187003) - (xy 117.666453 28.082951) - (xy 117.551196 28.008244) - (xy 117.419603 27.96889) - (xy 117.410627 27.968835) - (xy 117.410626 27.968835) - (xy 117.35308 27.968484) - (xy 117.282255 27.968051) - (xy 117.150192 28.005795) - (xy 117.142605 28.010582) - (xy 117.142603 28.010583) - (xy 117.130772 28.018048) - (xy 117.034031 28.079087) - (xy 116.943109 28.182036) - (xy 116.942478 28.183379) - (xy 116.891233 28.226892) - (xy 116.820861 28.23629) - (xy 116.756579 28.206151) - (xy 116.740157 28.186963) - (xy 116.74011 28.187003) - (xy 116.656314 28.089753) - (xy 116.650453 28.082951) - (xy 116.535196 28.008244) - (xy 116.403603 27.96889) - (xy 116.394627 27.968835) - (xy 116.394626 27.968835) - (xy 116.33708 27.968484) - (xy 116.266255 27.968051) - (xy 116.134192 28.005795) - (xy 116.126605 28.010582) - (xy 116.126603 28.010583) - (xy 116.114772 28.018048) - (xy 116.018031 28.079087) - (xy 115.927109 28.182036) - (xy 115.923295 28.190159) - (xy 115.923294 28.190161) - (xy 115.906049 28.226892) - (xy 115.868736 28.306366) - (xy 115.862042 28.349362) - (xy 115.848986 28.433209) - (xy 115.848986 28.433213) - (xy 115.847605 28.442082) - (xy 115.848769 28.450984) - (xy 115.848769 28.450987) - (xy 115.850587 28.46489) - (xy 115.865414 28.578273) - (xy 115.878102 28.607108) - (xy 115.916126 28.693523) - (xy 115.920732 28.703992) - (xy 115.92651 28.710865) - (xy 115.92651 28.710866) - (xy 115.981762 28.776596) - (xy 116.009111 28.809132) - (xy 116.016588 28.814109) - (xy 116.072171 28.851108) - (xy 116.117794 28.905505) - (xy 116.126765 28.975932) - (xy 116.096236 29.04003) - (xy 116.069588 29.062556) - (xy 116.025625 29.090295) - (xy 116.025622 29.090297) - (xy 116.018031 29.095087) - (xy 115.927109 29.198036) - (xy 115.923295 29.206159) - (xy 115.923294 29.206161) - (xy 115.902726 29.24997) - (xy 115.868736 29.322366) - (xy 115.862822 29.360351) - (xy 115.848986 29.449209) - (xy 115.848986 29.449213) - (xy 115.847605 29.458082) - (xy 115.848769 29.466984) - (xy 115.848769 29.466987) - (xy 115.855118 29.51554) - (xy 115.865414 29.594273) - (xy 115.876501 29.619469) - (xy 115.908167 29.691435) - (xy 115.920732 29.719992) - (xy 115.92651 29.726865) - (xy 115.92651 29.726866) - (xy 116.003331 29.818256) - (xy 116.009111 29.825132) - (xy 116.072171 29.867108) - (xy 116.117794 29.921505) - (xy 116.126765 29.991932) - (xy 116.096236 30.05603) - (xy 116.069588 30.078556) - (xy 116.025625 30.106295) - (xy 116.025622 30.106297) - (xy 116.018031 30.111087) - (xy 116.012088 30.117816) - (xy 115.965602 30.170451) - (xy 115.927109 30.214036) - (xy 115.923295 30.222159) - (xy 115.923294 30.222161) - (xy 115.901804 30.267933) - (xy 115.868736 30.338366) - (xy 115.862085 30.381085) - (xy 115.848986 30.465209) - (xy 115.848986 30.465213) - (xy 115.847605 30.474082) - (xy 102.12921 30.474082) (xy 102.177755 30.406524) (xy 102.236842 30.259541) (xy 102.251629 30.155636) @@ -42942,12 +52203,12 @@ (xy 102.259307 30.0889) (xy 102.240276 29.931633) (xy 102.18428 29.783446) - (xy 102.151161 29.735257) + (xy 102.15656 29.743113) (xy 102.098855 29.659151) (xy 102.098854 29.659149) (xy 102.094553 29.652892) (xy 102.086184 29.645435) - (xy 101.981946 29.552564) + (xy 102.032913 29.597973) (xy 101.976275 29.547511) (xy 101.968889 29.5436) (xy 101.91842 29.516878) @@ -42965,12 +52226,12 @@ (xy 101.229414 29.5436) (xy 101.110039 29.647738) (xy 101.01895 29.777344) - (xy 101.002999 29.818256) - (xy 100.96778 29.908589) + (xy 101.005572 29.811658) + (xy 100.97884 29.880222) (xy 100.961406 29.924937) (xy 100.960414 29.93247) (xy 100.960414 29.932471) - (xy 100.944621 30.052436) + (xy 100.942414 30.069199) (xy 100.940729 30.081996) (xy 48.388119 30.081996) (xy 48.401584 30.06712) @@ -43000,7 +52261,7 @@ (xy 47.761149 29.377047) (xy 47.692031 29.420657) (xy 47.686088 29.427386) - (xy 47.651113 29.466987) + (xy 47.649185 29.469171) (xy 47.601109 29.523606) (xy 47.597295 29.531729) (xy 47.597294 29.531731) @@ -43041,7 +52302,7 @@ (xy 43.602142 28.934842) (xy 43.594555 28.939629) (xy 43.594553 28.93963) - (xy 43.537018 28.975932) + (xy 43.58173 28.947721) (xy 43.485981 29.008134) (xy 43.480038 29.014863) (xy 43.458922 29.038772) @@ -43066,9 +52327,6 @@ (xy 43.291888 29.775877) (xy 43.265105 29.806203) (xy 43.206909 29.872097) - (xy 43.203095 29.88022) - (xy 43.203094 29.880222) - (xy 43.193226 29.90124) (xy 43.148536 29.996427) (xy 43.147156 30.005289) (xy 43.147155 30.005293) @@ -43088,7 +52346,7 @@ (xy 42.347192 30.037795) (xy 42.339605 30.042582) (xy 42.339603 30.042583) - (xy 42.289073 30.074465) + (xy 42.29343 30.071716) (xy 42.231031 30.111087) (xy 42.225088 30.117816) (xy 42.178602 30.170451) @@ -43097,15 +52355,13 @@ (xy 42.136294 30.222161) (xy 42.114804 30.267933) (xy 42.081736 30.338366) - (xy 42.075085 30.381085) + (xy 42.077566 30.365151) (xy 42.061986 30.465209) (xy 42.061986 30.465213) (xy 42.060605 30.474082) (xy 0.254 30.474082) (xy 0.254 29.075146) (xy 33.677327 29.075146) - (xy 33.683115 29.127572) - (xy 33.691792 29.206161) (xy 33.694711 29.232603) (xy 33.697321 29.239734) (xy 33.697321 29.239736) @@ -43117,7 +52373,7 @@ (xy 33.837506 29.512855) (xy 33.843125 29.517968) (xy 33.843126 29.517969) - (xy 33.949058 29.614359) + (xy 33.92874 29.595871) (xy 33.954674 29.619469) (xy 34.093891 29.695058) (xy 34.24712 29.735257) @@ -43137,7 +52393,7 @@ (xy 34.821912 29.528319) (xy 34.914353 29.399674) (xy 34.97344 29.252691) - (xy 34.987009 29.15735) + (xy 34.987878 29.151244) (xy 34.995179 29.099941) (xy 34.995179 29.099938) (xy 34.99576 29.095857) @@ -43157,7 +52413,7 @@ (xy 44.972788 29.245909) (xy 45.068502 29.309621) (xy 45.079647 29.31704) - (xy 45.143289 29.336923) + (xy 45.147303 29.338177) (xy 45.200174 29.354695) (xy 45.210749 29.357999) (xy 45.348076 29.360516) @@ -43169,7 +52425,7 @@ (xy 45.683784 29.15735) (xy 45.683785 29.157349) (xy 45.689812 29.15069) - (xy 45.709002 29.111083) + (xy 45.69741 29.135009) (xy 45.745785 29.035162) (xy 45.745785 29.035161) (xy 45.749699 29.027083) @@ -43181,6 +52437,8 @@ (xy 45.879517 28.891401) (xy 45.888494 28.891566) (xy 46.021009 28.855439) + (xy 46.102832 28.805199) + (xy 46.130409 28.788267) (xy 46.138057 28.783571) (xy 46.144371 28.776596) (xy 46.220772 28.692189) @@ -43197,10 +52455,10 @@ (xy 46.705732 28.819242) (xy 46.71151 28.826115) (xy 46.71151 28.826116) - (xy 46.778243 28.905505) + (xy 46.782868 28.911007) (xy 46.794111 28.924382) (xy 46.908447 29.00049) - (xy 46.984479 29.024244) + (xy 46.993566 29.027083) (xy 47.019426 29.035162) (xy 47.039549 29.041449) (xy 47.176876 29.043966) @@ -43208,15 +52466,17 @@ (xy 47.300727 29.010201) (xy 47.309391 29.007839) (xy 47.426439 28.935971) - (xy 47.435284 28.926199) + (xy 47.432517 28.929256) (xy 47.512584 28.8408) (xy 47.512585 28.840799) (xy 47.518612 28.83414) - (xy 47.522838 28.825419) + (xy 47.526414 28.818038) (xy 47.574585 28.718612) (xy 47.574585 28.718611) (xy 47.578499 28.710533) (xy 47.601286 28.575086) + (xy 47.601347 28.570172) + (xy 47.601371 28.568121) (xy 47.601431 28.56325) (xy 47.600269 28.555132) (xy 47.583232 28.436173) @@ -43230,7 +52490,7 @@ (xy 49.681769 28.196987) (xy 49.683554 28.210633) (xy 49.698414 28.324273) - (xy 49.713058 28.357554) + (xy 49.711447 28.353892) (xy 49.743665 28.427112) (xy 49.753732 28.449992) (xy 49.75951 28.456865) @@ -43243,12 +52503,14 @@ (xy 50.234109 28.672199) (xy 50.348727 28.640951) (xy 50.357391 28.638589) + (xy 50.460815 28.575086) + (xy 50.466791 28.571417) (xy 50.474439 28.566721) (xy 50.497052 28.541739) (xy 50.560584 28.47155) (xy 50.560585 28.471549) (xy 50.566612 28.46489) - (xy 50.577135 28.443172) + (xy 50.574833 28.447923) (xy 50.622585 28.349362) (xy 50.622585 28.349361) (xy 50.626499 28.341283) @@ -43276,7 +52538,7 @@ (xy 49.756294 27.936161) (xy 49.753939 27.941177) (xy 49.701736 28.052366) - (xy 49.695915 28.089753) + (xy 49.694968 28.095835) (xy 49.681986 28.179209) (xy 49.681986 28.179213) (xy 49.680605 28.188082) @@ -43570,7 +52832,7 @@ (xy 45.63081 27.968645) (xy 45.514649 28.041937) (xy 45.508706 28.048666) - (xy 45.475896 28.085816) + (xy 45.475264 28.086532) (xy 45.423727 28.144886) (xy 45.365354 28.269216) (xy 45.363973 28.278086) @@ -43590,18 +52852,18 @@ (xy 44.879494 28.621961) (xy 44.854803 28.674551) (xy 44.824936 28.738166) - (xy 44.817847 28.783699) + (xy 44.815227 28.800524) (xy 44.805186 28.865009) (xy 44.805186 28.865013) (xy 44.803805 28.873882) (xy 34.95764 28.873882) (xy 34.920878 28.776596) - (xy 34.881027 28.718612) + (xy 34.862867 28.692189) (xy 34.835453 28.652301) (xy 34.835452 28.652299) (xy 34.831151 28.646042) (xy 34.814538 28.63124) - (xy 34.764314 28.586493) + (xy 34.756895 28.579883) (xy 34.712873 28.540661) (xy 34.705487 28.53675) (xy 34.647593 28.506097) @@ -43619,12 +52881,12 @@ (xy 33.966012 28.53675) (xy 33.846637 28.640888) (xy 33.755548 28.770494) - (xy 33.752788 28.777574) - (xy 33.70291 28.905505) + (xy 33.736542 28.819242) + (xy 33.705882 28.897882) (xy 33.698004 28.918087) (xy 33.697012 28.92562) (xy 33.697012 28.925621) - (xy 33.681432 29.043966) + (xy 33.678703 29.064696) (xy 33.677327 29.075146) (xy 0.254 29.075146) (xy 0.254 26.37097) @@ -44145,20 +53407,20 @@ (xy 0.254 23.243046) (xy 0.254 20.313096) (xy 37.186729 20.313096) - (xy 37.189692 20.339932) - (xy 37.203274 20.462951) + (xy 37.195421 20.391825) + (xy 37.201643 20.448177) (xy 37.204113 20.470553) (xy 37.206723 20.477684) (xy 37.206723 20.477686) - (xy 37.2424 20.575177) + (xy 37.241941 20.573923) (xy 37.258553 20.619319) (xy 37.262789 20.625622) (xy 37.262789 20.625623) - (xy 37.313389 20.700923) + (xy 37.316714 20.705871) (xy 37.346908 20.750805) (xy 37.352527 20.755918) (xy 37.352528 20.755919) - (xy 37.425241 20.822082) + (xy 37.45846 20.852309) (xy 37.464076 20.857419) (xy 37.603293 20.933008) (xy 37.756522 20.973207) @@ -44173,182 +53435,184 @@ (xy 38.210855 20.869151) (xy 38.216626 20.864222) (xy 38.216629 20.86422) - (xy 38.265966 20.822082) - (xy 128.547605 20.822082) - (xy 128.548769 20.830984) - (xy 128.548769 20.830987) - (xy 128.553115 20.86422) - (xy 128.565414 20.958273) - (xy 128.620732 21.083992) - (xy 128.709111 21.189132) - (xy 128.823447 21.26524) - (xy 128.954549 21.306199) - (xy 129.091876 21.308716) - (xy 129.101109 21.306199) - (xy 129.215727 21.274951) - (xy 129.224391 21.272589) - (xy 129.341439 21.200721) - (xy 129.433612 21.09889) - (xy 129.436406 21.093123) - (xy 129.490085 21.048658) - (xy 129.560567 21.040118) - (xy 129.624476 21.071038) - (xy 129.63589 21.0847) - (xy 129.636732 21.083992) - (xy 129.725111 21.189132) - (xy 129.839447 21.26524) - (xy 129.970549 21.306199) - (xy 130.107876 21.308716) - (xy 130.117109 21.306199) - (xy 130.231727 21.274951) - (xy 130.240391 21.272589) - (xy 130.357439 21.200721) - (xy 130.449612 21.09889) - (xy 130.509499 20.975283) - (xy 130.532286 20.839836) - (xy 130.532431 20.828) - (xy 130.531584 20.822082) - (xy 130.514232 20.700923) - (xy 130.514232 20.700921) - (xy 130.512959 20.692036) - (xy 130.45611 20.567003) - (xy 130.366453 20.462951) - (xy 130.309206 20.425845) - (xy 130.262923 20.372009) - (xy 130.253092 20.301696) - (xy 130.282836 20.237231) - (xy 130.311809 20.212739) - (xy 130.349789 20.189419) - (xy 130.349793 20.189416) - (xy 130.357439 20.184721) - (xy 130.449612 20.08289) - (xy 130.482725 20.014546) - (xy 130.505585 19.967362) - (xy 130.505585 19.967361) - (xy 130.509499 19.959283) - (xy 130.532286 19.823836) - (xy 130.532431 19.812) - (xy 130.531584 19.806082) - (xy 130.514232 19.684923) - (xy 130.514232 19.684921) - (xy 130.512959 19.676036) - (xy 130.509189 19.667743) - (xy 130.459826 19.559177) - (xy 130.45611 19.551003) - (xy 130.366453 19.446951) - (xy 130.309206 19.409845) - (xy 130.262923 19.356009) - (xy 130.253092 19.285696) - (xy 130.282836 19.221231) - (xy 130.311809 19.196739) - (xy 130.349789 19.173419) - (xy 130.349793 19.173416) - (xy 130.357439 19.168721) - (xy 130.449612 19.06689) - (xy 130.509499 18.943283) - (xy 130.532286 18.807836) - (xy 130.532431 18.796) - (xy 130.531584 18.790082) - (xy 130.514232 18.668923) - (xy 130.514232 18.668921) - (xy 130.512959 18.660036) - (xy 130.45611 18.535003) - (xy 130.366453 18.430951) - (xy 130.251196 18.356244) - (xy 130.119603 18.31689) - (xy 130.110627 18.316835) - (xy 130.110626 18.316835) - (xy 130.05308 18.316484) - (xy 129.982255 18.316051) - (xy 129.850192 18.353795) - (xy 129.842605 18.358582) - (xy 129.842603 18.358583) - (xy 129.78085 18.397546) - (xy 129.734031 18.427087) - (xy 129.643109 18.530036) - (xy 129.642478 18.531379) - (xy 129.591233 18.574892) - (xy 129.520861 18.58429) - (xy 129.456579 18.554151) - (xy 129.440157 18.534963) - (xy 129.44011 18.535003) - (xy 129.356314 18.437753) - (xy 129.350453 18.430951) - (xy 129.235196 18.356244) - (xy 129.103603 18.31689) - (xy 129.094627 18.316835) - (xy 129.094626 18.316835) - (xy 129.03708 18.316484) - (xy 128.966255 18.316051) - (xy 128.834192 18.353795) - (xy 128.826605 18.358582) - (xy 128.826603 18.358583) - (xy 128.76485 18.397546) - (xy 128.718031 18.427087) - (xy 128.627109 18.530036) - (xy 128.568736 18.654366) - (xy 128.559027 18.716724) - (xy 128.548986 18.781209) - (xy 128.548986 18.781213) - (xy 128.547605 18.790082) - (xy 128.548769 18.798984) - (xy 128.548769 18.798987) - (xy 128.550554 18.812633) - (xy 128.565414 18.926273) - (xy 128.620732 19.051992) - (xy 128.709111 19.157132) - (xy 128.716588 19.162109) - (xy 128.772171 19.199108) - (xy 128.817794 19.253505) - (xy 128.826765 19.323932) - (xy 128.796236 19.38803) - (xy 128.769588 19.410556) - (xy 128.725625 19.438295) - (xy 128.725622 19.438297) - (xy 128.718031 19.443087) - (xy 128.627109 19.546036) - (xy 128.568736 19.670366) - (xy 128.559027 19.732724) - (xy 128.548986 19.797209) - (xy 128.548986 19.797213) - (xy 128.547605 19.806082) - (xy 128.548769 19.814984) - (xy 128.548769 19.814987) - (xy 128.550554 19.828633) - (xy 128.565414 19.942273) - (xy 128.620732 20.067992) - (xy 128.709111 20.173132) - (xy 128.716588 20.178109) - (xy 128.772171 20.215108) - (xy 128.817794 20.269505) - (xy 128.826765 20.339932) - (xy 128.796236 20.40403) - (xy 128.769588 20.426556) - (xy 128.725625 20.454295) - (xy 128.725622 20.454297) - (xy 128.718031 20.459087) - (xy 128.712088 20.465816) - (xy 128.701605 20.477686) - (xy 128.627109 20.562036) - (xy 128.568736 20.686366) - (xy 128.559685 20.7445) - (xy 128.548986 20.813209) - (xy 128.548986 20.813213) - (xy 128.547605 20.822082) - (xy 38.265966 20.822082) (xy 38.325536 20.771204) (xy 38.325536 20.771203) (xy 38.331314 20.766269) + (xy 38.382467 20.695082) + (xy 128.547605 20.695082) + (xy 128.548769 20.703984) + (xy 128.548769 20.703987) + (xy 128.550554 20.717633) + (xy 128.565414 20.831273) + (xy 128.620732 20.956992) + (xy 128.709111 21.062132) + (xy 128.823447 21.13824) + (xy 128.954549 21.179199) + (xy 129.091876 21.181716) + (xy 129.101109 21.179199) + (xy 129.215727 21.147951) + (xy 129.224391 21.145589) + (xy 129.341439 21.073721) + (xy 129.433612 20.97189) + (xy 129.436406 20.966123) + (xy 129.490085 20.921658) + (xy 129.560567 20.913118) + (xy 129.624476 20.944038) + (xy 129.63589 20.9577) + (xy 129.636732 20.956992) + (xy 129.725111 21.062132) + (xy 129.839447 21.13824) + (xy 129.970549 21.179199) + (xy 130.107876 21.181716) + (xy 130.117109 21.179199) + (xy 130.231727 21.147951) + (xy 130.240391 21.145589) + (xy 130.357439 21.073721) + (xy 130.449612 20.97189) + (xy 130.470206 20.929385) + (xy 130.505585 20.856362) + (xy 130.505585 20.856361) + (xy 130.509499 20.848283) + (xy 130.532286 20.712836) + (xy 130.532431 20.701) + (xy 130.531584 20.695082) + (xy 130.514232 20.573923) + (xy 130.514232 20.573921) + (xy 130.512959 20.565036) + (xy 130.45611 20.440003) + (xy 130.366453 20.335951) + (xy 130.309206 20.298845) + (xy 130.262923 20.245009) + (xy 130.253092 20.174696) + (xy 130.282836 20.110231) + (xy 130.311809 20.085739) + (xy 130.349789 20.062419) + (xy 130.349793 20.062416) + (xy 130.357439 20.057721) + (xy 130.396519 20.014546) + (xy 130.443584 19.96255) + (xy 130.443586 19.962547) + (xy 130.449612 19.95589) + (xy 130.484447 19.883992) + (xy 130.505585 19.840362) + (xy 130.505585 19.840361) + (xy 130.509499 19.832283) + (xy 130.532286 19.696836) + (xy 130.532431 19.685) + (xy 130.531584 19.679082) + (xy 130.514232 19.557923) + (xy 130.514232 19.557921) + (xy 130.512959 19.549036) + (xy 130.45611 19.424003) + (xy 130.366453 19.319951) + (xy 130.309206 19.282845) + (xy 130.262923 19.229009) + (xy 130.253092 19.158696) + (xy 130.282836 19.094231) + (xy 130.311809 19.069739) + (xy 130.349789 19.046419) + (xy 130.349793 19.046416) + (xy 130.357439 19.041721) + (xy 130.449612 18.93989) + (xy 130.509499 18.816283) + (xy 130.532286 18.680836) + (xy 130.532431 18.669) + (xy 130.531584 18.663082) + (xy 130.514232 18.541923) + (xy 130.514232 18.541921) + (xy 130.512959 18.533036) + (xy 130.45611 18.408003) + (xy 130.366453 18.303951) + (xy 130.251196 18.229244) + (xy 130.119603 18.18989) + (xy 130.110627 18.189835) + (xy 130.110626 18.189835) + (xy 130.05308 18.189484) + (xy 129.982255 18.189051) + (xy 129.850192 18.226795) + (xy 129.842605 18.231582) + (xy 129.842603 18.231583) + (xy 129.78085 18.270546) + (xy 129.734031 18.300087) + (xy 129.643109 18.403036) + (xy 129.642478 18.404379) + (xy 129.591233 18.447892) + (xy 129.520861 18.45729) + (xy 129.456579 18.427151) + (xy 129.440157 18.407963) + (xy 129.44011 18.408003) + (xy 129.356314 18.310753) + (xy 129.350453 18.303951) + (xy 129.235196 18.229244) + (xy 129.103603 18.18989) + (xy 129.094627 18.189835) + (xy 129.094626 18.189835) + (xy 129.03708 18.189484) + (xy 128.966255 18.189051) + (xy 128.834192 18.226795) + (xy 128.826605 18.231582) + (xy 128.826603 18.231583) + (xy 128.76485 18.270546) + (xy 128.718031 18.300087) + (xy 128.627109 18.403036) + (xy 128.568736 18.527366) + (xy 128.559027 18.589724) + (xy 128.548986 18.654209) + (xy 128.548986 18.654213) + (xy 128.547605 18.663082) + (xy 128.548769 18.671984) + (xy 128.548769 18.671987) + (xy 128.550554 18.685633) + (xy 128.565414 18.799273) + (xy 128.620732 18.924992) + (xy 128.709111 19.030132) + (xy 128.716588 19.035109) + (xy 128.772171 19.072108) + (xy 128.817794 19.126505) + (xy 128.826765 19.196932) + (xy 128.796236 19.26103) + (xy 128.769588 19.283556) + (xy 128.725625 19.311295) + (xy 128.725622 19.311297) + (xy 128.718031 19.316087) + (xy 128.627109 19.419036) + (xy 128.568736 19.543366) + (xy 128.559027 19.605724) + (xy 128.548986 19.670209) + (xy 128.548986 19.670213) + (xy 128.547605 19.679082) + (xy 128.548769 19.687984) + (xy 128.548769 19.687987) + (xy 128.55651 19.747178) + (xy 128.565414 19.815273) + (xy 128.620732 19.940992) + (xy 128.709111 20.046132) + (xy 128.716588 20.051109) + (xy 128.772171 20.088108) + (xy 128.817794 20.142505) + (xy 128.826765 20.212932) + (xy 128.796236 20.27703) + (xy 128.769588 20.299556) + (xy 128.725625 20.327295) + (xy 128.725622 20.327297) + (xy 128.718031 20.332087) + (xy 128.627109 20.435036) + (xy 128.568736 20.559366) + (xy 128.560512 20.612185) + (xy 128.548986 20.686209) + (xy 128.548986 20.686213) + (xy 128.547605 20.695082) + (xy 38.382467 20.695082) (xy 38.423755 20.637624) (xy 38.482842 20.490641) - (xy 38.499725 20.372009) + (xy 38.490054 20.439963) (xy 38.504581 20.337891) (xy 38.504581 20.337888) (xy 38.505162 20.333807) (xy 38.505307 20.32) (xy 38.486276 20.162733) (xy 38.43028 20.014546) - (xy 38.421814 20.002228) + (xy 38.389967 19.95589) (xy 38.344855 19.890251) (xy 38.344854 19.890249) (xy 38.340553 19.883992) @@ -44370,10 +53634,12 @@ (xy 37.475414 19.7747) (xy 37.356039 19.878838) (xy 37.26495 20.008444) + (xy 37.248316 20.051109) + (xy 37.217718 20.129589) (xy 37.207406 20.156037) (xy 37.206414 20.16357) (xy 37.206414 20.163571) - (xy 37.18823 20.301696) + (xy 37.188512 20.299556) (xy 37.186729 20.313096) (xy 0.254 20.313096) (xy 0.254 16.757096) @@ -44535,21 +53801,20 @@ (xy 158.484426 14.654805) (xy 158.565755 14.541624) (xy 158.624842 14.394641) - (xy 158.641602 14.276874) + (xy 158.637991 14.302251) (xy 158.646581 14.241891) (xy 158.646581 14.241888) (xy 158.647162 14.237807) (xy 158.647307 14.224) (xy 158.628276 14.066733) (xy 158.57228 13.918546) - (xy 158.54197 13.874444) + (xy 158.555592 13.894265) (xy 158.486855 13.794251) (xy 158.486854 13.794249) (xy 158.482553 13.787992) (xy 158.364275 13.682611) (xy 158.356889 13.6787) - (xy 158.230988 13.612039) - (xy 158.230989 13.612039) + (xy 158.256447 13.625519) (xy 158.224274 13.608484) (xy 158.070633 13.569892) (xy 158.063034 13.569852) @@ -44567,11 +53832,10 @@ (xy 157.498434 13.75595) (xy 157.428126 13.746081) (xy 157.396267 13.72537) - (xy 157.353946 13.687664) + (xy 157.37876 13.709772) (xy 157.348275 13.682611) (xy 157.340889 13.6787) - (xy 157.214988 13.612039) - (xy 157.214989 13.612039) + (xy 157.240447 13.625519) (xy 157.208274 13.608484) (xy 157.054633 13.569892) (xy 157.047034 13.569852) @@ -44589,11 +53853,10 @@ (xy 156.482434 13.75595) (xy 156.412126 13.746081) (xy 156.380267 13.72537) - (xy 156.337946 13.687664) + (xy 156.36276 13.709772) (xy 156.332275 13.682611) (xy 156.324889 13.6787) - (xy 156.198988 13.612039) - (xy 156.198989 13.612039) + (xy 156.224447 13.625519) (xy 156.192274 13.608484) (xy 156.038633 13.569892) (xy 156.031034 13.569852) @@ -44611,11 +53874,10 @@ (xy 155.466434 13.75595) (xy 155.396126 13.746081) (xy 155.364267 13.72537) - (xy 155.321946 13.687664) + (xy 155.34676 13.709772) (xy 155.316275 13.682611) (xy 155.308889 13.6787) - (xy 155.182988 13.612039) - (xy 155.182989 13.612039) + (xy 155.208447 13.625519) (xy 155.176274 13.608484) (xy 155.022633 13.569892) (xy 155.015034 13.569852) @@ -44630,12 +53892,10 @@ (xy 154.569414 13.6787) (xy 154.450039 13.782838) (xy 154.35895 13.912444) - (xy 154.352839 13.928118) - (xy 154.308198 14.042617) (xy 154.301406 14.060037) (xy 154.300414 14.06757) (xy 154.300414 14.067571) - (xy 154.283434 14.196551) + (xy 154.283551 14.195664) (xy 154.280729 14.217096) (xy 154.285985 14.264699) (xy 154.297199 14.366271) @@ -44650,7 +53910,7 @@ (xy 154.440908 14.654805) (xy 154.446527 14.659918) (xy 154.446528 14.659919) - (xy 154.525403 14.731689) + (xy 154.545407 14.749891) (xy 154.558076 14.761419) (xy 154.697293 14.837008) (xy 154.850522 14.877207) @@ -44706,7 +53966,7 @@ (xy 157.348414 15.083571) (xy 157.331551 15.211664) (xy 157.328729 15.233096) - (xy 157.332621 15.268344) + (xy 157.332175 15.264309) (xy 157.345142 15.381755) (xy 157.346113 15.390553) (xy 157.348723 15.397684) @@ -44896,9 +54156,12 @@ (xy 39.981584 15.472945) (xy 39.982412 15.467236) (xy 39.982413 15.467231) - (xy 39.999991 15.345996) + (xy 40.000659 15.341385) (xy 40.010712 15.272053) (xy 40.012232 15.214) + (xy 39.998905 15.068957) + (xy 39.994187 15.017613) + (xy 39.994186 15.01761) (xy 39.993658 15.011859) (xy 39.987531 14.990134) (xy 39.940125 14.822046) @@ -45001,14 +54264,48 @@ (xy 110.60131 15.675919) (xy 110.592729 15.741096) (xy 42.5475 15.741096) - (xy 42.547499 14.979096) + (xy 42.547499 14.725096) + (xy 84.430729 14.725096) + (xy 84.434175 14.756309) + (xy 84.443085 14.837008) + (xy 84.448113 14.882553) + (xy 84.450723 14.889684) + (xy 84.450723 14.889686) + (xy 84.495432 15.011859) + (xy 84.502553 15.031319) + (xy 84.506789 15.037622) + (xy 84.506789 15.037623) + (xy 84.581709 15.149115) + (xy 84.590908 15.162805) + (xy 84.596527 15.167918) + (xy 84.596528 15.167919) + (xy 84.695407 15.257891) + (xy 84.708076 15.269419) + (xy 84.847293 15.345008) + (xy 85.000522 15.385207) + (xy 85.084477 15.386526) + (xy 85.151319 15.387576) + (xy 85.151322 15.387576) + (xy 85.158916 15.387695) + (xy 85.313332 15.352329) + (xy 85.410172 15.303624) + (xy 85.448072 15.284563) + (xy 85.448075 15.284561) + (xy 85.454855 15.281151) + (xy 85.460626 15.276222) + (xy 85.460629 15.27622) + (xy 85.569536 15.183204) + (xy 85.569536 15.183203) + (xy 85.575314 15.178269) + (xy 85.667755 15.049624) + (xy 85.696107 14.979096) (xy 129.642729 14.979096) - (xy 129.651421 15.057825) + (xy 129.647707 15.024185) (xy 129.659199 15.128271) (xy 129.660113 15.136553) (xy 129.662723 15.143684) (xy 129.662723 15.143686) - (xy 129.699482 15.244134) + (xy 129.706865 15.264309) (xy 129.714553 15.285319) (xy 129.718789 15.291622) (xy 129.718789 15.291623) @@ -45040,7 +54337,7 @@ (xy 130.961307 14.986) (xy 130.942276 14.828733) (xy 130.88628 14.680546) - (xy 130.874974 14.664095) + (xy 130.877814 14.668228) (xy 130.800855 14.556251) (xy 130.800854 14.556249) (xy 130.796553 14.549992) @@ -45062,273 +54359,66 @@ (xy 129.931414 14.4407) (xy 129.812039 14.544838) (xy 129.72095 14.674444) - (xy 129.702796 14.721006) + (xy 129.704138 14.717565) (xy 129.671057 14.802414) (xy 129.663406 14.822037) (xy 129.662414 14.82957) (xy 129.662414 14.829571) - (xy 129.656039 14.877999) + (xy 129.651866 14.909695) (xy 129.642729 14.979096) - (xy 42.547499 14.979096) + (xy 85.696107 14.979096) + (xy 85.726842 14.902641) + (xy 85.74694 14.761419) + (xy 85.748581 14.749891) + (xy 85.748581 14.749888) + (xy 85.749162 14.745807) + (xy 85.749307 14.732) + (xy 85.748294 14.723624) + (xy 85.743199 14.681524) + (xy 85.730276 14.574733) + (xy 85.67428 14.426546) + (xy 85.614066 14.338934) + (xy 85.588855 14.302251) + (xy 85.588854 14.302249) + (xy 85.584553 14.295992) + (xy 85.466275 14.190611) + (xy 85.458889 14.1867) + (xy 85.420565 14.166409) + (xy 85.326274 14.116484) + (xy 85.172633 14.077892) + (xy 85.165034 14.077852) + (xy 85.165033 14.077852) + (xy 85.099181 14.077507) + (xy 85.014221 14.077062) + (xy 85.006841 14.078834) + (xy 85.006839 14.078834) + (xy 84.867563 14.112271) + (xy 84.86756 14.112272) + (xy 84.860184 14.114043) + (xy 84.719414 14.1867) + (xy 84.600039 14.290838) + (xy 84.50895 14.420444) + (xy 84.498734 14.446648) + (xy 84.459299 14.547793) + (xy 84.451406 14.568037) + (xy 84.450414 14.57557) + (xy 84.450414 14.575571) + (xy 84.438216 14.668228) + (xy 84.430729 14.725096) + (xy 42.547499 14.725096) (xy 42.547499 14.338934) + (xy 42.538958 14.295992) + (xy 42.535156 14.276874) + (xy 42.535155 14.276872) (xy 42.532734 14.264699) (xy 42.506654 14.225667) - (xy 42.500927 14.217096) - (xy 85.700729 14.217096) - (xy 85.705985 14.264699) - (xy 85.717199 14.366271) - (xy 85.718113 14.374553) - (xy 85.720723 14.381684) - (xy 85.720723 14.381686) - (xy 85.755387 14.476409) - (xy 85.772553 14.523319) - (xy 85.776789 14.529622) - (xy 85.776789 14.529623) - (xy 85.851011 14.640076) - (xy 85.860908 14.654805) - (xy 85.866527 14.659918) - (xy 85.866528 14.659919) - (xy 85.945403 14.731689) - (xy 85.978076 14.761419) - (xy 86.117293 14.837008) - (xy 86.270522 14.877207) - (xy 86.354477 14.878526) - (xy 86.421319 14.879576) - (xy 86.421322 14.879576) - (xy 86.428916 14.879695) - (xy 86.583332 14.844329) - (xy 86.663534 14.803992) - (xy 86.718072 14.776563) - (xy 86.718075 14.776561) - (xy 86.724855 14.773151) - (xy 86.730626 14.768222) - (xy 86.730629 14.76822) - (xy 86.839536 14.675204) - (xy 86.839536 14.675203) - (xy 86.845314 14.670269) - (xy 86.937755 14.541624) - (xy 86.996842 14.394641) - (xy 87.013602 14.276874) - (xy 87.018581 14.241891) - (xy 87.018581 14.241888) - (xy 87.019162 14.237807) - (xy 87.019307 14.224) - (xy 87.000276 14.066733) - (xy 86.94428 13.918546) - (xy 86.91397 13.874444) - (xy 86.858855 13.794251) - (xy 86.858854 13.794249) - (xy 86.854553 13.787992) - (xy 86.736275 13.682611) - (xy 86.728889 13.6787) - (xy 86.692215 13.659282) - (xy 114.780805 13.659282) - (xy 114.781969 13.668184) - (xy 114.781969 13.668187) - (xy 114.784516 13.687664) - (xy 114.798614 13.795473) - (xy 114.853932 13.921192) - (xy 114.85971 13.928065) - (xy 114.85971 13.928066) - (xy 114.896634 13.971992) - (xy 114.942311 14.026332) - (xy 115.056647 14.10244) - (xy 115.187749 14.143399) - (xy 115.325076 14.145916) - (xy 115.334309 14.143399) - (xy 115.448927 14.112151) - (xy 115.457591 14.109789) - (xy 115.574639 14.037921) - (xy 115.628093 13.978866) - (xy 115.660784 13.94275) - (xy 115.660785 13.942749) - (xy 115.666812 13.93609) - (xy 115.672707 13.923923) - (xy 115.675732 13.920589) - (xy 115.675745 13.920569) - (xy 115.675748 13.920571) - (xy 115.720409 13.871341) - (xy 115.788967 13.852896) - (xy 115.856615 13.874444) - (xy 115.901426 13.928115) - (xy 115.920732 13.971992) - (xy 116.009111 14.077132) - (xy 116.055879 14.108263) - (xy 116.107409 14.142564) - (xy 116.123447 14.15324) - (xy 116.210752 14.180516) - (xy 116.243772 14.190832) - (xy 116.254549 14.194199) - (xy 116.391876 14.196716) - (xy 116.401109 14.194199) - (xy 116.515727 14.162951) - (xy 116.524391 14.160589) - (xy 116.641439 14.088721) - (xy 116.673811 14.052957) - (xy 116.727584 13.99355) - (xy 116.727585 13.993549) - (xy 116.733612 13.98689) - (xy 116.758225 13.93609) - (xy 116.789585 13.871362) - (xy 116.789585 13.871361) - (xy 116.793499 13.863283) - (xy 116.816286 13.727836) - (xy 116.816431 13.716) - (xy 116.815584 13.710082) - (xy 116.798232 13.588923) - (xy 116.798232 13.588921) - (xy 116.796959 13.580036) - (xy 116.793189 13.571743) - (xy 116.763749 13.506995) - (xy 116.74011 13.455003) - (xy 116.650453 13.350951) - (xy 116.593206 13.313845) - (xy 116.546923 13.260009) - (xy 116.537092 13.189696) - (xy 116.566836 13.125231) - (xy 116.595809 13.100739) - (xy 116.633789 13.077419) - (xy 116.633793 13.077416) - (xy 116.641439 13.072721) - (xy 116.733612 12.97089) - (xy 116.793499 12.847283) - (xy 116.816286 12.711836) - (xy 116.816431 12.7) - (xy 116.815584 12.694082) - (xy 116.798232 12.572923) - (xy 116.798232 12.572921) - (xy 116.796959 12.564036) - (xy 116.74011 12.439003) - (xy 116.650453 12.334951) - (xy 116.593206 12.297845) - (xy 116.546923 12.244009) - (xy 116.537092 12.173696) - (xy 116.566836 12.109231) - (xy 116.595809 12.084739) - (xy 116.633789 12.061419) - (xy 116.633793 12.061416) - (xy 116.641439 12.056721) - (xy 116.733612 11.95489) - (xy 116.793499 11.831283) - (xy 116.816286 11.695836) - (xy 116.816431 11.684) - (xy 116.815584 11.678082) - (xy 116.798232 11.556923) - (xy 116.798232 11.556921) - (xy 116.796959 11.548036) - (xy 116.74011 11.423003) - (xy 116.650453 11.318951) - (xy 116.535196 11.244244) - (xy 116.403603 11.20489) - (xy 116.394627 11.204835) - (xy 116.394626 11.204835) - (xy 116.33708 11.204484) - (xy 116.266255 11.204051) - (xy 116.134192 11.241795) - (xy 116.126605 11.246582) - (xy 116.126603 11.246583) - (xy 116.06485 11.285546) - (xy 116.018031 11.315087) - (xy 115.927109 11.418036) - (xy 115.926478 11.419379) - (xy 115.875233 11.462892) - (xy 115.804861 11.47229) - (xy 115.740579 11.442151) - (xy 115.724157 11.422963) - (xy 115.72411 11.423003) - (xy 115.640314 11.325753) - (xy 115.634453 11.318951) - (xy 115.519196 11.244244) - (xy 115.387603 11.20489) - (xy 115.378627 11.204835) - (xy 115.378626 11.204835) - (xy 115.32108 11.204484) - (xy 115.250255 11.204051) - (xy 115.118192 11.241795) - (xy 115.110605 11.246582) - (xy 115.110603 11.246583) - (xy 115.04885 11.285546) - (xy 115.002031 11.315087) - (xy 114.911109 11.418036) - (xy 114.852736 11.542366) - (xy 114.843027 11.604724) - (xy 114.832986 11.669209) - (xy 114.832986 11.669213) - (xy 114.831605 11.678082) - (xy 114.832769 11.686984) - (xy 114.832769 11.686987) - (xy 114.834554 11.700633) - (xy 114.849414 11.814273) - (xy 114.904732 11.939992) - (xy 114.993111 12.045132) - (xy 115.000588 12.050109) - (xy 115.056171 12.087108) - (xy 115.101794 12.141505) - (xy 115.110765 12.211932) - (xy 115.080236 12.27603) - (xy 115.053588 12.298556) - (xy 115.009625 12.326295) - (xy 115.009622 12.326297) - (xy 115.002031 12.331087) - (xy 114.911109 12.434036) - (xy 114.852736 12.558366) - (xy 114.843027 12.620724) - (xy 114.832986 12.685209) - (xy 114.832986 12.685213) - (xy 114.831605 12.694082) - (xy 114.832769 12.702984) - (xy 114.832769 12.702987) - (xy 114.834554 12.716633) - (xy 114.849414 12.830273) - (xy 114.904732 12.955992) - (xy 114.993111 13.061132) - (xy 115.000586 13.066108) - (xy 115.006562 13.071451) - (xy 115.044012 13.131766) - (xy 115.042909 13.202754) - (xy 115.003601 13.261876) - (xy 114.989814 13.271943) - (xy 114.951231 13.296287) - (xy 114.945288 13.303016) - (xy 114.906366 13.347087) - (xy 114.860309 13.399236) - (xy 114.801936 13.523566) - (xy 114.793144 13.580036) - (xy 114.782186 13.650409) - (xy 114.782186 13.650413) - (xy 114.780805 13.659282) - (xy 86.692215 13.659282) - (xy 86.602988 13.612039) - (xy 86.602989 13.612039) - (xy 86.596274 13.608484) - (xy 86.442633 13.569892) - (xy 86.435034 13.569852) - (xy 86.435033 13.569852) - (xy 86.369181 13.569507) - (xy 86.284221 13.569062) - (xy 86.276841 13.570834) - (xy 86.276839 13.570834) - (xy 86.137563 13.604271) - (xy 86.13756 13.604272) - (xy 86.130184 13.606043) - (xy 85.989414 13.6787) - (xy 85.870039 13.782838) - (xy 85.77895 13.912444) - (xy 85.772839 13.928118) - (xy 85.728198 14.042617) - (xy 85.721406 14.060037) - (xy 85.720414 14.06757) - (xy 85.720414 14.067571) - (xy 85.703434 14.196551) - (xy 85.700729 14.217096) - (xy 42.500927 14.217096) (xy 42.483377 14.190832) (xy 42.476484 14.180516) (xy 42.392301 14.124266) (xy 42.318067 14.1095) (xy 41.443142 14.1095) (xy 40.567934 14.109501) - (xy 40.532182 14.116612) + (xy 40.532825 14.116484) (xy 40.505874 14.121844) (xy 40.505872 14.121845) (xy 40.493699 14.124266) @@ -45356,7 +54446,7 @@ (xy 38.815971 14.108187) (xy 38.810274 14.109166) (xy 38.810273 14.109166) - (xy 38.621607 14.141585) + (xy 38.722397 14.124266) (xy 38.61591 14.142564) (xy 38.425463 14.212824) (xy 38.25101 14.316612) @@ -45372,6 +54462,176 @@ (xy 37.818007 14.983378) (xy 37.794148 15.184964) (xy 0.254 15.184964) + (xy 0.254 13.456082) + (xy 114.780805 13.456082) + (xy 114.781969 13.464984) + (xy 114.781969 13.464987) + (xy 114.783754 13.478633) + (xy 114.798614 13.592273) + (xy 114.853932 13.717992) + (xy 114.85971 13.724865) + (xy 114.85971 13.724866) + (xy 114.936531 13.816256) + (xy 114.942311 13.823132) + (xy 115.056647 13.89924) + (xy 115.187749 13.940199) + (xy 115.325076 13.942716) + (xy 115.334309 13.940199) + (xy 115.448927 13.908951) + (xy 115.457591 13.906589) + (xy 115.574639 13.834721) + (xy 115.621508 13.782941) + (xy 115.660784 13.73955) + (xy 115.660785 13.739549) + (xy 115.666812 13.73289) + (xy 115.68442 13.696547) + (xy 115.732123 13.643964) + (xy 115.800682 13.625519) + (xy 115.868329 13.647067) + (xy 115.913141 13.70074) + (xy 115.920732 13.717992) + (xy 115.92651 13.724865) + (xy 115.92651 13.724866) + (xy 116.003331 13.816256) + (xy 116.009111 13.823132) + (xy 116.123447 13.89924) + (xy 116.254549 13.940199) + (xy 116.391876 13.942716) + (xy 116.401109 13.940199) + (xy 116.515727 13.908951) + (xy 116.524391 13.906589) + (xy 116.641439 13.834721) + (xy 116.688308 13.782941) + (xy 116.727584 13.73955) + (xy 116.727585 13.739549) + (xy 116.733612 13.73289) + (xy 116.761554 13.675219) + (xy 116.789585 13.617362) + (xy 116.789585 13.617361) + (xy 116.793499 13.609283) + (xy 116.816286 13.473836) + (xy 116.816431 13.462) + (xy 116.815584 13.456082) + (xy 116.798232 13.334923) + (xy 116.798232 13.334921) + (xy 116.796959 13.326036) + (xy 116.74011 13.201003) + (xy 116.650453 13.096951) + (xy 116.593206 13.059845) + (xy 116.546923 13.006009) + (xy 116.537092 12.935696) + (xy 116.566836 12.871231) + (xy 116.595809 12.846739) + (xy 116.633789 12.823419) + (xy 116.633793 12.823416) + (xy 116.641439 12.818721) + (xy 116.733612 12.71689) + (xy 116.793499 12.593283) + (xy 116.816286 12.457836) + (xy 116.816431 12.446) + (xy 116.815584 12.440082) + (xy 116.798232 12.318923) + (xy 116.798232 12.318921) + (xy 116.796959 12.310036) + (xy 116.74011 12.185003) + (xy 116.650453 12.080951) + (xy 116.593206 12.043845) + (xy 116.546923 11.990009) + (xy 116.537092 11.919696) + (xy 116.566836 11.855231) + (xy 116.595809 11.830739) + (xy 116.633789 11.807419) + (xy 116.633793 11.807416) + (xy 116.641439 11.802721) + (xy 116.733612 11.70089) + (xy 116.793499 11.577283) + (xy 116.816286 11.441836) + (xy 116.816431 11.43) + (xy 116.815584 11.424082) + (xy 116.798232 11.302923) + (xy 116.798232 11.302921) + (xy 116.796959 11.294036) + (xy 116.74011 11.169003) + (xy 116.650453 11.064951) + (xy 116.535196 10.990244) + (xy 116.403603 10.95089) + (xy 116.394627 10.950835) + (xy 116.394626 10.950835) + (xy 116.33708 10.950484) + (xy 116.266255 10.950051) + (xy 116.134192 10.987795) + (xy 116.126605 10.992582) + (xy 116.126603 10.992583) + (xy 116.065849 11.030916) + (xy 116.018031 11.061087) + (xy 115.927109 11.164036) + (xy 115.926478 11.165379) + (xy 115.875233 11.208892) + (xy 115.804861 11.21829) + (xy 115.740579 11.188151) + (xy 115.724157 11.168963) + (xy 115.72411 11.169003) + (xy 115.640314 11.071753) + (xy 115.634453 11.064951) + (xy 115.519196 10.990244) + (xy 115.387603 10.95089) + (xy 115.378627 10.950835) + (xy 115.378626 10.950835) + (xy 115.32108 10.950484) + (xy 115.250255 10.950051) + (xy 115.118192 10.987795) + (xy 115.110605 10.992582) + (xy 115.110603 10.992583) + (xy 115.049849 11.030916) + (xy 115.002031 11.061087) + (xy 114.911109 11.164036) + (xy 114.852736 11.288366) + (xy 114.843027 11.350724) + (xy 114.832986 11.415209) + (xy 114.832986 11.415213) + (xy 114.831605 11.424082) + (xy 114.832769 11.432984) + (xy 114.832769 11.432987) + (xy 114.834554 11.446633) + (xy 114.849414 11.560273) + (xy 114.904732 11.685992) + (xy 114.993111 11.791132) + (xy 115.000588 11.796109) + (xy 115.056171 11.833108) + (xy 115.101794 11.887505) + (xy 115.110765 11.957932) + (xy 115.080236 12.02203) + (xy 115.053588 12.044556) + (xy 115.009625 12.072295) + (xy 115.009622 12.072297) + (xy 115.002031 12.077087) + (xy 114.911109 12.180036) + (xy 114.852736 12.304366) + (xy 114.843027 12.366724) + (xy 114.832986 12.431209) + (xy 114.832986 12.431213) + (xy 114.831605 12.440082) + (xy 114.832769 12.448984) + (xy 114.832769 12.448987) + (xy 114.834554 12.462633) + (xy 114.849414 12.576273) + (xy 114.904732 12.701992) + (xy 114.993111 12.807132) + (xy 115.031451 12.832653) + (xy 115.077073 12.88705) + (xy 115.086045 12.957477) + (xy 115.055516 13.021575) + (xy 115.028868 13.044101) + (xy 114.958825 13.088295) + (xy 114.958822 13.088297) + (xy 114.951231 13.093087) + (xy 114.860309 13.196036) + (xy 114.801936 13.320366) + (xy 114.792227 13.382724) + (xy 114.782186 13.447209) + (xy 114.782186 13.447213) + (xy 114.780805 13.456082) + (xy 0.254 13.456082) (xy 0.254 10.407096) (xy 121.006729 10.407096) (xy 121.024113 10.564553) @@ -45388,7 +54648,7 @@ (xy 121.727322 11.069576) (xy 121.734916 11.069695) (xy 121.889332 11.034329) - (xy 121.959742 10.998917) + (xy 121.967281 10.995125) (xy 122.024072 10.966563) (xy 122.024075 10.966561) (xy 122.030855 10.963151) @@ -46274,247 +55534,217 @@ (xy 93.722406 20.918037) (xy 93.701729 21.075096) (xy 84.328 21.075096) - (xy 84.328 15.868096) - (xy 86.208729 15.868096) - (xy 86.217421 15.946825) - (xy 86.220264 15.972571) - (xy 86.226113 16.025553) - (xy 86.228723 16.032684) - (xy 86.228723 16.032686) - (xy 86.265482 16.133134) - (xy 86.280553 16.174319) - (xy 86.284789 16.180622) - (xy 86.284789 16.180623) - (xy 86.359709 16.292115) - (xy 86.368908 16.305805) - (xy 86.374527 16.310918) - (xy 86.374528 16.310919) - (xy 86.385903 16.321269) - (xy 86.486076 16.412419) - (xy 86.625293 16.488008) - (xy 86.778522 16.528207) - (xy 86.862477 16.529526) - (xy 86.929319 16.530576) - (xy 86.929322 16.530576) - (xy 86.936916 16.530695) - (xy 87.091332 16.495329) - (xy 87.188172 16.446624) - (xy 87.226072 16.427563) - (xy 87.226075 16.427561) - (xy 87.232855 16.424151) - (xy 87.238626 16.419222) - (xy 87.238629 16.41922) - (xy 87.347536 16.326204) - (xy 87.347536 16.326203) - (xy 87.353314 16.321269) - (xy 87.445755 16.192624) - (xy 87.474107 16.122096) - (xy 91.542729 16.122096) - (xy 91.560113 16.279553) - (xy 91.562723 16.286684) - (xy 91.562723 16.286686) - (xy 91.606865 16.407309) - (xy 91.614553 16.428319) - (xy 91.618789 16.434622) - (xy 91.618789 16.434623) - (xy 91.655957 16.489934) - (xy 91.702908 16.559805) - (xy 91.708527 16.564918) - (xy 91.708528 16.564919) - (xy 91.719903 16.575269) - (xy 91.820076 16.666419) - (xy 91.959293 16.742008) - (xy 92.112522 16.782207) - (xy 92.196477 16.783526) - (xy 92.263319 16.784576) - (xy 92.263322 16.784576) - (xy 92.270916 16.784695) - (xy 92.425332 16.749329) - (xy 92.495742 16.713917) - (xy 92.560072 16.681563) - (xy 92.560075 16.681561) - (xy 92.566855 16.678151) - (xy 92.572626 16.673222) - (xy 92.572629 16.67322) - (xy 92.681536 16.580204) - (xy 92.681536 16.580203) - (xy 92.687314 16.575269) - (xy 92.779755 16.446624) - (xy 92.838842 16.299641) - (xy 92.861162 16.142807) - (xy 92.861307 16.129) - (xy 92.842276 15.971733) - (xy 92.803114 15.868096) - (xy 97.257729 15.868096) - (xy 97.266421 15.946825) - (xy 97.269264 15.972571) - (xy 97.275113 16.025553) - (xy 97.277723 16.032684) - (xy 97.277723 16.032686) - (xy 97.314482 16.133134) - (xy 97.329553 16.174319) - (xy 97.333789 16.180622) - (xy 97.333789 16.180623) - (xy 97.408709 16.292115) - (xy 97.417908 16.305805) - (xy 97.423527 16.310918) - (xy 97.423528 16.310919) - (xy 97.434903 16.321269) - (xy 97.535076 16.412419) - (xy 97.674293 16.488008) - (xy 97.827522 16.528207) - (xy 97.911477 16.529526) - (xy 97.978319 16.530576) - (xy 97.978322 16.530576) - (xy 97.985916 16.530695) - (xy 98.140332 16.495329) - (xy 98.237172 16.446624) - (xy 98.275072 16.427563) - (xy 98.275075 16.427561) - (xy 98.281855 16.424151) - (xy 98.287626 16.419222) - (xy 98.287629 16.41922) - (xy 98.396536 16.326204) - (xy 98.396536 16.326203) - (xy 98.402314 16.321269) - (xy 98.494755 16.192624) - (xy 98.553842 16.045641) - (xy 98.576162 15.888807) - (xy 98.576307 15.875) - (xy 98.557276 15.717733) - (xy 98.50128 15.569546) - (xy 98.434244 15.472008) - (xy 98.415855 15.445251) - (xy 98.415854 15.445249) - (xy 98.411553 15.438992) - (xy 98.375883 15.407211) - (xy 98.364718 15.397264) - (xy 98.293275 15.333611) - (xy 98.285889 15.3297) - (xy 98.159988 15.263039) - (xy 98.159989 15.263039) - (xy 98.153274 15.259484) - (xy 97.999633 15.220892) - (xy 97.992034 15.220852) - (xy 97.992033 15.220852) - (xy 97.926181 15.220507) - (xy 97.841221 15.220062) - (xy 97.833841 15.221834) - (xy 97.833839 15.221834) - (xy 97.694563 15.255271) - (xy 97.69456 15.255272) - (xy 97.687184 15.257043) - (xy 97.546414 15.3297) - (xy 97.427039 15.433838) - (xy 97.33595 15.563444) - (xy 97.326528 15.587611) - (xy 97.287411 15.687941) - (xy 97.278406 15.711037) - (xy 97.277414 15.71857) - (xy 97.277414 15.718571) - (xy 97.265216 15.811228) - (xy 97.257729 15.868096) - (xy 92.803114 15.868096) - (xy 92.78628 15.823546) - (xy 92.696553 15.692992) - (xy 92.578275 15.587611) - (xy 92.580413 15.585211) - (xy 92.545021 15.541286) - (xy 92.537436 15.470696) - (xy 92.56922 15.407211) - (xy 92.579602 15.397264) - (xy 92.681536 15.310204) - (xy 92.681536 15.310203) - (xy 92.687314 15.305269) - (xy 92.779755 15.176624) - (xy 92.838842 15.029641) - (xy 92.861162 14.872807) - (xy 92.861307 14.859) - (xy 92.842276 14.701733) - (xy 92.78628 14.553546) - (xy 92.696553 14.422992) - (xy 92.578275 14.317611) - (xy 92.570889 14.3137) - (xy 92.444988 14.247039) - (xy 92.444989 14.247039) - (xy 92.438274 14.243484) - (xy 92.284633 14.204892) - (xy 92.277034 14.204852) - (xy 92.277033 14.204852) - (xy 92.211181 14.204507) - (xy 92.126221 14.204062) - (xy 92.118841 14.205834) - (xy 92.118839 14.205834) - (xy 91.979563 14.239271) - (xy 91.97956 14.239272) - (xy 91.972184 14.241043) - (xy 91.831414 14.3137) - (xy 91.712039 14.417838) - (xy 91.62095 14.547444) - (xy 91.563406 14.695037) - (xy 91.542729 14.852096) - (xy 91.560113 15.009553) - (xy 91.614553 15.158319) - (xy 91.618789 15.164622) - (xy 91.618789 15.164623) - (xy 91.656043 15.220062) - (xy 91.702908 15.289805) - (xy 91.708527 15.294918) - (xy 91.708528 15.294919) - (xy 91.719903 15.305269) - (xy 91.815374 15.392141) - (xy 91.815376 15.392143) - (xy 91.820076 15.396419) - (xy 91.819364 15.397202) - (xy 91.858993 15.447488) - (xy 91.865813 15.518156) - (xy 91.833345 15.581294) - (xy 91.824895 15.589386) - (xy 91.712039 15.687838) - (xy 91.62095 15.817444) - (xy 91.563406 15.965037) - (xy 91.562414 15.97257) - (xy 91.562414 15.972571) - (xy 91.551866 16.052695) - (xy 91.542729 16.122096) - (xy 87.474107 16.122096) - (xy 87.504842 16.045641) - (xy 87.527162 15.888807) - (xy 87.527307 15.875) - (xy 87.508276 15.717733) - (xy 87.45228 15.569546) - (xy 87.385244 15.472008) - (xy 87.366855 15.445251) - (xy 87.366854 15.445249) - (xy 87.362553 15.438992) - (xy 87.326883 15.407211) - (xy 87.315718 15.397264) - (xy 87.244275 15.333611) - (xy 87.236889 15.3297) - (xy 87.110988 15.263039) - (xy 87.110989 15.263039) - (xy 87.104274 15.259484) - (xy 86.950633 15.220892) - (xy 86.943034 15.220852) - (xy 86.943033 15.220852) - (xy 86.877181 15.220507) - (xy 86.792221 15.220062) - (xy 86.784841 15.221834) - (xy 86.784839 15.221834) - (xy 86.645563 15.255271) - (xy 86.64556 15.255272) - (xy 86.638184 15.257043) - (xy 86.497414 15.3297) - (xy 86.378039 15.433838) - (xy 86.28695 15.563444) - (xy 86.277528 15.587611) - (xy 86.238411 15.687941) - (xy 86.229406 15.711037) - (xy 86.228414 15.71857) - (xy 86.228414 15.718571) - (xy 86.216216 15.811228) - (xy 86.208729 15.868096) - (xy 84.328 15.868096) + (xy 84.328 16.654814) + (xy 84.348002 16.586693) + (xy 84.401658 16.5402) + (xy 84.455979 16.52883) + (xy 84.567118 16.530576) + (xy 84.567121 16.530576) + (xy 84.574716 16.530695) + (xy 84.729132 16.495329) + (xy 84.825972 16.446624) + (xy 84.863872 16.427563) + (xy 84.863875 16.427561) + (xy 84.870655 16.424151) + (xy 84.876426 16.419222) + (xy 84.876429 16.41922) + (xy 84.985336 16.326204) + (xy 84.985336 16.326203) + (xy 84.991114 16.321269) + (xy 85.083555 16.192624) + (xy 85.111907 16.122096) + (xy 89.180529 16.122096) + (xy 89.197913 16.279553) + (xy 89.200523 16.286684) + (xy 89.200523 16.286686) + (xy 89.244665 16.407309) + (xy 89.252353 16.428319) + (xy 89.256589 16.434622) + (xy 89.256589 16.434623) + (xy 89.319894 16.52883) + (xy 89.340708 16.559805) + (xy 89.346327 16.564918) + (xy 89.346328 16.564919) + (xy 89.445122 16.654814) + (xy 89.457876 16.666419) + (xy 89.597093 16.742008) + (xy 89.750322 16.782207) + (xy 89.834277 16.783526) + (xy 89.901119 16.784576) + (xy 89.901122 16.784576) + (xy 89.908716 16.784695) + (xy 90.063132 16.749329) + (xy 90.133542 16.713917) + (xy 90.197872 16.681563) + (xy 90.197875 16.681561) + (xy 90.204655 16.678151) + (xy 90.210426 16.673222) + (xy 90.210429 16.67322) + (xy 90.319336 16.580204) + (xy 90.319336 16.580203) + (xy 90.325114 16.575269) + (xy 90.417555 16.446624) + (xy 90.476642 16.299641) + (xy 90.498962 16.142807) + (xy 90.499107 16.129) + (xy 90.480076 15.971733) + (xy 90.440914 15.868096) + (xy 94.895529 15.868096) + (xy 94.904221 15.946825) + (xy 94.907064 15.972571) + (xy 94.912913 16.025553) + (xy 94.915523 16.032684) + (xy 94.915523 16.032686) + (xy 94.952282 16.133134) + (xy 94.967353 16.174319) + (xy 94.971589 16.180622) + (xy 94.971589 16.180623) + (xy 95.046509 16.292115) + (xy 95.055708 16.305805) + (xy 95.061327 16.310918) + (xy 95.061328 16.310919) + (xy 95.072703 16.321269) + (xy 95.172876 16.412419) + (xy 95.312093 16.488008) + (xy 95.465322 16.528207) + (xy 95.549277 16.529526) + (xy 95.616119 16.530576) + (xy 95.616122 16.530576) + (xy 95.623716 16.530695) + (xy 95.778132 16.495329) + (xy 95.874972 16.446624) + (xy 95.912872 16.427563) + (xy 95.912875 16.427561) + (xy 95.919655 16.424151) + (xy 95.925426 16.419222) + (xy 95.925429 16.41922) + (xy 96.034336 16.326204) + (xy 96.034336 16.326203) + (xy 96.040114 16.321269) + (xy 96.132555 16.192624) + (xy 96.191642 16.045641) + (xy 96.213962 15.888807) + (xy 96.214107 15.875) + (xy 96.195076 15.717733) + (xy 96.13908 15.569546) + (xy 96.072044 15.472008) + (xy 96.053655 15.445251) + (xy 96.053654 15.445249) + (xy 96.049353 15.438992) + (xy 96.013683 15.407211) + (xy 96.002518 15.397264) + (xy 95.931075 15.333611) + (xy 95.923689 15.3297) + (xy 95.797788 15.263039) + (xy 95.797789 15.263039) + (xy 95.791074 15.259484) + (xy 95.637433 15.220892) + (xy 95.629834 15.220852) + (xy 95.629833 15.220852) + (xy 95.563981 15.220507) + (xy 95.479021 15.220062) + (xy 95.471641 15.221834) + (xy 95.471639 15.221834) + (xy 95.332363 15.255271) + (xy 95.33236 15.255272) + (xy 95.324984 15.257043) + (xy 95.184214 15.3297) + (xy 95.064839 15.433838) + (xy 94.97375 15.563444) + (xy 94.964328 15.587611) + (xy 94.925211 15.687941) + (xy 94.916206 15.711037) + (xy 94.915214 15.71857) + (xy 94.915214 15.718571) + (xy 94.903016 15.811228) + (xy 94.895529 15.868096) + (xy 90.440914 15.868096) + (xy 90.42408 15.823546) + (xy 90.334353 15.692992) + (xy 90.216075 15.587611) + (xy 90.218213 15.585211) + (xy 90.182821 15.541286) + (xy 90.175236 15.470696) + (xy 90.20702 15.407211) + (xy 90.217402 15.397264) + (xy 90.319336 15.310204) + (xy 90.319336 15.310203) + (xy 90.325114 15.305269) + (xy 90.417555 15.176624) + (xy 90.476642 15.029641) + (xy 90.498962 14.872807) + (xy 90.499107 14.859) + (xy 90.480076 14.701733) + (xy 90.42408 14.553546) + (xy 90.334353 14.422992) + (xy 90.216075 14.317611) + (xy 90.208689 14.3137) + (xy 90.082788 14.247039) + (xy 90.082789 14.247039) + (xy 90.076074 14.243484) + (xy 89.922433 14.204892) + (xy 89.914834 14.204852) + (xy 89.914833 14.204852) + (xy 89.848981 14.204507) + (xy 89.764021 14.204062) + (xy 89.756641 14.205834) + (xy 89.756639 14.205834) + (xy 89.617363 14.239271) + (xy 89.61736 14.239272) + (xy 89.609984 14.241043) + (xy 89.469214 14.3137) + (xy 89.349839 14.417838) + (xy 89.25875 14.547444) + (xy 89.201206 14.695037) + (xy 89.180529 14.852096) + (xy 89.197913 15.009553) + (xy 89.252353 15.158319) + (xy 89.256589 15.164622) + (xy 89.256589 15.164623) + (xy 89.293925 15.220184) + (xy 89.340708 15.289805) + (xy 89.346327 15.294918) + (xy 89.346328 15.294919) + (xy 89.357703 15.305269) + (xy 89.453174 15.392141) + (xy 89.453176 15.392143) + (xy 89.457876 15.396419) + (xy 89.457164 15.397202) + (xy 89.496793 15.447488) + (xy 89.503613 15.518156) + (xy 89.471145 15.581294) + (xy 89.462695 15.589386) + (xy 89.349839 15.687838) + (xy 89.25875 15.817444) + (xy 89.201206 15.965037) + (xy 89.200214 15.97257) + (xy 89.200214 15.972571) + (xy 89.189666 16.052695) + (xy 89.180529 16.122096) + (xy 85.111907 16.122096) + (xy 85.142642 16.045641) + (xy 85.164962 15.888807) + (xy 85.165107 15.875) + (xy 85.146076 15.717733) + (xy 85.09008 15.569546) + (xy 85.023044 15.472008) + (xy 85.004655 15.445251) + (xy 85.004654 15.445249) + (xy 85.000353 15.438992) + (xy 84.964683 15.407211) + (xy 84.953518 15.397264) + (xy 84.882075 15.333611) + (xy 84.874689 15.3297) + (xy 84.748788 15.263039) + (xy 84.748789 15.263039) + (xy 84.742074 15.259484) + (xy 84.588433 15.220892) + (xy 84.580834 15.220852) + (xy 84.580833 15.220852) + (xy 84.45334 15.220184) + (xy 84.385325 15.199825) + (xy 84.339114 15.145927) + (xy 84.328 15.094186) (xy 84.328 13.716258) (xy 84.329078 13.699812) (xy 84.342872 13.595037) @@ -49940,7 +59170,7 @@ (xy 107.943113 15.263553) (xy 107.945723 15.270684) (xy 107.945723 15.270686) - (xy 107.958379 15.305269) + (xy 107.987632 15.385207) (xy 107.997553 15.412319) (xy 108.001789 15.418622) (xy 108.001789 15.418623) @@ -49992,12 +59222,13 @@ (xy 108.095039 14.671838) (xy 108.00395 14.801444) (xy 107.946406 14.949037) + (xy 107.945414 14.95657) + (xy 107.945414 14.956571) + (xy 107.933164 15.049624) (xy 107.925729 15.106096) (xy 104.648 15.106096) (xy 104.648 13.582096) (xy 111.354729 13.582096) - (xy 111.357776 13.609695) - (xy 111.365395 13.6787) (xy 111.372113 13.739553) (xy 111.426553 13.888319) (xy 111.514908 14.019805) @@ -65232,7 +74463,7 @@ (xy 125.992908 16.305805) (xy 125.998527 16.310918) (xy 125.998528 16.310919) - (xy 126.10446 16.407309) + (xy 126.061684 16.368386) (xy 126.110076 16.412419) (xy 126.249293 16.488008) (xy 126.402522 16.528207) @@ -65287,11 +74518,16 @@ (xy 118.11 15.868096) (xy 118.11 14.979096) (xy 129.642729 14.979096) + (xy 129.645674 15.005769) + (xy 129.655068 15.090854) (xy 129.660113 15.136553) (xy 129.662723 15.143684) (xy 129.662723 15.143686) (xy 129.7064 15.263039) (xy 129.714553 15.285319) + (xy 129.718789 15.291622) + (xy 129.718789 15.291623) + (xy 129.731981 15.311254) (xy 129.802908 15.416805) (xy 129.808527 15.421918) (xy 129.808528 15.421919) @@ -65319,11 +74555,16 @@ (xy 130.961307 14.986) (xy 130.960472 14.979096) (xy 131.801729 14.979096) + (xy 131.804674 15.005769) + (xy 131.814068 15.090854) (xy 131.819113 15.136553) (xy 131.821723 15.143684) (xy 131.821723 15.143686) (xy 131.8654 15.263039) (xy 131.873553 15.285319) + (xy 131.877789 15.291622) + (xy 131.877789 15.291623) + (xy 131.890981 15.311254) (xy 131.961908 15.416805) (xy 131.967527 15.421918) (xy 131.967528 15.421919) @@ -72431,7 +81672,7 @@ (xy 49.159414 16.3457) (xy 49.040039 16.449838) (xy 48.94895 16.579444) - (xy 48.94619 16.586524) + (xy 48.943802 16.592649) (xy 48.894626 16.718779) (xy 48.891406 16.727037) (xy 48.890414 16.73457) @@ -73942,7 +83183,7 @@ (xy 148.614307 15.748) (xy 148.595276 15.590733) (xy 148.53928 15.442546) - (xy 148.489608 15.370273) + (xy 148.477276 15.352329) (xy 148.453855 15.318251) (xy 148.453854 15.318249) (xy 148.449553 15.311992) @@ -80338,109 +89579,109 @@ (xy 128.792872 22.472963) (xy 128.779078 22.368188) (xy 128.778 22.351742) - (xy 128.778 21.422412) - (xy 128.798002 21.354291) - (xy 128.851658 21.307798) - (xy 128.921932 21.297694) - (xy 128.941574 21.302145) - (xy 128.954549 21.306199) - (xy 129.091876 21.308716) - (xy 129.101109 21.306199) - (xy 129.215727 21.274951) - (xy 129.224391 21.272589) - (xy 129.341439 21.200721) - (xy 129.433612 21.09889) - (xy 129.436406 21.093123) - (xy 129.490085 21.048658) - (xy 129.560567 21.040118) - (xy 129.624476 21.071038) - (xy 129.63589 21.0847) - (xy 129.636732 21.083992) - (xy 129.725111 21.189132) - (xy 129.839447 21.26524) - (xy 129.970549 21.306199) - (xy 130.107876 21.308716) - (xy 130.117109 21.306199) - (xy 130.231727 21.274951) - (xy 130.240391 21.272589) - (xy 130.357439 21.200721) - (xy 130.449612 21.09889) - (xy 130.509499 20.975283) - (xy 130.532286 20.839836) - (xy 130.532431 20.828) - (xy 130.531584 20.822082) - (xy 130.514232 20.700923) - (xy 130.514232 20.700921) - (xy 130.512959 20.692036) - (xy 130.45611 20.567003) - (xy 130.366453 20.462951) - (xy 130.309206 20.425845) - (xy 130.262923 20.372009) - (xy 130.253092 20.301696) - (xy 130.282836 20.237231) - (xy 130.311809 20.212739) - (xy 130.349789 20.189419) - (xy 130.349793 20.189416) - (xy 130.357439 20.184721) - (xy 130.449612 20.08289) - (xy 130.509499 19.959283) - (xy 130.532286 19.823836) - (xy 130.532431 19.812) - (xy 130.531584 19.806082) - (xy 130.514232 19.684923) - (xy 130.514232 19.684921) - (xy 130.512959 19.676036) - (xy 130.45611 19.551003) - (xy 130.366453 19.446951) - (xy 130.309206 19.409845) - (xy 130.262923 19.356009) - (xy 130.253092 19.285696) - (xy 130.282836 19.221231) - (xy 130.311809 19.196739) - (xy 130.349789 19.173419) - (xy 130.349793 19.173416) - (xy 130.357439 19.168721) - (xy 130.449612 19.06689) - (xy 130.509499 18.943283) - (xy 130.532286 18.807836) - (xy 130.532431 18.796) - (xy 130.531584 18.790082) - (xy 130.514232 18.668923) - (xy 130.514232 18.668921) - (xy 130.512959 18.660036) - (xy 130.45611 18.535003) - (xy 130.366453 18.430951) - (xy 130.251196 18.356244) - (xy 130.119603 18.31689) - (xy 130.110627 18.316835) - (xy 130.110626 18.316835) - (xy 130.05308 18.316484) - (xy 129.982255 18.316051) - (xy 129.850192 18.353795) - (xy 129.842605 18.358582) - (xy 129.842603 18.358583) - (xy 129.78085 18.397546) - (xy 129.734031 18.427087) - (xy 129.643109 18.530036) - (xy 129.642478 18.531379) - (xy 129.591233 18.574892) - (xy 129.520861 18.58429) - (xy 129.456579 18.554151) - (xy 129.440157 18.534963) - (xy 129.44011 18.535003) - (xy 129.356314 18.437753) - (xy 129.350453 18.430951) - (xy 129.235196 18.356244) - (xy 129.103603 18.31689) - (xy 129.094627 18.316835) - (xy 129.094626 18.316835) - (xy 129.03708 18.316484) - (xy 128.966255 18.316051) - (xy 128.938624 18.323948) - (xy 128.867631 18.323436) - (xy 128.808183 18.284623) - (xy 128.779156 18.219831) - (xy 128.778 18.202799) + (xy 128.778 21.295412) + (xy 128.798002 21.227291) + (xy 128.851658 21.180798) + (xy 128.921932 21.170694) + (xy 128.941574 21.175145) + (xy 128.954549 21.179199) + (xy 129.091876 21.181716) + (xy 129.101109 21.179199) + (xy 129.215727 21.147951) + (xy 129.224391 21.145589) + (xy 129.341439 21.073721) + (xy 129.433612 20.97189) + (xy 129.436406 20.966123) + (xy 129.490085 20.921658) + (xy 129.560567 20.913118) + (xy 129.624476 20.944038) + (xy 129.63589 20.9577) + (xy 129.636732 20.956992) + (xy 129.725111 21.062132) + (xy 129.839447 21.13824) + (xy 129.970549 21.179199) + (xy 130.107876 21.181716) + (xy 130.117109 21.179199) + (xy 130.231727 21.147951) + (xy 130.240391 21.145589) + (xy 130.357439 21.073721) + (xy 130.449612 20.97189) + (xy 130.509499 20.848283) + (xy 130.532286 20.712836) + (xy 130.532431 20.701) + (xy 130.531584 20.695082) + (xy 130.514232 20.573923) + (xy 130.514232 20.573921) + (xy 130.512959 20.565036) + (xy 130.45611 20.440003) + (xy 130.366453 20.335951) + (xy 130.309206 20.298845) + (xy 130.262923 20.245009) + (xy 130.253092 20.174696) + (xy 130.282836 20.110231) + (xy 130.311809 20.085739) + (xy 130.349789 20.062419) + (xy 130.349793 20.062416) + (xy 130.357439 20.057721) + (xy 130.449612 19.95589) + (xy 130.509499 19.832283) + (xy 130.532286 19.696836) + (xy 130.532431 19.685) + (xy 130.531584 19.679082) + (xy 130.514232 19.557923) + (xy 130.514232 19.557921) + (xy 130.512959 19.549036) + (xy 130.45611 19.424003) + (xy 130.366453 19.319951) + (xy 130.309206 19.282845) + (xy 130.262923 19.229009) + (xy 130.253092 19.158696) + (xy 130.282836 19.094231) + (xy 130.311809 19.069739) + (xy 130.349789 19.046419) + (xy 130.349793 19.046416) + (xy 130.357439 19.041721) + (xy 130.449612 18.93989) + (xy 130.509499 18.816283) + (xy 130.532286 18.680836) + (xy 130.532431 18.669) + (xy 130.531584 18.663082) + (xy 130.514232 18.541923) + (xy 130.514232 18.541921) + (xy 130.512959 18.533036) + (xy 130.45611 18.408003) + (xy 130.366453 18.303951) + (xy 130.251196 18.229244) + (xy 130.119603 18.18989) + (xy 130.110627 18.189835) + (xy 130.110626 18.189835) + (xy 130.05308 18.189484) + (xy 129.982255 18.189051) + (xy 129.850192 18.226795) + (xy 129.842605 18.231582) + (xy 129.842603 18.231583) + (xy 129.78085 18.270546) + (xy 129.734031 18.300087) + (xy 129.643109 18.403036) + (xy 129.642478 18.404379) + (xy 129.591233 18.447892) + (xy 129.520861 18.45729) + (xy 129.456579 18.427151) + (xy 129.440157 18.407963) + (xy 129.44011 18.408003) + (xy 129.356314 18.310753) + (xy 129.350453 18.303951) + (xy 129.235196 18.229244) + (xy 129.103603 18.18989) + (xy 129.094627 18.189835) + (xy 129.094626 18.189835) + (xy 129.03708 18.189484) + (xy 128.966255 18.189051) + (xy 128.938624 18.196948) + (xy 128.867631 18.196436) + (xy 128.808183 18.157623) + (xy 128.779156 18.092831) + (xy 128.778 18.075799) (xy 128.778 14.979096) (xy 131.801729 14.979096) (xy 131.810421 15.057825) @@ -81174,19 +90415,19 @@ (xy 61.214 29.457096) (xy 95.098729 29.457096) (xy 95.102175 29.488309) - (xy 95.114782 29.602493) + (xy 95.113513 29.591) (xy 95.116113 29.614553) (xy 95.118723 29.621684) (xy 95.118723 29.621686) - (xy 95.162587 29.74155) + (xy 95.164348 29.746362) (xy 95.170553 29.763319) (xy 95.174789 29.769622) (xy 95.174789 29.769623) - (xy 95.21209 29.825132) + (xy 95.179873 29.777188) (xy 95.258908 29.894805) (xy 95.264527 29.899918) (xy 95.264528 29.899919) - (xy 95.31376 29.944716) + (xy 95.334646 29.963721) (xy 95.376076 30.001419) (xy 95.515293 30.077008) (xy 95.668522 30.117207) @@ -81197,20 +90438,20 @@ (xy 95.981332 30.084329) (xy 95.985971 30.081996) (xy 100.940729 30.081996) - (xy 100.949421 30.160725) - (xy 100.956758 30.227177) + (xy 100.94463 30.117326) + (xy 100.954371 30.205556) (xy 100.958113 30.239453) (xy 100.960723 30.246584) (xy 100.960723 30.246586) - (xy 100.999637 30.352923) + (xy 101.000096 30.354177) (xy 101.012553 30.388219) (xy 101.016789 30.394522) (xy 101.016789 30.394523) - (xy 101.077501 30.484871) + (xy 101.074176 30.479923) (xy 101.100908 30.519705) (xy 101.106527 30.524818) (xy 101.106528 30.524819) - (xy 101.209408 30.618432) + (xy 101.200127 30.609987) (xy 101.218076 30.626319) (xy 101.357293 30.701908) (xy 101.510522 30.742107) @@ -81225,97 +90466,93 @@ (xy 101.964855 30.638051) (xy 101.970626 30.633122) (xy 101.970629 30.63312) - (xy 102.079536 30.540104) - (xy 102.079536 30.540103) - (xy 102.085314 30.535169) - (xy 102.12921 30.474082) - (xy 115.847605 30.474082) - (xy 115.848769 30.482984) - (xy 115.848769 30.482987) - (xy 115.854786 30.528995) - (xy 115.865414 30.610273) - (xy 115.920732 30.735992) - (xy 115.92651 30.742865) - (xy 115.92651 30.742866) - (xy 115.929101 30.745948) - (xy 116.009111 30.841132) - (xy 116.123447 30.91724) - (xy 116.254549 30.958199) - (xy 116.391876 30.960716) - (xy 116.401109 30.958199) - (xy 116.515727 30.926951) - (xy 116.524391 30.924589) - (xy 116.641439 30.852721) - (xy 116.733612 30.75089) - (xy 116.736406 30.745123) - (xy 116.790085 30.700658) - (xy 116.860567 30.692118) - (xy 116.924476 30.723038) - (xy 116.93589 30.7367) - (xy 116.936732 30.735992) - (xy 117.025111 30.841132) - (xy 117.139447 30.91724) - (xy 117.270549 30.958199) - (xy 117.407876 30.960716) - (xy 117.417109 30.958199) - (xy 117.531727 30.926951) - (xy 117.540391 30.924589) - (xy 117.657439 30.852721) - (xy 117.749612 30.75089) - (xy 117.753868 30.742107) - (xy 117.805585 30.635362) - (xy 117.805585 30.635361) - (xy 117.809499 30.627283) - (xy 117.832286 30.491836) - (xy 117.832431 30.48) - (xy 117.831584 30.474082) - (xy 117.814232 30.352923) - (xy 117.814232 30.352921) - (xy 117.812959 30.344036) - (xy 117.75611 30.219003) - (xy 117.666453 30.114951) - (xy 117.609206 30.077845) - (xy 117.562923 30.024009) - (xy 117.553092 29.953696) - (xy 117.582836 29.889231) - (xy 117.611809 29.864739) - (xy 117.649789 29.841419) - (xy 117.649793 29.841416) - (xy 117.657439 29.836721) - (xy 117.705661 29.783446) - (xy 117.743584 29.74155) - (xy 117.743586 29.741547) - (xy 117.749612 29.73489) - (xy 117.794256 29.642746) - (xy 117.805585 29.619362) - (xy 117.805585 29.619361) - (xy 117.809499 29.611283) - (xy 117.832286 29.475836) - (xy 117.832431 29.464) - (xy 117.831584 29.458082) - (xy 117.814232 29.336923) - (xy 117.814232 29.336921) - (xy 117.812959 29.328036) - (xy 117.75611 29.203003) - (xy 117.666453 29.098951) - (xy 117.609206 29.061845) - (xy 117.562923 29.008009) - (xy 117.554686 28.949096) + (xy 102.00814 30.601082) + (xy 115.847605 30.601082) + (xy 115.848769 30.609984) + (xy 115.848769 30.609987) + (xy 115.850237 30.621209) + (xy 115.865414 30.737273) + (xy 115.920732 30.862992) + (xy 116.009111 30.968132) + (xy 116.123447 31.04424) + (xy 116.254549 31.085199) + (xy 116.391876 31.087716) + (xy 116.401109 31.085199) + (xy 116.515727 31.053951) + (xy 116.524391 31.051589) + (xy 116.641439 30.979721) + (xy 116.733612 30.87789) + (xy 116.736406 30.872123) + (xy 116.790085 30.827658) + (xy 116.860567 30.819118) + (xy 116.924476 30.850038) + (xy 116.93589 30.8637) + (xy 116.936732 30.862992) + (xy 117.025111 30.968132) + (xy 117.139447 31.04424) + (xy 117.270549 31.085199) + (xy 117.407876 31.087716) + (xy 117.417109 31.085199) + (xy 117.531727 31.053951) + (xy 117.540391 31.051589) + (xy 117.657439 30.979721) + (xy 117.749612 30.87789) + (xy 117.809499 30.754283) + (xy 117.832286 30.618836) + (xy 117.832431 30.607) + (xy 117.831584 30.601082) + (xy 117.814232 30.479923) + (xy 117.814232 30.479921) + (xy 117.812959 30.471036) + (xy 117.75611 30.346003) + (xy 117.666453 30.241951) + (xy 117.609206 30.204845) + (xy 117.562923 30.151009) + (xy 117.553092 30.080696) + (xy 117.582836 30.016231) + (xy 117.611809 29.991739) + (xy 117.649789 29.968419) + (xy 117.649793 29.968416) + (xy 117.657439 29.963721) + (xy 117.698953 29.917857) + (xy 117.743584 29.86855) + (xy 117.743586 29.868547) + (xy 117.749612 29.86189) + (xy 117.784177 29.790549) + (xy 117.805585 29.746362) + (xy 117.805585 29.746361) + (xy 117.809499 29.738283) + (xy 117.832286 29.602836) + (xy 117.832431 29.591) + (xy 117.831584 29.585082) + (xy 117.814232 29.463923) + (xy 117.814232 29.463921) + (xy 117.812959 29.455036) + (xy 117.75611 29.330003) + (xy 117.666453 29.225951) + (xy 117.609206 29.188845) + (xy 117.562923 29.135009) + (xy 117.553092 29.064696) + (xy 117.582836 29.000231) + (xy 117.611809 28.975739) + (xy 117.649789 28.952419) + (xy 117.649793 28.952416) + (xy 117.6552 28.949096) (xy 121.768729 28.949096) - (xy 121.771692 28.975932) - (xy 121.785274 29.098951) + (xy 121.771671 28.975739) + (xy 121.778131 29.034251) (xy 121.786113 29.106553) (xy 121.788723 29.113684) (xy 121.788723 29.113686) - (xy 121.8244 29.211177) + (xy 121.828019 29.221067) (xy 121.840553 29.255319) (xy 121.844789 29.261622) (xy 121.844789 29.261623) - (xy 121.895389 29.336923) + (xy 121.903606 29.349151) (xy 121.928908 29.386805) (xy 121.934527 29.391918) (xy 121.934528 29.391919) - (xy 122.032024 29.480633) + (xy 122.033407 29.481891) (xy 122.046076 29.493419) (xy 122.185293 29.569008) (xy 122.338522 29.609207) @@ -81335,14 +90572,14 @@ (xy 122.913314 29.402269) (xy 123.005755 29.273624) (xy 123.064842 29.126641) - (xy 123.077991 29.034251) + (xy 123.078239 29.032505) (xy 123.086581 28.973891) (xy 123.086581 28.973888) (xy 123.087162 28.969807) (xy 123.087307 28.956) (xy 123.068276 28.798733) (xy 123.01228 28.650546) - (xy 123.003814 28.638228) + (xy 122.97179 28.591633) (xy 122.926855 28.526251) (xy 122.926854 28.526249) (xy 122.922553 28.519992) @@ -81367,137 +90604,136 @@ (xy 121.789406 28.792037) (xy 121.788414 28.79957) (xy 121.788414 28.799571) - (xy 121.77023 28.937696) + (xy 121.770436 28.936132) (xy 121.768729 28.949096) - (xy 117.554686 28.949096) - (xy 117.553092 28.937696) - (xy 117.582836 28.873231) - (xy 117.611809 28.848739) - (xy 117.649789 28.825419) - (xy 117.649793 28.825416) - (xy 117.657439 28.820721) - (xy 117.663463 28.814066) - (xy 117.743584 28.72555) - (xy 117.743586 28.725547) - (xy 117.749612 28.71889) - (xy 117.782725 28.650546) - (xy 117.805585 28.603362) - (xy 117.805585 28.603361) - (xy 117.809499 28.595283) - (xy 117.832286 28.459836) - (xy 117.832431 28.448) - (xy 117.831584 28.442082) - (xy 117.814232 28.320923) - (xy 117.814232 28.320921) - (xy 117.812959 28.312036) - (xy 117.809189 28.303743) - (xy 117.759826 28.195177) - (xy 117.75611 28.187003) - (xy 117.666453 28.082951) - (xy 117.551196 28.008244) - (xy 117.419603 27.96889) - (xy 117.410627 27.968835) - (xy 117.410626 27.968835) - (xy 117.35308 27.968484) - (xy 117.282255 27.968051) - (xy 117.150192 28.005795) - (xy 117.142605 28.010582) - (xy 117.142603 28.010583) - (xy 117.08085 28.049546) - (xy 117.034031 28.079087) - (xy 116.943109 28.182036) - (xy 116.942478 28.183379) - (xy 116.891233 28.226892) - (xy 116.820861 28.23629) - (xy 116.756579 28.206151) - (xy 116.740157 28.186963) - (xy 116.74011 28.187003) - (xy 116.656314 28.089753) - (xy 116.650453 28.082951) - (xy 116.535196 28.008244) - (xy 116.403603 27.96889) - (xy 116.394627 27.968835) - (xy 116.394626 27.968835) - (xy 116.33708 27.968484) - (xy 116.266255 27.968051) - (xy 116.134192 28.005795) - (xy 116.126605 28.010582) - (xy 116.126603 28.010583) - (xy 116.06485 28.049546) - (xy 116.018031 28.079087) - (xy 115.927109 28.182036) - (xy 115.868736 28.306366) - (xy 115.859027 28.368724) - (xy 115.848986 28.433209) - (xy 115.848986 28.433213) - (xy 115.847605 28.442082) - (xy 115.848769 28.450984) - (xy 115.848769 28.450987) - (xy 115.850554 28.464633) - (xy 115.865414 28.578273) - (xy 115.920732 28.703992) - (xy 116.009111 28.809132) - (xy 116.016588 28.814109) - (xy 116.072171 28.851108) - (xy 116.117794 28.905505) - (xy 116.126765 28.975932) - (xy 116.096236 29.04003) - (xy 116.069588 29.062556) - (xy 116.025625 29.090295) - (xy 116.025622 29.090297) - (xy 116.018031 29.095087) - (xy 116.012088 29.101816) - (xy 115.967512 29.152288) - (xy 115.927109 29.198036) - (xy 115.868736 29.322366) - (xy 115.859685 29.3805) - (xy 115.848986 29.449209) - (xy 115.848986 29.449213) - (xy 115.847605 29.458082) - (xy 115.848769 29.466984) - (xy 115.848769 29.466987) - (xy 115.853115 29.50022) - (xy 115.865414 29.594273) - (xy 115.920732 29.719992) - (xy 115.92651 29.726865) - (xy 115.92651 29.726866) - (xy 115.980041 29.790549) - (xy 116.009111 29.825132) - (xy 116.016588 29.830109) - (xy 116.072171 29.867108) - (xy 116.117794 29.921505) - (xy 116.126765 29.991932) - (xy 116.096236 30.05603) - (xy 116.069588 30.078556) - (xy 116.025625 30.106295) - (xy 116.025622 30.106297) - (xy 116.018031 30.111087) - (xy 115.927109 30.214036) - (xy 115.923295 30.222159) - (xy 115.923294 30.222161) - (xy 115.901637 30.26829) - (xy 115.868736 30.338366) - (xy 115.862085 30.381085) - (xy 115.848986 30.465209) - (xy 115.848986 30.465213) - (xy 115.847605 30.474082) - (xy 102.12921 30.474082) + (xy 117.6552 28.949096) + (xy 117.657439 28.947721) + (xy 117.679192 28.923689) + (xy 117.743584 28.85255) + (xy 117.743586 28.852547) + (xy 117.749612 28.84589) + (xy 117.766597 28.810834) + (xy 117.805585 28.730362) + (xy 117.805585 28.730361) + (xy 117.809499 28.722283) + (xy 117.832286 28.586836) + (xy 117.832431 28.575) + (xy 117.831584 28.569082) + (xy 117.814232 28.447923) + (xy 117.814232 28.447921) + (xy 117.812959 28.439036) + (xy 117.75611 28.314003) + (xy 117.666453 28.209951) + (xy 117.551196 28.135244) + (xy 117.419603 28.09589) + (xy 117.410627 28.095835) + (xy 117.410626 28.095835) + (xy 117.35308 28.095484) + (xy 117.282255 28.095051) + (xy 117.150192 28.132795) + (xy 117.142605 28.137582) + (xy 117.142603 28.137583) + (xy 117.08085 28.176546) + (xy 117.034031 28.206087) + (xy 116.943109 28.309036) + (xy 116.942478 28.310379) + (xy 116.891233 28.353892) + (xy 116.820861 28.36329) + (xy 116.756579 28.333151) + (xy 116.740157 28.313963) + (xy 116.74011 28.314003) + (xy 116.656314 28.216753) + (xy 116.650453 28.209951) + (xy 116.535196 28.135244) + (xy 116.403603 28.09589) + (xy 116.394627 28.095835) + (xy 116.394626 28.095835) + (xy 116.33708 28.095484) + (xy 116.266255 28.095051) + (xy 116.134192 28.132795) + (xy 116.126605 28.137582) + (xy 116.126603 28.137583) + (xy 116.06485 28.176546) + (xy 116.018031 28.206087) + (xy 115.927109 28.309036) + (xy 115.868736 28.433366) + (xy 115.859027 28.495724) + (xy 115.848986 28.560209) + (xy 115.848986 28.560213) + (xy 115.847605 28.569082) + (xy 115.848769 28.577984) + (xy 115.848769 28.577987) + (xy 115.850554 28.591633) + (xy 115.865414 28.705273) + (xy 115.893073 28.768133) + (xy 115.911083 28.809062) + (xy 115.920732 28.830992) + (xy 115.92651 28.837865) + (xy 115.92651 28.837866) + (xy 116.001993 28.927664) + (xy 116.009111 28.936132) + (xy 116.065836 28.973891) + (xy 116.072171 28.978108) + (xy 116.117794 29.032505) + (xy 116.126765 29.102932) + (xy 116.096236 29.16703) + (xy 116.069588 29.189556) + (xy 116.025625 29.217295) + (xy 116.025622 29.217297) + (xy 116.018031 29.222087) + (xy 115.927109 29.325036) + (xy 115.868736 29.449366) + (xy 115.864443 29.476939) + (xy 115.848986 29.576209) + (xy 115.848986 29.576213) + (xy 115.847605 29.585082) + (xy 115.848769 29.593984) + (xy 115.848769 29.593987) + (xy 115.855008 29.641695) + (xy 115.865414 29.721273) + (xy 115.920732 29.846992) + (xy 115.92651 29.853865) + (xy 115.92651 29.853866) + (xy 115.97807 29.915204) + (xy 116.009111 29.952132) + (xy 116.016588 29.957109) + (xy 116.072171 29.994108) + (xy 116.117794 30.048505) + (xy 116.126765 30.118932) + (xy 116.096236 30.18303) + (xy 116.069588 30.205556) + (xy 116.025625 30.233295) + (xy 116.025622 30.233297) + (xy 116.018031 30.238087) + (xy 115.927109 30.341036) + (xy 115.923295 30.349159) + (xy 115.923294 30.349161) + (xy 115.901997 30.394523) + (xy 115.868736 30.465366) + (xy 115.861257 30.5134) + (xy 115.848986 30.592209) + (xy 115.848986 30.592213) + (xy 115.847605 30.601082) + (xy 102.00814 30.601082) + (xy 102.079536 30.540104) + (xy 102.079536 30.540103) + (xy 102.085314 30.535169) (xy 102.177755 30.406524) (xy 102.236842 30.259541) - (xy 102.244276 30.207308) + (xy 102.255578 30.12789) (xy 102.258581 30.106791) (xy 102.258581 30.106788) (xy 102.259162 30.102707) (xy 102.259307 30.0889) (xy 102.240276 29.931633) (xy 102.18428 29.783446) - (xy 102.155484 29.741547) + (xy 102.158793 29.746362) (xy 102.098855 29.659151) (xy 102.098854 29.659149) (xy 102.094553 29.652892) (xy 101.976275 29.547511) (xy 101.968889 29.5436) - (xy 101.849965 29.480633) + (xy 101.852341 29.481891) (xy 101.836274 29.473384) (xy 101.682633 29.434792) (xy 101.675034 29.434752) @@ -81520,7 +90756,7 @@ (xy 100.941863 30.073385) (xy 100.940729 30.081996) (xy 95.985971 30.081996) - (xy 96.068986 30.040244) + (xy 96.092854 30.02824) (xy 96.116072 30.016563) (xy 96.116075 30.016561) (xy 96.122855 30.013151) @@ -81536,16 +90772,19 @@ (xy 96.416581 29.481888) (xy 96.417162 29.477807) (xy 96.417307 29.464) + (xy 96.415234 29.446865) + (xy 96.408584 29.391919) (xy 96.398276 29.306733) (xy 96.34228 29.158546) - (xy 96.306546 29.106553) + (xy 96.271607 29.055716) (xy 96.256855 29.034251) (xy 96.256854 29.034249) (xy 96.252553 29.027992) + (xy 96.221395 29.000231) + (xy 96.167731 28.952419) (xy 96.134275 28.922611) (xy 96.126889 28.9187) - (xy 96.000988 28.852039) - (xy 96.000989 28.852039) + (xy 96.001953 28.85255) (xy 95.994274 28.848484) (xy 95.840633 28.809892) (xy 95.833034 28.809852) @@ -81563,7 +90802,7 @@ (xy 95.119406 29.300037) (xy 95.118414 29.30757) (xy 95.118414 29.307571) - (xy 95.101422 29.436643) + (xy 95.099747 29.449366) (xy 95.098729 29.457096) (xy 61.214 29.457096) (xy 61.214 27.298096) @@ -82045,7 +91284,7 @@ (xy 120.150908 13.384805) (xy 120.156527 13.389918) (xy 120.156528 13.389919) - (xy 120.222596 13.450036) + (xy 120.229241 13.456082) (xy 120.268076 13.491419) (xy 120.407293 13.567008) (xy 120.560522 13.607207) @@ -82065,11 +91304,14 @@ (xy 121.135314 13.400269) (xy 121.227755 13.271624) (xy 121.286842 13.124641) + (xy 121.301763 13.019795) + (xy 121.308581 12.971891) + (xy 121.308581 12.971888) (xy 121.309162 12.967807) (xy 121.309307 12.954) (xy 121.290276 12.796733) (xy 121.23428 12.648546) - (xy 121.213413 12.618184) + (xy 121.196299 12.593283) (xy 121.148855 12.524251) (xy 121.148854 12.524249) (xy 121.144553 12.517992) @@ -82115,4 +91357,2618 @@ ) ) ) + (group "" (id cc5b8d8b-cd14-4817-b848-aeb987841885) + (members + 000452fe-6dbc-471a-9934-e97eb0a9b3b3 + 000e0288-655c-4bc5-b757-9237b8ccf637 + 001f18a6-8543-4eb1-b53d-9b6c7657da17 + 006adfb9-d400-4da2-aa9c-9bac92905516 + 008fd94f-a398-473b-a4a6-c1fcb709796d + 00d9bdb3-7cb0-44e0-8c25-c831cbaf9164 + 00f2965d-a9e9-46cf-805b-3aade56c030a + 00f7457b-90f0-4229-8aca-e482eb09c688 + 00fca38e-dbf0-42b7-b47e-afb8cb501778 + 01042fca-cc48-4a22-895c-7a162d938bbc + 011b3b2d-f056-497f-8afb-81a59eb4fa89 + 013b8308-52ae-4f82-9316-574e23df6c5d + 014344b8-1e7d-4d0c-8cf3-fb3910abf72e + 0153a7f3-f3ea-4b25-a0c4-092faa2372f5 + 016fa7e1-5708-4efe-90ca-260fa7cbcc56 + 01919e3c-5372-4e2b-863d-b81e21e2357f + 0193e8d5-1f69-418a-a689-91857d7bd8e3 + 01997108-45ef-402c-a63c-6b71fbeeab97 + 019a5e42-4f5c-4632-96c8-f471f4e26d2a + 01bdbe50-6c4b-4b62-9dae-b3b4d37323fc + 01dc7e36-1c41-4ad9-bb73-5fa0893b5a76 + 0215bcc1-897d-4be4-bf00-6fd9654edb02 + 021b9749-b848-4fdc-a255-7c252c9bb05f + 0227d606-290c-4c6e-bdea-a72c73716a74 + 02a555d3-071f-426e-8160-caab42a56145 + 02acfea8-8c9e-425c-ab26-4d0580b16d2f + 02c1ffbb-b556-46a8-a982-ecfdfb6df8e0 + 02de8176-8ef5-4af8-a3ea-06c3f5a7f828 + 02fce4b1-6292-4d6b-baf1-1c427db96f9a + 03011927-c945-46f3-b32c-905da7a24b45 + 031a234b-d291-4265-95f1-e627f1bbfc27 + 032dab5f-a1bd-4228-937d-b163ab32f1f8 + 03572864-005e-4baa-ba68-331f9116936b + 035bc35c-1eef-4abf-934f-4c8e6206c991 + 03bcde1d-5402-460a-9227-ca90620fec52 + 03cbd7d3-5814-4cd2-946f-a48008c3928c + 03cdfadb-c278-4a0e-b508-cc08c8a51551 + 03db1dac-2a71-42cc-915c-4229858a010f + 03e0a0b1-1249-44da-b67d-4258a01ac4fc + 03ef82ea-420f-4477-85f1-0f14b790bccd + 0402a546-2151-46d5-83d9-41d7802c530b + 041f0f50-fda1-48ae-898d-bcc89aabbbed + 042c71e8-ffa8-4fa1-8078-3ffd4a933bc7 + 043d0e9a-4b6b-46cb-bdd8-694a98c68f88 + 04418525-e194-4b5c-ba3a-56387311082f + 044350ba-3f3b-44a1-8013-ecc3062ce6cf + 046044bd-a71c-4994-919f-f2f644efc30e + 04639e2f-2fca-4af0-8b56-3c6f7a1ed0f1 + 04ab66b2-3b4a-4643-a551-f4e448ae787a + 04b7c5fe-c08c-4308-98e6-dc9ca55e2dd4 + 04cdf5ce-f19f-4c0b-85d6-1ecfb230ed86 + 052d55dd-0d32-461e-a473-8bd1baf3ef0e + 053a25f6-4f30-4a30-a28b-1f12b8ecab47 + 053d7e29-b9e6-4d50-bf26-ff64f9040469 + 0542a6ad-b5b5-4cf1-b718-492a811231d2 + 056b785b-1ae8-4317-9f3b-a741ca3773a0 + 0572a933-192a-413e-9861-18e9ba696102 + 057f814e-bacd-41cc-b04c-b9d264c1d927 + 057fcaf3-edc9-4fff-97d8-16886360d43f + 0589d917-bc9a-4ab6-93d4-d20f268a11cb + 05a78a30-d01e-4cd0-91d6-55949000e803 + 05fb61a2-c6ab-4c22-a914-ab2290207607 + 060c59b1-c5a7-449c-9ac7-ba93b9c8a83f + 0614ff92-6e4c-4e5b-9245-26784b87f82d + 061d8295-b064-4c74-9e4c-5cb0c9de051e + 062604cf-3b60-4ab2-83ba-d0b3bd4288f0 + 0627c3d1-ddb8-4ba3-adf2-a3d87bc0308b + 0636f9df-69f7-46ea-896b-19fd1c69679f + 063c895f-8a7b-4bb0-b5b5-1410b56a1855 + 064217df-472f-4b53-a66a-d2ed02b9359c + 0662d771-410a-4357-bf1f-768cbec291a8 + 0698d88a-56c9-4924-8328-ccf1336ec3c5 + 06a1305d-f033-4e67-a113-7d150e7180cf + 06b060f2-00dd-402c-9946-5495f3942692 + 06fa47ea-c99c-4c70-b399-6e69f610625f + 07003666-9903-40b8-9e10-03d44e2893b2 + 0719a382-0da4-4cde-9d77-7b749a3c7624 + 072f62bf-3e46-4a4c-9885-0a6e6492b7cb + 0742a315-05ee-4368-adbd-1943a91d4dbb + 074ccf05-5650-4274-a7ac-feeef2c47201 + 07507339-6ac5-4d3c-bad8-1ecd0558d64a + 07589aa7-bc18-4ce9-b2ac-3c014e78aeb0 + 07789e6f-7fc3-4a33-8b7e-bc1d631e753d + 07798e1c-8a32-4371-aaf8-4e3fcb6c7fcf + 07897a7f-1d14-4a17-bbed-5ace3ee68946 + 07ade4ea-718c-4a4f-87e9-1d6ed9a48d71 + 07ce0a2a-4e5a-4f16-b7cd-0a843326b9ad + 080945f5-8e6f-49e8-9c4b-f943e95257e6 + 08184028-c65a-4a52-ba18-cdf14d127543 + 08341b04-51c1-44d7-a71d-6718038fde0d + 086b57e3-1b14-4bda-a67b-6552a7ebaf3a + 0876c4dd-395c-4772-a5cf-f7ddfebc4239 + 0893faa9-63f8-4597-98fd-00b918feb909 + 08ab1a36-8692-4208-9b3f-d13ea97eaab5 + 08b55e58-a006-4724-88da-3d14f1fc4d33 + 08c3a0dd-74f5-40ea-b2b5-b8e8c7c97403 + 08d6236c-eaf3-4938-a115-7752f48c8fac + 09092618-eaf4-4097-90e1-1f4d0a79f14f + 091d7def-49b1-4454-bc79-7b52a86e37b5 + 0932a357-cbe0-4794-88eb-72d1d1840e75 + 094fd2ef-205d-4e18-a771-abb24ed2c467 + 09645805-3565-4a5a-b43b-375d7bac22d5 + 0976622b-036f-41fd-9490-a980f7f22cd1 + 0976f7ca-6904-4916-a61c-3dc88036b23a + 097d15cf-0934-47a3-a695-5373958339f9 + 099ba171-72f2-45e0-8afb-6abf41dff709 + 09a0a951-6ac6-4289-b388-53dd3f690c03 + 09e86f5c-3365-4368-8db0-a5dd82fda223 + 0a2a3666-2d64-4812-8823-97f35d2c6c5f + 0a30e9f2-6e1b-4ec8-8dfb-97bb7330560b + 0a55e6dc-0236-4f66-87e7-b95035043ff4 + 0a792cc4-33cc-4beb-be3a-7d71e91929c1 + 0a9d37af-e43e-4cdb-84d6-90a215c20e35 + 0adcfeef-a17b-4f58-b156-9ad9c3b62efe + 0ae2d69f-7964-45d2-ab48-9e13d9fe1b5b + 0b19a507-c5de-4838-9ced-ad7df68a59b9 + 0b229735-9e99-4bd6-9f5f-f8f2249646ff + 0b4f61df-7999-45f1-9d2f-4dfd0631e557 + 0b5a12cd-d56d-4840-a4e5-e1719dcf124c + 0b763846-9513-43fb-b8f5-41906294dd5a + 0bae2d4f-f26c-4e2e-970a-d960051b8a6a + 0bb53e93-183e-4143-b0e3-d3195a347d6b + 0bc1796c-b93b-4a08-bbe5-4becb6362fc8 + 0bf1a572-3d7e-4c8d-b376-5385dc81e27d + 0bfa97b5-091e-462b-b09a-e30ef90fbc89 + 0c30290b-c2a3-430b-9a26-a030c09c894e + 0c8d969b-b48f-4866-aca6-eb2dfba1f358 + 0ca01653-aa62-4d07-868d-e1a06431a578 + 0cabf21d-0673-49eb-81ff-ed8ea8e20146 + 0cdc2b45-e7a0-4657-9e53-50a2dd5bfaae + 0ceb80ec-4db8-4d66-b1b4-dede75491dff + 0d1d447e-5852-4766-83ae-02ee285189bc + 0d2d2b8e-4515-4e01-989e-b743fac34dc9 + 0d434caf-abb7-4ab4-9fbb-ddf0b287f5c6 + 0d6a2075-b94f-4d42-8919-eb130069ae23 + 0d7d60a8-7dd0-4f22-b1f4-0617de1f51e9 + 0da50df7-7613-412c-9a29-a3456a7537dd + 0dbe75bf-d07e-4738-8fe4-25f58d64e9aa + 0de11a88-1222-4f6b-8d43-a43acb40ddc8 + 0de8f498-e1b8-4859-a96e-2c632671e01e + 0df1f97b-af30-4fb8-8c4a-0916a8595962 + 0dfa6dbd-cb8e-4afd-95dd-f490a1be2819 + 0e180b8b-9a34-47ec-9d38-f113e426cad3 + 0e4605f5-34c7-43df-91e3-90360036dd8d + 0e478691-48f4-49a0-a027-144db126a496 + 0e4b3533-29e4-4a3f-9260-83c239ea87e7 + 0e7247e4-5791-4c88-96eb-0e852dcd2a1e + 0eb587fe-c666-4dc3-96a4-c5d0de272902 + 0ee97d44-4b02-4b9a-aabb-1b636977becd + 0eecfa05-3efe-40f4-83d2-7606f6683192 + 0eee5b55-829c-4ba8-8d00-1ae14b86a622 + 0ef83b66-f0c3-4e38-97a7-98276af379d5 + 0f0914ab-9b1f-47e4-9008-a114988d94e0 + 0f09ffea-a412-40b6-a663-0a82e61ebe42 + 0f2527a8-7129-433c-9951-58d32d3ca82e + 0f32c738-04f5-4cc8-8e32-a443535fc8b2 + 0f4cdeea-25eb-4f5b-a2d2-173b4760ee07 + 0f4cfd8a-237f-4f16-93de-2f03fd8eb1c2 + 0f9f61ae-36dc-48ea-af0e-a9cfdb77ac59 + 101a8661-493c-4bd5-9255-c53973380e9f + 102f618e-6e32-4f46-90ef-38d661364463 + 103068c1-4dcb-41ce-b9ec-e40d6859dfbb + 103a679f-ae0f-4d69-8dd1-f1f92d645e81 + 103ce02e-ea43-4be0-8a90-7aee42ab3d5b + 104089dd-783a-43a6-9a41-50eb32cb96bd + 1047216c-2900-42b9-8244-383e2aac708f + 1075ce75-9138-40a3-b63f-2151520056cf + 10778146-df12-48ea-b114-fa3cf1ed9de7 + 107bcf23-4839-43ee-b16e-2c033e84af24 + 10b19339-cf6c-474e-a1e6-2c9bbf1fc35c + 10b4f059-5a41-4c83-9383-16ca67a41fc7 + 10e33af1-3371-49d3-9266-039e11b09e1c + 111cd0e7-8621-4c93-ad1e-57c12bc1bdd9 + 112371fb-6104-441b-a966-3c203ba955c7 + 115403d6-1e42-45cf-845b-e7c8681963e5 + 116bf1ff-5847-4b24-a7b4-df6082eab060 + 1176e88d-3b15-44d0-8665-a74f4df9d75d + 11770f86-9488-4825-8d95-02db019f07da + 11d50511-eed6-4eb1-8f1d-106f6fd44210 + 1212c8d8-c6b7-4637-81ab-4f99a04648b7 + 12308129-103b-4fe1-afd6-98a4444f35f0 + 12375ee0-43ed-4468-85ad-355c963cb34c + 12485388-1a34-4fb8-8208-a1715661cd9c + 127304e7-582d-418f-bbd9-78542de7492f + 12b35010-e9a8-438c-804d-6f0a1bf1d4e8 + 12d05630-4e0b-41c7-9212-50491ff7e22d + 12f80fb9-bc7a-4fdc-810d-1a4ae8996ed0 + 12f929c2-d5d0-49d7-9e24-cbea759bec91 + 13115476-df9f-4ea1-a907-73496b528a55 + 131de810-1f01-4d7e-9c07-810f97c2c616 + 13247334-6f91-454d-b8a3-457f1ece03e9 + 1328f4ba-2880-4add-a42c-e3a5ad3568a9 + 1330aae2-f21e-4a48-9d3d-929eeef53411 + 1346810d-4d04-48b9-b6b4-f214e61ca23b + 136887e8-b0f9-4eed-8ea3-d68075f35873 + 1391b799-1887-4f16-a387-65952e3c60ea + 13a5ee45-1867-4a34-a92d-2058c93285f4 + 13c68a0d-8683-4856-a80e-9c1618a2a262 + 13cec6f1-4338-4506-86ac-6f94dff81fe4 + 13ea4a02-8cd4-473f-9013-c6e86e9d689f + 13f29f1e-3687-4a8f-bc1f-8d2b83748a4c + 13f9a6d5-1eb4-4f59-bf17-539f013bfe42 + 14077a4a-f1b7-4bf0-aa92-0a387c43fbda + 14749b77-55df-4d60-b3f8-8a3a80a254e4 + 147e001d-a395-44ab-af57-3f5389067c94 + 14833a26-83de-4325-b133-e7239e26b1fa + 1495d10b-4ae0-4e2e-a18f-d491a2417cba + 14bc26d8-b0a2-4b22-9182-c79295a06ac0 + 14cbd8c8-83b7-4db3-a546-605bc9cff8cb + 14cf35d6-06b0-43c5-a7b9-ab8181a8c24e + 1505d396-c5d6-4538-96e0-4c514099547b + 151e80d5-d5e9-4491-b8e6-ae126248cc24 + 1534a5ae-b13f-4ada-b3ce-1b2d7b7b9e08 + 153e33af-5994-45a0-bddf-6f337cf32fb8 + 15536def-6dd7-4819-80bc-7851f7e7d892 + 1574a2aa-9909-4667-be8b-44c67707f543 + 157566d1-9c9b-4ae2-b602-146a2917f65a + 15873011-61bf-42e5-a42e-9393e0ab2dcb + 158d6b04-8e1a-411a-9def-1a40bf91f8e7 + 15a9ec2a-9f3e-4a60-b590-5bb9edf72c23 + 15cbd7eb-5a88-4add-b4c3-f048d5071e52 + 15d43139-d070-4719-ab6b-e01980281d54 + 15de2bfb-ec3e-4fe6-958b-896cd30456f8 + 15fb3989-b83f-4395-960c-b5ce593ff146 + 15fdd7a0-0125-4bef-adac-847ac2375e4b + 161643ee-9dc3-4c7f-98eb-68be50fda462 + 163ea5ff-05ed-4ccc-905f-837f32ffd52e + 166b3dcb-bc3c-4460-9e4d-4c5a36c67f8a + 16e6fceb-67c6-43d3-ae05-f2f36a32cf12 + 16ef4a68-5814-48c0-9daa-e5f57aa142d9 + 16f0be8a-8f45-4eab-91e7-a237d62e8bcd + 171ea8cb-624f-41ec-a28c-ffbb0cc256eb + 1729a66e-fc7e-4e10-8ac2-80f81e48c501 + 172b084a-a735-41fc-89ff-43764c6a1005 + 17445c20-fc17-410c-95d9-e8902ca1d248 + 17551c58-5017-471c-a586-fcbc14fd1678 + 17b0fd0a-e53a-4f7b-94a2-7a1620651a3e + 17ed82a5-d7bb-42fa-a55b-75bc224f30aa + 181210ea-51c8-4bef-acf7-b25fa24a2ab1 + 182d22eb-aa28-4b2a-9ca0-7a237456aa97 + 188b5d7d-e8d8-4a50-b4ca-81c69dacf6d4 + 188cd711-1190-4a8f-8421-1dde9175a011 + 18ae4d43-d544-4a5c-87e1-44f2344d64c2 + 18c9165b-555b-4622-bf47-8d5d3715a203 + 18f11f7c-5997-48a5-b974-8fc6b7c5a780 + 18f30c5b-2861-4035-84ea-c73888e8a14c + 190fc7b7-293f-45fb-ba46-a6f26bff39cd + 1921e48d-93fe-41d6-8b8f-76ba4cfb88c8 + 192daa76-ab4c-4b9b-ad4a-229306b8f813 + 19627cf3-c8f0-4972-9c6c-8ab2ade36a04 + 19875b57-7b9b-4747-bc76-3088f875e59e + 199412b4-1cba-41f7-a9f4-f56b880062ad + 19a6bba2-9ca3-4a98-889d-eb4c347c2717 + 19a945e5-087c-486d-8379-c1f8ccddab07 + 19ca5d45-0b70-42c8-bd4b-e84fedfa3665 + 19e5af63-d997-4431-ae2d-684a8645458d + 19e763a7-9377-4a48-bd91-333481d4c98c + 19fadb8f-2f75-4e01-b382-fe66ad65a607 + 19fcceda-74dd-43e1-b641-e97b4bd7ff29 + 1a0c8eca-df0a-4d81-b0e3-5f29a48ecee0 + 1a0e11d3-11e9-44bc-885b-6c721c0302e1 + 1a2a0df3-8c40-4bdc-a9e4-80f44654429c + 1a766181-d194-4f1b-adc4-0576615c37fa + 1a818154-4701-4fb3-b452-960f42dee7a6 + 1a9f73e1-edb3-4a68-ba92-2661d3072b23 + 1aa3fdb7-f43b-46bc-af8d-50b9a5206dea + 1acddd9b-72c7-4d81-9a31-840b992fbc61 + 1afeeb27-c793-499a-9eca-0218ecf69ad6 + 1b0851b1-7dde-4ec9-aa54-00c1ea4a44ac + 1b0ad363-3dc4-4080-a5f2-f6b7991bfbe6 + 1b50fac2-2533-4c58-aaa6-ed7883c54ff3 + 1b6c22fd-9799-455b-abf6-6420c8ab6a7d + 1bc18d90-f542-4c31-a3a6-f776d360553d + 1c454042-329a-45dd-b85e-96cb72c87afd + 1c46f15f-a6fe-448a-b48f-3aebf1031a28 + 1c708d72-7be5-4926-847e-23897154b08e + 1ccbb9bd-22be-47e0-9ce0-7a23df6d5029 + 1d0c062c-b9ed-49df-85bc-8e4189733988 + 1d1aed71-30d0-4a01-b55d-71ec7e6432cf + 1d20a624-42df-4526-85a7-149112361360 + 1d6a1227-b720-4aba-94d4-9bae4ac456dc + 1d787929-592b-474b-b75a-9ce1aab80251 + 1dbf0cf3-31e7-4971-b6e1-306c5a37f8a4 + 1dc729f4-d7e5-4555-bde4-c29e68d96427 + 1ddbc34c-87cc-46e5-b74e-7302a5410b7d + 1e30b4cb-6c4b-41a6-8b9a-b5b274038d02 + 1e3192a8-06d5-470c-92ba-3d7b776976c4 + 1e5572ac-8d3f-4175-9704-329267fa321a + 1ea7669e-0ec7-44ab-be14-b393402bf56b + 1ebed1c7-9740-40f5-8137-10d846e7e774 + 1ec1f148-14a6-4a99-8c1b-93265667e108 + 1f0c1b1e-0619-4fae-aef8-400197f5a3fd + 1f1072fd-b534-4cf0-8240-6d432f1ff3a8 + 1f210d07-dd6f-4b37-b542-3fde0ff4f57e + 1f8be949-3e4c-415c-82a9-1f868575a326 + 1fa53d36-ef9b-473f-90d4-10956ff20ac2 + 1fba34b5-c79e-4630-ae19-676279c0d4f6 + 1fbd4962-4426-47b9-b92b-81f0a97f2eb7 + 1ffb8761-7757-4dae-90dd-5ccb207fbadb + 202394c4-c1b8-42d0-b1ba-e393cbafa377 + 205c2135-9355-4ee6-ac9b-b43507de1a02 + 206411bf-3f84-40a7-acbb-d16e3661e0dd + 2078157c-4bf9-4321-adee-0c4ec0bc9bff + 20bd311e-f343-4815-b44a-35649fb0fb4a + 20d3681a-ec72-4d79-b461-c9285aebbc00 + 20d8566a-44e7-4ded-a6e1-87bf0a8c1c8f + 20ddb807-5186-4a68-a0d1-25305b679f31 + 20e5ceff-00ed-4a4f-9e8e-7574f4b64b81 + 2119e7e9-140d-48f6-bf32-c6a02e29b4bb + 214d2910-dc33-4cf7-9a10-c766673638fb + 218892c6-4afe-4188-8262-b0a3b64bd54f + 21bbbad2-ff17-42dd-8660-29d98eb880c0 + 21eabf7b-4cbd-4eca-8fe2-42b46decf689 + 22347c32-14f5-43e9-8827-0b6eb80bd021 + 22403a42-fcb2-4f40-8e7f-951463d2261b + 22490382-1948-4e95-b3cc-57c9063b2ab9 + 22697d22-c773-47b9-a506-11d78f49b6ad + 229469b1-cfed-4f4b-9ae1-75cc5a451c7d + 2298ffd0-e5d1-4d42-8cfd-e9297837618a + 22bdfc28-906d-4259-8cec-c36bc66de46a + 22c56aaf-5998-496f-a3b7-95cd50231b1c + 22e8a0ef-091d-4e4e-9091-30923c05648b + 2304e8dc-c7e0-458a-a1b6-d467514e07e9 + 2319b49e-00fe-43ee-bd40-18313ec56720 + 234058ba-383c-4b1b-a118-a7b75997f146 + 234c737d-473b-49bb-a92c-2d4d7264d652 + 235c1628-ca86-429e-a972-8f2da852cd4e + 235ef5f9-186a-4679-a687-063aa168cc91 + 239525e5-c5cd-471e-8fd4-4641346d733e + 23b6e804-6e72-442c-b721-52ea04474dcc + 23dda828-f9f1-418b-8534-d42e2a7d6124 + 242a12fd-e08c-472f-bb6d-f573eeecbbfc + 243be13e-da28-42f8-89f3-44cec27325f6 + 243d8203-9257-41ec-a90a-6bef3ea1afc9 + 2441bbd9-3837-4328-8b89-13506f6ce0e4 + 24679ff1-bea4-4774-ae67-31cd05e99e82 + 246e9745-7627-4404-9943-5a2a15d7ea20 + 247cf852-280c-4fd8-9647-b907fe2220c6 + 24823ac0-cd71-4226-a199-15f1b7d6b48b + 248c72dc-9e72-4285-8b49-f1bb3eabdbb0 + 24b43f61-9902-48e4-b024-e3b7ddf8f49b + 24bf8423-751d-4018-84e0-112e3642287d + 24d8d02f-f72a-4267-83cb-c81a7d035052 + 24dd3a1c-380a-4525-803f-40193fbd7527 + 24e6a3ad-26d7-45b9-88a4-aee5558c5bf0 + 24f463dd-bb4c-4deb-bc3f-5d18bcc986cd + 251a4b1e-bbb1-4da3-b10b-19ed6345b958 + 25681e6b-1b66-4b3b-bb96-64a11ddcbd21 + 25704a5c-2c52-4d4a-930e-fde4df1ef832 + 257f9b1e-81c9-4cd1-8990-249ba343c1c8 + 258a82fa-e83b-4ef9-b387-e421fa0057b4 + 25a7fe3c-114d-451e-978d-3e8280bb0fcb + 25e93109-9bd6-4d36-8600-9c8a7537bc2f + 25f88853-79cb-4852-907a-af558294ff1c + 26036315-5eb3-4329-bb35-379003661ece + 261895fd-47a3-481d-97b1-dc1f34a6dae3 + 2644310e-b81f-46a3-acbd-ae5555c3e978 + 2649e50d-9fb3-4886-8a2f-bbd56704a73d + 266eb575-9e69-4c2e-bafa-e46d2ee4eb01 + 266f97c1-ea99-440a-8025-61d438ec0073 + 26718d7e-900e-4d7b-a975-8023f153a4b8 + 26996cb3-49a6-4645-a7b6-1a6fb2f6706f + 269ceff2-2ea7-46a2-8df4-cf56ad7bc9b2 + 26a4c7f6-97f0-4625-a87f-a16c2749e860 + 271834e4-2204-4dc6-a90e-c5e9dc000559 + 2753d10d-2198-4553-8298-ad74e8e636f1 + 27630233-8364-40e2-bbce-42e97b7f361b + 27845454-b9fb-4ea3-b0c0-9918eac4813a + 2792df88-5880-4826-8c28-fc9885a09ed6 + 27942780-d490-4364-b657-b4fb495aded0 + 279e5978-9068-4a04-a033-e0fea28adf50 + 27c18fc2-33d0-48f6-9d38-d0256bc174e9 + 27d47769-1db8-421a-9f4e-fa8cdfc1e895 + 27eec63a-05f8-4a59-8cb4-d93072874726 + 27fc5e63-7393-4bfc-996c-9c22a5ff9090 + 28079cac-2579-4cd4-ae91-147127797fa1 + 2809b832-2d62-4532-a10a-95ab6d17caa4 + 28518ae6-d110-420d-8edf-a4cc0ae80aa9 + 28579550-0451-4ead-b12d-a20cb5197d35 + 2879d7eb-996a-4e72-9f48-c294f2842d46 + 28926fa1-32b2-44a4-80ed-ccdd7fe90d7d + 28ac0c8b-ba84-4848-960e-0d1635c9810f + 28c2d32b-eeb0-48d0-afd0-eff326dd24a5 + 291855dd-95e4-4c36-93d6-c6782481f01e + 29190df2-d59a-44dd-8857-a77ba2194b1c + 291ad1e2-5522-4a8b-a788-ec3baeb9be45 + 295590fe-fb54-4a85-b1f2-a84e35b75af1 + 295a553c-3ab1-4c7f-957e-482c95fbc78f + 29693a9f-a31d-42eb-a1b8-2aaf9abfd09a + 2978f5ec-b905-4cf8-b6a6-490dd3a49641 + 29a28741-b0da-41db-ac52-1cbb149c43ca + 29d1f535-e6a6-40d5-aebc-5a1094be96b7 + 2a0b657b-dec8-48e2-bef7-d3e31d4ed33d + 2a0c73cc-a1f8-45e2-bebe-7afe7b1cbb56 + 2a0c7f1b-2bf8-43ff-a1e7-18a0bd6b7ea5 + 2a431d8e-652f-445b-a8fd-582627e57540 + 2a49e087-2eb1-4bd9-ae43-733258e486b8 + 2a5a03ce-d525-4dd2-b8ba-1458849fb446 + 2a5f892a-ab16-4a02-bebd-6852f0404a3e + 2a815617-305f-443f-822e-4c82c8269170 + 2a8e1525-8c29-47e5-9b90-1f3083bb207f + 2a8f9805-2ef4-442d-b857-33308da9e6ff + 2ab320e1-de8d-491c-b948-349d86617959 + 2ac2892b-14c3-4789-9662-34a86eba859f + 2ac4aa60-ed15-4910-a116-e0db30557319 + 2adba07a-bb60-488f-9154-70c366a8223e + 2ae25826-7db8-482d-a196-8ce7f48bd6a8 + 2aebb31c-f600-4513-bdd8-09e7450beda8 + 2af86415-d318-4d2e-a224-4d8e5b0fa73e + 2afb70c7-79b2-4da6-9893-f2f5f36eed25 + 2b17c38b-415b-49e8-ab76-1e479eabf3c0 + 2b22de82-3f5d-4f8b-a63a-28c8c7978349 + 2b238eeb-9fa1-4b58-bd66-80f85999c9d7 + 2b2988b2-024d-4072-bd93-b0a960499a4c + 2b2f4c53-a036-403c-b827-312efcbb6d84 + 2b371df9-8c7e-4d7d-b1fd-a3315d9da14c + 2b38eb7b-5cf8-44f6-a373-b002c606d9db + 2b41c8e2-c220-4a88-addc-758b15fd8543 + 2b4dd0b3-313f-416a-97d8-c60d33057ead + 2b5946c2-5c18-4c2c-ae25-149bdce320fd + 2b64636c-b7e1-4008-b6bf-8e6a7e611017 + 2b914828-4a57-41e3-a694-da87114f88e7 + 2ba79160-14f8-4139-b8a5-33b5288bd620 + 2bb42e4a-eecf-45af-be8b-7fb3a090d8e7 + 2bd36367-755e-47bb-8d05-f7e70a756d79 + 2bd9f4a0-197e-403b-ac52-7692d360e759 + 2bf7f199-b54f-43d9-8ac8-6d86225b1d06 + 2bfc605a-aacf-4c00-9c1b-ea86bd314eea + 2c121a1c-d1d5-4505-ba29-67892a3b3ebe + 2c121dd2-8fc0-4b2e-9d2a-b303a5ae5ae8 + 2c283c36-88cb-47e6-8790-d24685974580 + 2c3276c4-ee17-4cf9-b2e0-0fcc0a2b87b7 + 2c3736fb-a09f-456d-acc3-02e40132b525 + 2c6b8d1c-eb49-4137-a001-059a19843646 + 2c78acb1-d88f-4cd3-9d09-7b75851b60dc + 2c8ad188-75aa-4040-94ae-a3d9adff7b4f + 2c900a6f-de1b-475e-bc98-875a0d652c0c + 2c946886-8a9f-463e-a41f-2716865da313 + 2c9ebc44-1bb4-450a-9f21-2f192b3329fd + 2cbb93cc-2aa7-4992-a71c-d3c7bdc8773a + 2cc23624-8be3-447b-8b55-0bd0e1441c0b + 2cf10c02-d3ac-4084-a83d-6f15aeee03c8 + 2d2ac2c0-7a03-4f72-9ba5-f09c1120d01d + 2d683521-9ccd-44fc-92fa-34528418458a + 2d6e6552-31e5-4a19-9789-dd18eb3c9912 + 2db4fc9e-bd31-4cef-8f73-e26e4b3bd8bb + 2dc48213-03ac-4122-9693-917ca53a396e + 2dd41309-71e4-4b75-887a-1c6b948fcc08 + 2dd5a46e-aa22-4505-b397-d7759c149261 + 2de01582-3e6c-474a-98ff-42b8257fa61f + 2df59561-aa15-4fc8-bd3c-179af26ecce5 + 2e042520-9309-4983-9fb3-2a609aaaedc1 + 2e10cebe-1fd7-4f52-9104-a6dfbadbad4c + 2e3ae75d-afb6-4cbc-ab89-7b6a16433500 + 2e413479-8adc-46d0-8030-73c4aef4dc71 + 2e61d53b-679c-425c-9ee8-caf489fe8814 + 2e845767-0679-4e0b-9993-6b380292c5a4 + 2e8560e5-99de-4bd2-af12-4b8c9377adf4 + 2eb86881-312e-49d7-b266-04797adf530d + 2ee4c8da-6ea8-44a6-a7d1-9bdc8fe6a9bf + 2ef02ad3-dbd5-411c-95c7-136a293b4f63 + 2ef826ec-7c89-4965-83e6-7656f83d5631 + 2f61e1ed-f92a-4c01-b0f5-09b1fac7d80f + 2f9cb01d-8458-4d03-a9d3-78846033919a + 2fcb6cc8-89c9-4e3e-a5b3-e4f02eb91b47 + 2fdd9269-c371-4838-820e-d0bfc51210ab + 2fde7f5b-12d6-48df-9a0e-046d2a3e55a0 + 2fe09b1c-e8a2-4aa5-bac7-6aabc1cde5a6 + 2ffc8163-feaf-4b1c-ae5e-62edcf306f26 + 30078b4d-87f0-412e-b97a-63f399a4bc79 + 302c3240-efe7-4a05-a76c-422b4bfe5c0f + 302f9a0b-1bcb-4ea4-a597-7845736268ef + 30379c5c-aaa1-401e-95ac-202e840cc673 + 30643ecf-b71e-4b4b-9342-37cd575ad991 + 306c5586-4528-4a5e-9bca-ae58e0edb166 + 3089823a-3d21-473d-a739-254e3aafacc3 + 3106dfe3-0b8d-4331-8b52-b02a680daa16 + 31231db1-5ff5-4457-8e91-948bdecf9c29 + 31445de9-bf77-42b1-89af-cbbb6411e1d6 + 316ea6bb-ec59-424f-83ef-bb750f8173c0 + 318c7248-8b00-49bb-82c7-c4dab632ddcd + 31ba2d22-d756-4751-bd88-73202f266792 + 31d373e7-d2ee-4eee-b452-d3c766597d5b + 3210bd8d-9490-4ff9-b78c-40c455bba8e8 + 3252df26-49b9-4e45-8d6c-2517074d8c99 + 3255437a-8d56-415f-b40e-178fee079269 + 327edf7f-88b0-4a36-bf80-cee40ec06a02 + 3289f67f-c7dc-4f8d-90e9-9b3f13086b3c + 3290fe25-5602-415f-a634-cd5b7e4a8132 + 32ec6f00-139e-4b39-ae48-b48617be87d6 + 32f2b70b-4c07-4cb5-905d-2e6486babaf9 + 330302a1-da6a-4b06-8bf2-aa06c9dec93f + 33098fc8-9d28-46f2-a402-033c4305cb9f + 3315de95-85fa-47b8-90bf-7d21c2a7b26a + 331989ed-f791-4086-8d59-333e41f2307f + 331ef91d-598c-4dab-85d2-edb6a11ef748 + 33230849-026b-4ecc-a031-8ba70392a895 + 3328b2a9-5bae-4279-942d-33b5c0e13855 + 33415456-9372-46f8-814c-0f8b4d4858ba + 3342fc28-198f-4499-8a52-e1dd68b2455a + 33639a19-17a0-4abe-b624-0aab1ca50bda + 3367d770-6241-4c97-8a01-0f655960ad7a + 3399d979-621e-4a88-a2ca-379b1b8175fe + 33ab604a-2cdf-45df-9753-3575ba4c20a7 + 33cd3092-af61-496e-a158-f4867d588014 + 33edc271-25e9-4fe3-9b08-0932dfded678 + 33feb236-d663-4bdb-8063-7a27d197e83e + 341aacc3-c997-4cfd-b4bf-58ed10bf3889 + 341eef01-a5ad-4917-bc9e-8eb12fc167d0 + 345224f9-ca30-4313-9c1d-4f7e59ebd995 + 34659b96-2d6e-4059-b06c-3dc414fa7538 + 346e93b4-9d42-4dfb-b7b0-ed5828ab0d28 + 3488b2d8-8244-4074-83fc-c43f8f9d6087 + 3489db2c-0df6-496c-bfb8-a0ffcc61fcff + 3494ba33-aec9-4235-9e6c-10fb9fd70466 + 34abb070-dcf9-40f3-b697-e84a1fbf6df6 + 34f527b7-00e5-4cf6-9e35-5b9354a26231 + 350b99a4-adca-4813-ab98-07353c0b51d5 + 350d1381-491b-43f8-8e80-8d56ab807e1f + 3539895c-5043-4166-934c-ee8fa32a6362 + 35685deb-0a3f-4710-a541-64dd9b775595 + 356c600f-2a68-48a9-b543-d1d039e7c815 + 35a5df58-cd8d-40b6-b3e6-c586025916b0 + 35b82adc-595a-4dfb-a92f-78bc3f1ddfa5 + 35bf45a0-cd37-4a50-a698-5da3acf221dd + 35d63bb1-7880-4c7e-a779-4a0904dd31ca + 36147985-557f-4a20-be1c-e3d5fce39029 + 3617c2c4-dd88-4431-bc01-a401d8321031 + 364e00c7-1002-42d1-bd03-1e27fe314e9c + 3664c448-cc90-4469-b6bf-024a87e083d2 + 3671e064-31e6-44b6-b418-af3bfeae5b58 + 36789bfc-2c95-43d6-b098-7224e37fe415 + 3680b9f5-9c09-4b29-9b99-b7da19ef8f06 + 369086cb-b6f2-44e9-accf-4fa02a429d42 + 369f2568-59f2-41ae-b03a-f5b7aa07189c + 36a1f211-296d-463b-9de0-6ff9cb44f946 + 36cf396e-5b27-4f37-afe5-4adbdfff9050 + 36f13ab5-81aa-4140-96a3-46ad061b80b0 + 370c5e4a-b89f-4218-b3a2-307dbea6315c + 3727fa2f-91c6-4755-8643-9a1463b9b494 + 37403752-ac21-488c-8abc-1c8407cfb21d + 3759c6af-cef8-4ed3-9c50-023895ec086d + 376228c7-e64b-40a1-a407-eb32fc5d522e + 376ca853-26e7-4bfe-b337-1455a61b2bf5 + 3778c384-2178-4e08-9f9a-c5818198b69e + 377baff7-2f60-4318-94c7-5c64902ff56b + 37920e33-f366-446c-992f-d7d1023a596a + 37b80a3e-f985-4a90-8df7-93e5dd0f543b + 37fdba1f-5910-4bf3-b261-6842d4948071 + 380bbe70-0627-4f76-955f-40c3864d2544 + 380f0324-ccb9-41f5-9079-f12ebe2fb966 + 38290d28-64c1-476b-b4d7-6ec242bc01f8 + 3868bdec-e52b-4d2f-a89f-3ef8a7d5f61c + 38783844-b04c-42d9-91d7-584da8124f92 + 387a0312-6e99-44cd-aa63-72e3201f529d + 38876367-4e81-42d9-b15b-0f9402cad500 + 38cb31b0-b48a-422f-9069-f769bb1417e9 + 392f3029-f398-45e6-b65b-d348c7a61ae7 + 397de8fe-f108-4741-afbb-d3921a2ba579 + 398ecd8b-96fc-4bf9-abc6-82d3a489afae + 39997ade-a19b-48a0-ac19-68b0f70707c1 + 39a3da68-9e44-4bae-99e0-e6674793ee51 + 39a41175-e3fc-443d-a0bb-e4472d0ad1f2 + 39c85ff2-f6fb-4deb-a417-454bbcd718c0 + 3a2208e2-e401-4aea-8c04-e2854a63d2b7 + 3a3c56a6-76e1-4655-8f45-c37a008decd6 + 3a4364cf-8341-442e-a553-e3f4d7006307 + 3a5aab88-f069-4dc4-be03-6b4693720525 + 3a5e56b4-5bea-4bf1-9833-d55610f24f54 + 3a67dfcb-37ee-4d31-9cca-e7dd6a31147e + 3aa91333-5381-4f0f-a8e1-1b8ecf9622b1 + 3ac1c206-9c50-4506-ba23-c803067956e1 + 3ad3d508-2eac-46a1-acb0-065ac8e8240c + 3add84db-6d4a-4dca-b094-20b5c4c097bc + 3aed2c5f-1086-4786-a772-65693a831a21 + 3b041cd3-6611-4b30-8310-ae9343c64b8c + 3b76230a-4ba6-4f73-b54a-8f50703973ca + 3b8b9158-eb32-4a32-bbc6-fe30c2aa8076 + 3b99823c-7a0c-436b-b2c4-bec8eb1af69c + 3bc63627-eca4-471a-94a1-700f78183305 + 3bcb29e1-14ac-4f15-85fd-c6f27bfc14fc + 3bf3f718-0677-4b1b-ae26-fc34fcaa3d7f + 3c0d79ab-9ae8-4779-918d-03a48b2e297f + 3c115132-e24f-456a-aa11-991962aa58f9 + 3c18ff53-769d-4df7-8fb7-a3597220363b + 3c55e61f-4a61-4310-9197-fb63ece4d952 + 3c5e567e-1c08-44b1-a525-af74e5c214a0 + 3c669a05-1f92-4832-b142-29be8886dc9b + 3c6a2386-f195-4df5-968a-31ab9a7d2f37 + 3c709706-b966-4808-a36b-43d4c22928e4 + 3c7d3a81-aad3-4457-a02f-76a1bf33d9b8 + 3c830da6-4d59-4899-b767-1333269199cd + 3c97c6cb-a410-44d3-a73e-dc8a65b60416 + 3ccdd535-3236-4c2d-8c37-1e80c4fc719d + 3cd16d78-deac-4c23-be7f-2d2bf6032ad0 + 3cddb644-8a5c-4c8a-b852-3e4f0c7b3ba8 + 3d24149c-5735-4080-8039-b8bff9bc9871 + 3d65b2f0-3fd6-4e30-b833-f7b21ed44dee + 3d6a472e-e0f0-4616-931c-8b2108722783 + 3d701fc9-3783-4b28-b0a9-e262decc0aab + 3d90d06d-d725-4231-ac12-dd82d515a8b8 + 3ddcec42-ae1f-4365-bf4c-6c45f938dcb0 + 3de2e20a-cebb-4673-9844-972f2697694e + 3e1fdd45-d929-4b76-a472-3cd42265fecf + 3e2f4cc0-ff43-48b1-ac5f-b7a1a852c974 + 3e3d0fd3-73c9-4c94-8329-4baf2a168d7b + 3e614eea-1f13-4720-b1ba-f712d3f57742 + 3e63e3c2-80a4-43a5-9b21-2d13c10a9379 + 3e8173d1-8b8f-4303-a923-4d6a24a3339d + 3eb93fa4-804b-424a-87cd-c8b0badd8378 + 3eba46ae-f229-48a5-9230-e022a6fe4067 + 3ec2e96c-c4bc-4267-a46e-f222caf3c51e + 3ed725c8-6d37-4025-93b7-56afc9ef152e + 3ed84e24-41f2-4da9-8d8b-f4d468d5d884 + 3eeeae17-e6b1-4a27-b73e-e20cafc1ce3d + 3f1fd287-16ab-4e21-bfa6-7bdbb50da216 + 3f5c3480-bf7e-4d5d-ac2f-ff6dbbe0f936 + 3f804b5f-0d9f-41f4-b835-30f13fc20b61 + 3f8edc17-87ad-4070-bf7f-7fc093b5e58e + 3fd00424-bf21-47f1-83d2-226ec36c87f7 + 400207de-4d2f-4017-a949-6ec9ff46cafe + 400770b9-9c30-4385-b3ef-7ec95dac11b1 + 40190173-c9f4-499d-8645-56ca0cec9d92 + 4027e297-3486-430e-85bd-0683e5c479b6 + 402d1411-dae4-44c0-b551-dbdf1dfd083b + 4040a5e2-13db-48e6-aaa6-0887e0c6d1ab + 404e1161-a010-48ed-a86d-9522e64c0124 + 405d5f09-25e4-4897-b6ee-b364a9d4281e + 4091ebeb-f0f3-42de-a161-437e6371359c + 40c1d40f-2336-4ce4-96ca-a41e6fc1d00e + 40e2bdbd-8d0d-499a-8c5c-d50ecfe0bbcb + 4103f04c-a185-41a9-8338-6b5b5756f0c6 + 41224e51-5f67-4cab-a36e-52a767d3c427 + 41414cc4-68f1-4db5-88c4-4d80f6e46621 + 4182c612-91a2-452b-b5b4-d76d7ccbb3ba + 41903f2e-6a56-4533-a91b-69fe4774f74b + 4196aad5-644a-430a-8edb-2a6b7a1605a2 + 41a7d272-dad9-4f80-9bf0-5bff6c93483b + 41ad93d9-1dc3-4480-972e-09d9405e05b5 + 41b940c2-515a-4cb6-976a-a652d08fa902 + 41dab9b2-8ff6-47fe-8f89-7bb596a7d872 + 41dad0ae-035d-4b9a-acb6-c4a60e917d7d + 41e0b3ed-f4d7-41fc-af0f-9a7a1cd3bb74 + 41eb693e-d77b-4125-a171-8b531e82d832 + 4250fea2-31b2-4344-bced-5ca982027ed1 + 427435d2-68b6-463c-a7b0-b9e895f2d8c2 + 429694b7-63cd-4e55-aa96-878d0f192635 + 42e2ead0-1cf3-4ef2-9d43-125cb937a21e + 42f3801a-f071-4f9e-aff7-84ea5ad6672b + 43341f20-3e99-415f-9a95-0cef90949880 + 433abe51-2a3b-49f5-9dcd-16193b46a1f2 + 43498385-8a2b-4237-94be-35e47c8e2975 + 435a166e-2c04-4fed-884e-7fd72a2ddeac + 436188e4-7387-4a66-9f71-fb1399fab5fd + 4364acce-6704-4870-b9e8-2f3b1fe021f7 + 43a91b05-0e34-4439-a7c5-4901cdf39a53 + 43b4827c-3706-483f-9069-43589bf77694 + 43bc7e06-4013-4090-89b2-3061844d2a6b + 43cd317e-ff63-4a61-8c9c-654fbd4be819 + 44009e16-1d44-49f9-943f-e2aa3d5c0aac + 44066b26-e541-449c-81c6-f1d7f24df81e + 442359ac-6591-4c2f-8cce-4addc2c2c11e + 443a8103-479b-4008-b441-481c42b5a86c + 44837330-7a33-45bc-94f7-644b748f390b + 449566ae-cf34-404a-a335-acc4a679d9d4 + 44bcd688-432f-4b16-be8a-9bac1d9fd0a3 + 44c56bbf-ed56-45e6-a089-8ad35054e476 + 44d7fcce-fc36-4f84-98e1-7b072482d1f0 + 45036004-b763-410c-a7f6-002b36a49817 + 4521157f-da0f-48b3-9529-bb2a0660675a + 45221643-b5e0-49f7-ab4e-90511c94d765 + 45261276-2e37-42eb-9cd6-fb214a68ac7c + 4529ab71-049d-4d31-b47a-5680ea714dc5 + 4546192a-802b-4575-ab1a-d59757c6fabd + 4559e4b0-f20c-4a03-b3bf-da1424a7377c + 455cf4c8-a916-43ba-bef3-49f0021349d0 + 455dd449-e683-4a33-9a64-b4d654cb2a0b + 4561e382-abd3-42f8-b7e5-2ac4d3c26154 + 45ac5611-a6eb-4004-84f0-3483114dd4fa + 45bd2af8-42bd-454e-b733-6da5f3987618 + 45d53576-73d8-4bb3-b1a1-78390d60e8f6 + 45d7d4aa-6722-4394-b317-bc750c3e6520 + 45e0ea88-9997-4b92-98b9-ddcb0c6410ad + 45e2074e-0841-41d4-9855-9653e251d1b4 + 46108d5e-7165-4d27-b045-22321e3e30db + 4651a842-105b-4541-b727-653c290b6ef1 + 4675342e-36cf-4481-8e53-23732dcde59e + 4683fc13-02a3-41b7-b5f5-259f2b898ee6 + 4697715d-f099-48aa-9024-03d4c5ca8e3a + 469e88ac-3556-4410-8f31-7c7afb2bd7d1 + 46bb5252-e1ec-4dbf-9e4a-0d2592a7f977 + 46d6941b-4918-4cc6-8042-5a8e3c7dc730 + 46e135b5-a9dd-4e2b-ad84-84fe58c89552 + 4739b8a8-46ae-4ca6-a342-bd9aabdc9eac + 4750b2fb-d951-4b0d-a8c7-2c99d405e947 + 47829218-0c78-468a-84a0-abc6afa6b83a + 479caa0d-24f8-4dd6-adbc-c36b3ea28c6b + 47ca04bb-6b04-4be8-8871-71c72a75cbae + 47d83d4a-a30c-4a7a-9f07-7944114e74fa + 47e6096b-b6d7-43ab-8df0-e20eaaae6f05 + 47f76606-5abc-4213-933f-de8bc6e37e35 + 48076556-c28d-4ae9-9e54-a3b811c07482 + 4818e437-4030-4709-b724-ab0630638c3d + 481d3a15-82fe-4592-b144-18d4005b37e1 + 48a777b7-908a-4d32-84f5-81a51affaed8 + 48c11afd-9532-450b-84a4-f754069cff2f + 48cfd7e0-1fc3-4fc8-97a7-90daa9215f96 + 48e00ded-1b5a-4c8f-996c-ed643f436273 + 48fe3cea-ef4c-40e8-a734-ae499d2d806e + 4910836c-129c-485c-8fe0-c8a1f6c0949d + 492a0187-6d9c-4023-9012-53ab9008d582 + 492c939f-a2d5-474a-a588-ce74486ea960 + 4945dd02-6d79-4e2a-b14c-d6eee8bbaefa + 495c3835-ed40-44ec-8bfc-c42178a35f46 + 49843d9e-8777-4355-aa73-e4687ccdc656 + 4985f184-3d43-4c25-9993-627c12df7b09 + 499273ce-06e6-420a-a6e2-7011f8431bf2 + 49f9f785-fbcc-4fd5-8db5-a558d14442c0 + 4a156414-0976-48f0-bffa-15124e75dfa4 + 4a2cfebe-cfeb-44ff-912b-0c30388fb062 + 4a32519b-38c3-4ef3-b91b-ab30c9909ae2 + 4a338be1-4eef-40bb-a685-eee2d82c12f2 + 4a62b742-ae72-4f05-9a84-070916b96489 + 4a686621-ffb3-4038-90e4-cf2b68470313 + 4a6bc92e-6a5d-445c-9607-e126fa1d0cc6 + 4a787318-d7e0-4035-aa50-a5905ac2f457 + 4a8c557f-0730-4d7e-9b6a-5ebb9d6b607c + 4a9ed7ef-f0a9-449f-84be-9b5e0b087fed + 4abebdd9-8d27-4e89-9392-0f5e2c6d1516 + 4ad39d23-7670-4a21-85a8-470fcf171cb4 + 4ad5cc64-2f20-486f-ac79-94a3349e91f9 + 4af61a48-571b-47a2-8923-6bdf7bc3fd8a + 4b05000f-dcf2-4b17-8d92-594960d2cfdf + 4b1401a7-a888-4efa-9ab1-169788a4344c + 4b432275-ea89-4d50-bce8-5ba625a91023 + 4b645d49-1af5-4e2b-809f-4244c57e53d5 + 4b8c771e-9850-4faf-b9b9-ca2df8d02a97 + 4baf742e-b153-451d-b5f7-a28476c91ac5 + 4bd64955-4ab0-46ad-a0b1-69b1ad246c24 + 4be26a2b-a89c-4193-b180-edf375e2b824 + 4bebdbb4-c873-47ea-b0f3-a33de1b1ac0a + 4bed3daa-8328-4d7b-a248-217bd22f5658 + 4bf495e5-a7a6-4ff4-a514-0761e259917f + 4bfd4fc8-bbe2-4d74-b9a3-521652413e6a + 4c14f2d3-0ba2-4bbb-a702-7769576e52d8 + 4c16ddfb-60fc-4113-9652-bb889e64792f + 4c29360a-b5f5-4ddf-8819-c435f3f6df4e + 4c343209-f9ad-470a-92c5-120b36d6f514 + 4c4cfd7c-d9a1-4f19-94db-bcf31a486488 + 4c848dfa-dff1-4134-8d10-f8b1508b966d + 4cbbf822-2312-412b-9feb-c41bd4036eb0 + 4cbf2f95-95dd-48e4-816c-5fb406ddf0d0 + 4cdeaa5a-cc42-4bee-b2f1-dc9ca9b18cc9 + 4ce43b68-6461-4b86-9f07-b6d847ee26c7 + 4ce821e5-9535-45c9-af34-60ae914fb87c + 4d08e6b1-be6a-4975-97a0-232e6b26579d + 4d1c7d0d-0ce4-457a-9ac6-71610fbdc912 + 4d482f83-abf0-45cd-8674-8e78c6c5c621 + 4d530e04-17cc-46fc-9ca8-829fa144f2cd + 4d8f100d-388f-4f0e-af17-702e0f0cd2f7 + 4db96c43-662b-4ebb-92f6-3162501d3db6 + 4dd8fd0a-4fea-4b82-bea9-d54a1b652c10 + 4de70c9f-38f2-4788-bcb0-0ce7f5f44964 + 4dfc80c7-bfef-4275-92b9-1822645494b1 + 4e0467b2-dd33-4c11-9ce1-a487df60c49d + 4e131576-13a2-4af2-9f52-a89f803f667d + 4e2efb47-ff88-4c20-9201-bc1893c74031 + 4e806333-8432-4556-acc8-255d2c186610 + 4ea2df22-909c-499a-9dd6-43175dc40040 + 4ea930cd-ec8c-461a-ae2d-bdc51fcac6b6 + 4eb18fe8-dad6-4fb6-8aa1-ec39c8be555e + 4f4c7faa-646c-4427-9d8f-ac70047ce7a9 + 4fa24d8d-2468-432d-9ba4-8b1d22aca561 + 4fb382d0-ff6b-4e65-a8cc-ac5a6d7087cb + 4fb50a21-e205-4fb9-bff8-97f97241ea3e + 4fe6a9e2-16d5-42fb-a9d8-7898970a2d96 + 500bf8c3-7e66-49ac-aa3a-401333e42c71 + 5012c4f0-7761-45d1-ae29-0386e62d8572 + 505d55bd-21a1-4a53-83af-c60c8b0cc220 + 50708ae9-6f5a-43d5-8ef9-eacdcced30ae + 508de24b-ca5b-425d-a0dc-48a3cf15b888 + 5099d34d-0836-40f0-be3e-3664c912215d + 50b7a5b6-8a41-4073-9364-84a1adc1aaa8 + 50d6a4f3-4e55-4f5a-afb0-58b276ce3d8a + 51013976-b898-4c24-9d6f-6f0aaa72bc8f + 51080c08-dca9-446e-a167-8f3546f779b4 + 51094918-5c15-47e6-af7a-3c5c5566f123 + 510a1af3-2ebe-4654-aa68-2a2476931cf1 + 510b57dd-6471-4f56-a00c-80cffb687e6b + 5147e90a-dee2-47e5-ba71-1648d458f329 + 5169ddcc-7dca-4e0f-9c83-3baae92a6ea5 + 5174458f-93e5-4333-84ff-ed00e3163591 + 51884099-9f21-4667-8b87-67b2296e15ab + 51c8b95e-d44b-4b5f-a4d3-d856a88de3bf + 51e62163-9dd1-4e1a-beb8-e7345c877aae + 51ed3a04-466e-430a-9da8-c3898dab9848 + 52571326-bdb4-407b-97f8-e878ffda8a19 + 526b3f52-441a-4a3a-a0a1-9297d1992fad + 527c2d85-ae0f-4165-a658-78cdee17252e + 52811f09-9f6d-4464-b956-c64079420c7d + 529e64fd-f75a-4e88-8699-475eaedf5005 + 52d2425b-68b1-42bb-9a15-d28ea53f223a + 52e6f1f2-5bd2-478d-ae36-72ec5ec1856c + 52fa40aa-5af1-40b8-b963-4ba9f18d264e + 5316afc7-9ec8-4652-947d-ab09f118c005 + 531e1661-ff11-4695-8372-e4b413dea88a + 531f3f9b-a7c9-4769-adf5-518587c1e669 + 53362f83-7d8b-428e-a1f8-95546f75b338 + 533a00d2-7807-4759-9625-bf62bfaa61a5 + 5379176a-bc74-4f06-9cc6-7a29f384eeed + 5386d169-19d2-4a14-9c83-ad056c39dff0 + 53a63a56-a019-4677-b0ff-df04dec6836c + 53ac91d2-a327-4893-95c6-f8904de4d359 + 53c4c1c5-5033-4c97-814d-7e7848aa3a61 + 5406a73e-3c04-43da-b950-c8856552f518 + 54177252-48bc-4064-a7e4-81c295b5f681 + 543fa707-8f31-4ed1-8cf2-c2b934a810e8 + 544ef7a8-a9ce-46e2-9043-9878dbb6bb12 + 54623aad-c5a7-442b-9845-d50dfb68bbc0 + 546b2e60-a4ed-4282-b627-24d6bd546693 + 54759da4-7429-4b18-99b6-cd7ecf3d3fd6 + 54bec529-79e6-416d-85f7-dbd3cffb2d0f + 54c216e5-ee39-4fcc-95af-eab866c531e6 + 54d3e0a8-296d-4cd8-bf5d-3ca26522d0aa + 54db299e-2ea1-40d2-8496-c5e9ba90786e + 54dc1631-3d27-46cc-8897-ca49b12a2e4c + 54e91480-e79a-4d29-bb6b-d7e01d0c882a + 5512bb6b-4310-4bb3-9f30-358c14de23e1 + 5513f8ec-efe5-4140-8650-29cd81468e5f + 5526071c-8c50-4cd7-a9ad-17f3ba8159d8 + 55276b51-17b8-4f43-bff6-1309b966cbe3 + 553dba7e-54aa-407c-b73e-c8abc384fb55 + 5542e76a-01ab-4314-99a5-0a4a09d83202 + 5575c8f9-41d7-4d8d-a9f9-9c6113bc7db5 + 55d1d4e8-643f-43ce-a1fd-ac0ab3eed643 + 561030a4-d89c-465e-bfd5-113d2796c162 + 5614b5dc-0409-4a67-997b-3855bd6cac42 + 561f2317-d94e-4eee-a245-e95540974c23 + 568c3086-532a-4231-8e5e-0bb38a997630 + 5690507d-ec6f-4376-b39f-903ce8cf33f3 + 569bfd90-9de4-49c5-8a5a-b9bef514d66a + 56a0619c-f35a-457c-8ccd-951bc28054a1 + 56c45ead-dbba-401b-a379-1362cc63390b + 56c8fb9a-3108-494a-a8ad-ff2d3dd28218 + 56e7bfc9-4bac-445c-87a9-73b2acd0050a + 57255fbc-3fbb-43d3-bc08-8e7466d231ef + 572d0853-0060-452c-a1fb-5258db43bdd8 + 5744fbf9-adc2-4a7e-b488-1d9dbcc060dc + 574c04f0-5200-4d66-9674-d3ecfced1f73 + 5754b3d3-bff2-4770-8582-4c62a1f27116 + 57604e4f-b2e9-48f3-8890-7f51188303a8 + 577df94c-2840-411a-aba6-313b249d682e + 57964612-46ae-46fb-a057-057a811f7786 + 57b5079b-c54d-498b-9f40-3a551bd3c060 + 57cb20a8-0e30-4c77-bfa7-076cf8e11ec8 + 580f4643-05ed-4120-846e-49039721c1da + 5823c05c-1b84-4462-b423-7b281f778cba + 585edffc-e0e5-4be6-89f9-a5dff608385a + 58a5336d-4374-4878-ae7d-e042ac30e1e7 + 592a76dc-a349-4f89-9193-160a1b46a678 + 59397d93-cd1d-41c3-992f-687297bb7e0d + 598885fa-9d13-4c9e-8a2a-780435ea8e26 + 59927a15-97e7-4185-9e4f-95c6fadcccac + 59b4028b-3c04-4d11-bf49-05dc111e6b9d + 59bce964-ec85-4c80-8e82-180daeaa1ce6 + 59f2e1a9-6f39-4bdf-afb6-6fbb1883abe8 + 59f403c1-48b8-4fbe-9509-054aee591be3 + 5a0fbe24-9a95-43de-b8c0-3beb3362ff6a + 5a2c6655-f466-4de4-bc50-7db9daf0bd54 + 5a36def9-b8de-492b-bb71-69a8d40e8c7f + 5a54662f-fa52-4f6d-8843-df6aa08ef084 + 5a67616d-1c3e-4ee9-bdd1-29ef28844b3a + 5a67ebbf-cc12-4a35-bbcd-454b7c663fe0 + 5a7baefb-ff66-4670-b809-aed1a5edf9ca + 5a7f8d2c-d63b-403c-bb5a-c9d1aac1ce49 + 5a863943-5a78-4654-ab0f-b199aa09497c + 5a8dd070-c79c-4cc5-9b6f-11744beb8f89 + 5a9ad4b1-dcae-4a58-b826-ef622f5a9ca8 + 5a9b6917-c57c-478f-8525-4fc2f866dc80 + 5aaf54cc-c09a-4646-88f9-87ccbb582b49 + 5ac895fc-78d8-4389-a5a2-adf8a19c9bbd + 5af59f42-c53a-4a77-bf9e-6fb55bc50f20 + 5b2a8d3e-c467-4be9-8b11-47695280ce74 + 5b2fb45a-93fe-490c-9793-3a13ef37aacb + 5b52b8f0-fa2c-4ba6-9bcb-11f36b03efe4 + 5b7e7110-3636-49f8-9065-3859b769ba80 + 5b884d86-d4b6-4b09-b583-07bc6ad28d87 + 5b8d6dc2-f929-48a0-951a-3e23b563fdf1 + 5b98a010-8b8c-4b75-a3e7-34e90a53de6f + 5b9b64f7-5eba-48c2-b922-37322d096b9d + 5bb2f273-dcb1-4131-8d44-371f164ab49d + 5bc8cb77-9053-4d0c-8cd2-a260caff0d7d + 5c41d8ef-25a6-433c-84bd-dddaf2b2150d + 5c555a33-fd94-4f2e-ba63-9dd22be1f1de + 5c6a5736-d847-4e85-988a-6faddf4d81a8 + 5c86bc2b-736d-466b-aefb-1ba340cf9b3c + 5c8a0d0d-16be-4092-9f8a-72a94487658e + 5ca2b751-ead8-4ae7-9a90-597edc0bc993 + 5ccf193b-1096-4bfe-843c-0a36293d6c4c + 5cd2c641-9219-4ecf-8a99-e9ad548e97f6 + 5ced963c-dd40-4ccc-b792-967ec5737a99 + 5d17496d-8fc3-4355-ba63-78e80db805b6 + 5d2c17e1-0477-4e65-bacf-c63c433fd074 + 5d3fe9c3-3589-4bf3-9b64-5f932f543ed4 + 5d491e88-8150-419c-9f7a-901d6b9aaa16 + 5de70345-39ac-4a6d-aaae-cd04601f5bc8 + 5dfd1e70-9b84-4a08-ac8e-b6eb1cee1aa0 + 5dfefc5e-f1ce-4b02-a59d-3509e4330d21 + 5e0070d1-37a3-4648-aaa6-e4a02746ae0b + 5e305aba-7562-45d2-84d0-4c0924c029f4 + 5e46537d-5846-48e1-aeb7-0b2e17e9fc0f + 5e5ee230-e836-42ac-9f31-da13aaa576e5 + 5e89032e-cdaf-4f3f-b61c-dbf75abe0655 + 5e983f7c-7f91-4f03-bfdb-182803ec08d9 + 5e9d3b88-d2c0-4b0e-bd4c-14ff179c6c43 + 5e9e8586-3ec6-41e8-937d-15b6c8b0c7f3 + 5ea1d46d-b791-4f3a-b1d8-333cd844a67c + 5ea649f0-fdb9-43c8-836e-81f193d34b7a + 5ec51d1c-2775-4e10-9c6e-18b0392efef4 + 5ed1ee0e-5f4a-4558-9ec6-0127130a71cf + 5eda61cf-0c79-432d-897e-ca82e446a836 + 5f02d969-cd61-4c05-8abc-2a096f470c03 + 5f033248-0494-4341-8124-2c89d04801b7 + 5f2e6607-7b76-4d2b-8eab-f8997cc14182 + 5f2feb2f-effc-4465-8c94-826a86b6cde6 + 5f8d13f7-b63c-48f5-ad4e-f0300e96aab1 + 5f8d932a-7a1b-477f-8a08-2199a3f25848 + 5fa09675-7786-45d6-932c-de1dcac6d180 + 5fae4d05-80e3-4b2d-af81-f76bc49721d3 + 5fdf6449-b028-4198-a355-2f768ec6f37a + 5fe2f091-2b48-4793-ba37-a6dc31ad6d7b + 5ffaa5ec-381e-49bf-b810-250777152bef + 601df02a-d0b0-401e-b347-d26024bba694 + 604aa277-34ca-4303-a6ad-d2d8a1017a48 + 606cfe02-c8e4-424a-8187-12bc3b2b4bd3 + 607ea1b1-e26e-4b74-b94b-e39f62d2adda + 609435c7-07fe-4c09-8019-6320860bc8bb + 609da5ae-44e1-46a9-b36d-7060b09712e1 + 60c46d28-03d5-4257-b772-1d89e3128f2a + 60d17473-d1a6-4347-9386-9ed8303df16a + 60ded403-4816-40ba-b923-781acf851217 + 60e7a6a2-f0f8-485a-aac9-68a0a863dd8c + 60f10947-fd6c-4863-9e00-c304d72dd5c9 + 610a32e1-fc59-425e-b7a0-10aa337852da + 612b71b9-8671-45cd-8fd2-aadfb4d58114 + 61378ba9-c58c-4138-902d-8eae7fefe48b + 615b1e34-1f6c-45b3-9c44-bda8f89b7f79 + 61706d0a-361a-4170-91d1-3eda117bd6b3 + 617c98d8-420a-4382-a7ba-e4b4fbb08aa7 + 61b0a279-443a-4805-a4a6-91986c78d51f + 61d58c19-0483-4a96-a2f5-dbf7e8284d0b + 61e5bbc4-c258-4f2f-aae1-9a34f1d06119 + 6211ca70-79d3-4cd3-8f67-9f6094aa73df + 6211ec72-cf8c-4c2c-ad90-7039474fe6a3 + 62165bc0-f688-4e2e-9290-fc78c7e911a7 + 62230d56-7cb5-4111-9a84-1c2dcf806c31 + 628167a2-0228-4a7d-a53e-8fc17fd1853a + 62c3b2ba-8604-436b-a2e4-38521138b345 + 630727e2-b6e9-4690-95ec-8fd45baf8fdd + 630b0b07-4dfd-4f54-809f-d2ca3fe31fe4 + 6346e513-b318-4188-8dbc-b46646baf431 + 635b698d-7d57-4912-a2ab-10f75510cb27 + 6372f224-47b4-40e3-9bae-da7ea64ffbea + 6393608c-96f4-4dad-a3e2-a3ac97239cd3 + 63aad061-46e0-4418-b6c3-6ea37acdfe80 + 63aad51b-8c09-48a7-a512-31f1d863c185 + 63cc9862-b7db-4ba4-85da-5955df4513f1 + 63eaf36d-377d-467c-bdae-1d1c9e16c3ef + 63f52c07-8526-42c1-b3d9-22ebcecd3ec0 + 63fd309a-4b9a-40b6-8c92-b950f668cc32 + 6405ec15-f09f-43b5-ab27-baeb0eb15160 + 640d5bce-149d-4c20-8b65-64e8dad838b2 + 6429b387-50e8-4cee-b7ef-72eaf0a3e60c + 643b70d1-027d-4487-8827-8d9ba0201549 + 644b1b0a-058b-4e7d-b377-0fc415c17a30 + 647cf53b-3aab-4645-aec0-4eff7eca1a8c + 64b0ef4b-1d03-4337-bcca-dc7e65b6bb14 + 64bd8617-91df-429b-9d60-9a7a0345c6fa + 64c6f662-fde4-49b5-845b-45722a722577 + 64cc8446-d226-4fbf-a9ee-17f814a40291 + 64fe480b-664a-48cc-a310-aa546a2b4c48 + 6511df63-b371-460e-8c79-2b8cb07d014c + 6512d513-f319-4119-a0d5-6c595c4ba378 + 6515b409-4ebf-4dff-81da-4265fee81eb1 + 656046c6-7c59-4356-8cd0-f85623ceccac + 65655595-f9e0-4c5f-ac17-8c4de67f9943 + 656fccdf-a858-47be-abe9-0503848f3b41 + 657c6414-2e42-420a-8221-6f579016bc85 + 657f9c17-1432-414d-b1e4-85e6f3e98841 + 65a1c582-cc23-4cec-b73b-4c1e47dfe105 + 65a47297-a2f1-4b74-8e26-3ffe3ca9496d + 65edf072-cf89-4c8c-a69b-b188bddb9ab5 + 65f2801e-5024-454f-a056-923a936ea906 + 661fb1a1-afd0-4097-94d5-4ed866fd8af1 + 6625006a-64b6-46f7-9b48-4d9b23645e13 + 662a8a17-9eb6-4944-bb62-1f749862eb5e + 662f3e7c-2251-40ec-a3a5-4e2b06c8dec6 + 663e406f-1af1-4071-ae3d-6e18b6967a5d + 664f3ad8-a5a3-45a8-8ae2-c067a8734399 + 66679f9c-2da8-49ba-8172-3ba45d590291 + 6674da77-dbcb-493c-9151-4a050b40b427 + 66ba10d0-5336-4af9-8789-405967226993 + 66c94119-7a69-43c3-a2aa-fd7a5e8eb133 + 66cb719e-ab77-4aec-b5c4-276bcedc7ddf + 66d17ec1-fe12-4bc1-959f-2aba0698c42d + 66dde889-85f0-4572-8446-246777126e19 + 66e339fb-fcf3-4472-adb1-423ce469a494 + 66e8d350-6bd7-486c-a5dd-38aa922ba720 + 67047b4c-2d92-4bc0-a86f-16187d96301c + 6707844b-7682-40ec-94f1-4d92c214e6de + 670d8375-3509-4ff8-93af-c88dff0459c0 + 673ad4b6-8140-4702-bf4b-058c2741afac + 674b209a-9733-4a1e-963e-78f40a28f010 + 675c4f34-6153-4762-a47d-cfa7ff489549 + 6784e0f3-f325-420b-9288-1c5894237f97 + 679095b3-24b6-466c-87c2-9e7eb3c11a94 + 6794c5fa-c9e6-4d2d-a4e4-7d5779dc5790 + 679a53f1-e838-44fd-8f4f-d8e73e9d0f97 + 67b82ca2-3ef7-45fc-88a0-8fa511e1cc0a + 67d55ca5-dc58-4f45-96df-907c1c3da9e7 + 67e5b8be-4882-431f-b6ab-4e23bb31dd1e + 67fa7c0e-6ab1-454c-ab9b-66d6e403c266 + 68118a20-9652-4890-9393-7951b9174594 + 68176e68-3353-4947-b967-0cfd828b41a8 + 6858aff6-0e30-459f-828f-0c9f950bc77c + 685a2790-57ee-4c99-9714-bb3353a5d676 + 6860c778-4497-455b-afa2-9c4b0f0af27f + 686ca426-271d-4a49-ab4b-fd5636ebea2e + 6879d744-0833-4b32-badc-526beb8daf95 + 687e2097-c9c7-44a9-a002-4822bb876aaa + 68a9eded-c4a7-4753-8dd6-40a2cd77866b + 68d03294-8360-4734-b871-3869016aaa90 + 68d5d926-9509-43a9-96b0-ab23c5f75f1d + 69283c5e-2236-4527-804b-9e2ff181d692 + 6947f096-cab2-4f37-94b6-938c943d76f8 + 694f124c-6993-4ac1-983e-0436f0a003a4 + 6968058f-6b32-4544-949f-5e8a990ef0df + 69763101-12a3-4a4d-b745-49d6e61b35c0 + 697676dd-5d10-4bdc-b774-47a93fe9bd44 + 699e5223-bc81-4c99-90cb-ba553aecf8d7 + 69ae3d87-04c1-4c78-b6c4-bd5684d1bcd4 + 69b2de83-7f5b-4191-9835-beaf1f409f5d + 69ebe850-9437-4083-9fef-3c7d9b177415 + 69ef4c76-a3ae-4d57-aa12-5a6ab91a4a8b + 69fe4cf0-36e3-4721-8bcb-8ced35d24adb + 6a0db28d-c4ca-462a-936f-297adb80210d + 6a3322b8-91fd-4e13-b65c-e6f57712adb7 + 6a37d7bc-8a49-46a1-bf78-3941aea3a9ce + 6a4c4578-3033-4fc8-b18f-ea3986fe6d82 + 6a59c615-5633-4c83-96ad-fe8db85b25ff + 6a7ecc90-5040-44c1-8690-c3b77f12bd62 + 6a82cb4e-e178-433f-b795-352decd49490 + 6a872826-c335-4683-aa10-f7bbc9966469 + 6aa020ef-898b-4d32-8621-e2cf7db172ca + 6ab80649-d57a-4636-bab6-636cd96234d2 + 6af9023e-2f19-460c-8617-1fac5d8ede82 + 6b09f5c6-50c8-4231-9fe1-c9525e2a9bac + 6b0bd942-278e-4985-bf4b-b2f93c002952 + 6b0d62e3-b236-4cca-922c-6764864d5428 + 6b234d3a-002d-4387-bef4-bc55a3c8bb11 + 6b6add64-78bf-4b06-92d8-a358a93a1ab1 + 6b82e0f4-4709-4373-80fe-ff0e84cbbce7 + 6b940ffd-2519-46d2-a82c-aaf8173689dd + 6b98aa4e-c18a-4ab7-b252-8a7462c1478f + 6baa2008-d487-43e4-b781-4ec4522997a4 + 6baeddde-9f0a-43c5-a34d-4560b13c8609 + 6bb2c406-5afd-4d49-9f70-6922bba6d1e5 + 6bbd2dac-a016-46f3-9f08-3edd49b3df4e + 6bbe1725-ffca-411c-85c5-c1ca2aae6711 + 6bc5d931-5e1d-4304-ba07-ea6c07377908 + 6bccf6fe-e25c-4a37-a5a4-5c1971ff3c89 + 6bd1970e-0a5c-4c90-bd59-60e9cfd406c2 + 6bde467e-36ab-483e-9d70-5cd87ec91e94 + 6bfa2fd8-ef38-4d11-a634-3a83130ad0e1 + 6bff37e0-ed22-4546-8abf-7ac5dc29b635 + 6c084891-ff67-4397-82b8-f3278de2f88e + 6c43505f-2dc5-4263-bdf0-6e1eae95805d + 6c66827f-5804-4882-875e-bb89e2aeb02b + 6c9dbbe7-7954-40ae-a373-382838b72862 + 6caad909-cf0e-4b9c-ae26-7874c7382416 + 6cb6b999-5327-4ded-a688-264448389dd7 + 6cc27ffa-05cc-4ed4-9869-63a8d8e31ba2 + 6cc6bc92-a7ef-443e-86bf-b98996ce04db + 6d120a63-b864-4189-a092-a75981ba47ba + 6d14586b-f435-47d1-b65e-47b54f06c351 + 6d48333d-08a9-41a2-91e8-357b85d51717 + 6d669e40-b6ff-4f20-9ca5-fa01a0b49e68 + 6d97ed0f-429c-43b0-9941-56543acbbc5c + 6dc8461c-c4d3-4b6a-954d-e31de1079934 + 6dcb6af1-3f4d-426d-abbd-bf6a8093be98 + 6dfb5c4d-f591-4457-ad9d-06591c818dd3 + 6e09864c-5357-42bb-a558-d414b46fdeb6 + 6e327121-25fd-476d-945b-6550634c7e8c + 6e3ef0de-098f-4d7e-9ff1-c7a04dac3f58 + 6e416e06-6b83-485d-951b-bff274b2152f + 6e89283f-c47c-4b7f-8ee4-bf5b4de67616 + 6e98edc1-3fc2-459f-bfc1-68371cfaef69 + 6ef1c297-0f76-493f-87f9-7bd2041a4c6d + 6f092a62-b04e-4892-8bfd-034a3785f646 + 6f0ae489-05c7-4089-8048-46aa383ee224 + 6f17b38f-0005-4e5f-b809-f5536ee19c24 + 6f23fa7f-2d20-4011-b0a6-6cdcb00e83ca + 6f4cda1a-c23e-4292-961b-a2d0e7b773b5 + 6f847aca-2ca7-455d-a616-c871536ff594 + 6f8f709d-15fc-456e-97fe-38f64c22fb50 + 6f942d87-0cb7-4038-a5ce-c246c34b8605 + 6f9c5473-623b-4f35-afb1-afeb53153fc3 + 6f9c7e3f-cdb4-4437-97ee-e13094f0c908 + 6fccbb78-9c4c-4ba0-b003-74bde706941e + 6fce60e1-3a6e-41ff-836e-ab584a5551b1 + 700884c1-b915-45b5-9f7f-bb383b0b16ee + 7009fbde-5364-4043-aecc-f1d18cfc3400 + 700cd3cd-180f-4671-98aa-210d0c2b4d4f + 700eb1f2-8842-4ff1-aeeb-6f7c4fb9b011 + 702333db-dddd-4068-a0c4-ddd1441cda28 + 70354ba9-5c38-4e7a-9278-808ff08e3910 + 7042817a-cf98-4207-a533-7dd60c1eacc0 + 7065526b-ece1-4cc4-a5be-2d7c6ddf7c24 + 706dec3e-eefe-46b6-919b-37f9594ffa84 + 706eef81-621f-44f1-9e7b-4745c0d23a7b + 7072cb32-65c7-4ab9-bc2b-3b987be1b6a5 + 707f6a0e-1858-4f0d-b285-c76dbee3e891 + 708eaec0-7fdd-47bb-b5dc-2b7b7b371824 + 70913f27-ea91-4795-8991-f3649b5055bf + 70937959-7fce-4abc-9de8-43e7be9f7dc3 + 7097a057-d510-4cf2-b10c-87cf257b3b55 + 70adb506-1f01-4236-bfa3-ae34a6f81a95 + 70ce342a-7ffa-44c0-91b9-794281a8fb9a + 70feb252-1b95-4385-8174-5d591612dbf8 + 711ae76e-7bcf-465a-a0ba-c776eb630bab + 711cc6c6-c044-493d-bc4a-e1c1cd359f72 + 711f7f04-d357-4964-8c77-7c31cd11dd0b + 71329208-5378-4eed-9d82-59d6d504398d + 718325f7-e16c-40a9-829a-4edee1120d1b + 718f61ff-2e92-4e62-a7ea-76eac2075841 + 71a2843f-5eb6-4dad-9ad8-ce9299222016 + 71d39c30-d7e1-4c76-8985-ac85fc8a793f + 71d76cea-83f2-4afd-9469-2c2c7f02cb03 + 71df2a91-9116-4219-abab-3ff9ddfe5262 + 71eef7b2-a3a2-47f4-821a-e29975ca889c + 7203a4cd-9333-480a-97ce-bf57a111c8cf + 721437ba-3620-4769-a4e3-7e75cada37c5 + 7229b58e-7e45-42ba-bb53-ac9800b47846 + 7236ee41-638f-4d39-8f7c-f4381f9e5169 + 72414229-5751-4fde-a8db-03b2ef3f3176 + 72425b94-fd67-4009-bb65-322ffa08f568 + 7242b17c-708f-4f01-9645-50b8b555351b + 725c2b18-67b0-4e82-9c6f-8809df0caac0 + 7264eef9-1c00-47eb-a21f-7485be2c8ecf + 7286c9be-aaec-4ee2-aad3-c9c27f8b04af + 729199d2-2daa-4a1a-a58d-819f84f50e02 + 729b5dd0-4643-4870-b74b-dbef7bbef6ef + 72ae5e9b-e363-41cd-8027-f274d0c635e0 + 72ae6194-da3f-4aec-b2fa-88cb7997e96f + 72afde6c-cf52-4f0a-b736-9364d7cee042 + 72b25928-06d2-4c3d-970e-e567606567f3 + 72c2bb04-8acb-40af-a993-68791cabe89c + 72c6b345-d06b-4c43-a137-3f533c2b8a8a + 72e37dfd-e001-4e31-82b0-1dc8b10d18a7 + 72e6358c-08b3-4db5-98be-5685d12f9b38 + 72ee5dac-31ce-422f-bbcf-8a77829cdacf + 73008625-bc0a-4423-8e7a-7f2ae49ec4c6 + 733bd954-9fc0-443b-93b2-2c3416d0c0b2 + 73524b9c-791e-4112-828b-5db71cb87034 + 73561b80-4c50-4e4d-b455-6e874eb0db14 + 73696ccd-90f2-4af4-85ee-536240e870df + 738000e9-68ff-4216-8951-8dc76ef73ccb + 73824e5d-04e2-4625-8a15-bcdf1fc2950d + 7383b4e3-2dfc-47a6-a864-17c1baa81f97 + 73993adc-76b5-43d4-b566-bc709995f8a0 + 73b76793-6d0f-4556-9dc1-60f1b6771a95 + 73be75c8-b0b5-43e8-9993-d66fb51b3597 + 73d1c7e0-3267-49ef-b618-29f609f78af5 + 74082b70-afa6-4778-9a3f-11ba2dbc2fd8 + 741da687-9dd4-4ce4-8171-8abca2f105a7 + 741ef9bf-d0ca-4623-b363-08a4e9fd5b24 + 742549f9-534a-4b48-b627-5dd8200e0003 + 742ec8bb-7670-4395-9e6e-46d5d1e4807a + 7446f47d-f295-446c-9467-16ecd70ec1fb + 74564e42-84f3-4522-88f3-556fc26464c9 + 746b1f7b-f2da-4f6a-9661-43b1113ec831 + 749db298-f57a-4f8f-9fe5-7e6f1a8cfa31 + 74a9c5dd-fc52-4fd1-ab31-6514203e9075 + 74b02265-e534-4e11-8520-ca3982f856e9 + 74be850a-e7ea-4bc4-ac4a-ffec289332ce + 74c745a0-4de4-4cfa-a4fa-f97269314c05 + 751017b5-b0d5-4e04-84cc-d3731c44cf2b + 751e7af7-db35-407b-84ba-4a76d731f979 + 753f4e86-1c63-4f82-8157-c9381783b5ec + 754d0f6f-bfce-4d35-a972-34ce57d5d2dd + 754d1e74-f6f5-4c1d-9091-e47e39d7c65d + 755b93aa-687d-4c25-816a-8244ab2e8805 + 75f2672d-d48f-480a-9c50-b8d802d60cfe + 7600eaa0-b009-43c2-bd6c-61a2a54c7d6d + 7604ee4c-e3b1-4008-8029-c254af90966b + 7611ae11-a604-47ce-8edc-87914aeeb0b7 + 761d37da-2453-48db-aa8e-3af92459eb3a + 7623eeb1-02d6-4845-9edd-6f7f3524df04 + 76546e76-3645-45c9-b32e-cda9b4e1c238 + 76831faf-b246-4571-ac95-f33915fbcf3c + 769eda70-2545-4db5-9892-aa454c430ce7 + 76e01d3f-a933-427d-b853-69c51a3ac4bb + 76ef6c0a-d31e-4102-8ecf-3587ff02429f + 771cc67d-4d27-4711-822c-e46500bb17a8 + 77273698-e9f1-4516-99b0-cea39a30f36e + 772b2f68-bf31-417d-8489-b61489f03f54 + 776d771c-6950-4013-9f55-10cc59f2536a + 77af8597-e714-4d29-b957-8015a438c880 + 77c8bd78-2baf-46ef-bd9a-307fd870c593 + 77c962c4-b90b-45f5-8a86-4555a5e5360d + 77cd0fb6-8f4e-476c-92c0-0d9138883951 + 77e13e03-16f4-489d-a619-5d1c91ffc495 + 77e31b4d-f3dd-44d0-bce8-bc34e4e6737a + 77f7d265-fa80-42b4-b339-9aa93fa06283 + 7805ed50-fccf-47c7-b357-d598428077a1 + 785297ce-4f1d-4e52-a136-c72b70a04b4c + 7886cd0a-3cc3-4840-bd52-b46771fc1c94 + 78898eb6-132d-44d7-a0ef-4034f6cd396a + 78a536ae-f2ec-42d0-90a9-541cd0425a32 + 78e06972-855a-4581-bd58-9d7d45f047ff + 78eb1236-c086-44dc-9f62-ca4f5e84aadd + 790d7e3d-277a-4b91-a810-db56947153bd + 79352d22-17d8-4cb8-b8bc-2269afac003a + 795a0013-0677-4ac0-8ba8-05696c9b0f50 + 797a3b0a-b3a5-4208-95bd-28b6971513d6 + 797aaa99-5650-47c3-a802-dd2feba5ddf5 + 79b387c8-1787-42ba-8c62-2e01806578b8 + 79b98561-26a9-452c-a7cb-5c970f7adf4c + 79c9aeec-a8a4-4f24-a081-87d1238daf34 + 7a1229ac-d3db-4007-91e3-223aa8b267f8 + 7a25a118-1182-410b-922e-c820551bce47 + 7a440cc6-bad0-4c8f-a54f-e6e4696bc626 + 7a678f25-026e-4f32-b7d4-332316646c8d + 7a81129e-6d98-4e4e-b622-93d04fb6d02a + 7a8e7773-61c1-456b-8aa2-4c2ade495ec0 + 7ab64397-91d9-4a61-a674-9925fe8e771d + 7abd8618-e13f-45bc-bee1-977f9bdd0826 + 7abeb987-d7d5-4ff8-9979-db4a3e586c05 + 7ac0e6c0-ee2c-42b5-9f22-e9bc8bbc7cfa + 7aefd652-378a-45c5-8c72-7c455b554659 + 7af5d28f-6adc-4a5e-829f-007e8d99f8e7 + 7affabdc-6681-4a83-b777-8d6e01668e31 + 7b167443-e9ff-434b-b5b4-424dccee8584 + 7b24d23a-6db4-4ed2-bbc6-d81769815eca + 7b6d1d40-e2fa-4df7-ac22-336f5817abd1 + 7b9b9b89-ab31-4260-869d-1a81a02373b9 + 7bc3da93-9aeb-4ebd-a8d1-b6a2f2e805ea + 7bcf101c-a91f-4953-8f7c-09e80916611d + 7bdd9393-4f2d-43b4-abab-dfdf822db7d8 + 7c292755-0936-4b53-b3bb-bf18e1fb8567 + 7c2b6430-6103-4731-92b0-e27a1f088b36 + 7c5df4b2-6774-4c4b-b1c6-1cceacd4b8df + 7c7996c3-d3ed-4e7e-9381-7cec5c05fa1a + 7c7cb405-bf90-45d0-8fd3-4cd0543cfe29 + 7c927c71-3f60-4a0b-ac61-2e73a8d9a8b3 + 7c92f28e-2474-4359-a3a5-582190397959 + 7cb5873c-1251-433f-a5d4-21a1ce0376b7 + 7d3c4a1a-bf02-48cd-b874-1fc171fc6c34 + 7d3dc2c0-5e94-4b87-b926-b4199e622d14 + 7d809488-f2b0-4943-9895-d8552a4f9b44 + 7da20e82-e0ae-4175-bab5-ef3532fa8f76 + 7db0eaf1-83be-422d-9fb4-0caf3af67581 + 7db89a96-f068-4b9b-bd4d-56217d02d024 + 7dc188b3-f7b8-4034-99d0-676fd3cb7726 + 7dc8d993-353d-4c75-bb94-595c14015ec9 + 7dca80c7-5c12-421f-ac2e-82f46bbd4188 + 7ddab169-cd51-45ee-bf07-5eeeb489c316 + 7df2f26d-9ca9-4f1d-851c-3901ac57134f + 7df71eca-fc61-4534-a237-6a2a09baf179 + 7e1e2e40-141f-4f9c-9331-152375752cc2 + 7e204c1f-6e6a-438a-b3dd-e88608b2e18c + 7e39f52c-73ef-451d-b86d-900c97e96ada + 7e44b9e7-237f-4da3-a191-bfa472070a3d + 7e522fde-f210-4d98-a4fe-88c73354658f + 7e5b3fc2-0639-4dd9-bbe5-ba90c1d05f53 + 7e743e82-53c5-4a57-a1ad-28f6586e25ad + 7ef157c7-a717-4a0f-81eb-eca5e180e3ca + 7f45242c-e290-4d55-94d6-6c72b24bd4cd + 7f56237c-4015-4ebf-83af-6291dbd6b70e + 7f584c65-5b57-42d5-ad3a-9f3bea1454c5 + 7f6dd83e-7354-4f32-a0d7-0c53e418332b + 7f734ca2-c83d-46ed-9b28-4c8135f9f79e + 7f830b18-94d5-4831-b211-e0d4be52bac6 + 7f88d9fb-6804-4844-b0a0-1874ef149a44 + 7f91b54f-12dc-49aa-944f-9042eaea9d4e + 7f98aa3b-65eb-4691-8807-89cef5f4ba2a + 7fa6baca-d777-4324-8fd5-d6c493c9739a + 7fa80fa0-3d95-4b67-a134-2d8a53db9b6c + 7fbcd883-7cb2-4225-adfd-f1e78b2bf582 + 800e5624-a738-469d-b8e8-73688ec2119f + 800fdfa1-f2ed-4c73-8579-43aac9a77dd7 + 8021ac37-fcf7-47b9-b436-8f0ed0598e5b + 80231f9d-2662-4606-8c36-08438d77dd1a + 805da05c-68a4-4898-acf6-6ed2333199c0 + 806ccd4d-32f4-4159-92bc-5abfdf78af6e + 807ab22e-bac7-4355-8f25-5ae49171191e + 808014f8-255f-48c3-a0c1-1da8867684a1 + 808910e1-e5e1-4393-8eb4-b91638882349 + 80b44968-887f-48dc-8131-7297a3a21ec2 + 810e3fe3-545e-4192-a7f3-3c09da93c8ca + 811c4d6a-4b88-4fb3-91b4-33195a43f9ec + 811ec468-7e76-42bb-a3c1-5e9bb7508612 + 81282eb3-e1d1-4da1-873b-a3be308e45c2 + 819e7af3-3744-48b7-bf65-d0d75c1f08c5 + 81ba0731-b65a-4c33-8fef-aba159861bac + 81e91aae-79e5-4303-bfed-b89ea5930b1b + 8201cbed-371e-448b-b01f-2a021ce03504 + 82280489-2887-4419-92b0-dd8c50cd98ec + 822cf5a1-ee3d-46bb-a1fa-6a18cf6a6283 + 8263e45e-51a1-4424-b6f1-6a60f0dda256 + 82988c87-1024-4097-b850-eecfbfe9d4fd + 82b32c76-828f-4613-8cba-1053b69a7fb7 + 83068772-74b2-4385-8224-dd3928d7ed28 + 837653f8-8dcf-4f23-931f-4f4240a80622 + 839be6e0-bd14-43ea-aacb-2281774b0caa + 83c76e57-a0a1-415f-8454-6629a89b4037 + 83d10dda-aa62-46da-a185-c57787737c51 + 83dff94f-56ac-441c-b560-512f4b306693 + 84472ed4-9d8d-41c9-8d19-614479015319 + 84473f02-128e-4fde-9ac0-859a30b04871 + 8464dcce-953c-4f6b-b12c-ea0166cc973f + 846688ff-6ac5-4314-8a3c-0290456f74a9 + 847c4d16-c7b6-4f41-bdc8-0aa01e37dc5f + 84c25477-d704-43a5-aeb9-13cd67c81127 + 84c84859-1d27-4cd4-ab3c-4d4134a143e3 + 84ede707-5f7c-4974-8564-e2038fd86cca + 84f4ea70-f906-4cd5-ac83-2f8d683fed77 + 84fac40c-8cff-416f-af5d-68d4fd7cb25a + 8500ea72-5935-4bf9-9855-70f2a8630557 + 85019331-150c-466f-a70b-63cb02c86af2 + 854efb20-74a6-499f-9428-a800c849209b + 855fa0a6-9c88-44ba-a540-b7a4dca38284 + 85635d39-39dc-4ea3-8569-60614ed4a7a9 + 858a211c-3d52-414c-bce3-9b93c9650b56 + 85910391-4acc-44d3-a996-cb11dcd59d1a + 85a33dda-860a-49ff-a8fd-2973f5e2a428 + 85aceab4-2c86-4965-8ecf-6fd04db3d6b4 + 85b9e1fe-78a6-42d5-81a4-2c9fc4ba80c1 + 85bce6dc-21f0-4821-a1b9-6397040e6e57 + 85d25a60-2c9f-4fd5-824a-6546d2f5785e + 85f48f5b-8e2c-4466-a4dd-1e4710b9f0dd + 8632fc43-3114-4acd-8731-536086c430c7 + 864008af-2635-4251-97e3-e1502fbaf0d0 + 8659b953-ef54-4591-aa2a-9f9899d02bca + 8676e167-5be3-446c-b997-cddb784a27a7 + 86a9d8ae-f54d-4ed1-89ef-61e9afced4c0 + 86bd319d-bb36-434a-b343-c1eb5653969f + 86d0dac5-c5d9-4b20-9fee-7a350b756c0a + 86d8e943-a5df-4aa0-a79c-8bf685430e20 + 870498f6-2c7a-4703-bd10-94ffd442c400 + 87102a3f-962b-4929-9772-78ce4aff62f1 + 872a3d59-94c6-4727-b22d-b9adf138df4b + 874d8567-62db-4ec4-a24d-6b4a7b4e55d0 + 87572755-2a62-4253-9f40-b9e4ae2574e3 + 878ce6a3-55c5-488d-a56d-3b628680ef83 + 881b7d88-a927-407a-a5d5-2dde3f788e47 + 88434df6-9b2d-4f23-b49d-6b85d884eecb + 8848ff6e-8bdb-439f-8938-804152ef3f31 + 886a58a2-8a1e-4b3f-a9d1-44b3be631740 + 886c8fa4-ce2e-40ec-9fd0-ed9d014be6d9 + 887a70d4-8e49-469b-a283-bff451b228c3 + 8881004f-18b8-4b65-8bbb-d358044916d4 + 88b4bd2b-d651-4f28-858a-1d902959fa16 + 88ba604c-503f-4971-a06a-628978302eaf + 88ed531c-5154-4bf2-95ce-e1f67669ca57 + 88fac006-3d63-4ada-8de2-73b4c06323ce + 891c6091-d2f4-457a-94b5-21e37cc8945d + 891f1466-aec2-4913-9669-5f246019fa2f + 8943f61f-cdf3-4c63-9dd0-d78a1c98d980 + 8947e941-82eb-4feb-95eb-e5c46d51bf5e + 8953cc4b-db40-4e7f-b6cd-20f6f61dc5bb + 89613a91-d611-4ccd-ab1b-e8a7fb8849b5 + 898925ad-a003-4668-adc0-dda592d637cf + 89a35060-71a6-4195-b673-8a447815da57 + 89cd6734-5ffc-4806-8fff-3f3932cc194a + 89d90b7c-5b40-4dfe-ba50-533fd2944de4 + 89e22dc7-7620-41a1-9ea2-597b700e6243 + 8a06a98f-aefa-412e-b7f7-5662b4fcc792 + 8a0ef2e3-8d1c-48a0-a594-c0d6dd3db057 + 8a1ad26f-ead1-4abc-b367-818fbd02520b + 8a53cbcd-dc9a-4825-beda-e902fc4ae1c5 + 8a5b819f-dadc-4a87-8b5c-9f66fa47928e + 8a5e47a7-9865-444f-ba63-2d55a9d2ca30 + 8a5fd666-c80f-428e-a71f-edf860276ae2 + 8a646271-999e-4eff-ac8b-c13eb72e48ee + 8a6f8da2-1805-47df-8a19-a2fa79aa5b70 + 8a8353d1-64f2-478b-a3db-795716db1cb2 + 8aa2f344-8855-41b4-ad5f-ce15c999d520 + 8ab27e25-af10-4b04-950c-f7ab7b329126 + 8abde2e5-ee47-4f3f-8ada-54b8881033b3 + 8ad7777e-0d73-44eb-8a58-2b0868e9bf44 + 8b2a7b26-c953-41d3-b1db-33806d2c12eb + 8b4751b7-39bd-4a37-b89a-84511abf2b99 + 8b758acf-1c93-4d46-b127-777064580eba + 8b820fef-ecd8-488d-91da-9a0096050833 + 8b9b785b-0bc0-4fc7-a568-c879d0a36ac7 + 8ba9d15d-61a5-45df-9b1b-7690c475649b + 8bbd09da-5715-48b7-add3-4c34a5b96650 + 8bbec434-d4a2-4f03-9304-ada051aee62b + 8bc2fcb6-3e5e-49a4-93dc-20fda659ce40 + 8bee9fbf-54d0-4d9e-b7b8-0807b05335a1 + 8beefe58-3d76-4749-9a30-3e9726a3965e + 8c1b7f52-2e43-40f0-b428-ca9c61779ace + 8c3234e5-1a19-49f4-ab2c-b81e081d7918 + 8c3c110f-2abc-4988-88de-dcc0174112ce + 8c54d7fc-7a7d-4303-bacf-27bd8a8cf3e6 + 8c62cc13-ee50-403d-b193-7e86ea05012f + 8ca05ad7-48ca-45d1-a61c-8ce2708e7989 + 8caf2677-aa38-4172-82c7-0543cd204786 + 8cbd7186-4f3c-414e-81c0-d0e5b8c67036 + 8cbe839b-150a-4e05-a9f8-745c4e916a64 + 8cc3fb96-340d-4f68-8812-f830cd246b93 + 8cccaec6-f41b-4414-a451-d0a059b14999 + 8ce97cdd-aae8-45bf-a24b-84d81ae67557 + 8d020cbd-d492-4a92-aa29-90a69e533e8c + 8d4e13c2-6f3d-4c4f-af79-c12c96e126e0 + 8d52ef3c-0e49-46c4-a488-333bd2324c51 + 8d58f55d-f44e-4d8d-8d30-a52a12146dd2 + 8d643b60-1eb6-4cab-aa53-1e2055e7dd99 + 8d648eb3-35d0-48ff-8c93-0d6b406a4ca9 + 8d81244e-05fa-44ca-9f4c-900a9857196a + 8d8e4780-9899-44de-8edd-7ade9e9cf1c7 + 8da0837f-3d8c-4ea6-a4b0-d19ecb84046a + 8dab50fa-f0c3-4ed1-87eb-de458b229276 + 8e16bdbb-3384-47fc-b25b-7e9b98cd9a44 + 8e1f5806-147a-4007-ba7e-ec843547cd0b + 8e1fc0ad-42ef-4d2e-a97e-319432f10f86 + 8e253313-c8b8-4eba-bfda-8d219f4c2be8 + 8e727a58-792d-4e6d-bc04-b3f57ea06fee + 8ea4b53c-0ba1-4312-95ac-6c8cfc647d1d + 8ea598f4-9884-4ab4-babc-d4ebf733b682 + 8eca3f49-ed71-4548-a7b2-97a2fe98c8ca + 8ed56c63-5ee8-40ca-b422-a32a0d68ab95 + 8efd09c5-dcd0-4a26-af08-f0777c70d736 + 8f0ee159-055d-49a0-a019-20ef0ed5845a + 8f1c2e5f-66e1-4ad8-9c80-42e2891714ca + 8f4531e2-8e69-4663-9de1-bff653c1e2df + 8f882e26-ab4c-4818-b904-bd761b334dd2 + 8f8ecb6f-3025-4193-b75f-9eb599adf6cf + 8f97c6a9-dd41-46d5-b850-ec8428d77454 + 8f9c88d4-1fd6-4685-b744-0c98ea3ee6d4 + 8fba54c0-ac9c-4c62-b1ad-a1c44cc24ba5 + 8fc424dd-0b4a-443e-88d5-8cafac2cbd05 + 8fe50bc0-d47d-497b-95ef-232cce1429d7 + 8ff16599-627b-4f99-aca1-f7d3e33831c6 + 9025b5e2-8be2-4477-8e95-157ec704b9d1 + 9050ed91-2d02-46b0-9b33-f8043e1d0421 + 905285e7-325e-462e-bd2f-a43009a15d71 + 905eb411-c7f4-48a2-aff6-69de741632a4 + 90643cb9-8ba6-41a3-8b9c-e16c28542742 + 90a8c6e3-039f-4985-9ef3-a2d2a03e10f3 + 90afe362-d622-48cf-9f46-15c709307aac + 90b117b3-a964-481c-ad49-67a92fa9f282 + 90b180ec-91ce-4d45-8d48-dae4ec6399b4 + 90ccdb78-cc1b-43c9-a6d5-5c8765c69000 + 90dddc8e-84c0-4c4e-a865-fa934aea3dd6 + 9102a59e-4155-4016-8391-fb6e01d9a9e5 + 9107907e-a83b-4f68-99ad-49a510056c2b + 912e5149-10d4-4fff-850e-2cede733587e + 91308276-bc45-4989-9774-c2978e418468 + 9148404d-a903-4af7-8da6-10b4e65f80c2 + 915eee07-5c4f-45e3-a618-020c29faa632 + 917f7ac8-e17f-42f7-94ca-e065f007f10d + 9181c56e-c878-48c4-84e8-6e634641005a + 91894078-6f50-4e5f-b1f1-5f5ab629c32b + 918aea6c-1591-48dc-811a-351f21c2f67a + 918f6876-9354-4224-8cce-f15f10d71041 + 91ac4179-fb21-41be-8757-0d37c12469c5 + 91ad7044-0106-4fea-b92a-266299ea8b6c + 924c4e22-6a4b-446c-870c-d5fe1b9a1b0a + 92d47879-9c37-4440-8f57-8150e87c76a4 + 92d531c2-6647-4284-8fa9-cf4f43bd081f + 92e20158-0567-4a01-9df8-c212aeb6bdd8 + 92eca9ff-7265-4caa-b839-5507004e94f1 + 92f429fc-670e-416a-8033-d9d1bbef94e9 + 92f63bfb-c5a3-4b4a-b393-ee7c19a1d020 + 93466818-73b9-47da-b5a8-d9bf2415a961 + 939a676b-fe22-499e-9864-e497b54ad3f9 + 93bfdd9f-c7b8-46e8-92da-578fe7ca834d + 93f24ca7-6635-4774-aee0-c786e09cb544 + 94448aac-435f-406c-841a-b6bda12d3a77 + 944d7a4d-7ccb-431b-bb4e-a618ca75c13a + 945d67db-b70a-40ed-af59-9ecd7ef076a4 + 9480428b-070b-4b67-b9ee-aed79c46ad32 + 949957eb-4185-4ae0-93a2-2e9a56b89bc1 + 949dcbec-97e3-4936-a504-dea9106f8d4a + 94a4994f-2bcf-4201-aa49-b8aefaede820 + 94de7c16-4b5f-478c-8efc-26941ba9ec97 + 94e1d40b-abdd-45ba-bc9b-bddaaf1a16fc + 94f6e63f-67f3-44e1-9d24-ef94485dff93 + 94ffc0e5-fb4c-469b-bafe-9a88fa4fefea + 95775075-b174-43e7-8d9b-2bbcceec5cca + 957e6670-ada4-4956-a322-0057277f4fdb + 958bb685-83db-4525-8a2d-0777a09b33c9 + 95b011b7-53ec-4ac1-aa26-466a7afc8d15 + 95b212ba-286c-4fac-b6f4-9441aad80d3e + 95baa0de-9e22-4524-8649-1ce294b78f5a + 95d9764a-5250-41aa-9d9d-1c680c06f80a + 95dc3612-c240-4ae6-b8ec-df0fafa346b6 + 95ed66c4-da78-472b-965c-6ba66817f22b + 95f07fb7-b009-4d76-9835-8d901ed57ecb + 961f434a-d965-421b-8868-ddde0ce4a3d0 + 96219182-c6fd-4229-b5c1-5e64c2ad10c6 + 962d47f2-c118-442d-80c4-746820504065 + 9658de59-709b-43aa-8373-c35ddfc8f978 + 969e5015-e81f-4afd-8901-0dcbf81ab116 + 96bba2a4-804c-417a-ae33-2ad8821a78d4 + 971b9f7f-c930-4fa8-bf30-b3dd49659a3f + 9726333b-3c79-414c-bfef-512e56aba712 + 97573e0e-f5fc-444c-bfce-44eed1da3a8c + 9757b823-83a7-4cb7-86b9-d5be713bb4d7 + 977b2986-00b3-47c1-8598-dfcc2ef19cf7 + 977ddac3-f911-43c6-8cbb-876959fee001 + 97a76d07-5539-4e24-bf66-e9439e809015 + 980a66ca-dcf9-498b-9a42-cdd0b15798df + 983ea4b8-1439-4a30-9ca0-364ecd6cb08f + 98416d4d-8ab8-4da7-904a-0fd7d02ce8b7 + 985b06f4-bdf5-4973-a702-4441f371d041 + 985df551-cbe3-4e68-96e0-de95dbe8f033 + 98607c73-3faf-42b3-9a57-c58e4fd09076 + 98675e31-6019-4d1e-ac16-d5a6d604e41e + 98ac7754-e353-4cdc-9a5f-a07d1832202c + 98ee7aa8-b7a7-48e8-9aad-75ad5fab56d5 + 9902437b-42df-4d59-8bc8-bcd79f4380fd + 991ccf2d-6d6b-419f-a70c-16758725438c + 9928227c-53f0-4ad1-bc5a-82e72559b2c4 + 9940114c-df8c-468c-aa38-c4cd6f88a774 + 994022c5-4081-4b42-856b-ee1231f3a2a3 + 9970f5a3-1ea1-4e5a-b94f-ed5608599ed7 + 99887f14-da5b-4f1f-90ac-04320b89a892 + 99a40a8b-5685-4d98-93a3-3c1c536c8269 + 99a81abe-c334-419b-9168-aea5914729df + 99ad621b-d623-4158-9c8d-f157d5c02b6d + 99c30506-8f82-4d77-ad7a-1a531221cc06 + 99c7dd0f-78c8-4c37-8f21-443891152300 + 99d166b0-c168-42ec-9171-e7d46ba353d9 + 99eca942-d840-4aa5-8cad-9f76a8dfb262 + 9a011279-084d-48fa-a14e-f4aa89c9699e + 9a13f8f7-c61a-4c96-86b8-5b3f14c7baf3 + 9a35244b-b1c5-49c1-8338-c0ad4dc9f7ee + 9a38772a-1ad5-442f-bf7c-53797b0616fc + 9a3a2c6e-84ce-4aac-a88d-572bc4d1e552 + 9a445904-0055-4521-9c58-d7399e374143 + 9a5723ea-9918-45f6-a49c-480df8327923 + 9a8be041-d611-4e20-b1b6-394d006de7fa + 9a8cf84a-c9cf-4fb8-b720-d96d7ceae661 + 9a8e9ad2-2d6f-4ab6-8099-0d285f7464b1 + 9ada7d79-d1a4-45c4-8152-e3222ec764fd + 9af4f20c-689b-43e1-b2f5-3de8e85d68dc + 9af59552-1385-44dc-a994-49e8256de132 + 9b080bbe-6ff2-4831-92dd-12b80531368f + 9b4be32a-89b6-4fb0-8ca0-5fb83673f6c0 + 9b55679d-0bce-4635-bec6-2b18526fbb5a + 9b64500a-08ef-4048-bcd3-23706340240d + 9b81e6e2-3d95-499b-ac4b-49af9e67e4d8 + 9b83e2e4-a2a4-40fa-8015-c890296f0219 + 9b8d23a7-e943-4fa6-a9bf-26f3b0470dd3 + 9be54f05-8850-4a0a-9a9c-711d4f8ed6a1 + 9c0a72bc-28d5-4b0a-be93-d7b7055bac06 + 9c0e4609-bf6d-40cb-a419-8a903d1fc15f + 9c1ea3fe-8177-4c26-9272-73233f9ab09b + 9c3c3de1-6e05-4e4c-83a1-1cb448f0a53a + 9c494602-f94f-4d6f-a714-5022d099c06c + 9c4a431d-30b4-436a-852a-4274953a27e2 + 9c4da9af-3092-4964-ae5e-3ef3010fd4b4 + 9c6c2ea7-1e7d-4bf3-9cb7-f45a7bf1db6a + 9c7e81a4-78c1-4362-b37c-fb660b8e88db + 9c9b8201-bf77-465d-8a84-bf94516a6d86 + 9cbb994b-42c8-4944-84d1-f71cda1eae85 + 9cdc9751-7271-40d7-83ed-0c431338bbc7 + 9cee44cb-bf34-42d5-808e-f88e090a3e1a + 9cfa89f5-a8f5-4d13-80c0-d5ee8137b722 + 9d1bcc31-8f2f-47cf-baad-4eff71c55b82 + 9d1f8165-ad1a-44cb-b358-2640c329ac7c + 9d389790-0733-4502-abd9-71d20b2b7cef + 9d7fd1e6-00f3-4233-8dce-998e60eb9cbe + 9dab51d1-fc47-4fe7-bca5-390c2e1bf383 + 9db83ad9-0d2a-4f66-a6ba-2728c87c6b36 + 9de30389-b22d-445d-a264-7378c1da9428 + 9dee9dfc-5835-4ab4-a61d-b6fe7ddb3e4d + 9e48a007-8bd2-4a89-8f1f-3e300ef19a19 + 9e57859b-6172-4be4-a454-1450e8ab790a + 9e6c5d27-fe3b-4eef-9444-52feba840ee8 + 9ea415a3-b786-44a0-94b2-6dd8bc6baebc + 9edd442f-a68b-4fea-a74a-03593fe4991e + 9f1e2270-a004-4eb2-aa1b-fcd19a17dd5c + 9f1fdedf-18e7-4bba-86f9-9ea6bf7ef961 + 9f2d27c7-3875-4749-8d57-04b5e73fa2c9 + 9f353ced-76e6-4e2a-9df1-08837e27224d + 9f3704e2-5b79-454a-8029-2b8e608492d2 + 9f3a4eef-622a-4961-aec4-8825ef0fba0a + 9f3cabf7-badf-4a8e-806a-60137ce8ab12 + 9f490066-15c7-4ba6-9b9d-7d213c4299c1 + 9f765c92-b79c-4c0c-aaa6-f0c72adbe771 + 9f8cd8b6-e930-49d3-be82-eaa2424cf843 + 9f8e13f3-fe30-441f-a9e1-cd6309b8169e + 9fba033d-b3fb-44db-b664-5de3fddb1ade + 9fbb428e-c6e3-4d51-85ae-5a0588fd1a8e + 9ffbad65-b7d4-43c7-9dc4-9c363ec11f6e + a034644d-9329-44d7-8b3f-e842d7f5ab1f + a0379a24-8698-4c16-ace8-bb8ed0b2179d + a0666105-6c44-4a24-a152-5f8647afc139 + a084453e-8517-4869-8cf2-96235275a328 + a0af984f-a451-45fb-bdbe-f9d9db5924ec + a0dc3593-e1ca-43e6-852e-3e2e8f80ff3c + a0e1a28f-df70-4c6f-83e2-6af44c1eaa59 + a115ffc6-e6b6-44f7-a8a0-9cf7cdc94bdc + a13080be-c2c4-4e11-9c06-794f302eead4 + a1319826-5ee7-424e-8eaf-2b9f2c766d8a + a13dc30e-0909-43b7-b015-14d45c6ece7f + a1a84552-d4f8-449e-8cf6-d955b37e602c + a1cf6869-917d-41f7-be1b-141afd99dc97 + a213082a-76e6-469c-84d6-a4bedf05a185 + a224241c-b34f-4b0c-aeff-6d437e1ce0c5 + a22519b5-79ee-4085-8578-d5e06e3fdbab + a2286bff-78c6-4b17-aa0b-79add274f20c + a2361d17-c1ab-4bbc-8ebf-cbb83c7aa87b + a25ddc1a-fc73-467e-b9da-d4df339f95eb + a271f702-5d24-4c04-852c-7477381deeaf + a283196c-90a1-4cf4-abc1-2dd399e83bdc + a283cb22-e48a-41db-99c0-e4f58099f3a7 + a28a4938-567b-4ecd-a528-90b50d1130dd + a2a844ba-84ef-41c4-b280-63c6725e9451 + a2ad05a7-fd01-4140-936b-f34d78913264 + a2b8f4ca-635c-4e0c-a96c-d1ae74135530 + a2d257c8-3665-44ba-9a90-426f2bbfdaa9 + a2d705e6-8456-4775-a09f-d9fc7f418094 + a2d78bcc-0124-4392-a7ce-3a51ea6bd391 + a2dc9497-a937-42ac-ba70-deae1f09ad2b + a3140ab5-bfff-4f46-b252-d12556c83cbe + a3258986-e662-4f0e-b006-0d2598d7f29a + a32c179b-c592-40ad-869e-90b06cf11491 + a32cfb28-d2e5-4457-942f-18e12cd96202 + a3423147-f966-43f4-be74-4492d656efec + a34d2da6-f04e-48bd-b929-b7fed2bf5a9c + a356a0e8-c35f-4734-b653-ca52154e1f57 + a35b111f-6308-4303-bd24-8706355379b1 + a3609e53-3668-4b67-8094-4cbb805fccff + a3692813-1c6d-4324-b8e6-24722f2c23bd + a3824a6a-c07e-47c9-8f4d-d8cc83cffd6b + a3bcca27-5984-48a3-8328-1c9b675dcb18 + a3c3e024-c2e7-4610-89ba-2a35f0739a9a + a3ccf9a7-c443-45d6-a66f-d31c2155aa2d + a3dd3860-7027-4c56-8414-11421b2c5472 + a40c3b1d-bb00-443e-91f2-1a813210a9a2 + a437c386-7710-4418-a46f-b548fbf84876 + a459a3ca-cb45-4059-88da-72ee4b8c33ba + a464b19a-f755-424e-b6e8-37c63d914cc8 + a4675f1b-f53f-4b80-9499-04827c873e83 + a4897246-cc76-420a-97e8-a694946eeef3 + a499adb0-9cf9-4dad-ba6d-50c3dc3b5aa9 + a49cc424-fcdd-475f-97fd-aac7cb64eb11 + a4cfab74-f02f-4489-b42f-2e3bac564c81 + a56db9c4-d506-4d18-97d1-7a7718457f55 + a59a7483-10de-421f-888a-40d491544ae5 + a59d6daf-5e75-4d21-8079-30eb99043a9c + a5c450ce-6200-44c0-9d32-bc35c7e10b1b + a5d5f995-a6e9-4034-a62e-b906ba1d6288 + a5f12ed6-a019-43df-8925-fb2c80841b14 + a5f9aadb-7052-4b0b-b9ef-43e07a61f0a8 + a60b5681-fb56-4f6a-84b9-afa91ec159ab + a618dbbe-5a61-4dda-9320-dd7f51154f9c + a6278058-4a37-4e4f-890b-0e450dbc9af4 + a65b7218-0ea0-4f38-b673-21f0978d519f + a660faa5-cf96-473f-8ca3-b33c1c6ee860 + a67297ae-12e8-44fc-82a4-9ee4c8f5dba3 + a6744e02-ff60-4376-b212-386d885f2d5d + a681eca9-6736-422f-9ff6-ee05a65d2c09 + a6846598-5bc2-4406-a003-78af08813900 + a69ea050-43e1-4dc6-9910-ec46196e11b9 + a6aba88e-f091-4d6a-b9ac-d3aa8f767bf5 + a6b7fd08-c396-49c8-b1d5-5bf611a3d544 + a6ce7c76-df62-4f13-b4fe-6035a643240c + a6e73e03-b175-4336-b41c-78746f5214a9 + a7551faa-9208-4da0-a8fa-6230e0f19d01 + a78a2fe4-ff9c-4283-8b41-e760b506df10 + a797abbb-7394-4ceb-b7d8-448ac3b6f04b + a7a8c91b-bc42-437d-9e4f-da74ea5d337c + a7be6d33-6ad5-41b3-9a4d-ca7bcdda0676 + a7c3a0c4-a4de-48b7-9731-870297b559ff + a7d09baf-8da2-42e5-8f31-e3ed3ee51951 + a7e027c7-9b6b-489f-8218-5fd4e8a0545d + a8001c20-3172-4719-a73a-b192797f89b8 + a80344d5-418f-4e78-80fe-b20034c3e250 + a80beba1-dae9-483c-b115-af8891779518 + a80eb1c5-2dbb-4545-ba0e-66dd6b4c1d4f + a8134606-141d-4d96-9a99-4ede2868394c + a82a86ec-c5be-4367-b736-d76256105dfc + a849f8d1-1100-4697-a581-27c8870a9cc7 + a868dbfa-8918-4c00-9411-c687c3d1a4f4 + a88050de-dc0a-48df-b0f8-194dd9ecad23 + a88442f4-c990-448e-a5db-9cf6637c2b07 + a8876314-9d3e-4224-93b3-42037212f41f + a887ece9-e535-4bfa-9331-38c280dc65ad + a889982b-7493-4b81-9763-d687b9940f28 + a8a4f2ea-ad4c-4d39-b4a1-d98d3a48f1f8 + a8d7b098-c29d-4068-b9a5-98a63fa7ab4d + a8de53a0-76a9-4eba-bf1d-a35a5544263f + a8e65eb2-b535-4e41-926d-5f40da455571 + a90d20ae-89b3-496c-9f77-aa44f9f60666 + a9266dce-6eab-48cf-97f6-257cc096656a + a9337507-743a-4305-8a17-4bb0e173109b + a936db15-4aa0-499e-bfa7-d6f36d503b7e + a96ec3cf-af7b-468f-8a73-42336a73e857 + a971e98b-ba9d-44c8-947b-97cb79dfb53d + a97e5f76-27b7-4ada-8de3-9ea659561140 + a9838ccd-77c2-4fd4-b08c-b2d2816e9607 + a9a920e0-1a13-4508-9b51-cf322df63cee + a9ab6df9-59c7-4592-a946-b331612013e0 + a9f42a91-c0df-4958-bbf9-8c2889eb2552 + aa04fcf2-6fa3-4e15-b4fe-455a67baf0f2 + aa27a214-179c-4aa1-ad67-25b86e1c2f0d + aa308161-0feb-4353-a283-e24261abcfc3 + aa51a0a4-0a7c-4c58-b7bd-9d8981eeb59e + aa5fd532-d2e8-4f39-8f10-828acadeef82 + aa7ef58f-21b6-428e-99af-01ff51c1107b + aac951aa-27bc-4a9b-94d6-43c643f3fe28 + aacc4793-ee68-4725-8348-b0e99c07d7c1 + aaccb960-ef69-4886-8d0f-a06e4a4a9269 + aad7a5d1-cc85-466e-922d-7bcf020a067a + ab0853d6-f2aa-4c03-98dc-dcb9510ad0e5 + ab12018a-ea89-4440-9f04-6e1731db8a10 + ab15e189-a9d2-4055-a997-30342b9231c4 + ab257d97-d9bc-4525-945e-3d67f67821ac + abbf2d1b-ac1e-45aa-b49e-153a3d6a4950 + abc9a6cd-44c9-4270-adf6-067ef4bac2a8 + abd7516c-d342-48ea-9575-5d553f00a077 + abff78d4-df0e-472c-b2bd-a8d32263473e + ac27f6b5-cddd-4591-b3a2-3e2c407bdfc8 + ac2bdf28-0dcc-4348-ae25-10cf34448c12 + ac335d20-47d3-4d84-b5ec-f2ef54841284 + ac3423c0-0217-41c9-a137-f3100bce8d5b + ac347ba7-1a18-4aa9-bbe4-2aa1acf4d7a4 + ac39ddd6-9dfd-491a-83ac-1ab8a2043924 + ac62908f-ef1a-4d0f-9a67-f8915acdf97e + ac7fca6b-dbdc-4565-9db5-dc802c6016d7 + ac91f45d-ee51-48a2-84ef-58213b5e3db8 + acb6903b-2a91-4528-9e83-3a91b89267a8 + acd2eb02-c4ea-46fc-ab34-532b6d66e431 + ace0ec22-dafb-4f90-adb1-d95449ac02f9 + ace90fa3-1363-4de3-b71d-9fba2cf0b54e + acf0c798-53d9-45b6-9047-453cb07b7df0 + acfc5f11-2eb3-425f-bb8d-57c150ba2486 + acff9467-545f-4579-bd9d-21c59c529b20 + ad248061-09c0-4812-a19e-6556961fb4a1 + ad2befa2-a913-425f-ab03-a56dedc48e9f + ad340478-c354-416d-94fe-18dd53d7eb13 + ad5fc913-367d-4fa4-aacf-25b53646f7e5 + ad66e888-027a-43cb-8793-ef6e85f3754a + ad7ac0ce-e39a-45a7-af6d-bd05b941cde5 + ad7c73a1-2470-4434-a965-115f10c9210f + ad8e3f3d-218f-4b68-80ec-fa83b7f81d39 + ada4ec6b-3bf6-41c1-80dd-ae511ec48a85 + adb8d1a7-b322-4b6c-9cb6-8338fc23ba48 + adbe5dde-67f1-4a79-a716-095e8b57d418 + adc6b651-8038-4fd3-8396-1fab48182787 + add59ec7-18bc-44ab-9c88-a3ef7b286788 + adf9e8e9-a3cf-4e66-9845-ffece7322220 + adfeadb3-065f-4398-9039-4a42c089dced + ae013602-752e-44d3-b1c9-f43d415b178e + ae119a84-4ea7-4632-8627-fca6f9e7ec28 + ae1d6e36-1a18-4cbf-b53f-7e5ff5f2665d + ae443d59-8d29-4753-ab6e-f133ffbda549 + ae70287b-c1e8-49b8-a69c-2772356edcf4 + ae8c11f6-bcf0-4dce-bfe9-7d6383ad0ad8 + ae903c75-e9fd-4312-892f-711a12a25b14 + aeba30e0-b502-40df-8e70-0a1c2e5cc36f + aec2e9e4-c38e-430a-9468-2882c8815105 + aec7c037-b32d-4521-a6f7-9a18dc87ebff + aed50846-9d1c-42f9-a589-80d78384455d + aee0667d-dc64-46d1-a2c3-ab5b24e57885 + aef15e91-bac2-436a-b51f-e953ceb595a2 + af32342c-bc1b-467a-bc58-d309f3930779 + af337954-fde5-49fd-91a8-3730603be9f6 + af65dda8-c46f-4c15-a938-416c005e39bf + af785ff8-8530-4fcc-b272-468fd2ed6d63 + afacd20a-cbad-4ab6-b63e-158b1dc2dd4f + aff41fd1-8c85-4f46-a111-b8d4a613462f + b00ce659-8309-4341-aff8-3f8f40ea850d + b022b99e-0c4d-46dd-878d-d9dd2180e871 + b045b3ac-d30f-408f-ad9a-3a7c18fef61c + b07d21d5-091a-46e2-8500-530b8cf132fc + b0917529-86f3-4357-a953-eaa4d182833e + b0988dae-2452-4485-880c-4ce5e4fb526d + b0b69750-4c11-4f4d-9177-abd0e8187b99 + b0e481e1-0be4-4afe-bd98-bf59378367c4 + b0ee9bbb-ae85-4f8f-8c5c-235aa8ac70de + b107f800-3628-4eca-a98e-a02f096fdfed + b12ae90c-e076-4dfe-80f0-cce316225557 + b13fd25c-679b-4b53-8b70-2bdb1db8e5c9 + b1cef9ca-bc70-4265-bace-919daf940955 + b1e50de5-aba1-4c90-bf66-8f3f2b4df757 + b1e59ab5-c075-4ef2-9967-f81d2a7b10a6 + b1f27aad-8871-4ad4-8a56-ad98a3fdf9c5 + b201f946-c4ee-4427-a7dd-7e4045320367 + b2036527-baaa-4ae4-87ed-80d801072a59 + b20cc3fd-fbe0-4756-8f06-e0e84d36fb8f + b23f0456-4457-428a-b90b-224ec9caba8f + b24fc77a-17f6-49fa-ba52-d916d6bad1d6 + b25a281a-3441-4c66-aeda-ce332a98179b + b2623646-bb8e-4f99-acf9-c5a0ce6dd3a3 + b26b7335-a8f3-42f7-b024-b8639e6ade0b + b26e25fa-4248-4a10-816e-6b6f0ba9d2b3 + b2753767-d1c3-4a9b-b0b7-5ab234ac4561 + b2813b60-c920-4eec-af04-b74bde481672 + b28cc2c3-5e39-4d65-b3e3-eb47da83c0f4 + b2997d1d-069a-4dec-9f79-924b780b51da + b2c19b89-1477-4848-961e-e7fcb1a71e00 + b2c96dc4-a77f-4f9a-923f-f41fa7925d87 + b2ce630b-6b0f-4345-96a1-3525b5c62a05 + b2e9f90b-54fe-4421-aa45-bce395a3d73e + b2fd8922-6a4c-4230-8576-543292e69337 + b30d8e55-0a17-4a6c-bbba-3ccceb118de9 + b31f1bd5-6709-4353-a46f-8424c4f4067d + b3324a58-d466-4c96-9a32-ffd78c31b38d + b3482b22-7d05-4789-8b87-e4225e88a769 + b3579e6b-a1e1-41a8-9c48-010fbc65142d + b39b830f-31d5-4ee0-bf1b-e59ecb046553 + b3bdbdcb-513b-4d4c-9c45-e0c7ab51a6c3 + b3cceeaf-8675-46b3-81b2-16b9fe4f595a + b4168bdf-c503-4e55-8fe4-d6af8f853f5f + b416dd6b-8a69-4dc7-9b7c-1fa24efffabf + b43818f3-6d0f-4f90-b7c9-836c44e12b4a + b44c6008-b42e-41ca-80e5-06c7d0c7a992 + b44c830f-c214-4df4-9249-6f5dc799c83f + b4a2d9eb-5bb8-4ec2-8714-73040e6dcf08 + b4e609ff-a96c-4903-8a31-5a42f73a3326 + b514df37-c524-489c-b4ae-1ef0d15ce00e + b52091ae-5c11-4685-bd49-f4a0d7c1418e + b532e889-f254-43eb-aaef-f65a28230ceb + b53561d3-b240-4bf2-bdfa-a690cfccca8b + b53d1dfa-a056-43a6-a1ad-d9ca68381def + b54cb057-78f4-49ce-93fb-f9cf0422be89 + b55c7f42-5ea3-4921-9ddc-b701e39e302e + b55c8a2d-aba7-4a91-9f3e-70a7cd6790f4 + b586a73a-7ba4-404f-ae9f-1cf5de4f4e96 + b58c3f25-c966-4f16-a90c-50cf10ba9a95 + b58d7eb8-f4d7-4200-9ba2-16a9eaf23f96 + b58eea4b-17d8-4f63-bd72-eb0e5519856c + b59379b7-8805-4f97-a4fa-b96200cc4877 + b5ef77eb-45ec-4793-9856-c20193266218 + b60d762f-44c2-4cf2-94e8-57e8c42431a8 + b63c7a89-4820-4dc0-861c-62ea32408781 + b65c69c9-b923-4934-aec6-800b09af728b + b670e7f2-158b-4a5d-aa4f-e7e96bc65a1e + b677180c-d668-44aa-8323-c25414741a3f + b678437c-f30c-46f5-819a-a920f5911c60 + b69758ba-9152-4a55-9616-cbadd20f2415 + b717584f-eba8-49b7-9d7f-3c5ebe262e0b + b71a166c-1e98-4083-be47-74b0efd3d947 + b71d0bc3-8398-4f5d-8f74-1ec0827454a4 + b724db20-7ee1-43ac-b280-1bb4b9057b14 + b75cce31-6eec-4bdc-9597-1a25a4261509 + b7a17a03-83ad-4363-988c-bff3f721e102 + b7ab562a-d306-4043-a596-11dbc4c4adac + b7c201c6-6e19-4b5b-ae63-1a06b7c88388 + b7d96139-5dc0-4fb2-8254-6ed5c9f3f510 + b7eb6d62-9099-44b1-88e7-e483d739aae8 + b800ee9f-456e-4b94-b3f1-e7b9e6163877 + b844db36-9701-47f1-9677-075a8410b78a + b8481422-b337-48f4-ba20-17b9b6d5910e + b893555e-743d-4cf9-bd5a-f020e2718f96 + b8ab074b-3049-402c-8fa3-3dc465cd905a + b8b17109-a4f8-4ae6-bf63-a01277d6c3e4 + b8c0a3fb-3560-4291-8a33-8e4894c4da6b + b8ce5c5b-8a1d-4ab2-bfc4-3ef6323479cf + b8d2024c-c9e0-4fba-b7d6-452a54abb0d7 + b8d9b137-4c6e-41a7-8c2d-75e07e3bd234 + b8db3272-b0a9-42b4-a9e8-7d0947532c31 + b929e4d0-3860-4cb1-bdea-5a318832b531 + b938a09f-97f5-40ad-ba21-738dbce6af56 + b942add4-2d2b-42d3-a274-19f6aba8a04e + b94ea365-3315-4fc0-b2a6-08a9f746e485 + b95ccec3-68b5-4eaf-bf30-e4774d6ba7a5 + b95ede82-3cb1-4a0c-8750-4a762e564377 + b98370f4-1344-4529-aa7f-3d775107af2f + b9838e43-3424-4d89-9ac6-f850363006d0 + b9a485cf-9bc2-4824-b880-d6563059aa4b + b9a77cd6-badc-467b-9eb3-61714ec71b2e + b9ade5e9-0d7a-4939-aa7b-d30e426647b2 + b9c710d4-9f39-49b2-97bb-c4568694862b + b9f8cf06-a3ae-47c6-9b53-a4b336ceaea8 + ba40160c-32ac-4940-815e-21fc3ba95a59 + ba8c648f-de0e-4282-a73d-79e013b9e6aa + ba9c4f41-544f-42a2-93bf-f13dd2c83b1b + baa0413a-4300-493a-b271-95d2f4223e43 + baa987db-7b3b-4f67-8bed-ce2fed56446f + bac1617e-d4ae-4c2f-8eec-83b2a7ddd507 + bac1739a-6a08-4edb-804e-3f5cf600f5c1 + badfae0d-f983-4145-ad06-f7c414643997 + bae6d179-b555-4e92-81ce-e3e530f1fafa + baeec87b-aad5-4131-924e-f64f3b7c6433 + baf01b68-19c0-4af1-bef7-d5be7d0a91ef + bafb5701-fd48-452a-9942-f052fea45a03 + bb1151d4-aa37-490f-8276-1bb1d8ac1de2 + bb49b0a3-600a-47ad-af53-30b84489393c + bb531748-bc2a-4ed0-8406-7608f5fb0d09 + bb550354-58a2-4c5b-b1bd-33cb7e94b435 + bb630824-7d9e-481b-9fac-8261db20c956 + bb92a7a6-1b64-4b76-a0d8-2bdc243c77c0 + bbdf27b4-b97c-4a61-ae9a-d4bebba61cad + bbe2a33b-6743-44ec-9e85-246edd89d9e9 + bbe51b75-1889-4544-9b78-624d178902c0 + bbf2093f-ae51-4dad-8551-d94a0ae22495 + bc0a10e9-e3e3-484b-8618-860091e34644 + bc0e9332-4685-4b9d-b11f-383303dc1743 + bc312004-25b0-4435-88a6-2b1a640f0360 + bc317138-4bce-4e03-92b7-926b7f81c141 + bc3d6713-4374-44ce-865e-f04803401400 + bc3d940d-f640-4195-b514-f0210521c48b + bc3f95fe-a5e3-4a34-aa6d-fafd39432b3b + bc9253da-b5ff-4cd9-9cfc-cdeeccca77fd + bd0ebc36-2fdd-47a7-a731-a25f241941cb + bd419186-1a11-4068-b9aa-64b0db7f1265 + bd4424d2-3d87-4df2-a52d-6630b02932ad + bd442c5d-3ca4-4b20-af75-e456676aeda4 + bd4ae6cb-9b2f-4453-a2a2-059afc808753 + bd566d74-f39d-483c-a0ee-ac087ed17be3 + bd6d3256-acd8-49f7-ac40-65bfdb53963d + bd85b227-55e0-4474-8873-d3b359d80328 + bd93de41-c6c9-477c-8931-ede7676c18c6 + bd9d4848-6532-400a-a691-452705995ef4 + bdd443c3-9845-4045-9121-37f405d00a63 + be15d275-b742-4668-abec-daf80e2b6298 + be305d33-9efe-4a5f-a856-af467e515a67 + be472ca7-b8a4-459b-a50d-013d0872b731 + be518d32-f193-42ac-90a1-2e9ecb6ea0e2 + be685f07-5000-420f-b605-3ae9f6c153ce + be7f1476-401a-4e15-b5f8-b3fa654dc930 + be92dd80-c557-499c-a2de-21ef854a29de + be95b4bb-0d4a-409c-b238-1c4e704589a1 + be9a2533-bb8b-4a82-a3b5-2817b19949a5 + beb27a0c-e4f9-419e-991b-715e16657765 + beba682a-bc11-486e-8a66-b93439a3197b + becc549f-e0cd-44bd-b970-3e83ac2f9af6 + becc6a91-cf0a-43b9-957a-6dc6631b1d3e + beda4bee-1591-4ff9-bef5-fcfef8df6778 + bee6bdf8-ec86-408e-beff-53df28890f26 + bf021ff6-5508-4e12-ab3e-50e7f7a9d121 + bf1c359c-db0c-40cf-9a0f-1fc308d62481 + bf230bf9-1542-45fe-b05b-1924ee9b6903 + bf418d27-7e3e-4053-a7b1-e6671a31c77e + bf5b7882-d1e8-43c4-8c8a-5547d7760217 + bf5dfefb-eaab-4cc6-a316-3975d98127e2 + bf933059-14b8-4eac-93df-500291b45693 + bfa5d3f3-9f51-4767-86e8-fec7ae55edda + bfd6f460-6708-4a16-965c-a5d9e187a363 + bfdbd624-d705-4e80-9df5-2b9edbe0b3af + bfdfc14d-fe4f-4ff8-9096-380b6ed01f6f + c066b2e6-13fe-47e8-b3cd-422debfe0057 + c0753466-ad2d-4fbb-9e15-b38a531ef4d9 + c07b7483-cec3-45dc-9213-600afa115338 + c0946f9d-e143-4a8a-9ea8-ba477e06de18 + c09c245e-7fb9-4601-a305-f1e76db03c23 + c0a48f93-7e22-4abd-ac5b-7ec1ed51223a + c0c9d632-dbf0-461d-9517-dbfcb61afa09 + c0f33351-b177-420b-b167-950184ecf93a + c0fe73e6-d842-4ca8-a00f-c009decf4ecc + c10ddc34-b0d9-4fe8-8ce2-797aa7ef9dd5 + c12c5e9d-4d05-4f74-8411-95614b13ad1a + c12e6a5f-b110-4eb5-bd59-d6e4a9b2f6fd + c15306cb-ef90-4bbc-afa9-2774a6e98c44 + c1590143-c61b-46bb-a481-ae62670487c8 + c15d14ae-9413-4c99-80c3-7657873fe494 + c1712159-345b-4b62-b4ec-37c33497ac13 + c1939209-7c89-43c8-88cb-fd345ff9a999 + c1a82646-e859-4ffe-871e-8e7c24a6c678 + c1d60860-3852-4727-940d-69df17ec017f + c223b2c8-1693-4185-9dbb-53dc42cdac0b + c2272224-439e-4ecb-954c-2ddc8fa69d71 + c238a5ac-49b1-4895-b8cd-08dd503dbf72 + c2497ff4-d59c-4b6e-841c-c63bee077dd8 + c25ec456-f6e1-429e-a5a5-cac64c79f834 + c26a261c-283a-4a28-9871-62b9da386de1 + c273e856-6477-4333-b912-dad3aa5b3574 + c2773f68-11e2-49c3-a523-c832479a0f25 + c29e87cf-d2b8-41b7-977a-4a4e3501a9a0 + c2aea1fc-106c-40b0-94f9-c911e5144863 + c2b5e66b-c33e-433c-a93d-4309640ae404 + c2ca9f4a-7554-4267-911d-dee669f4cdbb + c2cecd9c-fd4e-4d61-93f5-6b32e4941b05 + c2d087d6-0363-4d21-9ae6-6a4407de8ba2 + c30a3e6f-d660-4877-919e-7b963e811cda + c352fc6f-dd19-443e-8082-85b5cbebd361 + c3738612-1c85-49e8-a4c2-705000732201 + c3d5bc59-39d4-48e3-8c3a-89d733c123fd + c3f026a1-0c79-4cb7-a6cb-2855fef40d32 + c404a72c-5e81-4e57-91e7-de1b14617747 + c431ff0c-3429-46dd-a638-a3b648c2b96f + c432f469-c638-4f46-bb33-3cb6df4181e1 + c434460a-3800-43b2-8c5c-390064f028dc + c44f07e5-d756-406b-8f22-4aff12199f18 + c463c665-49fd-408f-be5f-9031b10b1286 + c465fa13-89b3-4ccb-9184-87067eb64f1d + c47b63ca-d807-4e13-b354-a37fbcb6da6d + c47c78d6-64ac-4e4f-bb00-248da8750f8c + c4996991-33d5-4a77-a99d-7ef43466f010 + c4e63f0f-3079-4f20-aa26-ebfe0a020342 + c4ff9e0d-3227-480f-91fa-5aba6a07706e + c51646ef-2c50-4288-9884-87b6e85a66aa + c516b8fc-b9bc-4ea5-ac64-dd9ca7a26db3 + c54e7a2b-5500-4a93-a9d4-98ef841d38f2 + c579e141-49cd-452a-a340-4545ce2017ee + c5930b16-0a37-47eb-9ea4-187d550b5f65 + c5d071c3-15ff-4caf-b04f-dcd18ab2681c + c63cdfa6-9b57-4f0d-8fa2-d9fe9289f2e4 + c65bb44d-9785-478b-9f47-499c2d924e00 + c65ef0df-79cc-4a15-b078-cd25371c43b9 + c66cac81-f3d8-4056-a92d-310de3cfaff5 + c66fb341-080b-4033-aec8-980381d1d890 + c6c57a17-ff82-4f85-a641-2d9fd59eeeb4 + c6e2d2b3-9d75-4724-b90d-6c12e32c5812 + c7024502-13db-4572-a080-c0ef6b648d5c + c71dbd09-2338-4ec9-848e-8b5a3027fddb + c72197c0-7f22-4ad1-84ed-53e3810e7959 + c767ff1a-849d-44cd-9252-8b82c18c6345 + c78bca8d-9a6c-4e80-9aa3-fe6a5a0f7252 + c7b76369-6e49-4d31-a8b3-acdd1e8adc47 + c7b76705-286f-4fea-a785-f32ee22216f1 + c7ef37c8-c783-43ff-8ecc-b13d4f019a8b + c816e13f-4ab0-478f-bd79-c5a80a3a682f + c81c4a76-4538-433c-b517-00f2954ef3e2 + c83ee918-6013-429d-aa47-4e14844fe2f4 + c844da43-b383-4fd7-8a33-4cefaa316108 + c85afcbe-4f42-4b92-bbd9-1c50ad8eb8f4 + c87fe4b9-2664-463b-a54d-b12756e8163e + c8d88669-3846-41bc-a883-d4f466347828 + c8ecc9fc-dcbc-4b0b-a6ff-678540dafe8b + c8f4d2aa-903d-43d0-a51d-8982d684a1d8 + c8fc59ca-cd82-4feb-8b8b-a4076cb94630 + c908de34-264f-497d-9e43-3540aee4f8e2 + c90ab7d5-44fb-4569-b6b5-f1e0141e1280 + c90e470e-7434-457c-a5a3-8c4a7e16946c + c92d8384-ab7c-46a0-833e-b6766f1016a5 + c93fe220-03d3-41b7-89d8-8b21f2d1d295 + c96c428e-8ae2-4f13-85f9-647bf93ed332 + c9787f23-b424-43d1-a001-2f40c9ec9aa7 + c9cf09f7-265f-4bfc-9e8e-839e22c4296d + c9e6d99a-d182-4aa4-abc1-c1e3e6bdf632 + c9e95f5a-32d3-4c12-9c29-f9f319231948 + ca19b523-2ff6-44e8-87fb-0d5a6ecefb5b + ca292313-4a80-4d71-b88a-9ba0217d5c1c + ca456eaa-da1c-4cb2-9096-35d92072f541 + ca5490ea-f485-47c9-a6e2-2cfb05eb4e7e + ca561e1f-758e-44ed-bca7-3b7cb5b060d2 + caa70562-262e-40ef-8ba7-cac476f15d1c + cab7b3b0-2d01-4774-8ef3-7ec3a7204018 + cabbf716-7917-4c54-a1db-4c4dd98782a8 + cacd5a48-2939-4c2f-95f7-4b75852b6638 + caf40ed0-6be9-4161-8b97-7785747de54c + caf5d113-a894-4e87-9a3f-22aaf947c18a + cb220d1b-b8c5-43eb-a2ed-bf1f713b33b9 + cb4d2e03-ba57-419c-9dd3-bed647635c30 + cb61870c-fca3-491b-b421-4b4b9b6649e2 + cb74e223-3a21-4cf3-b4b5-85eba4e4f64b + cb80ad3a-2091-4fd8-b9b7-bb2ab1c664ba + cb8bdcfa-01bc-41a7-b00a-848ee52df5e6 + cba22dc0-d86e-4d36-918f-08eb7db8019d + cba4d5f2-a7d9-4d5d-97f9-4827b1fe2a46 + cba989c8-f4c9-4b16-85ba-a13f19c41e82 + cbc7b7ca-92d1-41fd-9b66-06f320d2c541 + cbd456db-a165-4f72-9cd6-9c0aeb7f8b56 + cc038494-54bb-4aa7-86ba-22e0e82086f2 + cc125d52-2e96-4007-b9d1-150a396b7fcd + cc20b387-8454-4fa1-97f2-d2d24ba7b5bf + cc397f47-e7f3-428a-9c49-1d93696fc857 + cc4d8c9b-e610-4404-9e15-f2fab2111708 + cc82956e-9f0e-40f9-ae32-62c03804c116 + cc8a6b51-5d91-4aa9-8e42-9d9bdd57af85 + cc8f08dc-f81d-4cf3-a3fc-d72bcee43272 + cc9c1584-3025-4f24-8cb2-1acc86d494b5 + cca7ec51-540e-4e80-bc1d-a420666ec74b + ccc367c6-0187-4d7a-b958-9f974397f400 + ccd21123-a8e3-4b5e-b751-736a48d8780f + cce3a77d-3a61-474a-a8fd-bc3f4b7427e2 + ccfbea44-fac4-4185-a9cd-f9ebd23d5faa + ccfdf65b-8d62-4069-b4e8-9cc33f51cee1 + ccfe8887-6481-4ad4-b4c9-d4aafb246840 + cd10839d-fb7d-4c76-8120-aa8657e54295 + cd1e3465-49ee-4579-b572-abc06643be68 + cd1edf3b-3e7c-43bc-a516-57c87c49d787 + cd2c80b5-227d-460c-8c4a-a8d000747a93 + cd45e3df-92eb-4a63-a40a-f7f795f0b97e + cd57f1ec-0477-408b-aad8-89a5ce78b50d + cd67c3f1-dd19-430b-bafb-88021cdf128e + cdb32192-3e4c-463d-a0ac-43afdc2224eb + cdbeb3e0-6a48-48fd-aa1e-a597408dc669 + cdf3a733-d625-4b07-b0d7-409ca924ef1f + ce01dd1e-2b5a-4656-9830-cc228c34803b + ce0912ac-812b-43da-a132-0cc11205b79d + ce0edc92-af6f-44ca-ac48-09d666a832d8 + ce407722-3e7e-4b15-9302-b6f6e505f5ad + ce4d83d4-1d5b-44d3-83b0-c8c0639697a4 + cea2dc83-9c96-4ebc-89dd-2eb3c6899f2b + cea2f9fa-4180-4f8a-a2ee-99f5f3289f04 + cea540f6-245d-462a-9c26-f01ff85e2df6 + ceb426eb-ab41-42fa-bbb3-9746c1ee5b94 + ced05df4-a50b-4a78-be11-0c876a325fb8 + cee06e6b-3a9f-47b1-bf6b-0c42e6f70337 + ceed86b7-fe1c-4ec7-9376-a3e9f4f0e913 + cf00a440-f7ec-4c68-be62-417e3b0248c1 + cf25dacd-7421-4f45-8253-00b3237d3010 + cf27ade5-9d7c-4a69-97cc-1ce98fc7da21 + cf6ae5bf-f8fe-4d3c-820e-b47a0affd7a5 + cfb78e2b-04f0-4578-b12a-26f2ec900b89 + cfd45c63-f8d6-422a-8f8c-b198f01eb8bf + cfdde9b8-4832-4c5d-b125-0a8ad332f9e9 + cfe17c6d-e529-4cc3-96b3-85f09290c310 + cfe3ecfd-9b55-4255-8a61-62e08e05f581 + cfe5dbc8-31a5-4a78-8f2a-e7254aac5a66 + d00eba02-9675-461a-a0dd-60e5783ab122 + d00fc5d3-1591-436f-8a72-4f878c3cfed0 + d0416e4b-041b-42a5-975b-c932300bc81d + d042b72c-6b83-4f27-a275-cbe354085468 + d0958452-4822-4f34-9694-a68496e3678c + d0dbdd9f-d83e-42c4-9b1b-a4e6d7921b61 + d0ebc065-9e54-4588-8a23-124dd52c6e7f + d0f77679-0031-48b8-bd3f-c17ca913e7c8 + d0f7dd6d-db36-4134-b8d8-55e6bc66911d + d11cc9c2-da83-4ee6-aaf4-7aecd7e7905d + d1229755-8987-4e00-b6e6-9c7d4aca1d8c + d1645497-df89-4761-a82f-676e417585c8 + d1799145-95c2-4b0d-acf2-fd536de6f684 + d190d378-dbf9-484f-ba88-f72671414b2d + d1c20c00-6f4b-42e1-b042-1e0350dbfcc4 + d1ca9a8e-2b9e-4187-8f69-0ae749d87866 + d1d2a3ff-c4f9-4bef-bcbb-b91ff059fbc2 + d1dc28dc-cefc-412b-91d7-faf7f5179a5e + d1e6482d-44a7-4982-ab43-4ef2199ce771 + d23a3e3b-a91d-42ba-bfcd-166dc6276cc3 + d25a9725-f071-42c8-89aa-0b693609eb6b + d2880643-cc0a-4173-a2d8-9b0ec7cb11a1 + d289e2b0-94c8-40ad-ac6b-db789266df5f + d2ab3db8-f924-421b-b6ad-a7a67f63f6ad + d2d9ad07-5a27-472c-9eab-882933d9e71a + d2fd81f1-321a-4bef-9ab0-11dd08345780 + d32cd70e-6865-41c3-93ef-b1486af05b9e + d3500641-1449-499d-9f07-f8fed745f7c5 + d35e9c43-f16b-46a0-a4d7-f008b52501b8 + d397b63e-bd9d-41aa-b447-0a14b34694fa + d39a7a74-952d-40f3-9f04-e89c4c44bee1 + d3be1d17-4e47-49e0-8f80-76c95fe799b4 + d3d70fb4-a691-4c41-a81e-507c6f6f4dad + d3e78cab-c14c-4a7f-a844-3d993a04e4ee + d416e1c2-a85b-40ea-ab94-a8aa6541230f + d41c81fc-2542-4ed0-bf07-58717c28ca5d + d429dd79-efe8-47cb-b38f-17d0842b33d9 + d42d11d9-f34b-4876-bb4f-a7c382a92469 + d4321770-6a4f-478f-811e-16cbae3c05a3 + d43abb41-3627-455b-b98d-7cb533d08e93 + d43c711f-5650-4c31-8058-0f00a7255926 + d458a6f0-1ba0-43d7-a0f6-a2f5afbb6208 + d4591e83-1c8d-4342-af89-9cc60409c10f + d46f129e-7ffb-474c-bdd4-76384da0306d + d477b5d9-fa9a-44ed-a085-ce1f548811fc + d487dd77-34cf-40e4-96e0-7dcd3e3c2dff + d4a6afc7-ae2a-42e6-904e-91af7378cdd1 + d4fd8ca6-76d9-4c77-8c58-9accfd7181a4 + d5609e3b-d7e4-4205-be54-9a288fc1f6ef + d57b985b-b057-45a8-8b29-4733913c8708 + d57f4acd-54ad-4ae7-8f1b-a3f6e1c85868 + d5820956-df05-44d1-a494-6366c8424b53 + d59701b0-3e93-4169-b1e5-958c7c08c033 + d5af6ff6-d3cc-48d3-a521-9e248d06cd3d + d5d0d17f-9679-48e8-a0a4-7e12e50a3d27 + d5fdb02f-0aeb-491b-9d29-b4e5fd985c26 + d63c9fcb-e88c-415f-b60d-794ab0d7b1ce + d63d0381-8c90-47f1-82b1-4e1314f46c97 + d652aca5-609c-438a-bffa-7daed3bd5878 + d670832a-9e4a-46ca-8dad-9ac08576116b + d6a0e736-8758-4b0e-952c-038870d06bee + d6a5dd3b-c632-4f75-bca5-dd486639df4a + d6ad3649-e069-4a11-8d22-69a2825fb04b + d6b0be31-7b82-40e3-9268-0ae2e4b57e72 + d6d09c24-2b60-405d-b985-9d42fa6d8e0e + d6e246f4-665d-43e1-b8fd-e9aa3ae85d89 + d6eaba52-2dc2-4a44-9550-461721e7ccb0 + d6fb9c93-b9bc-4e5f-8ce8-33964f61c22b + d71e53d9-6e57-4eb1-95d0-ab357f007c0f + d78b3cee-3d2d-4e49-899a-6221d797a123 + d78b8dc6-3505-4e68-bb10-29a26d656336 + d78d4a90-36b8-4431-946a-190c860b94d3 + d78ea572-889a-469a-853a-9e84ae9f8cab + d79598ce-ba27-4ec0-bcdb-8daccf2604ab + d79f4d9b-d118-4816-8673-153eabb41858 + d7bf7fcd-413c-4546-9640-7b880d199598 + d7c6bc66-4df3-4b71-886c-32c400735cc3 + d80b3a9d-05a5-4298-b9a8-29a7f960ecf2 + d820c79a-9b25-4f7f-a064-2aff1091a757 + d8231fdd-8cb3-4956-a0d1-579610b47ef5 + d834ff4d-d8d5-452f-8a80-9c96502f2824 + d835bc40-64e5-4bb3-b1ca-c03e195bb793 + d8423d31-e0b8-40c7-825e-4ab6af9d6b84 + d88f3307-3820-4e28-a835-c96699483344 + d8f75488-c22f-49cc-abed-93f9e44f399e + d921b372-73b3-44a0-9dea-a53622dfbe8a + d9261a0e-ec92-4bae-b416-71491a0bd409 + d9910a96-c4b9-4848-a1db-6f4a4a5d2663 + d99161ef-8c68-4104-861b-6a1dfa155f87 + d9bf16e9-aeda-45f9-ab5b-c9490701a9c1 + d9c39d74-dc50-41ce-be37-4f1dff72c583 + d9db3066-bc09-47d9-8d92-96411a7ee070 + d9dbf9e5-afa6-4347-a956-dfe553166519 + d9ea8df8-c060-4a9f-859c-8d748b093035 + d9eaa258-ee75-40cd-b14b-bbe05c6faff4 + da503909-14c4-4665-9384-d2af2e4400d6 + da584c4c-f38d-4bf5-866c-3580cde59995 + da7e5a40-6d71-42c5-963d-478a26f8c2fb + da88d95b-a83e-4ec3-8a3e-6ed3f9b5e1f0 + daa771da-c637-4c10-8746-6332e294a89b + daad1165-4e68-4918-a3f6-d7029335904a + dacb269e-f369-4c4b-8bff-97f95b96d4e7 + dacb4653-ece4-4ee0-9a9a-eb7c513d20e2 + dadbc87f-2d21-4291-a836-21d8ac2695a0 + daed1387-5cce-4964-86eb-9d4770429b98 + db1747ef-103d-495a-89d2-c4ab65c54d21 + db1e511c-55df-4eb0-8503-38f6b5f8ecc3 + db272f72-615f-4989-81ef-1a84d631f51f + db39927a-24ca-4f85-b61a-ed1c592032de + db57e6ee-d925-4f7f-82a8-0282e20eb4fc + db7f7973-738f-434b-953d-12a6f64b7ec5 + dbc23c4b-6e30-43cb-950f-7c56123ab04a + dbd669cc-80af-4311-a11f-4917e3864947 + dbd6e6c0-780e-4a3e-9c46-3beddec4e654 + dc277d6c-b13f-4ff2-a544-4bff28ce3eda + dc2ddcf8-9735-4828-9be9-aa34f8e3e3cd + dc60d558-9e55-4964-b86b-22adf4614a68 + dc79cc61-8637-4f14-a772-f8ccfa4a72e6 + dc8675bd-77a6-4131-a902-22c40a365657 + dcab8576-3f4f-4ebd-a73c-4169b2dd6230 + dcd284d2-a8d5-42fe-810e-45228cdd4e39 + dcdf0792-e8c0-46ed-8702-89fb0f661601 + dcdf376a-2888-4870-a930-e7d52a92566e + dcf293d4-e098-49f8-9238-3622edc061cd + dd34c3d7-0db9-4418-9737-e896efc76acd + dd43957b-6891-4bcc-9c31-cd49901e071a + dd5aca85-9ade-4265-be30-b572e88d6cbc + dd702bc4-d18e-4dfd-bcd6-5a7faad6cac5 + dd8cc9e2-b98f-4bd9-9627-e43c45b59350 + dd9b9454-0774-405d-b904-552701f46dec + dd9c6c59-a411-47a0-b9e1-5fc80a192bd6 + ddb2d181-70af-4871-8697-3484f1a53145 + ddbe577a-89dd-4d06-9539-9b60d832e7d6 + ddefd57b-0a9c-4fa4-afa0-55adc3adac41 + de177443-ce14-4109-bf99-6520d18bb588 + de1d7ebe-608b-496e-a23b-0f8307e1f99a + de2796ac-729b-405f-9235-525a993ef881 + de32ce7c-48c8-4236-92bb-a837c2655fb1 + de3e0360-43de-4202-9d6f-ea75c79b80cf + de574ba5-ed75-4eac-97d3-b2b911b0eeef + de5c8819-262e-4383-bb74-10e42566eab4 + de5e644f-3fea-4e5d-befc-cb6433d56876 + de823ae6-d6de-43c4-97f1-259cb892caec + de827e1b-bd84-4766-8e48-d9f051f6abc2 + de9b69e4-e379-4880-b39e-810fd7f69495 + deb0eab5-6b2c-4548-9f39-a718ab18b96f + dec07c16-ed9d-4e14-8252-8625d38498ea + dec2c159-9262-420f-a6d0-a59a5db1909e + df152a18-e3ae-49e5-867e-3c512252f8ec + df60deea-5b1c-4932-a505-cf0f6d358886 + df646fb5-5d1e-4688-9726-7ccbae7b42ca + df71d3c0-057d-4093-8c72-be4d6bfde21e + df7b0f63-d81f-47b6-8023-2f20557d8938 + df8b9373-5e97-4816-8e92-0c03daf5d814 + df9af143-a23b-49d2-b379-22d53cc645d4 + dfc66901-c29d-4783-b45a-86afb1282159 + dfe635a8-9834-4331-935e-b4c2ad7bb898 + dfe97f85-d8aa-4757-b181-6af20f4c9a61 + e0146e15-d846-41fd-b342-f7baa8c8945e + e02f2772-b1c3-4fdc-8191-489bf24497d9 + e04a9972-bc29-4994-8d97-10cd0dec0506 + e050967a-e8af-4dad-a0c3-c1acae3a43ff + e056eeae-36a0-45ff-a2ca-0a67e9f04317 + e05942e8-35fa-4458-b6f4-869d32719cd1 + e0684f6e-3115-42f0-8970-eb3638ea7798 + e07d3ab4-c792-4d8a-a8b2-416b4730d864 + e08eaf2e-0a0f-4f24-ae20-9af1d34583b7 + e0bb912a-0a3f-4a67-a26c-baeb38a6d3aa + e0ca81e5-3ac0-4b67-a0e2-1c2447db9f67 + e0ef6436-dbf6-482c-9dec-7064763e33a2 + e0f17788-7b47-4bce-808a-a6b193fe84be + e15301dc-6063-4f7f-b488-0f10bed36fb6 + e1691612-1ed0-4268-9a40-0554eee0a424 + e176fec8-deea-4fcc-abdd-f8438734183c + e19dd40c-e931-4570-8764-d931306d9d6a + e1adb013-9784-4c70-bd80-8a6fd7339f4a + e1cca8cd-6a24-4547-ad44-8278509e9052 + e1ee6047-9dcb-401b-8abd-e527865b666e + e232ca28-1637-4ede-82bc-34a86479ce0f + e2466ea9-3bbb-421c-a06c-ca53494a924d + e278a783-fd08-405a-85c7-583dd09cdc6e + e2b2cf7d-378e-4025-81ea-47661040ec08 + e2c08321-5025-4ee9-a3be-d82400864db3 + e2ef45df-3a23-4ee6-9926-fe32d1170cdf + e30a18a1-dda4-4c63-85cd-824801d8759f + e32501cb-5384-4281-a400-e42c2fcd1eca + e329256e-bdf0-4014-9891-c83b43b0f3d7 + e34df6de-8f9e-4b59-8d00-7b34dd7c97b9 + e359ffad-a4d8-4c96-95e1-e095287476ee + e35bed2f-9ff7-472b-91f3-dbf438b829c0 + e379bee6-9052-4725-b5e1-8eeece37106e + e39b7e88-8285-4276-8730-58bec91e3be0 + e3ba76a9-87e7-47b1-a172-2c23d2a87816 + e3cea3fd-63d0-4237-b17e-f038c3682f38 + e406c74e-4522-4904-b96d-1ab3052f3755 + e412b11b-3396-466b-bbe5-a2133245abb6 + e42ccb7f-61a3-4bd3-bded-bd62d8f4ea50 + e42dec8e-008e-422d-b3fe-e2477b943b3d + e43c9446-3692-4af4-b5f3-faabba97814f + e4413ec8-4e8f-429a-86da-7a55ec44d981 + e448c873-046f-4a52-bdfa-60a1eaf29b01 + e449dae9-1a03-47e2-962d-a99ed6c8d1d5 + e4633e9f-91f6-483b-bc6a-1dfbb0f25ccd + e46ad914-787a-497a-8f16-2a4f86d6fe17 + e49ab0ca-a578-4194-9664-e7d0528306f3 + e4a66c1d-5056-4870-9ebd-b779a5ab784a + e4b6b9fb-7e47-4649-8c7b-ae8996fc8a81 + e4ed0100-ffd9-4da7-8ecf-5fe58a5f7ce8 + e4f9aeff-ccf3-47df-ab8a-d17047a1ea68 + e4fc90cb-1927-4cc1-8ae8-5c294ec2c3ad + e52044cc-bcf7-44d9-8d8b-fef8013c1a07 + e52ed078-ad33-4069-ad9a-a2a7efa98cdd + e57475c6-156c-43ad-b33b-e24c3a02de2b + e578f2ce-9866-4b4b-a88e-ef969711db3c + e5971fca-ec1e-410a-baef-8286a8795640 + e5ba17cd-dee0-4463-a22c-2c421c27693e + e60ebd8b-5fb1-48c9-a91d-1994c0e14a80 + e68dd1da-35e8-42fd-bca3-61db8b44a0e3 + e6b3cbaf-611b-4bc5-b406-2db6ea0336e8 + e6c4f0ee-ebd7-4cd8-bfec-c43dbfffa4a3 + e6cbcd9d-2800-42c6-879c-9518ecffdd09 + e6d0ffac-55e9-4122-97c0-47b6bda3fd6c + e6dd5214-8109-42c7-8a29-d3efd9270eaf + e70c6f27-cf52-4a21-a605-e3cfadb8c6ce + e70fd33e-dc75-47c1-9b06-254974d8a6f2 + e72c358d-7678-49a5-889b-34984e26c647 + e73c1dbb-d214-40cb-b5d7-db0a643c9aae + e73dfd94-99cf-4a98-bc4a-ce1c0728ac2f + e750e560-e79c-448a-8794-c2d34e82eb23 + e752efd5-90a7-410f-8853-5ef847e7d5a6 + e753ae2a-30d0-4e02-a069-b24392c2c7f7 + e76a1776-353d-481c-bf68-64569c0cc536 + e7780186-3cec-4746-8f99-2e31c3a69060 + e7bc6dcd-34af-46c5-bafe-babf394b2060 + e7c0947f-f690-4619-a4df-b4d8b918687a + e7c35a28-5a1e-4aea-9f3d-cbc797febc28 + e7c36b58-3e78-4ccb-8db9-58ed13278fb8 + e7d91da0-107a-4302-96e3-62674cae2c0a + e7e0c282-b307-4b37-a05c-a360e369fe1b + e7f8b487-7983-4f76-aa1c-2f09c64852bc + e8154154-493e-45be-962e-dddfd1466bd4 + e8202723-28e3-49fd-91fa-c740cbefe82e + e8545875-45cf-4b6f-9a55-5f7236df9a8d + e86b96ed-2c2e-4a84-bcfb-91415cc1eddf + e86e428a-aa89-4a17-a7a5-e1bc90ebc5cd + e883cbc0-0c1f-4bef-a1bb-e3a11bebc6d3 + e88456b7-aebd-4ef6-b958-d3ba953f0cab + e8a35d52-af5b-4084-91b8-0a059613f0e2 + e8acd0df-f381-40d3-9ab7-c6a4fc445ed3 + e900b66c-f872-4c6f-b6b8-8d2557519f04 + e9287c8a-3c3e-4e75-92e9-ae8cf7168ddf + e9416a41-f2de-45e5-b6d9-c28814b8632c + e97ade9b-a473-4a13-91a9-8cb7c639ec0a + e988d609-a036-4d15-abcc-2fe51e13d3e6 + e99fa8e5-2b99-4b05-a15d-7d9303c111cb + e9a59180-3688-42f5-8d85-3a841c47bada + e9d0ac69-1499-47dd-a9a8-da830421aae7 + e9fc2e01-ee40-4b1f-8697-90a793464eb2 + ea0a3b06-9113-41ff-bbcd-ded694a168d9 + ea1b2924-6f76-47c5-a351-6fa114924f7c + ea1ed4d8-3525-439a-900b-4ff56b6deca7 + ea40ffb8-c99e-4e72-b472-d0f9c23d24c0 + ea4bd19e-02b1-49e7-a393-9d50f7876a72 + ea5fb46c-b677-4b67-9fa3-8ac158298d3f + eac9ff3b-1342-4a62-bc27-b04f125883d5 + ead64496-04e7-44ab-ac86-e6330b94a5df + eaf0b044-60de-4f40-9968-38e000e4b494 + eaf4fbe5-4dcb-49b7-b045-0ffa888ead7e + eb1f945a-5ec4-4624-95a9-2366f294adec + eb21d29d-d22a-42fd-9012-bde361cd9833 + eb3e8655-3948-43b0-b6a8-73b80821fd45 + eb57a754-8395-4492-bea5-16a0d4b8abd7 + eb5be0a3-9eeb-4904-9ded-1292c69a5d07 + eb714e4b-0ed7-478f-816a-0321e5fba6b2 + eb8b48ee-78d2-4cec-8411-ae1caa04a1b8 + eb96fea6-1047-43a5-b643-b6567d9c44d5 + ebc7f551-6fe8-43c1-a9b7-dfafb900b75a + ebe0ae76-fb08-40a9-86ac-8f36742bd004 + ebe512dd-f4db-4b6b-a417-66a297a3d8e9 + ebe750df-c8bb-4e19-b572-16ca7010dab6 + ebef27ec-5755-420d-9ed1-f2f74ae9557b + ebf72619-788c-487f-a349-72c88e9a03da + ebf95751-323a-41f9-856b-882b404bbaa3 + ec2640e2-15a6-44aa-af7c-7524fa9446cf + ec3556fb-1501-405e-8b69-7712069bd9ab + ec355cf7-46c5-458e-b78c-2510dbf81c0f + ec53332f-7f3b-4e1b-a3cf-22a77fdb51ef + ec67a41d-c87f-4941-875a-ac2473b3514d + ec77b9ff-d22b-4ff0-9de1-edf94f6b4a69 + ec7f6e03-97e0-4bfe-921c-1ea5cd2c58f4 + ec90f306-12b3-4707-8308-92b4f4ed988b + eca51e4c-e12b-4de9-8bdc-c632c9d27e43 + ecce5398-2c42-4777-a838-f58996147b8d + ecdefdee-a654-4a0c-bbfb-55cfd941361b + ecf84159-fa51-4fc5-be3a-5012ff898ee0 + ed14f41e-6fd7-42e1-a2e9-afbe3abe62f7 + ed2f054d-ea44-4d23-8837-b3c29cee042f + ed3250d2-39f9-48d3-a35c-122eb650f5a0 + ed8183e7-cb4e-4528-9633-06ad25aa571e + ed8b8dda-b893-44d3-8a8d-7ff5507f82e9 + ed8fab9e-2191-47cd-a1a6-59571201289f + ed917fcf-2180-4a52-9549-3e385efd995c + edafc8a9-7b23-4e08-b6f6-5d6eb30c65df + edb07bfb-0429-4471-b663-e73750c18789 + edb56c51-88ca-4a88-84ee-d1e564d76cdd + edd0a57a-f8c3-4824-b011-548d3a956256 + edfdcae7-2696-4ba2-a5e1-841593826d4a + ee00d71a-1874-443d-b05c-7f498642c204 + ee10faea-ae92-45a5-bb3c-b225669b99cd + ee29511b-94fe-4f5d-b84b-9c7348f0f9d8 + ee43797b-aa6f-4d50-acbe-abafc13c7fc9 + ee52802c-535d-4845-b5b2-cc03956d9fb2 + ee5ff6ba-7fe2-4aad-9daa-4bef68958b75 + ee793572-beec-462d-ba26-7df6551dfd54 + ee796e8f-f56b-498a-ae68-63718e4ba7ad + eeb97124-dcd3-4e55-85ad-9020839da682 + eef7ae47-b26c-4a08-9ae8-e43edaa9de5b + eefa3894-a1df-45a0-b48d-dbd1286e546c + eefbd716-5ca4-4e8b-beb3-63287f9cb949 + ef048adc-a7b7-4acc-a37f-cc627e90df2a + ef0dcba0-1195-4d4a-ab8b-41b32a961bf8 + ef0fc819-5b4e-40b8-9e22-a9c39d42faa8 + ef141289-e94e-4bbe-b57a-fd51da9cc89d + ef2109af-c10f-479a-ab8a-647c9cb53103 + ef24b13a-8085-41ad-ac64-585208904fcc + ef2cd917-5512-48b0-94ea-726a7a38acf5 + ef35f9e3-c4df-47be-b556-fcc1ae1c3bf1 + ef386be6-15dd-4adb-893c-c5ac3d30d95d + ef5948f2-1d53-4df4-88c7-6d047aa8e1e1 + ef681394-c44a-4218-b672-8d59072fd179 + ef68df28-ed50-4c46-81c2-387eef0ff8dd + ef84d186-a30d-485e-9d7f-e6bd33f8a010 + ef986c7e-c9b5-45a0-b5bd-29b89c9999e6 + efbf8616-466b-4223-a943-1cb791dbd055 + f0345487-3688-43a9-95f4-54556b24a846 + f04c4e7d-27da-4a46-bf67-804e181b740f + f05e4a59-5534-4a62-a712-8ba236027441 + f07b7b77-3a9a-44a0-8827-56467fe31adc + f0a0c6bd-61a5-433f-a805-dad8a4da8289 + f0db7442-270d-45e4-bf1f-1a2c4487bb8a + f1014f39-b49b-468a-87f9-f6c2f9ca3634 + f11f3db3-dc46-40c0-a41d-8ed879616fe2 + f1354d5c-15ec-4e36-9c25-f01557e71b9e + f138a8e9-4a5e-49be-8ca8-40f94d58109c + f14a57bf-7341-40f9-af74-347d093bc063 + f1620bd0-127d-41a4-a7ad-b74a625d7ae0 + f177043f-f889-42f7-97a5-3b6b57cad25c + f1871ceb-e974-4de9-836c-a088d7a3f986 + f1a79c41-bdcf-437b-b646-99ff18856b46 + f1cc1329-d022-497f-8323-658ac7e8935e + f1d32c00-f73c-44b9-b4ee-ead640e0effe + f1ec0547-96a9-4068-9792-7d854eb038d5 + f1f87d95-25df-4f4a-8bca-f053ef979b76 + f200c2d7-5324-4d21-b557-e2e4ee7ae038 + f23cfb2c-0c4c-4191-9fa0-bbeb1ebed328 + f240da6d-c1dd-43fe-a975-b9e3a9d6ad7a + f25a5e1d-a3e4-4c66-82b5-56a077eb19a2 + f2620c1f-3259-40eb-888f-5c8242211e1a + f26600a9-3923-4246-b1a3-2dac6aaa740b + f271c26b-e37b-403b-a18b-d627c8179d27 + f271cee6-45b2-4abf-a797-3e1804bc06a2 + f2726f5f-c915-474d-af1f-69fe1055a580 + f28a9220-b477-453f-aafa-e8dca2d137ff + f2dc74d6-999a-4a32-9346-7c7be8ebd648 + f2e47cc8-d032-4985-9a81-9572d4dea433 + f2e4b690-d244-449e-b2c0-996a51be231b + f2f82f62-5f0a-4a3a-ab38-7af093010bc2 + f2fa3111-ef61-48da-bc96-8a11a5887ddb + f30546ad-be89-4787-b844-ab96ec043320 + f31ab092-7302-4aea-b193-117d59bfac5f + f332ae17-6bc6-4348-b411-8bb086a0694a + f333403b-e5f2-4d98-902d-e552f7334b46 + f3626ade-12d8-41f3-bdfc-1e5d68e1db58 + f375370f-1e22-42d6-b12f-a53a7a3b1c5f + f3aac7a1-d95d-40a5-8ffe-3bb01f621bf4 + f3d74d0c-f681-4cc7-a942-39268379e52a + f3dee702-dcdd-4aeb-b30c-35e6c7b94b09 + f424afed-3e5b-4582-850d-0a724d0d4705 + f4440d3b-9f79-47ec-a998-318f679ce161 + f48c162e-41da-42d6-8b1b-e852be1ee2c8 + f4cc8e54-b7ce-44b6-b4ce-2ff7b76b200b + f4f76f07-addd-41ab-be58-ae3e5acc25fc + f50bb4fc-69fe-455d-98fb-1a0063cdb7b1 + f52aed33-0eb7-4515-add8-84b676ee8f5c + f58791e0-9934-4df5-b7ff-328e795f8a16 + f5a7391c-9e44-4f2b-9769-35105407352e + f5f279b3-3a59-45f5-a908-641fb0687453 + f60cbad1-fa0a-483e-b53e-6cf64148feb8 + f6123df2-5b55-48e9-acf1-53eff676d1ae + f61b072f-52f4-49d1-a12a-f66434d1ca0e + f61d5d08-b664-4ca0-9a63-c33612e37c47 + f622531a-1a3a-424b-ac3d-f379781b3716 + f628dbc4-b676-4019-9c8a-9401fe7ff746 + f62cb124-ebbb-4e44-a5a9-137ddf18c330 + f6571c99-7fc8-4a58-a887-3abe8d0aa42a + f65b9f34-d1de-40c7-b295-1a035cfe4d10 + f67363d7-b25d-4206-8532-5c1ad3c16b47 + f67ba7f0-99ee-4876-b5f0-5f53ecff8435 + f67f016d-9d83-4d56-b5aa-c83ab3acc423 + f68fe0ad-d526-4172-9e63-b11e39934df8 + f6af3e99-424e-4011-98bf-5368613216cd + f6e03e5f-9e6a-48ab-949d-876618125271 + f6f2d357-f026-486b-b842-9b38a3ba742f + f70b847c-5e42-4098-b206-a46fe2d5a4fc + f71bb7a9-0903-4447-ba84-3aaa6413f91c + f72f3a00-fc46-4d77-87cd-ffcf7a194fde + f75b720c-8d52-4a3a-bb98-cc6cca55197f + f7702888-900c-41c7-ba54-8c1fab344b36 + f7782b49-6ea9-4558-bb7c-b6673f212636 + f77ecab7-2c28-435d-aa64-3daa72d5e509 + f7aa31a3-d314-4e7d-b50f-98a5e66b1168 + f80444ea-2f25-44e7-b7f2-7152a3c35892 + f81677cf-6f34-4c5d-9d13-0f63c9de9648 + f818d74a-5ffc-4388-be9e-aa337070bc87 + f82b6538-4f59-4838-a175-f39074c9f2dc + f834c70e-c474-4f00-b0ad-4dd5c7119b5f + f83c1d05-6449-4f6c-8710-782a9f1e6d88 + f83e3a8c-8fad-4ea2-95eb-917e07ea4109 + f84cfdf4-47a6-4c73-a0f1-0da964480891 + f877747f-8b47-453c-a2cb-c01644297db2 + f883b85d-ef2f-485b-9c49-e4582d462e1b + f89c9bfb-376e-40fc-aaad-0a9fcaa290b5 + f8bb0816-6f67-4678-8d01-d6ae9417ebe8 + f8c32f63-d64e-4208-a10b-0a88cfa95c5a + f8d3b222-dba7-4ef4-910d-bc613253d0b2 + f90ee271-8616-48db-9a03-62364c21dd21 + f91f54a6-234f-4422-bf78-6d61a42ec8cc + f925509a-8aa2-4554-9adf-fbe7c0dde48f + f93230c3-636b-4e05-9a22-1d7473de3f0f + f93b33ea-a6ca-4212-89b4-22daf8de7264 + f97b3ef6-5f8f-4ae9-95d0-dac40b9e524b + f9c4327f-dfc9-4961-af26-9cfce8c747b3 + f9cc074e-ae6c-4e40-bc94-9f42909edf67 + f9da38f3-4248-4dd1-9df1-1792b66f7971 + fa241cb6-559a-4661-93af-8e618adbd386 + fa41c84f-4989-4dcd-a514-e5a54d494427 + fa56821b-5073-40d9-8185-440402c37689 + faab8074-ad27-485f-8a9b-60b92dc41bdd + fabc64da-92f3-4113-b8b9-0ed33bf44e06 + facdb474-d266-4c84-9bcf-f4bedd3330a5 + fae10207-833d-4fe3-abd9-c1749b5bd765 + fb081e85-6e5d-4265-9042-855f29850f04 + fb321295-4a97-4701-bdbb-64ab038188b2 + fb3dbda1-b0d7-4fe7-8e53-ea272e97e7eb + fb42c735-9459-425e-84b2-a771ed35a92b + fb44509f-521c-4557-9b1f-48bccb6de73f + fb5c6f3b-21fe-4ac2-8a10-8ad2ca06085c + fb6508b7-b151-46f8-ae5d-9bddff8eda01 + fb65941c-1162-4092-8f32-55aae290df2c + fb7204da-3a8b-4d2c-a6f9-67091547ba68 + fb764fec-508b-4740-b105-d79a15fdfd65 + fb9992f5-2d24-475c-bba6-ac16c8a77380 + fb9dd614-ac19-4d77-a6c7-6a7c2333e522 + fbb8d747-42e0-46d8-be3d-ecff3f57c101 + fbbe1fa2-c5da-4d54-96b5-940791a713dd + fbbee6b5-747e-4b57-a6e9-670883fcd5ba + fbf0f5a8-1528-474a-a0e4-79c04f4a87ac + fbf72bfb-0ffb-4cb5-8ef4-c28796a9a487 + fbfe59e1-271f-4aad-8a79-9dc592cec402 + fc0e5af6-e671-441e-89a4-dc5c4d4ddae7 + fc5f32ef-cd6b-4214-8d0d-12b0a43473a8 + fc792cb5-549b-486e-8efa-afe57c9a39bd + fc8fd912-0c36-4ddf-acb7-5ff07178137e + fcc214da-726d-4ba9-a969-11f989256d63 + fcc36088-4b07-4078-933d-371c539578c8 + fcd839b8-4e38-4a62-9735-18b2332e1e1b + fcec6243-5bc0-4116-8559-ca26d8798f31 + fcf5e41a-d69d-48f3-b838-3981f39840a5 + fcfff86e-019e-4603-bf81-4f5331dd641e + fd0288aa-35db-4bd7-b416-4eaeea65b321 + fd07af41-5662-436b-9a0e-3a79d0fbe710 + fd0b081b-4afb-45be-9a6f-59ea0672d64d + fd0c2540-fc89-405e-aedc-5607f1c10813 + fd39f4b5-719f-4b8a-a2b2-b9e095dd463c + fd3e7328-638a-40d0-ad18-b3901c7157fc + fd4a7e16-264e-4ecf-80ce-743ac3bf453e + fd4da6ba-d8a9-476c-8027-3abb3f6ff6c5 + fd90de87-2b52-40bd-a428-b03f676851f4 + fdb1c245-ab6a-4b91-bd36-75aed258f7ca + fdbd2dd5-b68e-4445-a7d5-be2622b208b0 + fdf0e490-f52a-4bc1-ab83-f02c9698316b + fe0f6b5a-aa7f-4c5b-a9c6-9bcb00c59126 + fe3d97ea-c4de-4d07-9777-fafae73facca + fe62c4df-0aa1-491a-8cf9-0151d861629c + fe684a21-640f-4f44-ac56-18e9252c3e0a + fe887a9e-abff-4e9b-9253-b3ce8a45a266 + fe9f7eda-3d79-4768-83c2-85dc9f381296 + fee521d0-07ac-40d6-bdbd-4ef8ea5b525f + fee785bc-ee43-4964-8b22-db083ea51ac6 + fee98b0f-b0a2-4f1b-befc-a5e738d71b4f + fefe340e-dd15-4be6-9344-b97d90d22a8e + ff062df5-8115-40ac-9e51-b96c9808f42d + ff1334c1-afc4-4cf0-93a3-ec8d84f40490 + ff15019c-92f4-410b-a273-f6928bf7058b + ff2287ea-ab93-4b8f-b1c3-a1d11fa9d660 + ff3d190d-4d8b-4221-820f-704a89687ea9 + ff48de1e-bf06-451d-aa8a-12a3f12993e9 + ff9e1764-6810-434b-85a8-f480f1855a4e + ffb7ac41-8065-4f1b-99ad-11bdf6ea88a9 + ffe7423b-3c4b-4d38-b389-bec6773e8a3f + ) + ) ) diff --git a/kirdy.kicad_pro b/kirdy.kicad_pro index f62db3e..203b3ee 100644 --- a/kirdy.kicad_pro +++ b/kirdy.kicad_pro @@ -95,7 +95,7 @@ "padstack": "error", "pth_inside_courtyard": "ignore", "shorting_items": "error", - "silk_over_copper": "warning", + "silk_over_copper": "ignore", "silk_overlap": "warning", "skew_out_of_range": "error", "through_hole_pad_without_hole": "error", diff --git a/kirdy.pretty/132203-12.stp b/kirdy.pretty/132203-12.stp new file mode 100644 index 0000000..21b5411 --- /dev/null +++ b/kirdy.pretty/132203-12.stp @@ -0,0 +1,31250 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('SMA6252A9-002-3GT50G-_SW0001','2019-11-27T',('Viji'),(''), +'CREO PARAMETRIC BY PTC INC, 2018360','CREO PARAMETRIC BY PTC INC, 2018360',''); +FILE_SCHEMA(('CONFIG_CONTROL_DESIGN')); +ENDSEC; +DATA; +#1=DIRECTION('',(1.E0,0.E0,0.E0)); +#2=VECTOR('',#1,4.015748031496E-2); +#3=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,1.200787401575E-1)); +#4=LINE('',#3,#2); +#5=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6=VECTOR('',#5,4.015748031496E-2); +#7=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,1.200787401575E-1)); +#8=LINE('',#7,#6); +#9=DIRECTION('',(1.E0,0.E0,0.E0)); +#10=VECTOR('',#9,4.015748031496E-2); +#11=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,7.992125984252E-2)); +#12=LINE('',#11,#10); +#13=DIRECTION('',(0.E0,0.E0,1.E0)); +#14=VECTOR('',#13,4.015748031496E-2); +#15=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,7.992125984252E-2)); +#16=LINE('',#15,#14); +#17=DIRECTION('',(0.E0,1.E0,0.E0)); +#18=VECTOR('',#17,1.551181102362E-1); +#19=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,1.200787401575E-1)); +#20=LINE('',#19,#18); +#21=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22=VECTOR('',#21,3.740157480315E-2); +#23=CARTESIAN_POINT('',(-1.200787401575E-1,1.551181102362E-1, +7.992125984252E-2)); +#24=LINE('',#23,#22); +#25=DIRECTION('',(0.E0,1.E0,0.E0)); +#26=VECTOR('',#25,1.551181102362E-1); +#27=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,7.992125984252E-2)); +#28=LINE('',#27,#26); +#29=DIRECTION('',(0.E0,1.E0,0.E0)); +#30=VECTOR('',#29,1.748031496063E-1); +#31=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,7.992125984252E-2)); +#32=LINE('',#31,#30); +#33=DIRECTION('',(1.E0,0.E0,0.E0)); +#34=VECTOR('',#33,4.015748031496E-2); +#35=CARTESIAN_POINT('',(-1.200787401575E-1,1.551181102362E-1, +1.200787401575E-1)); +#36=LINE('',#35,#34); +#37=DIRECTION('',(0.E0,0.E0,1.E0)); +#38=VECTOR('',#37,4.015748031496E-2); +#39=CARTESIAN_POINT('',(-1.200787401575E-1,1.551181102362E-1, +7.992125984252E-2)); +#40=LINE('',#39,#38); +#41=CARTESIAN_POINT('',(-1.574803149606E-1,5.284808014005E-1, +1.574803149606E-1)); +#42=CARTESIAN_POINT('',(-1.574803149606E-1,5.317669342969E-1, +1.494407015996E-1)); +#43=CARTESIAN_POINT('',(-1.574803149606E-1,5.377847360371E-1, +1.340933900492E-1)); +#44=CARTESIAN_POINT('',(-1.574803149606E-1,5.450734247392E-1, +1.136878895984E-1)); +#45=CARTESIAN_POINT('',(-1.574803149606E-1,5.511211325093E-1, +9.475019685438E-2)); +#46=CARTESIAN_POINT('',(-1.574803149606E-1,5.561005155649E-1, +7.677710783201E-2)); +#47=CARTESIAN_POINT('',(-1.574803149606E-1,5.601182226346E-1, +5.932652397017E-2)); +#48=CARTESIAN_POINT('',(-1.574803149606E-1,5.631932322919E-1, +4.208016423486E-2)); +#49=CARTESIAN_POINT('',(-1.574803149606E-1,5.652836837774E-1, +2.485493541128E-2)); +#50=CARTESIAN_POINT('',(-1.574803149606E-1,5.659778056136E-1, +1.332864289981E-2)); +#51=CARTESIAN_POINT('',(-1.574803149606E-1,5.661417322835E-1, +7.559193328910E-3)); +#53=DIRECTION('',(0.E0,0.E0,1.E0)); +#54=VECTOR('',#53,7.755905511811E-2); +#55=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +7.992125984252E-2)); +#56=LINE('',#55,#54); +#57=DIRECTION('',(0.E0,1.E0,0.E0)); +#58=VECTOR('',#57,1.968503937008E-2); +#59=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +7.992125984252E-2)); +#60=LINE('',#59,#58); +#61=DIRECTION('',(0.E0,0.E0,1.E0)); +#62=VECTOR('',#61,1.598425196850E-1); +#63=CARTESIAN_POINT('',(-1.574803149606E-1,1.748031496063E-1, +-7.992125984252E-2)); +#64=LINE('',#63,#62); +#65=DIRECTION('',(0.E0,1.E0,0.E0)); +#66=VECTOR('',#65,1.968503937008E-2); +#67=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +-7.992125984252E-2)); +#68=LINE('',#67,#66); +#69=DIRECTION('',(0.E0,0.E0,1.E0)); +#70=VECTOR('',#69,7.755905511811E-2); +#71=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +-1.574803149606E-1)); +#72=LINE('',#71,#70); +#73=DIRECTION('',(0.E0,1.E0,0.E0)); +#74=VECTOR('',#73,3.735185848755E-1); +#75=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +-1.574803149606E-1)); +#76=LINE('',#75,#74); +#77=CARTESIAN_POINT('',(-1.574803149606E-1,5.661417322835E-1, +-7.940822171114E-3)); +#78=CARTESIAN_POINT('',(-1.574803149606E-1,5.659766091454E-1, +-1.375238180749E-2)); +#79=CARTESIAN_POINT('',(-1.574803149606E-1,5.652748181927E-1, +-2.535429417402E-2)); +#80=CARTESIAN_POINT('',(-1.574803149606E-1,5.631648755227E-1, +-4.265926166193E-2)); +#81=CARTESIAN_POINT('',(-1.574803149606E-1,5.600639748650E-1, +-5.997607962393E-2)); +#82=CARTESIAN_POINT('',(-1.574803149606E-1,5.560263760102E-1, +-7.744941204718E-2)); +#83=CARTESIAN_POINT('',(-1.574803149606E-1,5.510403890597E-1, +-9.539771495048E-2)); +#84=CARTESIAN_POINT('',(-1.574803149606E-1,5.450208229866E-1, +-1.142192884270E-1)); +#85=CARTESIAN_POINT('',(-1.574803149606E-1,5.377878303962E-1, +-1.344592822215E-1)); +#86=CARTESIAN_POINT('',(-1.574803149606E-1,5.318631609759E-1, +-1.495771199208E-1)); +#87=CARTESIAN_POINT('',(-1.574803149606E-1,5.286366951117E-1, +-1.574803149606E-1)); +#89=CARTESIAN_POINT('',(-1.908144211026E-4,5.661417322835E-1, +-1.908144211026E-4)); +#90=DIRECTION('',(0.E0,1.E0,0.E0)); +#91=DIRECTION('',(-9.987883284260E-1,0.E0,4.921254921258E-2)); +#92=AXIS2_PLACEMENT_3D('',#89,#90,#91); +#94=CARTESIAN_POINT('',(1.574803149606E-1,5.284808014005E-1, +-1.574803149606E-1)); +#95=CARTESIAN_POINT('',(1.574803149606E-1,5.318331025379E-1, +-1.492589200515E-1)); +#96=CARTESIAN_POINT('',(1.574803149606E-1,5.379498107535E-1, +-1.336009061115E-1)); +#97=CARTESIAN_POINT('',(1.574803149606E-1,5.453099088520E-1, +-1.128504550892E-1)); +#98=CARTESIAN_POINT('',(1.574803149606E-1,5.513229910355E-1, +-9.381772910062E-2)); +#99=CARTESIAN_POINT('',(1.574803149606E-1,5.562061682421E-1, +-7.594593045492E-2)); +#100=CARTESIAN_POINT('',(1.574803149606E-1,5.601033075568E-1, +-5.874011349261E-2)); +#101=CARTESIAN_POINT('',(1.574803149606E-1,5.630588748824E-1, +-4.187891067367E-2)); +#102=CARTESIAN_POINT('',(1.574803149606E-1,5.650635669331E-1, +-2.514183155317E-2)); +#103=CARTESIAN_POINT('',(1.574803149606E-1,5.660779580193E-1, +-8.423591856414E-3)); +#104=CARTESIAN_POINT('',(1.574803149606E-1,5.660700611578E-1, +8.298726290992E-3)); +#105=CARTESIAN_POINT('',(1.574803149606E-1,5.650401611966E-1, +2.501694598886E-2)); +#106=CARTESIAN_POINT('',(1.574803149606E-1,5.630205827236E-1, +4.175493210225E-2)); +#107=CARTESIAN_POINT('',(1.574803149606E-1,5.600506391763E-1, +5.861929652103E-2)); +#108=CARTESIAN_POINT('',(1.574803149606E-1,5.561388163226E-1, +7.583282892721E-2)); +#109=CARTESIAN_POINT('',(1.574803149606E-1,5.512397952349E-1, +9.371779588866E-2)); +#110=CARTESIAN_POINT('',(1.574803149606E-1,5.452077906871E-1, +1.127728378967E-1)); +#111=CARTESIAN_POINT('',(1.574803149606E-1,5.378238466845E-1, +1.335569064655E-1)); +#112=CARTESIAN_POINT('',(1.574803149606E-1,5.316878858134E-1, +1.492431969491E-1)); +#113=CARTESIAN_POINT('',(1.574803149606E-1,5.283250964669E-1, +1.574803149606E-1)); +#115=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116=VECTOR('',#115,7.755905511811E-2); +#117=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +-7.992125984252E-2)); +#118=LINE('',#117,#116); +#119=DIRECTION('',(0.E0,1.E0,0.E0)); +#120=VECTOR('',#119,1.968503937008E-2); +#121=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +-7.992125984252E-2)); +#122=LINE('',#121,#120); +#123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#124=VECTOR('',#123,1.598425196850E-1); +#125=CARTESIAN_POINT('',(1.574803149606E-1,1.748031496063E-1, +7.992125984252E-2)); +#126=LINE('',#125,#124); +#127=DIRECTION('',(0.E0,1.E0,0.E0)); +#128=VECTOR('',#127,1.968503937008E-2); +#129=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +7.992125984252E-2)); +#130=LINE('',#129,#128); +#131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#132=VECTOR('',#131,7.755905511811E-2); +#133=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +1.574803149606E-1)); +#134=LINE('',#133,#132); +#135=DIRECTION('',(0.E0,1.E0,0.E0)); +#136=VECTOR('',#135,3.732069862306E-1); +#137=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +1.574803149606E-1)); +#138=LINE('',#137,#136); +#139=CARTESIAN_POINT('',(-1.574803149606E-1,5.286366951117E-1, +-1.574803149606E-1)); +#140=CARTESIAN_POINT('',(-1.494685267130E-1,5.319074939673E-1, +-1.574803149606E-1)); +#141=CARTESIAN_POINT('',(-1.341722279993E-1,5.378977305665E-1, +-1.574803149606E-1)); +#142=CARTESIAN_POINT('',(-1.138276427877E-1,5.451548381063E-1, +-1.574803149606E-1)); +#143=CARTESIAN_POINT('',(-9.493964833159E-2,5.511779999580E-1, +-1.574803149606E-1)); +#144=CARTESIAN_POINT('',(-7.700829225018E-2,5.561382014274E-1, +-1.574803149606E-1)); +#145=CARTESIAN_POINT('',(-5.959608658630E-2,5.601405575372E-1, +-1.574803149606E-1)); +#146=CARTESIAN_POINT('',(-4.238677347020E-2,5.632038230142E-1, +-1.574803149606E-1)); +#147=CARTESIAN_POINT('',(-2.519855084162E-2,5.652863888309E-1, +-1.574803149606E-1)); +#148=CARTESIAN_POINT('',(-1.369753287706E-2,5.659781675614E-1, +-1.574803149606E-1)); +#149=CARTESIAN_POINT('',(-7.940822171115E-3,5.661417322835E-1, +-1.574803149606E-1)); +#151=DIRECTION('',(-1.E0,0.E0,0.E0)); +#152=VECTOR('',#151,7.755905511811E-2); +#153=CARTESIAN_POINT('',(-7.992125984252E-2,1.551181102362E-1, +-1.574803149606E-1)); +#154=LINE('',#153,#152); +#155=DIRECTION('',(0.E0,1.E0,0.E0)); +#156=VECTOR('',#155,1.968503937008E-2); +#157=CARTESIAN_POINT('',(-7.992125984252E-2,1.551181102362E-1, +-1.574803149606E-1)); +#158=LINE('',#157,#156); +#159=DIRECTION('',(-1.E0,0.E0,0.E0)); +#160=VECTOR('',#159,1.598425196850E-1); +#161=CARTESIAN_POINT('',(7.992125984252E-2,1.748031496063E-1, +-1.574803149606E-1)); +#162=LINE('',#161,#160); +#163=DIRECTION('',(0.E0,1.E0,0.E0)); +#164=VECTOR('',#163,1.968503937008E-2); +#165=CARTESIAN_POINT('',(7.992125984252E-2,1.551181102362E-1, +-1.574803149606E-1)); +#166=LINE('',#165,#164); +#167=DIRECTION('',(-1.E0,0.E0,0.E0)); +#168=VECTOR('',#167,7.755905511811E-2); +#169=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +-1.574803149606E-1)); +#170=LINE('',#169,#168); +#171=DIRECTION('',(0.E0,1.E0,0.E0)); +#172=VECTOR('',#171,3.733626911643E-1); +#173=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +-1.574803149606E-1)); +#174=LINE('',#173,#172); +#175=CARTESIAN_POINT('',(7.559193328909E-3,5.661417322835E-1, +-1.574803149606E-1)); +#176=CARTESIAN_POINT('',(1.343555495811E-2,5.659747679343E-1, +-1.574803149606E-1)); +#177=CARTESIAN_POINT('',(2.515963138845E-2,5.652614644748E-1, +-1.574803149606E-1)); +#178=CARTESIAN_POINT('',(4.264467912038E-2,5.631120457722E-1, +-1.574803149606E-1)); +#179=CARTESIAN_POINT('',(6.008152108145E-2,5.599649745147E-1, +-1.574803149606E-1)); +#180=CARTESIAN_POINT('',(7.764128659684E-2,5.558803105740E-1, +-1.574803149606E-1)); +#181=CARTESIAN_POINT('',(9.562798847422E-2,5.508567501013E-1, +-1.574803149606E-1)); +#182=CARTESIAN_POINT('',(1.144593495556E-1,5.448086190276E-1, +-1.574803149606E-1)); +#183=CARTESIAN_POINT('',(1.346098472658E-1,5.375860827781E-1, +-1.574803149606E-1)); +#184=CARTESIAN_POINT('',(1.496344550141E-1,5.316877390122E-1, +-1.574803149606E-1)); +#185=CARTESIAN_POINT('',(1.574803149606E-1,5.284808014005E-1, +-1.574803149606E-1)); +#187=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.574803149606E-1)); +#188=DIRECTION('',(0.E0,0.E0,-1.E0)); +#189=DIRECTION('',(-5.518000180247E-10,-1.E0,0.E0)); +#190=AXIS2_PLACEMENT_3D('',#187,#188,#189); +#192=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.574803149606E-1)); +#193=DIRECTION('',(0.E0,0.E0,-1.E0)); +#194=DIRECTION('',(5.518002743853E-10,1.E0,0.E0)); +#195=AXIS2_PLACEMENT_3D('',#192,#193,#194); +#197=CARTESIAN_POINT('',(-1.908144211026E-4,5.661417322835E-1, +-1.908144211026E-4)); +#198=DIRECTION('',(0.E0,1.E0,0.E0)); +#199=DIRECTION('',(-4.921254921258E-2,0.E0,-9.987883284260E-1)); +#200=AXIS2_PLACEMENT_3D('',#197,#198,#199); +#202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#203=VECTOR('',#202,1.550001550002E-2); +#204=CARTESIAN_POINT('',(7.559193328909E-3,5.661417322835E-1, +-1.574803149606E-1)); +#205=LINE('',#204,#203); +#206=DIRECTION('',(0.E0,0.E0,1.E0)); +#207=VECTOR('',#206,1.550001550002E-2); +#208=CARTESIAN_POINT('',(-1.574803149606E-1,5.661417322835E-1, +-7.940822171114E-3)); +#209=LINE('',#208,#207); +#210=DIRECTION('',(0.E0,0.E0,1.E0)); +#211=VECTOR('',#210,4.015748031496E-2); +#212=CARTESIAN_POINT('',(-1.200787401575E-1,1.551181102362E-1, +-1.200787401575E-1)); +#213=LINE('',#212,#211); +#214=DIRECTION('',(-1.E0,0.E0,0.E0)); +#215=VECTOR('',#214,4.015748031496E-2); +#216=CARTESIAN_POINT('',(-7.992125984252E-2,1.551181102362E-1, +-1.200787401575E-1)); +#217=LINE('',#216,#215); +#218=DIRECTION('',(1.E0,0.E0,0.E0)); +#219=VECTOR('',#218,3.740157480315E-2); +#220=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +-7.992125984252E-2)); +#221=LINE('',#220,#219); +#222=DIRECTION('',(0.E0,1.E0,0.E0)); +#223=VECTOR('',#222,1.551181102362E-1); +#224=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,-7.992125984252E-2)); +#225=LINE('',#224,#223); +#226=DIRECTION('',(-1.E0,0.E0,0.E0)); +#227=VECTOR('',#226,7.755905511811E-2); +#228=CARTESIAN_POINT('',(-7.992125984252E-2,1.748031496063E-1, +7.992125984252E-2)); +#229=LINE('',#228,#227); +#230=DIRECTION('',(0.E0,0.E0,-1.E0)); +#231=VECTOR('',#230,7.755905511811E-2); +#232=CARTESIAN_POINT('',(-7.992125984252E-2,1.748031496063E-1, +1.574803149606E-1)); +#233=LINE('',#232,#231); +#234=DIRECTION('',(0.E0,0.E0,1.E0)); +#235=VECTOR('',#234,7.755905511811E-2); +#236=CARTESIAN_POINT('',(7.992125984252E-2,1.748031496063E-1, +7.992125984252E-2)); +#237=LINE('',#236,#235); +#238=DIRECTION('',(-1.E0,0.E0,0.E0)); +#239=VECTOR('',#238,7.755905511811E-2); +#240=CARTESIAN_POINT('',(1.574803149606E-1,1.748031496063E-1, +7.992125984252E-2)); +#241=LINE('',#240,#239); +#242=DIRECTION('',(1.E0,0.E0,0.E0)); +#243=VECTOR('',#242,7.755905511811E-2); +#244=CARTESIAN_POINT('',(7.992125984252E-2,1.748031496063E-1, +-7.992125984252E-2)); +#245=LINE('',#244,#243); +#246=DIRECTION('',(0.E0,0.E0,1.E0)); +#247=VECTOR('',#246,7.755905511811E-2); +#248=CARTESIAN_POINT('',(7.992125984252E-2,1.748031496063E-1, +-1.574803149606E-1)); +#249=LINE('',#248,#247); +#250=DIRECTION('',(0.E0,0.E0,-1.E0)); +#251=VECTOR('',#250,7.755905511811E-2); +#252=CARTESIAN_POINT('',(-7.992125984252E-2,1.748031496063E-1, +-7.992125984252E-2)); +#253=LINE('',#252,#251); +#254=DIRECTION('',(1.E0,0.E0,0.E0)); +#255=VECTOR('',#254,7.755905511811E-2); +#256=CARTESIAN_POINT('',(-1.574803149606E-1,1.748031496063E-1, +-7.992125984252E-2)); +#257=LINE('',#256,#255); +#258=CARTESIAN_POINT('',(0.E0,1.748031496063E-1,0.E0)); +#259=DIRECTION('',(0.E0,1.E0,0.E0)); +#260=DIRECTION('',(-1.E0,0.E0,0.E0)); +#261=AXIS2_PLACEMENT_3D('',#258,#259,#260); +#263=CARTESIAN_POINT('',(0.E0,1.748031496063E-1,0.E0)); +#264=DIRECTION('',(0.E0,1.E0,0.E0)); +#265=DIRECTION('',(1.E0,0.E0,0.E0)); +#266=AXIS2_PLACEMENT_3D('',#263,#264,#265); +#268=DIRECTION('',(0.E0,0.E0,-1.E0)); +#269=VECTOR('',#268,3.740157480315E-2); +#270=CARTESIAN_POINT('',(-7.992125984252E-2,1.551181102362E-1, +1.574803149606E-1)); +#271=LINE('',#270,#269); +#272=DIRECTION('',(0.E0,1.E0,0.E0)); +#273=VECTOR('',#272,1.551181102362E-1); +#274=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,1.200787401575E-1)); +#275=LINE('',#274,#273); +#276=CARTESIAN_POINT('',(1.574803149606E-1,5.283250964669E-1, +1.574803149606E-1)); +#277=CARTESIAN_POINT('',(1.492428786703E-1,5.316880157502E-1, +1.574803149606E-1)); +#278=CARTESIAN_POINT('',(1.335561334289E-1,5.378241380070E-1, +1.574803149606E-1)); +#279=CARTESIAN_POINT('',(1.127723037996E-1,5.452079671773E-1, +1.574803149606E-1)); +#280=CARTESIAN_POINT('',(9.371738869027E-2,5.512399150441E-1, +1.574803149606E-1)); +#281=CARTESIAN_POINT('',(7.583247749157E-2,5.561389045575E-1, +1.574803149606E-1)); +#282=CARTESIAN_POINT('',(5.861892654926E-2,5.600507144506E-1, +1.574803149606E-1)); +#283=CARTESIAN_POINT('',(4.175454639122E-2,5.630206411067E-1, +1.574803149606E-1)); +#284=CARTESIAN_POINT('',(2.501649419586E-2,5.650402035355E-1, +1.574803149606E-1)); +#285=CARTESIAN_POINT('',(8.298274804805E-3,5.660700744733E-1, +1.574803149606E-1)); +#286=CARTESIAN_POINT('',(-8.423997160805E-3,5.660779450747E-1, +1.574803149606E-1)); +#287=CARTESIAN_POINT('',(-2.514225112130E-2,5.650635303700E-1, +1.574803149606E-1)); +#288=CARTESIAN_POINT('',(-4.187940247762E-2,5.630588016437E-1, +1.574803149606E-1)); +#289=CARTESIAN_POINT('',(-5.874050591815E-2,5.601032267279E-1, +1.574803149606E-1)); +#290=CARTESIAN_POINT('',(-7.594627260539E-2,5.562060812856E-1, +1.574803149606E-1)); +#291=CARTESIAN_POINT('',(-9.381792461602E-2,5.513229299069E-1, +1.574803149606E-1)); +#292=CARTESIAN_POINT('',(-1.128504061901E-1,5.453099228554E-1, +1.574803149606E-1)); +#293=CARTESIAN_POINT('',(-1.336007774082E-1,5.379498591679E-1, +1.574803149606E-1)); +#294=CARTESIAN_POINT('',(-1.492588585933E-1,5.318331275977E-1, +1.574803149606E-1)); +#295=CARTESIAN_POINT('',(-1.574803149606E-1,5.284808014005E-1, +1.574803149606E-1)); +#297=DIRECTION('',(1.E0,0.E0,0.E0)); +#298=VECTOR('',#297,7.755905511811E-2); +#299=CARTESIAN_POINT('',(7.992125984252E-2,1.551181102362E-1, +1.574803149606E-1)); +#300=LINE('',#299,#298); +#301=DIRECTION('',(0.E0,1.E0,0.E0)); +#302=VECTOR('',#301,1.968503937008E-2); +#303=CARTESIAN_POINT('',(7.992125984252E-2,1.551181102362E-1, +1.574803149606E-1)); +#304=LINE('',#303,#302); +#305=DIRECTION('',(1.E0,0.E0,0.E0)); +#306=VECTOR('',#305,1.598425196850E-1); +#307=CARTESIAN_POINT('',(-7.992125984252E-2,1.748031496063E-1, +1.574803149606E-1)); +#308=LINE('',#307,#306); +#309=DIRECTION('',(0.E0,1.E0,0.E0)); +#310=VECTOR('',#309,1.968503937008E-2); +#311=CARTESIAN_POINT('',(-7.992125984252E-2,1.551181102362E-1, +1.574803149606E-1)); +#312=LINE('',#311,#310); +#313=DIRECTION('',(1.E0,0.E0,0.E0)); +#314=VECTOR('',#313,7.755905511811E-2); +#315=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +1.574803149606E-1)); +#316=LINE('',#315,#314); +#317=DIRECTION('',(0.E0,1.E0,0.E0)); +#318=VECTOR('',#317,3.733626911643E-1); +#319=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +1.574803149606E-1)); +#320=LINE('',#319,#318); +#321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#322=VECTOR('',#321,4.015748031496E-2); +#323=CARTESIAN_POINT('',(1.200787401575E-1,1.551181102362E-1, +1.200787401575E-1)); +#324=LINE('',#323,#322); +#325=DIRECTION('',(1.E0,0.E0,0.E0)); +#326=VECTOR('',#325,4.015748031496E-2); +#327=CARTESIAN_POINT('',(7.992125984252E-2,1.551181102362E-1, +1.200787401575E-1)); +#328=LINE('',#327,#326); +#329=DIRECTION('',(-1.E0,0.E0,0.E0)); +#330=VECTOR('',#329,3.740157480315E-2); +#331=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +7.992125984252E-2)); +#332=LINE('',#331,#330); +#333=DIRECTION('',(0.E0,1.E0,0.E0)); +#334=VECTOR('',#333,1.551181102362E-1); +#335=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,7.992125984252E-2)); +#336=LINE('',#335,#334); +#337=DIRECTION('',(0.E0,0.E0,1.E0)); +#338=VECTOR('',#337,3.740157480315E-2); +#339=CARTESIAN_POINT('',(7.992125984252E-2,1.551181102362E-1, +1.200787401575E-1)); +#340=LINE('',#339,#338); +#341=DIRECTION('',(0.E0,1.E0,0.E0)); +#342=VECTOR('',#341,1.551181102362E-1); +#343=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,1.200787401575E-1)); +#344=LINE('',#343,#342); +#345=DIRECTION('',(0.E0,1.E0,0.E0)); +#346=VECTOR('',#345,1.748031496063E-1); +#347=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,7.992125984252E-2)); +#348=LINE('',#347,#346); +#349=DIRECTION('',(1.E0,0.E0,0.E0)); +#350=VECTOR('',#349,4.015748031496E-2); +#351=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,1.200787401575E-1)); +#352=LINE('',#351,#350); +#353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#354=VECTOR('',#353,4.015748031496E-2); +#355=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,1.200787401575E-1)); +#356=LINE('',#355,#354); +#357=DIRECTION('',(-1.E0,0.E0,0.E0)); +#358=VECTOR('',#357,4.015748031496E-2); +#359=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,7.992125984252E-2)); +#360=LINE('',#359,#358); +#361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#362=VECTOR('',#361,4.015748031496E-2); +#363=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,1.200787401575E-1)); +#364=LINE('',#363,#362); +#365=DIRECTION('',(0.E0,1.E0,0.E0)); +#366=VECTOR('',#365,1.551181102362E-1); +#367=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,1.200787401575E-1)); +#368=LINE('',#367,#366); +#369=DIRECTION('',(1.E0,0.E0,0.E0)); +#370=VECTOR('',#369,3.740157480315E-2); +#371=CARTESIAN_POINT('',(1.200787401575E-1,1.551181102362E-1, +-7.992125984252E-2)); +#372=LINE('',#371,#370); +#373=DIRECTION('',(0.E0,1.E0,0.E0)); +#374=VECTOR('',#373,1.551181102362E-1); +#375=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,-7.992125984252E-2)); +#376=LINE('',#375,#374); +#377=DIRECTION('',(0.E0,1.E0,0.E0)); +#378=VECTOR('',#377,1.748031496063E-1); +#379=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,-7.992125984252E-2)); +#380=LINE('',#379,#378); +#381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#382=VECTOR('',#381,4.015748031496E-2); +#383=CARTESIAN_POINT('',(1.200787401575E-1,1.551181102362E-1, +-1.200787401575E-1)); +#384=LINE('',#383,#382); +#385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#386=VECTOR('',#385,4.015748031496E-2); +#387=CARTESIAN_POINT('',(1.200787401575E-1,1.551181102362E-1, +-7.992125984252E-2)); +#388=LINE('',#387,#386); +#389=DIRECTION('',(0.E0,0.E0,1.E0)); +#390=VECTOR('',#389,3.740157480315E-2); +#391=CARTESIAN_POINT('',(7.992125984252E-2,1.551181102362E-1, +-1.574803149606E-1)); +#392=LINE('',#391,#390); +#393=DIRECTION('',(0.E0,1.E0,0.E0)); +#394=VECTOR('',#393,1.551181102362E-1); +#395=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,-1.200787401575E-1)); +#396=LINE('',#395,#394); +#397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#398=VECTOR('',#397,4.015748031496E-2); +#399=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,-7.992125984252E-2)); +#400=LINE('',#399,#398); +#401=DIRECTION('',(-1.E0,0.E0,0.E0)); +#402=VECTOR('',#401,4.015748031496E-2); +#403=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,-1.200787401575E-1)); +#404=LINE('',#403,#402); +#405=DIRECTION('',(0.E0,0.E0,1.E0)); +#406=VECTOR('',#405,4.015748031496E-2); +#407=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,-1.200787401575E-1)); +#408=LINE('',#407,#406); +#409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#410=VECTOR('',#409,4.015748031496E-2); +#411=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,-7.992125984252E-2)); +#412=LINE('',#411,#410); +#413=DIRECTION('',(0.E0,1.E0,0.E0)); +#414=VECTOR('',#413,1.551181102362E-1); +#415=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,-1.200787401575E-1)); +#416=LINE('',#415,#414); +#417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#418=VECTOR('',#417,3.740157480315E-2); +#419=CARTESIAN_POINT('',(-7.992125984252E-2,1.551181102362E-1, +-1.200787401575E-1)); +#420=LINE('',#419,#418); +#421=DIRECTION('',(0.E0,1.E0,0.E0)); +#422=VECTOR('',#421,1.551181102362E-1); +#423=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,-1.200787401575E-1)); +#424=LINE('',#423,#422); +#425=DIRECTION('',(0.E0,1.E0,0.E0)); +#426=VECTOR('',#425,1.748031496063E-1); +#427=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,-7.992125984252E-2)); +#428=LINE('',#427,#426); +#429=DIRECTION('',(-1.E0,0.E0,0.E0)); +#430=VECTOR('',#429,4.015748031496E-2); +#431=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,-1.200787401575E-1)); +#432=LINE('',#431,#430); +#433=DIRECTION('',(0.E0,0.E0,1.E0)); +#434=VECTOR('',#433,4.015748031496E-2); +#435=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,-1.200787401575E-1)); +#436=LINE('',#435,#434); +#437=DIRECTION('',(1.E0,0.E0,0.E0)); +#438=VECTOR('',#437,4.015748031496E-2); +#439=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,-7.992125984252E-2)); +#440=LINE('',#439,#438); +#441=DIRECTION('',(0.E0,0.E0,1.E0)); +#442=VECTOR('',#441,4.015748031496E-2); +#443=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,-1.200787401575E-1)); +#444=LINE('',#443,#442); +#445=DIRECTION('',(0.E0,1.E0,0.E0)); +#446=VECTOR('',#445,1.551181102362E-1); +#447=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,-1.200787401575E-1)); +#448=LINE('',#447,#446); +#449=CARTESIAN_POINT('',(0.E0,1.826770840192E-1,0.E0)); +#450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#451=DIRECTION('',(1.E0,0.E0,0.E0)); +#452=AXIS2_PLACEMENT_3D('',#449,#450,#451); +#454=DIRECTION('',(7.071103201472E-1,7.071032422081E-1,2.593213904938E-10)); +#455=VECTOR('',#454,1.113563253807E-2); +#456=CARTESIAN_POINT('',(-8.858256966720E-2,1.748030421474E-1, +-3.248839571952E-11)); +#457=LINE('',#456,#455); +#458=CARTESIAN_POINT('',(0.E0,1.826770840192E-1,0.E0)); +#459=DIRECTION('',(0.E0,1.E0,0.E0)); +#460=DIRECTION('',(0.E0,0.E0,-1.E0)); +#461=AXIS2_PLACEMENT_3D('',#458,#459,#460); +#463=CARTESIAN_POINT('',(0.E0,1.826770840192E-1,0.E0)); +#464=DIRECTION('',(0.E0,1.E0,0.E0)); +#465=DIRECTION('',(0.E0,0.E0,1.E0)); +#466=AXIS2_PLACEMENT_3D('',#463,#464,#465); +#468=CARTESIAN_POINT('',(0.E0,1.826770840192E-1,0.E0)); +#469=DIRECTION('',(0.E0,-1.E0,0.E0)); +#470=DIRECTION('',(-1.E0,0.E0,0.E0)); +#471=AXIS2_PLACEMENT_3D('',#468,#469,#470); +#473=DIRECTION('',(-7.071103201472E-1,7.071032422081E-1,-2.593250078500E-10)); +#474=VECTOR('',#473,1.113563253807E-2); +#475=CARTESIAN_POINT('',(8.858256966720E-2,1.748030421474E-1, +3.248841648606E-11)); +#476=LINE('',#475,#474); +#477=CARTESIAN_POINT('',(0.E0,7.874378623404E-3,0.E0)); +#478=DIRECTION('',(0.E0,-1.E0,0.E0)); +#479=DIRECTION('',(0.E0,0.E0,1.E0)); +#480=AXIS2_PLACEMENT_3D('',#477,#478,#479); +#482=DIRECTION('',(6.226461310332E-11,-9.999999999999E-1,5.190139180847E-7)); +#483=VECTOR('',#482,1.748029775600E-1); +#484=CARTESIAN_POINT('',(0.E0,1.826770840192E-1,-2.5E-2)); +#485=LINE('',#484,#483); +#486=CARTESIAN_POINT('',(0.E0,7.874378623404E-3,0.E0)); +#487=DIRECTION('',(0.E0,-1.E0,0.E0)); +#488=DIRECTION('',(0.E0,0.E0,-1.E0)); +#489=AXIS2_PLACEMENT_3D('',#486,#487,#488); +#491=DIRECTION('',(-6.226458745388E-11,-9.999999999999E-1,-5.190139180847E-7)); +#492=VECTOR('',#491,1.748029775600E-1); +#493=CARTESIAN_POINT('',(0.E0,1.826770840192E-1,2.5E-2)); +#494=LINE('',#493,#492); +#495=DIRECTION('',(8.465803488021E-11,7.071074868081E-1,-7.071060755643E-1)); +#496=VECTOR('',#495,1.113553986035E-2); +#497=CARTESIAN_POINT('',(9.941323783795E-12,8.285431629211E-8, +-1.712590138488E-2)); +#498=LINE('',#497,#496); +#499=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#502=AXIS2_PLACEMENT_3D('',#499,#500,#501); +#504=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#505=DIRECTION('',(0.E0,-1.E0,0.E0)); +#506=DIRECTION('',(0.E0,0.E0,1.E0)); +#507=AXIS2_PLACEMENT_3D('',#504,#505,#506); +#509=DIRECTION('',(-8.465695055261E-11,7.071074868081E-1,7.071060755643E-1)); +#510=VECTOR('',#509,1.113553986035E-2); +#511=CARTESIAN_POINT('',(-9.941330110720E-12,8.285431629442E-8, +1.712590138488E-2)); +#512=LINE('',#511,#510); +#513=DIRECTION('',(-1.951267557550E-9,7.071067817154E-1,-7.071067806577E-1)); +#514=VECTOR('',#513,1.391942483257E-2); +#515=CARTESIAN_POINT('',(5.976969764848E-11,5.133858267569E-1, +-1.574803149606E-1)); +#516=LINE('',#515,#514); +#517=DIRECTION('',(1.951267552074E-9,-7.071067817154E-1,-7.071067806577E-1)); +#518=VECTOR('',#517,1.391942483257E-2); +#519=CARTESIAN_POINT('',(-5.976967615580E-11,2.968503937155E-1, +-1.574803149606E-1)); +#520=LINE('',#519,#518); +#521=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.673228346457E-1)); +#522=DIRECTION('',(0.E0,0.E0,1.E0)); +#523=DIRECTION('',(0.E0,-1.E0,0.E0)); +#524=AXIS2_PLACEMENT_3D('',#521,#522,#523); +#526=DIRECTION('',(2.366493754086E-9,-2.232930030854E-10,1.E0)); +#527=VECTOR('',#526,1.377952756213E-2); +#528=CARTESIAN_POINT('',(0.E0,5.232283464567E-1,-1.811023622047E-1)); +#529=LINE('',#528,#527); +#530=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.811023622047E-1)); +#531=DIRECTION('',(0.E0,0.E0,1.E0)); +#532=DIRECTION('',(0.E0,-1.E0,0.E0)); +#533=AXIS2_PLACEMENT_3D('',#530,#531,#532); +#535=DIRECTION('',(-2.366492199863E-9,2.232970316089E-10,1.E0)); +#536=VECTOR('',#535,1.377952756213E-2); +#537=CARTESIAN_POINT('',(0.E0,2.870078740157E-1,-1.811023622047E-1)); +#538=LINE('',#537,#536); +#539=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.673228346457E-1)); +#540=DIRECTION('',(0.E0,0.E0,1.E0)); +#541=DIRECTION('',(0.E0,1.E0,0.E0)); +#542=AXIS2_PLACEMENT_3D('',#539,#540,#541); +#544=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.811023622047E-1)); +#545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#546=DIRECTION('',(0.E0,-1.E0,0.E0)); +#547=AXIS2_PLACEMENT_3D('',#544,#545,#546); +#549=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.811023622047E-1)); +#550=DIRECTION('',(0.E0,0.E0,1.E0)); +#551=DIRECTION('',(0.E0,-1.E0,0.E0)); +#552=AXIS2_PLACEMENT_3D('',#549,#550,#551); +#554=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.811023622047E-1)); +#555=DIRECTION('',(0.E0,0.E0,1.E0)); +#556=DIRECTION('',(0.E0,1.E0,0.E0)); +#557=AXIS2_PLACEMENT_3D('',#554,#555,#556); +#559=DIRECTION('',(2.523236450718E-9,-7.071067811865E-1,-7.071067811866E-1)); +#560=VECTOR('',#559,5.567769931672E-3); +#561=CARTESIAN_POINT('',(-6.087812765815E-11,2.515748031529E-1, +-1.811023622014E-1)); +#562=LINE('',#561,#560); +#563=DIRECTION('',(-2.523239033860E-9,7.071067811865E-1,-7.071067811865E-1)); +#564=VECTOR('',#563,5.567769931672E-3); +#565=CARTESIAN_POINT('',(6.087814096333E-11,5.586614173196E-1, +-1.811023622014E-1)); +#566=LINE('',#565,#564); +#567=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.850393700787E-1)); +#568=DIRECTION('',(0.E0,0.E0,1.E0)); +#569=DIRECTION('',(0.E0,1.E0,0.E0)); +#570=AXIS2_PLACEMENT_3D('',#567,#568,#569); +#572=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.968503937008E-1)); +#573=DIRECTION('',(0.E0,0.E0,1.E0)); +#574=DIRECTION('',(-6.8875E-1,-7.249989224130E-1,0.E0)); +#575=AXIS2_PLACEMENT_3D('',#572,#573,#574); +#577=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.968503937008E-1)); +#578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#579=DIRECTION('',(-6.8875E-1,-7.249989224130E-1,0.E0)); +#580=AXIS2_PLACEMENT_3D('',#577,#578,#579); +#582=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.968503937008E-1)); +#583=DIRECTION('',(0.E0,0.E0,1.E0)); +#584=DIRECTION('',(0.E0,1.E0,0.E0)); +#585=AXIS2_PLACEMENT_3D('',#582,#583,#584); +#587=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.850393700787E-1)); +#588=DIRECTION('',(0.E0,0.E0,1.E0)); +#589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#590=AXIS2_PLACEMENT_3D('',#587,#588,#589); +#592=DIRECTION('',(1.065456871782E-8,-1.382817563760E-10,1.E0)); +#593=VECTOR('',#592,1.181102362545E-2); +#594=CARTESIAN_POINT('',(-7.901203630500E-11,5.625984251960E-1, +-1.968503937017E-1)); +#595=LINE('',#594,#593); +#596=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.968503937008E-1)); +#597=DIRECTION('',(0.E0,0.E0,1.E0)); +#598=DIRECTION('',(0.E0,-1.E0,0.E0)); +#599=AXIS2_PLACEMENT_3D('',#596,#597,#598); +#601=DIRECTION('',(1.744175832448E-9,1.424388569651E-10,1.E0)); +#602=VECTOR('',#601,1.181102362540E-2); +#603=CARTESIAN_POINT('',(-6.742982958124E-11,2.476377952764E-1, +-1.968503937016E-1)); +#604=LINE('',#603,#602); +#605=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-2.007874015748E-1)); +#606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#607=DIRECTION('',(-7.064102564103E-1,7.078026205365E-1,0.E0)); +#608=AXIS2_PLACEMENT_3D('',#605,#606,#607); +#610=DIRECTION('',(0.E0,1.E0,0.E0)); +#611=VECTOR('',#610,5.315398060108E-2); +#612=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.007874015748E-1)); +#613=LINE('',#612,#611); +#614=DIRECTION('',(0.E0,1.E0,0.E0)); +#615=VECTOR('',#614,5.315398060108E-2); +#616=CARTESIAN_POINT('',(-1.084645669291E-1,2.964397551145E-1, +-2.007874015748E-1)); +#617=LINE('',#616,#615); +#618=CARTESIAN_POINT('',(-1.084645669291E-1,5.192911688839E-1, +-1.968503937008E-1)); +#619=CARTESIAN_POINT('',(-1.084645669291E-1,5.186681456279E-1, +-1.973020848900E-1)); +#620=CARTESIAN_POINT('',(-1.084645669291E-1,5.174304076626E-1, +-1.981959355752E-1)); +#621=CARTESIAN_POINT('',(-1.084645669291E-1,5.155987851649E-1, +-1.995082282468E-1)); +#622=CARTESIAN_POINT('',(-1.084645669291E-1,5.143944414459E-1, +-2.003641525328E-1)); +#623=CARTESIAN_POINT('',(-1.084645669291E-1,5.137964653580E-1, +-2.007874015748E-1)); +#625=CARTESIAN_POINT('',(-1.084645669291E-1,2.964397551145E-1, +-2.007874015748E-1)); +#626=CARTESIAN_POINT('',(-1.084645669291E-1,2.958417788526E-1, +-2.003641524097E-1)); +#627=CARTESIAN_POINT('',(-1.084645669291E-1,2.946374349027E-1, +-1.995082279583E-1)); +#628=CARTESIAN_POINT('',(-1.084645669291E-1,2.928058124070E-1, +-1.981959352851E-1)); +#629=CARTESIAN_POINT('',(-1.084645669291E-1,2.915680746723E-1, +-1.973020847651E-1)); +#630=CARTESIAN_POINT('',(-1.084645669291E-1,2.909450515885E-1, +-1.968503937008E-1)); +#632=DIRECTION('',(0.E0,-1.E0,0.E0)); +#633=VECTOR('',#632,2.283461172954E-1); +#634=CARTESIAN_POINT('',(-1.084645669291E-1,5.192911688839E-1, +-1.968503937008E-1)); +#635=LINE('',#634,#633); +#636=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-2.007874015748E-1)); +#637=DIRECTION('',(0.E0,0.E0,1.E0)); +#638=DIRECTION('',(-8.901453957997E-1,-4.556766115752E-1,0.E0)); +#639=AXIS2_PLACEMENT_3D('',#636,#637,#638); +#641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#642=VECTOR('',#641,4.503842495581E-2); +#643=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.007874015748E-1)); +#644=LINE('',#643,#642); +#645=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.458258265306E-1)); +#646=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-2.460076281632E-1)); +#647=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-2.463711827745E-1)); +#648=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-2.469162149354E-1)); +#649=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-2.474614087247E-1)); +#650=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-2.480069137429E-1)); +#651=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-2.485519665847E-1)); +#652=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-2.490970544309E-1)); +#653=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-2.496425870332E-1)); +#654=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-2.501877219098E-1)); +#655=CARTESIAN_POINT('',(-6.532527900751E-3,5.270673609527E-1, +-2.507327538417E-1)); +#656=CARTESIAN_POINT('',(7.633879399072E-3,5.270035710204E-1, +-2.512782024683E-1)); +#657=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-2.518234729419E-1)); +#658=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-2.523684962272E-1)); +#659=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-2.529137681833E-1)); +#660=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-2.534592155400E-1)); +#661=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-2.540042472158E-1)); +#662=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-2.545493830334E-1)); +#663=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-2.550949153725E-1)); +#664=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-2.556400024621E-1)); +#665=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-2.561850559399E-1)); +#666=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-2.567305610214E-1)); +#667=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-2.572757558900E-1)); +#668=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-2.578207798222E-1)); +#669=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-2.583661441056E-1)); +#670=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-2.589115052695E-1)); +#671=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-2.594565291620E-1)); +#672=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-2.600017261268E-1)); +#673=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-2.605472298842E-1)); +#674=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-2.610922824922E-1)); +#675=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-2.616373708540E-1)); +#676=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-2.621829035990E-1)); +#677=CARTESIAN_POINT('',(7.318875699801E-2,3.073550000913E-1, +-2.627280377438E-1)); +#678=CARTESIAN_POINT('',(6.138430282298E-2,2.995422547069E-1, +-2.632730698726E-1)); +#679=CARTESIAN_POINT('',(4.87450512E-2,2.931437309616E-1,-2.638185193451E-1)); +#680=CARTESIAN_POINT('',(3.545413912408E-2,2.882538504490E-1, +-2.643637887608E-1)); +#681=CARTESIAN_POINT('',(2.169291723636E-2,2.849362921735E-1, +-2.649088120402E-1)); +#682=CARTESIAN_POINT('',(7.633774926384E-3,2.832326428327E-1, +-2.654540850251E-1)); +#683=CARTESIAN_POINT('',(-6.532570891495E-3,2.831688641739E-1, +-2.659995312827E-1)); +#684=CARTESIAN_POINT('',(-2.060032197825E-2,2.847443299062E-1, +-2.665445628535E-1)); +#685=CARTESIAN_POINT('',(-3.439405006064E-2,2.879371304957E-1, +-2.670896988952E-1)); +#686=CARTESIAN_POINT('',(-4.773486527324E-2,2.927094556574E-1, +-2.676352307034E-1)); +#687=CARTESIAN_POINT('',(-6.042289301380E-2,2.989894747195E-1, +-2.681803176819E-1)); +#688=CARTESIAN_POINT('',(-7.229863535841E-2,3.066944442854E-1, +-2.687253709635E-1)); +#689=CARTESIAN_POINT('',(-8.321138750200E-2,3.157301784079E-1, +-2.692708759591E-1)); +#690=CARTESIAN_POINT('',(-9.299855715220E-2,3.259631716210E-1, +-2.698160687758E-1)); +#691=CARTESIAN_POINT('',(-1.015335535201E-1,3.372564463665E-1, +-2.703611011063E-1)); +#692=CARTESIAN_POINT('',(-1.063165710615E-1,3.453977147147E-1, +-2.707246554411E-1)); +#693=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.709064569339E-1)); +#695=DIRECTION('',(0.E0,0.E0,-1.E0)); +#696=VECTOR('',#695,5.905511811E-3); +#697=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.650009451229E-1)); +#698=LINE('',#697,#696); +#699=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.650009451229E-1)); +#700=CARTESIAN_POINT('',(-1.062772324500E-1,3.453208683657E-1, +-2.648158140993E-1)); +#701=CARTESIAN_POINT('',(-1.013975327872E-1,3.370352038827E-1, +-2.644456033828E-1)); +#702=CARTESIAN_POINT('',(-9.266904506772E-2,3.255621405224E-1, +-2.638905882580E-1)); +#703=CARTESIAN_POINT('',(-8.264724098978E-2,3.151945654978E-1, +-2.633354332082E-1)); +#704=CARTESIAN_POINT('',(-7.146566400938E-2,3.060754469891E-1, +-2.627799275925E-1)); +#705=CARTESIAN_POINT('',(-5.929696919276E-2,2.983447432942E-1, +-2.622248818351E-1)); +#706=CARTESIAN_POINT('',(-4.630248816335E-2,2.921009876903E-1, +-2.616698359368E-1)); +#707=CARTESIAN_POINT('',(-3.265113678196E-2,2.874290556080E-1, +-2.611143298420E-1)); +#708=CARTESIAN_POINT('',(-1.855301822784E-2,2.844015425669E-1, +-2.605591753110E-1)); +#709=CARTESIAN_POINT('',(-4.200037741456E-3,2.830562346043E-1, +-2.600041598876E-1)); +#710=CARTESIAN_POINT('',(5.412830299004E-3,2.832933940747E-1, +-2.596339496285E-1)); +#711=CARTESIAN_POINT('',(1.019617815403E-2,2.836950630166E-1, +-2.594488188976E-1)); +#713=CARTESIAN_POINT('',(2.843404076578E-2,5.236044966727E-1, +-2.395007591503E-1)); +#714=CARTESIAN_POINT('',(2.992787681740E-2,5.232460099598E-1, +-2.398110324811E-1)); +#715=CARTESIAN_POINT('',(3.310958324866E-2,5.224225657261E-1, +-2.403204831845E-1)); +#716=CARTESIAN_POINT('',(3.837460621476E-2,5.208163909374E-1, +-2.410056320098E-1)); +#717=CARTESIAN_POINT('',(4.413459258341E-2,5.187557236736E-1, +-2.416682460858E-1)); +#718=CARTESIAN_POINT('',(5.042676971786E-2,5.161222532640E-1, +-2.423211288363E-1)); +#719=CARTESIAN_POINT('',(5.727455699654E-2,5.127692801979E-1, +-2.429957549189E-1)); +#720=CARTESIAN_POINT('',(6.469474091113E-2,5.085080746232E-1, +-2.436960514859E-1)); +#721=CARTESIAN_POINT('',(7.268713591260E-2,5.030931338966E-1, +-2.444361049323E-1)); +#722=CARTESIAN_POINT('',(8.119290006603E-2,4.962234010319E-1, +-2.452249580856E-1)); +#723=CARTESIAN_POINT('',(9.016073503392E-2,4.874584792783E-1, +-2.460803210045E-1)); +#724=CARTESIAN_POINT('',(9.918650221914E-2,4.763885586860E-1, +-2.470029224899E-1)); +#725=CARTESIAN_POINT('',(1.068431140831E-1,4.642774403763E-1, +-2.478840330318E-1)); +#726=CARTESIAN_POINT('',(1.130242409820E-1,4.513910788392E-1, +-2.487275351184E-1)); +#727=CARTESIAN_POINT('',(1.176602018425E-1,4.378555793219E-1, +-2.495413184960E-1)); +#728=CARTESIAN_POINT('',(1.206810770335E-1,4.238728141977E-1, +-2.503284786342E-1)); +#729=CARTESIAN_POINT('',(1.220463633679E-1,4.096306867932E-1, +-2.510915454861E-1)); +#730=CARTESIAN_POINT('',(1.217365715756E-1,3.953273786975E-1, +-2.518327033942E-1)); +#731=CARTESIAN_POINT('',(1.197567356118E-1,3.811596083527E-1, +-2.525542321026E-1)); +#732=CARTESIAN_POINT('',(1.161316566481E-1,3.673134998366E-1, +-2.532576400961E-1)); +#733=CARTESIAN_POINT('',(1.109107830512E-1,3.539860516350E-1, +-2.539437111198E-1)); +#734=CARTESIAN_POINT('',(1.041710366939E-1,3.413682786928E-1, +-2.546131248386E-1)); +#735=CARTESIAN_POINT('',(9.600013864644E-2,3.296215943052E-1, +-2.552671631008E-1)); +#736=CARTESIAN_POINT('',(8.650531418357E-2,3.189058687131E-1, +-2.559066707569E-1)); +#737=CARTESIAN_POINT('',(7.583122694972E-2,3.093828567476E-1, +-2.565314267381E-1)); +#738=CARTESIAN_POINT('',(6.411731996703E-2,3.011725145728E-1, +-2.571422227732E-1)); +#739=CARTESIAN_POINT('',(5.151322864550E-2,2.943836427333E-1, +-2.577397444246E-1)); +#740=CARTESIAN_POINT('',(3.820964920074E-2,2.891196020126E-1, +-2.583233642385E-1)); +#741=CARTESIAN_POINT('',(2.438568718480E-2,2.854477235841E-1, +-2.588935439445E-1)); +#742=CARTESIAN_POINT('',(1.494200332756E-2,2.840935810910E-1, +-2.592651404136E-1)); +#743=CARTESIAN_POINT('',(1.019617815403E-2,2.836950630166E-1, +-2.594488188976E-1)); +#745=CARTESIAN_POINT('',(2.081878915609E-2,5.251768311319E-1, +-2.379300121560E-1)); +#746=CARTESIAN_POINT('',(2.167022590537E-2,5.250291876952E-1, +-2.381045415759E-1)); +#747=CARTESIAN_POINT('',(2.336995110385E-2,5.247157863652E-1, +-2.384535989930E-1)); +#748=CARTESIAN_POINT('',(2.590889917122E-2,5.241915652705E-1, +-2.389771723113E-1)); +#749=CARTESIAN_POINT('',(2.759375475010E-2,5.238061462254E-1, +-2.393262297294E-1)); +#750=CARTESIAN_POINT('',(2.843404076578E-2,5.236044966727E-1, +-2.395007591503E-1)); +#752=DIRECTION('',(1.484819500658E-14,1.439824970335E-14,-1.E0)); +#753=VECTOR('',#752,7.710819353042E-3); +#754=CARTESIAN_POINT('',(2.081878915609E-2,5.251768311319E-1, +-2.302191928029E-1)); +#755=LINE('',#754,#753); +#756=CARTESIAN_POINT('',(2.843404076437E-2,5.236044966730E-1, +-2.292483390170E-1)); +#757=CARTESIAN_POINT('',(2.759375451341E-2,5.238061462822E-1, +-2.293562129120E-1)); +#758=CARTESIAN_POINT('',(2.590889862995E-2,5.241915653838E-1, +-2.295719598006E-1)); +#759=CARTESIAN_POINT('',(2.336995164794E-2,5.247157862545E-1, +-2.298955720201E-1)); +#760=CARTESIAN_POINT('',(2.167022614534E-2,5.250291876536E-1, +-2.301113189082E-1)); +#761=CARTESIAN_POINT('',(2.081878915609E-2,5.251768311319E-1, +-2.302191928029E-1)); +#763=CARTESIAN_POINT('',(1.019617815418E-2,2.836950630167E-1, +-2.358267716535E-1)); +#764=CARTESIAN_POINT('',(1.495236431585E-2,2.840944511275E-1, +-2.356426921664E-1)); +#765=CARTESIAN_POINT('',(2.441638300351E-2,2.854527545898E-1, +-2.352788867303E-1)); +#766=CARTESIAN_POINT('',(3.826851216365E-2,2.891377648238E-1, +-2.347465851583E-1)); +#767=CARTESIAN_POINT('',(5.159665548556E-2,2.944212091499E-1, +-2.342275070137E-1)); +#768=CARTESIAN_POINT('',(6.422086992221E-2,3.012351125433E-1, +-2.337217124902E-1)); +#769=CARTESIAN_POINT('',(7.594942562244E-2,3.094751689911E-1, +-2.332301463596E-1)); +#770=CARTESIAN_POINT('',(8.663185825709E-2,3.190314771015E-1, +-2.327526237118E-1)); +#771=CARTESIAN_POINT('',(9.612820530764E-2,3.297829759738E-1, +-2.322889814935E-1)); +#772=CARTESIAN_POINT('',(1.042936211197E-1,3.415669813689E-1, +-2.318405658966E-1)); +#773=CARTESIAN_POINT('',(1.110203588706E-1,3.542219638908E-1, +-2.314079296216E-1)); +#774=CARTESIAN_POINT('',(1.162207508982E-1,3.675850261579E-1, +-2.309913954477E-1)); +#775=CARTESIAN_POINT('',(1.198184063136E-1,3.814648524906E-1, +-2.305923456176E-1)); +#776=CARTESIAN_POINT('',(1.217639830052E-1,3.956622823740E-1, +-2.302120951763E-1)); +#777=CARTESIAN_POINT('',(1.220334693347E-1,4.099901571695E-1, +-2.298515075409E-1)); +#778=CARTESIAN_POINT('',(1.206225384975E-1,4.242509847720E-1, +-2.295129263666E-1)); +#779=CARTESIAN_POINT('',(1.175521816866E-1,4.382448923158E-1, +-2.291987556989E-1)); +#780=CARTESIAN_POINT('',(1.128623124964E-1,4.517859808216E-1, +-2.289112198501E-1)); +#781=CARTESIAN_POINT('',(1.066301853484E-1,4.646629719220E-1, +-2.286548827170E-1)); +#782=CARTESIAN_POINT('',(9.890700393110E-2,4.767767060538E-1, +-2.284334586212E-1)); +#783=CARTESIAN_POINT('',(8.986347474056E-2,4.877775121547E-1, +-2.282583151450E-1)); +#784=CARTESIAN_POINT('',(8.095310868848E-2,4.964321385397E-1, +-2.281502033796E-1)); +#785=CARTESIAN_POINT('',(7.248926361669E-2,5.032374735456E-1, +-2.280980415755E-1)); +#786=CARTESIAN_POINT('',(6.454279905876E-2,5.086011656199E-1, +-2.280950336093E-1)); +#787=CARTESIAN_POINT('',(5.716381607140E-2,5.128269589678E-1, +-2.281363511623E-1)); +#788=CARTESIAN_POINT('',(5.035282756822E-2,5.161549491852E-1, +-2.282233508440E-1)); +#789=CARTESIAN_POINT('',(4.409227842809E-2,5.187715646242E-1, +-2.283502040250E-1)); +#790=CARTESIAN_POINT('',(3.835691017858E-2,5.208218890553E-1, +-2.285407303603E-1)); +#791=CARTESIAN_POINT('',(3.310902391514E-2,5.224225255211E-1, +-2.288007757131E-1)); +#792=CARTESIAN_POINT('',(2.993106814829E-2,5.232452441129E-1, +-2.290561543004E-1)); +#793=CARTESIAN_POINT('',(2.843404076437E-2,5.236044966730E-1, +-2.292483390170E-1)); +#795=CARTESIAN_POINT('',(1.019617815418E-2,2.836950630167E-1, +-2.358267716535E-1)); +#796=CARTESIAN_POINT('',(5.412829933478E-3,2.832933940440E-1, +-2.360119023985E-1)); +#797=CARTESIAN_POINT('',(-4.200038652099E-3,2.830562346400E-1, +-2.363821126786E-1)); +#798=CARTESIAN_POINT('',(-1.855301871290E-2,2.844015426332E-1, +-2.369371280856E-1)); +#799=CARTESIAN_POINT('',(-3.265113757800E-2,2.874290558678E-1, +-2.374922826302E-1)); +#800=CARTESIAN_POINT('',(-4.630248733965E-2,2.921009873744E-1, +-2.380477886587E-1)); +#801=CARTESIAN_POINT('',(-5.929696906563E-2,2.983447432220E-1, +-2.386028345856E-1)); +#802=CARTESIAN_POINT('',(-7.146566333705E-2,3.060754464804E-1, +-2.391578803158E-1)); +#803=CARTESIAN_POINT('',(-8.264724157413E-2,3.151945659941E-1, +-2.397133859937E-1)); +#804=CARTESIAN_POINT('',(-9.266904516527E-2,3.255621406660E-1, +-2.402685410204E-1)); +#805=CARTESIAN_POINT('',(-1.013975330286E-1,3.370352042285E-1, +-2.408235561550E-1)); +#806=CARTESIAN_POINT('',(-1.062772325218E-1,3.453208685059E-1, +-2.411937668613E-1)); +#807=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.413788978788E-1)); +#809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#810=VECTOR('',#809,4.059149630401E-2); +#811=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.007874015748E-1)); +#812=LINE('',#811,#810); +#813=CARTESIAN_POINT('',(-1.878336244683E-2,2.984922046645E-1, +-3.039370078740E-1)); +#814=CARTESIAN_POINT('',(-1.849310883074E-2,2.984632779238E-1, +-3.039370078740E-1)); +#815=CARTESIAN_POINT('',(-1.791252306469E-2,2.984077923969E-1, +-3.039370078740E-1)); +#816=CARTESIAN_POINT('',(-1.704137722197E-2,2.983316592579E-1, +-3.039370078740E-1)); +#817=CARTESIAN_POINT('',(-1.646049991460E-2,2.982856359715E-1, +-3.039370078740E-1)); +#818=CARTESIAN_POINT('',(-1.617002759824E-2,2.982638060829E-1, +-3.039370078740E-1)); +#820=CARTESIAN_POINT('',(-6.923515800924E-2,4.880988610406E-1, +-3.442548265440E-1)); +#821=CARTESIAN_POINT('',(-7.257864886020E-2,4.853092126568E-1, +-3.440654742994E-1)); +#822=CARTESIAN_POINT('',(-7.892693300607E-2,4.793260077693E-1, +-3.436868245347E-1)); +#823=CARTESIAN_POINT('',(-8.729308979102E-2,4.692754503296E-1, +-3.431192106937E-1)); +#824=CARTESIAN_POINT('',(-9.439038794576E-2,4.582857612604E-1, +-3.425513677606E-1)); +#825=CARTESIAN_POINT('',(-1.001134721553E-1,4.465137767029E-1, +-3.419832125679E-1)); +#826=CARTESIAN_POINT('',(-1.043715558919E-1,4.341491930527E-1, +-3.414155857320E-1)); +#827=CARTESIAN_POINT('',(-1.071100001755E-1,4.213595344622E-1, +-3.408478593050E-1)); +#828=CARTESIAN_POINT('',(-1.082869629136E-1,4.083217636219E-1, +-3.402796455474E-1)); +#829=CARTESIAN_POINT('',(-1.078834032654E-1,3.952492909774E-1, +-3.397119555810E-1)); +#830=CARTESIAN_POINT('',(-1.059086118255E-1,3.823215365804E-1, +-3.391443082031E-1)); +#831=CARTESIAN_POINT('',(-1.023860615106E-1,3.697141967053E-1, +-3.385761198251E-1)); +#832=CARTESIAN_POINT('',(-9.737208691746E-2,3.576321951280E-1, +-3.380083268133E-1)); +#833=CARTESIAN_POINT('',(-9.094142750201E-2,3.462458108228E-1, +-3.374407181803E-1)); +#834=CARTESIAN_POINT('',(-8.317874206878E-2,3.357088571591E-1, +-3.368726402947E-1)); +#835=CARTESIAN_POINT('',(-7.420396405909E-2,3.261875369998E-1, +-3.363047024956E-1)); +#836=CARTESIAN_POINT('',(-6.415323378189E-2,3.178219483896E-1, +-3.357370984438E-1)); +#837=CARTESIAN_POINT('',(-5.316194127740E-2,3.107244926695E-1, +-3.351691934281E-1)); +#838=CARTESIAN_POINT('',(-4.139061154308E-2,3.050026053875E-1, +-3.346010865057E-1)); +#839=CARTESIAN_POINT('',(-2.902614764126E-2,3.007451528595E-1, +-3.340334733787E-1)); +#840=CARTESIAN_POINT('',(-1.623537884936E-2,2.980071711671E-1, +-3.334657041759E-1)); +#841=CARTESIAN_POINT('',(-3.197849077566E-3,2.968310107938E-1, +-3.328975039490E-1)); +#842=CARTESIAN_POINT('',(9.873910771535E-3,2.972351758903E-1, +-3.323298439806E-1)); +#843=CARTESIAN_POINT('',(2.280218509327E-2,2.992106672960E-1, +-3.317621698174E-1)); +#844=CARTESIAN_POINT('',(3.540976687554E-2,3.027340931037E-1, +-3.311939611264E-1)); +#845=CARTESIAN_POINT('',(4.749051831792E-2,3.077483056078E-1, +-3.306262141344E-1)); +#846=CARTESIAN_POINT('',(5.887684904901E-2,3.141795625970E-1, +-3.300585948802E-1)); +#847=CARTESIAN_POINT('',(6.941434895673E-2,3.219436280528E-1, +-3.294904624113E-1)); +#848=CARTESIAN_POINT('',(7.893407651501E-2,3.309179569493E-1, +-3.289225881872E-1)); +#849=CARTESIAN_POINT('',(8.729927808298E-2,3.409690150254E-1, +-3.283549839711E-1)); +#850=CARTESIAN_POINT('',(9.439692675678E-2,3.519620375070E-1, +-3.277870113969E-1)); +#851=CARTESIAN_POINT('',(1.001174826975E-1,3.637324366657E-1, +-3.272189660385E-1)); +#852=CARTESIAN_POINT('',(1.043743747682E-1,3.760969115520E-1, +-3.266513602662E-1)); +#853=CARTESIAN_POINT('',(1.071118962462E-1,3.888889418310E-1, +-3.260835418473E-1)); +#854=CARTESIAN_POINT('',(1.082872249594E-1,4.019259192875E-1, +-3.255153687034E-1)); +#855=CARTESIAN_POINT('',(1.078824770687E-1,4.149971152231E-1, +-3.249477323091E-1)); +#856=CARTESIAN_POINT('',(1.059062360487E-1,4.279260516537E-1, +-3.243800249409E-1)); +#857=CARTESIAN_POINT('',(1.023820194551E-1,4.405335746267E-1, +-3.238118094771E-1)); +#858=CARTESIAN_POINT('',(9.736753978642E-2,4.526132236054E-1, +-3.232441021088E-1)); +#859=CARTESIAN_POINT('',(9.093558814392E-2,4.639996182109E-1, +-3.226764657146E-1)); +#860=CARTESIAN_POINT('',(8.317033738557E-2,4.745374101733E-1, +-3.221082925706E-1)); +#861=CARTESIAN_POINT('',(7.419635182951E-2,4.840556897311E-1, +-3.215404741518E-1)); +#862=CARTESIAN_POINT('',(6.414493749684E-2,4.924205232160E-1, +-3.209728683795E-1)); +#863=CARTESIAN_POINT('',(5.315007500765E-2,4.995184141330E-1, +-3.204048230211E-1)); +#864=CARTESIAN_POINT('',(4.138083710938E-2,5.052375223914E-1, +-3.198368504469E-1)); +#865=CARTESIAN_POINT('',(2.901623222975E-2,5.094939189769E-1, +-3.192692462308E-1)); +#866=CARTESIAN_POINT('',(1.622278580113E-2,5.122309831714E-1, +-3.187013720067E-1)); +#867=CARTESIAN_POINT('',(3.186672701019E-3,5.134054498368E-1, +-3.181332395378E-1)); +#868=CARTESIAN_POINT('',(-9.884108408429E-3,5.130001411054E-1, +-3.175656202835E-1)); +#869=CARTESIAN_POINT('',(-2.281384896216E-2,5.110230999672E-1, +-3.169978732916E-1)); +#870=CARTESIAN_POINT('',(-3.542101216023E-2,5.074981767175E-1, +-3.164296646005E-1)); +#871=CARTESIAN_POINT('',(-4.749970629299E-2,5.024833994264E-1, +-3.158619904374E-1)); +#872=CARTESIAN_POINT('',(-5.888628086590E-2,4.960506570506E-1, +-3.152943304690E-1)); +#873=CARTESIAN_POINT('',(-6.942411383010E-2,4.882844087805E-1, +-3.147261302421E-1)); +#874=CARTESIAN_POINT('',(-7.894107611171E-2,4.793106838590E-1, +-3.141583610393E-1)); +#875=CARTESIAN_POINT('',(-8.730560958079E-2,4.692587960039E-1, +-3.135907479123E-1)); +#876=CARTESIAN_POINT('',(-9.440360582240E-2,4.582623126053E-1, +-3.130226409899E-1)); +#877=CARTESIAN_POINT('',(-1.001213349211E-1,4.464941367666E-1, +-3.124547359741E-1)); +#878=CARTESIAN_POINT('',(-1.043772505228E-1,4.341293709260E-1, +-3.118871319224E-1)); +#879=CARTESIAN_POINT('',(-1.071138728924E-1,4.213343298590E-1, +-3.113191941232E-1)); +#880=CARTESIAN_POINT('',(-1.082874428241E-1,4.082993994311E-1, +-3.107511162377E-1)); +#881=CARTESIAN_POINT('',(-1.078815953571E-1,3.952288823833E-1, +-3.101835076046E-1)); +#882=CARTESIAN_POINT('',(-1.059037025395E-1,3.822981962710E-1, +-3.096157145929E-1)); +#883=CARTESIAN_POINT('',(-1.023781561409E-1,3.696916938996E-1, +-3.090475262148E-1)); +#884=CARTESIAN_POINT('',(-9.736304995009E-2,3.576138072933E-1, +-3.084798788369E-1)); +#885=CARTESIAN_POINT('',(-9.092942026834E-2,3.462269371988E-1, +-3.079121888705E-1)); +#886=CARTESIAN_POINT('',(-8.316236093155E-2,3.356893141732E-1, +-3.073439751130E-1)); +#887=CARTESIAN_POINT('',(-7.418881616371E-2,3.261735410435E-1, +-3.067762486859E-1)); +#888=CARTESIAN_POINT('',(-6.413632649906E-2,3.178092324950E-1, +-3.062086218500E-1)); +#889=CARTESIAN_POINT('',(-5.313848011198E-2,3.107112970108E-1, +-3.056404666574E-1)); +#890=CARTESIAN_POINT('',(-4.137127045611E-2,3.049948572229E-1, +-3.050726237243E-1)); +#891=CARTESIAN_POINT('',(-2.900610842269E-2,3.007393840312E-1, +-3.045050098833E-1)); +#892=CARTESIAN_POINT('',(-2.047544041819E-2,2.989153347665E-1, +-3.041263601186E-1)); +#893=CARTESIAN_POINT('',(-1.617002759824E-2,2.982638060829E-1, +-3.039370078740E-1)); +#895=DIRECTION('',(-5.548156298886E-1,6.649658764413E-1,5.E-1)); +#896=VECTOR('',#895,1.591122789105E-2); +#897=CARTESIAN_POINT('',(-6.923515800924E-2,4.880988610406E-1, +-3.442548265440E-1)); +#898=LINE('',#897,#896); +#899=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.299615750442E-1)); +#900=CARTESIAN_POINT('',(-1.084645669291E-1,3.534467641536E-1, +-3.311075259549E-1)); +#901=CARTESIAN_POINT('',(-1.084645669291E-1,3.610651824785E-1, +-3.332165941235E-1)); +#902=CARTESIAN_POINT('',(-1.084645669291E-1,3.721623679468E-1, +-3.357906967754E-1)); +#903=CARTESIAN_POINT('',(-1.084645669291E-1,3.831603168679E-1, +-3.378021672739E-1)); +#904=CARTESIAN_POINT('',(-1.084645669291E-1,3.941269334789E-1, +-3.392217777961E-1)); +#905=CARTESIAN_POINT('',(-1.084645669291E-1,4.051005102112E-1, +-3.400197486102E-1)); +#906=CARTESIAN_POINT('',(-1.084645669291E-1,4.160744307635E-1, +-3.401747627556E-1)); +#907=CARTESIAN_POINT('',(-1.084645669291E-1,4.270460402306E-1, +-3.396878948131E-1)); +#908=CARTESIAN_POINT('',(-1.084645669291E-1,4.380521923738E-1, +-3.385744174624E-1)); +#909=CARTESIAN_POINT('',(-1.084645669291E-1,4.491626878779E-1, +-3.368545248299E-1)); +#910=CARTESIAN_POINT('',(-1.084645669291E-1,4.567873123966E-1, +-3.352903913008E-1)); +#911=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.344085036960E-1)); +#913=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.004340159890E-1)); +#914=CARTESIAN_POINT('',(-1.084645669291E-1,3.534422316669E-1, +-3.015786188673E-1)); +#915=CARTESIAN_POINT('',(-1.084645669291E-1,3.610535424492E-1, +-3.036859522200E-1)); +#916=CARTESIAN_POINT('',(-1.084645669291E-1,3.721466894935E-1, +-3.062598490164E-1)); +#917=CARTESIAN_POINT('',(-1.084645669291E-1,3.831457431661E-1, +-3.082723830180E-1)); +#918=CARTESIAN_POINT('',(-1.084645669291E-1,3.941176061861E-1, +-3.096933936486E-1)); +#919=CARTESIAN_POINT('',(-1.084645669291E-1,4.050981924431E-1, +-3.104922348264E-1)); +#920=CARTESIAN_POINT('',(-1.084645669291E-1,4.160794614359E-1, +-3.106472585099E-1)); +#921=CARTESIAN_POINT('',(-1.084645669291E-1,4.270562861753E-1, +-3.101596464062E-1)); +#922=CARTESIAN_POINT('',(-1.084645669291E-1,4.380634412734E-1, +-3.090453902289E-1)); +#923=CARTESIAN_POINT('',(-1.084645669291E-1,4.491708467789E-1, +-3.073254008865E-1)); +#924=CARTESIAN_POINT('',(-1.084645669291E-1,4.567904899436E-1, +-3.057621053678E-1)); +#925=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.048809446408E-1)); +#927=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.709064569339E-1)); +#928=CARTESIAN_POINT('',(-1.084645669291E-1,3.534476167233E-1, +-2.720526614122E-1)); +#929=CARTESIAN_POINT('',(-1.084645669291E-1,3.610677156470E-1, +-2.741621529368E-1)); +#930=CARTESIAN_POINT('',(-1.084645669291E-1,3.721667248413E-1, +-2.767365221594E-1)); +#931=CARTESIAN_POINT('',(-1.084645669291E-1,3.831676636702E-1, +-2.787482665933E-1)); +#932=CARTESIAN_POINT('',(-1.084645669291E-1,3.941381982435E-1, +-2.801678761678E-1)); +#933=CARTESIAN_POINT('',(-1.084645669291E-1,4.051154811822E-1, +-2.809653561794E-1)); +#934=CARTESIAN_POINT('',(-1.084645669291E-1,4.160925808503E-1, +-2.811193993037E-1)); +#935=CARTESIAN_POINT('',(-1.084645669291E-1,4.270640629075E-1, +-2.806314294907E-1)); +#936=CARTESIAN_POINT('',(-1.084645669291E-1,4.380663987385E-1, +-2.795173240136E-1)); +#937=CARTESIAN_POINT('',(-1.084645669291E-1,4.491665579876E-1, +-2.777985643386E-1)); +#938=CARTESIAN_POINT('',(-1.084645669291E-1,4.567884047873E-1, +-2.762350233013E-1)); +#939=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.753533855857E-1)); +#941=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.413788978788E-1)); +#942=CARTESIAN_POINT('',(-1.084645669291E-1,3.534423647542E-1, +-2.425235403394E-1)); +#943=CARTESIAN_POINT('',(-1.084645669291E-1,3.610555483310E-1, +-2.446314822627E-1)); +#944=CARTESIAN_POINT('',(-1.084645669291E-1,3.721613625130E-1, +-2.472079792561E-1)); +#945=CARTESIAN_POINT('',(-1.084645669291E-1,3.831688640762E-1, +-2.492210257314E-1)); +#946=CARTESIAN_POINT('',(-1.084645669291E-1,3.941478672928E-1, +-2.506414505719E-1)); +#947=CARTESIAN_POINT('',(-1.084645669291E-1,4.051323807958E-1, +-2.514386798102E-1)); +#948=CARTESIAN_POINT('',(-1.084645669291E-1,4.161171788250E-1, +-2.515915864223E-1)); +#949=CARTESIAN_POINT('',(-1.084645669291E-1,4.270925245720E-1, +-2.511018050100E-1)); +#950=CARTESIAN_POINT('',(-1.084645669291E-1,4.380937353498E-1, +-2.499861831780E-1)); +#951=CARTESIAN_POINT('',(-1.084645669291E-1,4.491870156714E-1, +-2.482670944198E-1)); +#952=CARTESIAN_POINT('',(-1.084645669291E-1,4.567962807609E-1, +-2.467056625827E-1)); +#953=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.458258265306E-1)); +#955=CARTESIAN_POINT('',(9.059609022245E-3,2.972301038214E-1, +-2.436687339713E-1)); +#956=CARTESIAN_POINT('',(4.808125176457E-3,2.968730967482E-1, +-2.438539229103E-1)); +#957=CARTESIAN_POINT('',(-3.735943470981E-3,2.966624337095E-1, +-2.442242516196E-1)); +#958=CARTESIAN_POINT('',(-1.649404865857E-2,2.978590577541E-1, +-2.447794895543E-1)); +#959=CARTESIAN_POINT('',(-2.902378801E-2,3.005511513582E-1,-2.453347952295E-1)); +#960=CARTESIAN_POINT('',(-4.115639100883E-2,3.047050543549E-1, +-2.458904611493E-1)); +#961=CARTESIAN_POINT('',(-5.270691081067E-2,3.102574845205E-1, +-2.464457706261E-1)); +#962=CARTESIAN_POINT('',(-6.352109110981E-2,3.171313846731E-1, +-2.470010016455E-1)); +#963=CARTESIAN_POINT('',(-7.345437231043E-2,3.252363640701E-1, +-2.475565082587E-1)); +#964=CARTESIAN_POINT('',(-8.236259030031E-2,3.344569314284E-1, +-2.481120391974E-1)); +#965=CARTESIAN_POINT('',(-9.011733401962E-2,3.446580648704E-1, +-2.486672739600E-1)); +#966=CARTESIAN_POINT('',(-9.661656520790E-2,3.557028103471E-1, +-2.492225536481E-1)); +#967=CARTESIAN_POINT('',(-1.017716211211E-1,3.674462042333E-1, +-2.497782651279E-1)); +#968=CARTESIAN_POINT('',(-1.055026899409E-1,3.797067091489E-1, +-2.503335691271E-1)); +#969=CARTESIAN_POINT('',(-1.077627135466E-1,3.923200800386E-1, +-2.508888133788E-1)); +#970=CARTESIAN_POINT('',(-1.082677165354E-1,4.008517268679E-1, +-2.512591383400E-1)); +#971=CARTESIAN_POINT('',(-1.082677165354E-1,4.051181102362E-1, +-2.514443245225E-1)); +#973=CARTESIAN_POINT('',(-1.082677165354E-1,4.051181102362E-1, +-2.514443245225E-1)); +#974=CARTESIAN_POINT('',(-1.082677165354E-1,4.094803342225E-1, +-2.516336707525E-1)); +#975=CARTESIAN_POINT('',(-1.077396563617E-1,4.182035589542E-1, +-2.520123120975E-1)); +#976=CARTESIAN_POINT('',(-1.053780827063E-1,4.310893041735E-1, +-2.525799087484E-1)); +#977=CARTESIAN_POINT('',(-1.014794554717E-1,4.436026741113E-1, +-2.531477760952E-1)); +#978=CARTESIAN_POINT('',(-9.609731766771E-2,4.555601694292E-1, +-2.537159165224E-1)); +#979=CARTESIAN_POINT('',(-8.931942098011E-2,4.667708235939E-1, +-2.542835118113E-1)); +#980=CARTESIAN_POINT('',(-8.123898584583E-2,4.770858317467E-1, +-2.548512294286E-1)); +#981=CARTESIAN_POINT('',(-7.196599566741E-2,4.863585805687E-1, +-2.554194079261E-1)); +#982=CARTESIAN_POINT('',(-6.165154618568E-2,4.944383321169E-1, +-2.559870883511E-1)); +#983=CARTESIAN_POINT('',(-5.043990431711E-2,5.012166409952E-1, +-2.565547292379E-1)); +#984=CARTESIAN_POINT('',(-3.848140968663E-2,5.065987046741E-1, +-2.571229079337E-1)); +#985=CARTESIAN_POINT('',(-2.597055101278E-2,5.104962696722E-1, +-2.576906578076E-1)); +#986=CARTESIAN_POINT('',(-1.308468727755E-2,5.128579195926E-1, +-2.582582605166E-1)); +#987=CARTESIAN_POINT('',(1.133414133830E-6,5.136498280043E-1, +-2.588262633481E-1)); +#988=CARTESIAN_POINT('',(1.308583094524E-2,5.128577289952E-1, +-2.593942182377E-1)); +#989=CARTESIAN_POINT('',(2.597150133487E-2,5.104960858327E-1, +-2.599618126097E-1)); +#990=CARTESIAN_POINT('',(3.848373167553E-2,5.065978395989E-1, +-2.605296279451E-1)); +#991=CARTESIAN_POINT('',(5.044109912540E-2,5.012159493910E-1, +-2.610977590146E-1)); +#992=CARTESIAN_POINT('',(6.165218628237E-2,4.944379004911E-1, +-2.616653736850E-1)); +#993=CARTESIAN_POINT('',(7.196692235338E-2,4.863577670590E-1, +-2.622330740429E-1)); +#994=CARTESIAN_POINT('',(8.123952641490E-2,4.770851877541E-1, +-2.628012355077E-1)); +#995=CARTESIAN_POINT('',(8.931969481831E-2,4.667704171549E-1, +-2.633689380309E-1)); +#996=CARTESIAN_POINT('',(9.609764057338E-2,4.555596013346E-1, +-2.639365402813E-1)); +#997=CARTESIAN_POINT('',(1.014798092913E-1,4.436016963011E-1, +-2.645046975370E-1)); +#998=CARTESIAN_POINT('',(1.053781006542E-1,4.310891528690E-1, +-2.650725263201E-1)); +#999=CARTESIAN_POINT('',(1.077397023287E-1,4.182032286211E-1, +-2.656401309680E-1)); +#1000=CARTESIAN_POINT('',(1.082677165354E-1,4.094802241379E-1, +-2.660187625983E-1)); +#1001=CARTESIAN_POINT('',(1.082677165354E-1,4.051181102362E-1, +-2.662081040500E-1)); +#1003=CARTESIAN_POINT('',(1.082677165354E-1,4.051181102362E-1, +-2.662081040500E-1)); +#1004=CARTESIAN_POINT('',(1.082677165354E-1,4.007558888490E-1, +-2.663974501673E-1)); +#1005=CARTESIAN_POINT('',(1.077396575192E-1,3.920326695860E-1, +-2.667760912703E-1)); +#1006=CARTESIAN_POINT('',(1.053780830087E-1,3.791469200145E-1, +-2.673436881192E-1)); +#1007=CARTESIAN_POINT('',(1.014794652834E-1,3.666335728456E-1, +-2.679115543954E-1)); +#1008=CARTESIAN_POINT('',(9.609732642302E-2,3.546760687597E-1, +-2.684796952032E-1)); +#1009=CARTESIAN_POINT('',(8.931943864292E-2,3.434654185950E-1, +-2.690472901163E-1)); +#1010=CARTESIAN_POINT('',(8.123897997626E-2,3.331503906794E-1, +-2.696150090745E-1)); +#1011=CARTESIAN_POINT('',(7.196616059777E-2,3.238777899798E-1, +-2.701831777879E-1)); +#1012=CARTESIAN_POINT('',(6.165165033984E-2,3.157979575941E-1, +-2.707508624678E-1)); +#1013=CARTESIAN_POINT('',(5.044013837992E-2,3.090197154081E-1, +-2.713184970579E-1)); +#1014=CARTESIAN_POINT('',(3.848184859355E-2,3.036376792596E-1, +-2.718866671848E-1)); +#1015=CARTESIAN_POINT('',(2.597074240410E-2,2.997399881323E-1, +-2.724544288980E-1)); +#1016=CARTESIAN_POINT('',(1.308491172080E-2,2.973783402575E-1, +-2.730220301900E-1)); +#1017=CARTESIAN_POINT('',(-6.028310352785E-7,2.965863943175E-1, +-2.735900199065E-1)); +#1018=CARTESIAN_POINT('',(-1.308554905324E-2,2.973784446845E-1, +-2.741579853964E-1)); +#1019=CARTESIAN_POINT('',(-2.597126340246E-2,2.997400896946E-1, +-2.747255816757E-1)); +#1020=CARTESIAN_POINT('',(-3.848312255397E-2,3.036381532710E-1, +-2.752933793080E-1)); +#1021=CARTESIAN_POINT('',(-5.044080488832E-2,3.090201006162E-1, +-2.758615238501E-1)); +#1022=CARTESIAN_POINT('',(-6.165200418947E-2,3.157981964201E-1, +-2.764291436631E-1)); +#1023=CARTESIAN_POINT('',(-7.196667633764E-2,3.238782424635E-1, +-2.769968395506E-1)); +#1024=CARTESIAN_POINT('',(-8.123928390291E-2,3.331507521601E-1, +-2.775649989774E-1)); +#1025=CARTESIAN_POINT('',(-8.931959418050E-2,3.434656502046E-1, +-2.781327096213E-1)); +#1026=CARTESIAN_POINT('',(-9.609750611558E-2,3.546763828160E-1, +-2.787003080521E-1)); +#1027=CARTESIAN_POINT('',(-1.014796753711E-1,3.666341510031E-1, +-2.792684598901E-1)); +#1028=CARTESIAN_POINT('',(-1.053780948302E-1,3.791470141803E-1, +-2.798363035581E-1)); +#1029=CARTESIAN_POINT('',(-1.077396857820E-1,3.920328736900E-1, +-2.804039053105E-1)); +#1030=CARTESIAN_POINT('',(-1.082677165354E-1,4.007559577594E-1, +-2.807825404514E-1)); +#1031=CARTESIAN_POINT('',(-1.082677165354E-1,4.051181102362E-1, +-2.809718835776E-1)); +#1033=CARTESIAN_POINT('',(-1.082677165354E-1,4.051181102362E-1, +-2.889100061860E-1)); +#1034=CARTESIAN_POINT('',(-1.082677165354E-1,4.093935260623E-1, +-2.890955844303E-1)); +#1035=CARTESIAN_POINT('',(-1.077605438016E-1,4.179431373701E-1, +-2.894666893925E-1)); +#1036=CARTESIAN_POINT('',(-1.054912874930E-1,4.305812720399E-1, +-2.900230563123E-1)); +#1037=CARTESIAN_POINT('',(-1.017447366406E-1,4.428656289796E-1, +-2.905795405405E-1)); +#1038=CARTESIAN_POINT('',(-9.656891103147E-2,4.546287283748E-1, +-2.911363915715E-1)); +#1039=CARTESIAN_POINT('',(-9.004425202846E-2,4.656887510884E-1, +-2.916927972926E-1)); +#1040=CARTESIAN_POINT('',(-8.225982526509E-2,4.759006469453E-1, +-2.922491776469E-1)); +#1041=CARTESIAN_POINT('',(-7.331604271212E-2,4.851282877489E-1, +-2.928059964957E-1)); +#1042=CARTESIAN_POINT('',(-6.334908068568E-2,4.932298971055E-1, +-2.933625385118E-1)); +#1043=CARTESIAN_POINT('',(-5.249870671538E-2,5.000954321175E-1, +-2.939188959006E-1)); +#1044=CARTESIAN_POINT('',(-4.090804042857E-2,5.056338043715E-1, +-2.944755064826E-1)); +#1045=CARTESIAN_POINT('',(-2.874054664322E-2,5.097642540172E-1, +-2.950322712392E-1)); +#1046=CARTESIAN_POINT('',(-1.617929514100E-2,5.124260991498E-1, +-2.955886354864E-1)); +#1047=CARTESIAN_POINT('',(-3.389830719700E-3,5.135864083936E-1, +-2.961450772030E-1)); +#1048=CARTESIAN_POINT('',(9.456806199224E-3,5.132265344053E-1, +-2.967019381455E-1)); +#1049=CARTESIAN_POINT('',(2.216124235628E-2,5.113520658261E-1, +-2.972583792118E-1)); +#1050=CARTESIAN_POINT('',(3.455378341450E-2,5.079911905927E-1, +-2.978147436208E-1)); +#1051=CARTESIAN_POINT('',(4.647098249961E-2,5.031860474287E-1, +-2.983715092468E-1)); +#1052=CARTESIAN_POINT('',(5.773340105089E-2,4.970075007723E-1, +-2.989281188147E-1)); +#1053=CARTESIAN_POINT('',(6.818241319123E-2,4.895453079027E-1, +-2.994844761888E-1)); +#1054=CARTESIAN_POINT('',(7.768021345052E-2,4.808984182499E-1, +-3.000410190540E-1)); +#1055=CARTESIAN_POINT('',(8.609337579949E-2,4.711845680223E-1, +-3.005978372045E-1)); +#1056=CARTESIAN_POINT('',(9.329390935257E-2,4.605529040597E-1, +-3.011542173003E-1)); +#1057=CARTESIAN_POINT('',(9.918917734961E-2,4.491449550329E-1, +-3.017106233699E-1)); +#1058=CARTESIAN_POINT('',(1.036983631503E-1,4.371105468463E-1, +-3.022674745016E-1)); +#1059=CARTESIAN_POINT('',(1.067513320538E-1,4.246357283691E-1, +-3.028239581328E-1)); +#1060=CARTESIAN_POINT('',(1.083095222987E-1,4.118903701968E-1, +-3.033803252903E-1)); +#1061=CARTESIAN_POINT('',(1.083372749350E-1,4.033257829169E-1, +-3.037514298668E-1)); +#1062=CARTESIAN_POINT('',(1.080979293225E-1,3.990570773227E-1, +-3.039370078740E-1)); +#1064=CARTESIAN_POINT('',(-1.878336244683E-2,2.984922046645E-1, +-3.039370078740E-1)); +#1065=CARTESIAN_POINT('',(-1.454565433443E-2,2.977456843232E-1, +-3.037502340608E-1)); +#1066=CARTESIAN_POINT('',(-5.982317920522E-3,2.967588694051E-1, +-3.033767382091E-1)); +#1067=CARTESIAN_POINT('',(6.938887560089E-3,2.968159949296E-1, +-3.028168707869E-1)); +#1068=CARTESIAN_POINT('',(1.976831500016E-2,2.984091400135E-1, +-3.022567166274E-1)); +#1069=CARTESIAN_POINT('',(3.232228865807E-2,3.015188324460E-1, +-3.016963278746E-1)); +#1070=CARTESIAN_POINT('',(4.440617498785E-2,3.060950420173E-1, +-3.011364584389E-1)); +#1071=CARTESIAN_POINT('',(5.586464502292E-2,3.120765680161E-1, +-3.005763997823E-1)); +#1072=CARTESIAN_POINT('',(6.653762271676E-2,3.193841985072E-1, +-3.000159416825E-1)); +#1073=CARTESIAN_POINT('',(7.625595823077E-2,3.279009116747E-1, +-2.994560414329E-1)); +#1074=CARTESIAN_POINT('',(8.489495632880E-2,3.375131919241E-1, +-2.988960613301E-1)); +#1075=CARTESIAN_POINT('',(9.233575478563E-2,3.480945915874E-1, +-2.983355738109E-1)); +#1076=CARTESIAN_POINT('',(9.845903032493E-2,3.594750990473E-1, +-2.977756234130E-1)); +#1077=CARTESIAN_POINT('',(1.031867683831E-1,3.715017921880E-1, +-2.972157024318E-1)); +#1078=CARTESIAN_POINT('',(1.064535800732E-1,3.840178977148E-1, +-2.966552258612E-1)); +#1079=CARTESIAN_POINT('',(1.082065969922E-1,3.968233260377E-1, +-2.960952058859E-1)); +#1080=CARTESIAN_POINT('',(1.084258215555E-1,4.097431133345E-1, +-2.955353248199E-1)); +#1081=CARTESIAN_POINT('',(1.071056974253E-1,4.226098144298E-1, +-2.949748988241E-1)); +#1082=CARTESIAN_POINT('',(1.042655641815E-1,4.352208844404E-1, +-2.944147895766E-1)); +#1083=CARTESIAN_POINT('',(9.994896148737E-2,4.473997136300E-1, +-2.938549285494E-1)); +#1084=CARTESIAN_POINT('',(9.421011116692E-2,4.589887659586E-1, +-2.932945932241E-1)); +#1085=CARTESIAN_POINT('',(8.713451957709E-2,4.698103150617E-1, +-2.927343761315E-1)); +#1086=CARTESIAN_POINT('',(7.882819328034E-2,4.797077933704E-1, +-2.921745180290E-1)); +#1087=CARTESIAN_POINT('',(6.939838814010E-2,4.885534520032E-1, +-2.916143059898E-1)); +#1088=CARTESIAN_POINT('',(5.898063514322E-2,4.962159397054E-1, +-2.910539660476E-1)); +#1089=CARTESIAN_POINT('',(4.773536728976E-2,5.025799942368E-1, +-2.904941045222E-1)); +#1090=CARTESIAN_POINT('',(3.580837043608E-2,5.075649282763E-1, +-2.899339996606E-1)); +#1091=CARTESIAN_POINT('',(2.336575168461E-2,5.110973152195E-1, +-2.893735706627E-1)); +#1092=CARTESIAN_POINT('',(1.060381871081E-2,5.131228774919E-1, +-2.888136881400E-1)); +#1093=CARTESIAN_POINT('',(-2.311487147331E-3,5.136180663497E-1, +-2.882536710517E-1)); +#1094=CARTESIAN_POINT('',(-1.520459473263E-2,5.125722256005E-1, +-2.876931927241E-1)); +#1095=CARTESIAN_POINT('',(-2.786916619032E-2,5.100026877698E-1, +-2.871332700063E-1)); +#1096=CARTESIAN_POINT('',(-4.013938360149E-2,5.059466918838E-1, +-2.865733214739E-1)); +#1097=CARTESIAN_POINT('',(-5.185124013127E-2,5.004545034864E-1, +-2.860128335928E-1)); +#1098=CARTESIAN_POINT('',(-6.281657803210E-2,4.936141247517E-1, +-2.854528514897E-1)); +#1099=CARTESIAN_POINT('',(-7.289015919697E-2,4.855207421496E-1, +-2.848929521913E-1)); +#1100=CARTESIAN_POINT('',(-8.193862541733E-2,4.762774317380E-1, +-2.843324947411E-1)); +#1101=CARTESIAN_POINT('',(-8.981740811877E-2,4.660304230453E-1, +-2.837724340510E-1)); +#1102=CARTESIAN_POINT('',(-9.642065031992E-2,4.549237185597E-1, +-2.832125651365E-1)); +#1103=CARTESIAN_POINT('',(-1.016611760482E-1,4.430996333817E-1, +-2.826521769561E-1)); +#1104=CARTESIAN_POINT('',(-1.054559546627E-1,4.307411107475E-1, +-2.820920209423E-1)); +#1105=CARTESIAN_POINT('',(-1.077539121823E-1,4.180257651690E-1, +-2.815321538487E-1)); +#1106=CARTESIAN_POINT('',(-1.082677165354E-1,4.094210744074E-1, +-2.811586575825E-1)); +#1107=CARTESIAN_POINT('',(-1.082677165354E-1,4.051181102362E-1, +-2.809718835776E-1)); +#1109=CARTESIAN_POINT('',(-4.896563198560E-2,2.935390560670E-1, +-3.503685948403E-1)); +#1110=CARTESIAN_POINT('',(-4.850813919881E-2,2.945890468098E-1, +-3.497074568047E-1)); +#1111=CARTESIAN_POINT('',(-4.766292730137E-2,2.967682505429E-1, +-3.483631302155E-1)); +#1112=CARTESIAN_POINT('',(-4.665726808208E-2,3.003819316392E-1, +-3.462415864212E-1)); +#1113=CARTESIAN_POINT('',(-4.609663967140E-2,3.040653726472E-1, +-3.442106631661E-1)); +#1114=CARTESIAN_POINT('',(-4.605948580893E-2,3.063034841591E-1, +-3.430676555870E-1)); +#1115=CARTESIAN_POINT('',(-4.611832461398E-2,3.073816674760E-1, +-3.425415108254E-1)); +#1117=CARTESIAN_POINT('',(1.077235360764E-1,3.964606346331E-1, +-3.039370078740E-1)); +#1118=CARTESIAN_POINT('',(1.077686125677E-1,3.967484172525E-1, +-3.039370078740E-1)); +#1119=CARTESIAN_POINT('',(1.078564491106E-1,3.973244566366E-1, +-3.039370078740E-1)); +#1120=CARTESIAN_POINT('',(1.079812544035E-1,3.981899709208E-1, +-3.039370078740E-1)); +#1121=CARTESIAN_POINT('',(1.080598121248E-1,3.987678871063E-1, +-3.039370078740E-1)); +#1122=CARTESIAN_POINT('',(1.080979293225E-1,3.990570773227E-1, +-3.039370078740E-1)); +#1124=CARTESIAN_POINT('',(-1.082677165354E-1,4.051181102362E-1, +-2.889100061860E-1)); +#1125=CARTESIAN_POINT('',(-1.082677165354E-1,4.007559181375E-1, +-2.887206613400E-1)); +#1126=CARTESIAN_POINT('',(-1.077396725956E-1,3.920327788535E-1, +-2.883420237538E-1)); +#1127=CARTESIAN_POINT('',(-1.053780887390E-1,3.791469753414E-1, +-2.877744245140E-1)); +#1128=CARTESIAN_POINT('',(-1.014796394429E-1,3.666341030636E-1, +-2.872065799458E-1)); +#1129=CARTESIAN_POINT('',(-9.609772501763E-2,3.546767956138E-1, +-2.866384509820E-1)); +#1130=CARTESIAN_POINT('',(-8.931966527463E-2,3.434657821755E-1, +-2.860708385599E-1)); +#1131=CARTESIAN_POINT('',(-8.123985854528E-2,3.331513731188E-1, +-2.855031583079E-1)); +#1132=CARTESIAN_POINT('',(-7.196623263691E-2,3.238778006924E-1, +-2.849349350103E-1)); +#1133=CARTESIAN_POINT('',(-6.165165108599E-2,3.157979665390E-1, +-2.843672480728E-1)); +#1134=CARTESIAN_POINT('',(-5.043995964826E-2,3.090195973330E-1, +-2.837996039278E-1)); +#1135=CARTESIAN_POINT('',(-3.848122550587E-2,3.036374407438E-1, +-2.832314141936E-1)); +#1136=CARTESIAN_POINT('',(-2.597049548184E-2,2.997399537093E-1, +-2.826636705592E-1)); +#1137=CARTESIAN_POINT('',(-1.308478750560E-2,2.973782888636E-1, +-2.820960744567E-1)); +#1138=CARTESIAN_POINT('',(2.040960202899E-6,2.965863693590E-1, +-2.815280280623E-1)); +#1139=CARTESIAN_POINT('',(1.308647658092E-2,2.973785959798E-1, +-2.809600841530E-1)); +#1140=CARTESIAN_POINT('',(2.597202402799E-2,2.997402270833E-1, +-2.803924952100E-1)); +#1141=CARTESIAN_POINT('',(3.848526542858E-2,3.036389590734E-1, +-2.798246317393E-1)); +#1142=CARTESIAN_POINT('',(5.044164231678E-2,3.090205967451E-1, +-2.792565443954E-1)); +#1143=CARTESIAN_POINT('',(6.165260488125E-2,3.157986042953E-1, +-2.786889350218E-1)); +#1144=CARTESIAN_POINT('',(7.196737179674E-2,3.238788314095E-1, +-2.781212313063E-1)); +#1145=CARTESIAN_POINT('',(8.124018108297E-2,3.331517798576E-1, +-2.775530521517E-1)); +#1146=CARTESIAN_POINT('',(8.931993301771E-2,3.434661689811E-1, +-2.769853738075E-1)); +#1147=CARTESIAN_POINT('',(9.609800024810E-2,3.546772592626E-1, +-2.764177586467E-1)); +#1148=CARTESIAN_POINT('',(1.014800952923E-1,3.666353377972E-1, +-2.758495958338E-1)); +#1149=CARTESIAN_POINT('',(1.053781010402E-1,3.791471390394E-1, +-2.752818014059E-1)); +#1150=CARTESIAN_POINT('',(1.077397278751E-1,3.920331628195E-1, +-2.747141922183E-1)); +#1151=CARTESIAN_POINT('',(1.082677165354E-1,4.007560427571E-1, +-2.743355660952E-1)); +#1152=CARTESIAN_POINT('',(1.082677165354E-1,4.051181102362E-1, +-2.741462266585E-1)); +#1154=CARTESIAN_POINT('',(1.082677165354E-1,4.051181102362E-1, +-2.741462266585E-1)); +#1155=CARTESIAN_POINT('',(1.082677165354E-1,4.094803069698E-1, +-2.739568816113E-1)); +#1156=CARTESIAN_POINT('',(1.077396676995E-1,4.182034767715E-1, +-2.735782426874E-1)); +#1157=CARTESIAN_POINT('',(1.053780876233E-1,4.310892654402E-1, +-2.730106441023E-1)); +#1158=CARTESIAN_POINT('',(1.014795438082E-1,4.436024270151E-1, +-2.724427864494E-1)); +#1159=CARTESIAN_POINT('',(9.609741040566E-2,4.555600073394E-1, +-2.718746427404E-1)); +#1160=CARTESIAN_POINT('',(8.931949068477E-2,4.667707112136E-1, +-2.713070450847E-1)); +#1161=CARTESIAN_POINT('',(8.123922912086E-2,4.770855526265E-1, +-2.707393377894E-1)); +#1162=CARTESIAN_POINT('',(7.196616331888E-2,4.863584430771E-1, +-2.701711526250E-1)); +#1163=CARTESIAN_POINT('',(6.165164480013E-2,4.944382642614E-1, +-2.696034680250E-1)); +#1164=CARTESIAN_POINT('',(5.044006248683E-2,5.012165527481E-1, +-2.690358297812E-1)); +#1165=CARTESIAN_POINT('',(3.848166585593E-2,5.065986072449E-1, +-2.684676551060E-1)); +#1166=CARTESIAN_POINT('',(2.597072017926E-2,5.104962349280E-1, +-2.678999008637E-1)); +#1167=CARTESIAN_POINT('',(1.308484647881E-2,5.128578868581E-1, +-2.673322976634E-1)); +#1168=CARTESIAN_POINT('',(-5.404866556648E-7,5.136498238130E-1, +-2.667643135127E-1)); +#1169=CARTESIAN_POINT('',(-1.308554805794E-2,5.128577785135E-1, +-2.661963453600E-1)); +#1170=CARTESIAN_POINT('',(-2.597125051810E-2,5.104961318372E-1, +-2.656287495937E-1)); +#1171=CARTESIAN_POINT('',(-3.848305474973E-2,5.065980898974E-1, +-2.650609544885E-1)); +#1172=CARTESIAN_POINT('',(-5.044070126820E-2,5.012161750496E-1, +-2.644928119445E-1)); +#1173=CARTESIAN_POINT('',(-6.165194540610E-2,4.944380645706E-1, +-2.639251901370E-1)); +#1174=CARTESIAN_POINT('',(-7.196655568493E-2,4.863580778436E-1, +-2.633574979354E-1)); +#1175=CARTESIAN_POINT('',(-8.123912455563E-2,4.770856492300E-1, +-2.627893421650E-1)); +#1176=CARTESIAN_POINT('',(-8.931951308558E-2,4.667706939774E-1, +-2.622216275028E-1)); +#1177=CARTESIAN_POINT('',(-9.609740234898E-2,4.555600130286E-1, +-2.616540314508E-1)); +#1178=CARTESIAN_POINT('',(-1.014795295660E-1,4.436024673490E-1, +-2.610858891831E-1)); +#1179=CARTESIAN_POINT('',(-1.053780860984E-1,4.310892738976E-1, +-2.605180300596E-1)); +#1180=CARTESIAN_POINT('',(-1.077396653126E-1,4.182034948265E-1, +-2.599504318916E-1)); +#1181=CARTESIAN_POINT('',(-1.082677165354E-1,4.094803134369E-1, +-2.595717924589E-1)); +#1182=CARTESIAN_POINT('',(-1.082677165354E-1,4.051181102362E-1, +-2.593824471310E-1)); +#1184=CARTESIAN_POINT('',(-1.082677165354E-1,4.051181102362E-1, +-2.593824471310E-1)); +#1185=CARTESIAN_POINT('',(-1.082677165354E-1,4.008516830866E-1, +-2.591972590482E-1)); +#1186=CARTESIAN_POINT('',(-1.077626973837E-1,3.923199498440E-1, +-2.588269302946E-1)); +#1187=CARTESIAN_POINT('',(-1.055026742574E-1,3.797066220659E-1, +-2.582716879158E-1)); +#1188=CARTESIAN_POINT('',(-1.017714951880E-1,3.674458323610E-1, +-2.577163707409E-1)); +#1189=CARTESIAN_POINT('',(-9.661635617262E-2,3.557024170877E-1, +-2.571606569787E-1)); +#1190=CARTESIAN_POINT('',(-9.011721519260E-2,3.446578963373E-1, +-2.566053876384E-1)); +#1191=CARTESIAN_POINT('',(-8.236241426354E-2,3.344567163992E-1, +-2.560501497728E-1)); +#1192=CARTESIAN_POINT('',(-7.345410808396E-2,3.252361255103E-1, +-2.554946154564E-1)); +#1193=CARTESIAN_POINT('',(-6.352095383201E-2,3.171312939718E-1, +-2.549391171327E-1)); +#1194=CARTESIAN_POINT('',(-5.270679479788E-2,3.102574149379E-1, +-2.543838873778E-1)); +#1195=CARTESIAN_POINT('',(-4.115614229017E-2,3.047049510828E-1, +-2.538285720970E-1)); +#1196=CARTESIAN_POINT('',(-2.902363139263E-2,3.005511145361E-1, +-2.532729108796E-1)); +#1197=CARTESIAN_POINT('',(-1.649399597747E-2,2.978590507616E-1, +-2.527176098599E-1)); +#1198=CARTESIAN_POINT('',(-3.735876238243E-3,2.966624316725E-1, +-2.521623713138E-1)); +#1199=CARTESIAN_POINT('',(4.808148845473E-3,2.968730987357E-1, +-2.517920444879E-1)); +#1200=CARTESIAN_POINT('',(9.059609022102E-3,2.972301038214E-1, +-2.516068565799E-1)); +#1202=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.694478737747E-1)); +#1203=CARTESIAN_POINT('',(-1.084645669291E-1,4.567892474069E-1, +-2.683018607303E-1)); +#1204=CARTESIAN_POINT('',(-1.084645669291E-1,4.491700390360E-1, +-2.661925803064E-1)); +#1205=CARTESIAN_POINT('',(-1.084645669291E-1,4.380710787416E-1, +-2.636181290147E-1)); +#1206=CARTESIAN_POINT('',(-1.084645669291E-1,4.270690260406E-1, +-2.616061099720E-1)); +#1207=CARTESIAN_POINT('',(-1.084645669291E-1,4.160968763048E-1, +-2.601863120414E-1)); +#1208=CARTESIAN_POINT('',(-1.084645669291E-1,4.051182342284E-1, +-2.593888299184E-1)); +#1209=CARTESIAN_POINT('',(-1.084645669291E-1,3.941390714877E-1, +-2.592349705124E-1)); +#1210=CARTESIAN_POINT('',(-1.084645669291E-1,3.831668504165E-1, +-2.597232868840E-1)); +#1211=CARTESIAN_POINT('',(-1.084645669291E-1,3.721646940725E-1, +-2.608376797291E-1)); +#1212=CARTESIAN_POINT('',(-1.084645669291E-1,3.610660106372E-1, +-2.625564675945E-1)); +#1213=CARTESIAN_POINT('',(-1.084645669291E-1,3.534464167700E-1, +-2.641196274153E-1)); +#1214=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.650009451229E-1)); +#1216=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.989754328298E-1)); +#1217=CARTESIAN_POINT('',(-1.084645669291E-1,4.567917373586E-1, +-2.978301603360E-1)); +#1218=CARTESIAN_POINT('',(-1.084645669291E-1,4.491769362563E-1, +-2.957219767526E-1)); +#1219=CARTESIAN_POINT('',(-1.084645669291E-1,4.380820148710E-1, +-2.931480306923E-1)); +#1220=CARTESIAN_POINT('',(-1.084645669291E-1,4.270837829262E-1, +-2.911360375138E-1)); +#1221=CARTESIAN_POINT('',(-1.084645669291E-1,4.161134148778E-1, +-2.897155664671E-1)); +#1222=CARTESIAN_POINT('',(-1.084645669291E-1,4.051354071604E-1, +-2.889171520255E-1)); +#1223=CARTESIAN_POINT('',(-1.084645669291E-1,3.941568461516E-1, +-2.887622496230E-1)); +#1224=CARTESIAN_POINT('',(-1.084645669291E-1,3.831827243138E-1, +-2.892496192768E-1)); +#1225=CARTESIAN_POINT('',(-1.084645669291E-1,3.721756428221E-1, +-2.903637037962E-1)); +#1226=CARTESIAN_POINT('',(-1.084645669291E-1,3.610694463558E-1, +-2.920833019306E-1)); +#1227=CARTESIAN_POINT('',(-1.084645669291E-1,3.534473881310E-1, +-2.936469642673E-1)); +#1228=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.945285041780E-1)); +#1230=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.285029918849E-1)); +#1231=CARTESIAN_POINT('',(-1.084645669291E-1,4.567884508917E-1, +-3.273567419444E-1)); +#1232=CARTESIAN_POINT('',(-1.084645669291E-1,4.491675613344E-1, +-3.252470137047E-1)); +#1233=CARTESIAN_POINT('',(-1.084645669291E-1,4.380647011514E-1, +-3.226718622186E-1)); +#1234=CARTESIAN_POINT('',(-1.084645669291E-1,4.270605755190E-1, +-3.206598893630E-1)); +#1235=CARTESIAN_POINT('',(-1.084645669291E-1,4.160885962764E-1, +-3.192406030171E-1)); +#1236=CARTESIAN_POINT('',(-1.084645669291E-1,4.051109123511E-1, +-3.184436569810E-1)); +#1237=CARTESIAN_POINT('',(-1.084645669291E-1,3.941333335390E-1, +-3.182902044690E-1)); +#1238=CARTESIAN_POINT('',(-1.084645669291E-1,3.831623807955E-1, +-3.187787630195E-1)); +#1239=CARTESIAN_POINT('',(-1.084645669291E-1,3.721619861504E-1, +-3.198931699414E-1)); +#1240=CARTESIAN_POINT('',(-1.084645669291E-1,3.610641599061E-1, +-3.216119390797E-1)); +#1241=CARTESIAN_POINT('',(-1.084645669291E-1,3.534457655557E-1, +-3.231748944936E-1)); +#1242=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.240560632331E-1)); +#1244=DIRECTION('',(-5.E-1,-8.660254037844E-1,2.056212473805E-14)); +#1245=VECTOR('',#1244,2.699679723688E-3); +#1246=CARTESIAN_POINT('',(-5.442879043213E-2,3.117542045728E-1, +-3.671634613848E-1)); +#1247=LINE('',#1246,#1245); +#1248=CARTESIAN_POINT('',(-4.906791475291E-2,2.935839980246E-1, +-3.562784154372E-1)); +#1249=CARTESIAN_POINT('',(-4.892717351842E-2,2.935220808339E-1, +-3.556255637013E-1)); +#1250=CARTESIAN_POINT('',(-4.873428961819E-2,2.934377930621E-1, +-3.543164780264E-1)); +#1251=CARTESIAN_POINT('',(-4.870071676934E-2,2.934231786421E-1, +-3.523328645310E-1)); +#1252=CARTESIAN_POINT('',(-4.884826001360E-2,2.934875482565E-1, +-3.510225772095E-1)); +#1253=CARTESIAN_POINT('',(-4.896563198560E-2,2.935390560670E-1, +-3.503685948403E-1)); +#1255=CARTESIAN_POINT('',(-4.896563198560E-2,2.935390560670E-1, +-3.503685948403E-1)); +#1256=CARTESIAN_POINT('',(-4.474300167962E-2,2.916859863927E-1, +-3.501907472181E-1)); +#1257=CARTESIAN_POINT('',(-3.608855879545E-2,2.884603654872E-1, +-3.498351109983E-1)); +#1258=CARTESIAN_POINT('',(-2.264662429296E-2,2.851244526265E-1, +-3.493018225723E-1)); +#1259=CARTESIAN_POINT('',(-8.918616664499E-3,2.833320697611E-1, +-3.487687335595E-1)); +#1260=CARTESIAN_POINT('',(4.934050870648E-3,2.831054048388E-1, +-3.482352596976E-1)); +#1261=CARTESIAN_POINT('',(1.872103353632E-2,2.844495760657E-1, +-3.477018697980E-1)); +#1262=CARTESIAN_POINT('',(3.226050147740E-2,2.873445505943E-1, +-3.471687423172E-1)); +#1263=CARTESIAN_POINT('',(4.538905394525E-2,2.917547007631E-1, +-3.466354623265E-1)); +#1264=CARTESIAN_POINT('',(5.793895252876E-2,2.976262790410E-1, +-3.461019504876E-1)); +#1265=CARTESIAN_POINT('',(6.973625246204E-2,3.048774381791E-1, +-3.455687434211E-1)); +#1266=CARTESIAN_POINT('',(8.063593559833E-2,3.134161510512E-1, +-3.450355959887E-1)); +#1267=CARTESIAN_POINT('',(9.050531249758E-2,3.231411086738E-1, +-3.445020785247E-1)); +#1268=CARTESIAN_POINT('',(9.920606059412E-2,3.339176372554E-1, +-3.439687592233E-1)); +#1269=CARTESIAN_POINT('',(1.066280844279E-1,3.456048659384E-1, +-3.434356602281E-1)); +#1270=CARTESIAN_POINT('',(1.126828926228E-1,3.580648726209E-1, +-3.429022355564E-1)); +#1271=CARTESIAN_POINT('',(1.172869517527E-1,3.711305848329E-1, +-3.423688142409E-1)); +#1272=CARTESIAN_POINT('',(1.203804192923E-1,3.846264655506E-1, +-3.418356727338E-1)); +#1273=CARTESIAN_POINT('',(1.219267849919E-1,3.983891790756E-1, +-3.413023991738E-1)); +#1274=CARTESIAN_POINT('',(1.219042446857E-1,4.122435953762E-1, +-3.407689291004E-1)); +#1275=CARTESIAN_POINT('',(1.203131696113E-1,4.260009429721E-1, +-3.402356657890E-1)); +#1276=CARTESIAN_POINT('',(1.171758358027E-1,4.394868132622E-1, +-3.397025198301E-1)); +#1277=CARTESIAN_POINT('',(1.125291900851E-1,4.525377497448E-1, +-3.391690873147E-1)); +#1278=CARTESIAN_POINT('',(1.064340489939E-1,4.649776501756E-1, +-3.386356773536E-1)); +#1279=CARTESIAN_POINT('',(9.897409462484E-2,4.766407070259E-1, +-3.381025782746E-1)); +#1280=CARTESIAN_POINT('',(9.023808459067E-2,4.873891804142E-1, +-3.375692437512E-1)); +#1281=CARTESIAN_POINT('',(8.033734841141E-2,4.970817459911E-1, +-3.370357387771E-1)); +#1282=CARTESIAN_POINT('',(6.941005578900E-2,5.055849382173E-1, +-3.365025950923E-1)); +#1283=CARTESIAN_POINT('',(5.758895737620E-2,5.127978640769E-1, +-3.359693754349E-1)); +#1284=CARTESIAN_POINT('',(4.502013900193E-2,5.186285220945E-1, +-3.354358686321E-1)); +#1285=CARTESIAN_POINT('',(3.187747454975E-2,5.229959182891E-1, +-3.349025948996E-1)); +#1286=CARTESIAN_POINT('',(1.832853941222E-2,5.258468949687E-1, +-3.343694624185E-1)); +#1287=CARTESIAN_POINT('',(4.537102913509E-3,5.271462121389E-1, +-3.338360665105E-1)); +#1288=CARTESIAN_POINT('',(-9.314476606103E-3,5.268745253367E-1, +-3.333026035617E-1)); +#1289=CARTESIAN_POINT('',(-2.303679438585E-2,5.250374299436E-1, +-3.327695056319E-1)); +#1290=CARTESIAN_POINT('',(-3.646725104455E-2,5.216581492053E-1, +-3.322362417696E-1)); +#1291=CARTESIAN_POINT('',(-4.943598276798E-2,5.167760713333E-1, +-3.317026642013E-1)); +#1292=CARTESIAN_POINT('',(-6.175818017431E-2,5.104615973878E-1, +-3.311695217357E-1)); +#1293=CARTESIAN_POINT('',(-7.328706014845E-2,5.027932159496E-1, +-3.306363653522E-1)); +#1294=CARTESIAN_POINT('',(-8.388140643701E-2,4.938612612352E-1, +-3.301027914994E-1)); +#1295=CARTESIAN_POINT('',(-9.338992752689E-2,4.837925241882E-1, +-3.295695350388E-1)); +#1296=CARTESIAN_POINT('',(-1.016955815007E-1,4.727153241032E-1, +-3.290364213188E-1)); +#1297=CARTESIAN_POINT('',(-1.063635405629E-1,4.647467527814E-1, +-3.286808179858E-1)); +#1298=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.285029918849E-1)); +#1300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1301=VECTOR('',#1300,5.905511811040E-3); +#1302=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.285029918849E-1)); +#1303=LINE('',#1302,#1301); +#1304=CARTESIAN_POINT('',(-7.806295593391E-2,4.986792846404E-1, +-3.362992125984E-1)); +#1305=CARTESIAN_POINT('',(-8.120037567587E-2,4.960615721051E-1, +-3.361416240559E-1)); +#1306=CARTESIAN_POINT('',(-8.721112375604E-2,4.905106676276E-1, +-3.358264722286E-1)); +#1307=CARTESIAN_POINT('',(-9.534369603093E-2,4.813233106330E-1, +-3.353538581472E-1)); +#1308=CARTESIAN_POINT('',(-1.025128877566E-1,4.713659540384E-1, +-3.348812440658E-1)); +#1309=CARTESIAN_POINT('',(-1.066026485651E-1,4.642796651980E-1, +-3.345660922385E-1)); +#1310=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.344085036960E-1)); +#1312=CARTESIAN_POINT('',(-7.806295593391E-2,4.986792846404E-1, +-3.362992125984E-1)); +#1313=CARTESIAN_POINT('',(-7.447829086866E-2,5.016701572199E-1, +-3.364792656960E-1)); +#1314=CARTESIAN_POINT('',(-6.696577194141E-2,5.072384675246E-1, +-3.368393212206E-1)); +#1315=CARTESIAN_POINT('',(-5.482112077958E-2,5.142400453646E-1, +-3.373790827915E-1)); +#1316=CARTESIAN_POINT('',(-4.194970053067E-2,5.198058513709E-1, +-3.379190317594E-1)); +#1317=CARTESIAN_POINT('',(-2.851733611818E-2,5.238606350630E-1, +-3.384592797057E-1)); +#1318=CARTESIAN_POINT('',(-1.472015032716E-2,5.263461897557E-1, +-3.389990763374E-1)); +#1319=CARTESIAN_POINT('',(-7.281952480620E-4,5.272348903377E-1, +-3.395389061647E-1)); +#1320=CARTESIAN_POINT('',(1.328498983573E-2,5.265123435720E-1, +-3.400791838734E-1)); +#1321=CARTESIAN_POINT('',(2.711280695209E-2,5.241888060887E-1, +-3.406190722711E-1)); +#1322=CARTESIAN_POINT('',(4.058020641758E-2,5.202973500395E-1, +-3.411588332345E-1)); +#1323=CARTESIAN_POINT('',(5.352347986405E-2,5.148828502166E-1, +-3.416990475416E-1)); +#1324=CARTESIAN_POINT('',(6.575538138590E-2,5.080219004183E-1, +-3.422390518989E-1)); +#1325=CARTESIAN_POINT('',(7.711523605466E-2,4.998082366454E-1, +-3.427788078889E-1)); +#1326=CARTESIAN_POINT('',(8.746490110677E-2,4.903410244722E-1, +-3.433188831768E-1)); +#1327=CARTESIAN_POINT('',(9.666093977820E-2,4.797482766185E-1, +-3.438589990117E-1)); +#1328=CARTESIAN_POINT('',(1.045775382779E-1,4.681775605527E-1, +-3.443988145801E-1)); +#1329=CARTESIAN_POINT('',(1.111172182407E-1,4.557737718981E-1, +-3.449387222582E-1)); +#1330=CARTESIAN_POINT('',(1.161938066690E-1,4.426951705900E-1, +-3.454789041245E-1)); +#1331=CARTESIAN_POINT('',(1.197351801198E-1,4.291272528944E-1, +-3.460188233246E-1)); +#1332=CARTESIAN_POINT('',(1.216981019435E-1,4.152460734063E-1, +-3.465586197973E-1)); +#1333=CARTESIAN_POINT('',(1.220568572041E-1,4.012219479524E-1, +-3.470987787491E-1)); +#1334=CARTESIAN_POINT('',(1.208047422480E-1,3.872524435877E-1, +-3.476388145067E-1)); +#1335=CARTESIAN_POINT('',(1.179613981962E-1,3.735256358270E-1, +-3.481785694058E-1)); +#1336=CARTESIAN_POINT('',(1.135609190523E-1,3.602073591340E-1, +-3.487186406106E-1)); +#1337=CARTESIAN_POINT('',(1.076610017351E-1,3.474804172158E-1, +-3.492587711551E-1)); +#1338=CARTESIAN_POINT('',(1.003456693091E-1,3.355213859929E-1, +-3.497985571073E-1)); +#1339=CARTESIAN_POINT('',(9.170547005052E-2,3.244760181319E-1, +-3.503385084744E-1)); +#1340=CARTESIAN_POINT('',(8.185144277683E-2,3.144904832662E-1, +-3.508786782633E-1)); +#1341=CARTESIAN_POINT('',(7.092303453519E-2,3.057054397994E-1, +-3.514185663956E-1)); +#1342=CARTESIAN_POINT('',(5.906110661233E-2,2.982312961943E-1, +-3.519584013301E-1)); +#1343=CARTESIAN_POINT('',(4.641362967617E-2,2.921631391014E-1, +-3.524985278203E-1)); +#1344=CARTESIAN_POINT('',(3.315550968251E-2,2.875864659562E-1, +-3.530385757189E-1)); +#1345=CARTESIAN_POINT('',(1.946744920992E-2,2.845611317473E-1, +-3.535783386915E-1)); +#1346=CARTESIAN_POINT('',(5.515982044640E-3,2.831236992548E-1, +-3.541183670718E-1)); +#1347=CARTESIAN_POINT('',(-8.512485021659E-3,2.832963697921E-1, +-3.546585577364E-1)); +#1348=CARTESIAN_POINT('',(-2.241724272667E-2,2.850746999505E-1, +-3.551983051519E-1)); +#1349=CARTESIAN_POINT('',(-3.603175845186E-2,2.884359027007E-1, +-3.557382564401E-1)); +#1350=CARTESIAN_POINT('',(-4.479415421407E-2,2.917038152389E-1, +-3.560983417952E-1)); +#1351=CARTESIAN_POINT('',(-4.906791475291E-2,2.935839980246E-1, +-3.562784154372E-1)); +#1353=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.240560632331E-1)); +#1354=CARTESIAN_POINT('',(-1.063165708940E-1,3.453977143875E-1, +-3.238742617261E-1)); +#1355=CARTESIAN_POINT('',(-1.015335528919E-1,3.372564454590E-1, +-3.235107073629E-1)); +#1356=CARTESIAN_POINT('',(-9.299855684979E-2,3.259631711697E-1, +-3.229656750544E-1)); +#1357=CARTESIAN_POINT('',(-8.321138507434E-2,3.157301761090E-1, +-3.224204821296E-1)); +#1358=CARTESIAN_POINT('',(-7.229863421432E-2,3.066944435463E-1, +-3.218749772106E-1)); +#1359=CARTESIAN_POINT('',(-6.042289237963E-2,2.989894743641E-1, +-3.213299239530E-1)); +#1360=CARTESIAN_POINT('',(-4.773486490894E-2,2.927094555152E-1, +-3.207848369876E-1)); +#1361=CARTESIAN_POINT('',(-3.439404982468E-2,2.879371304317E-1, +-3.202393051850E-1)); +#1362=CARTESIAN_POINT('',(-2.060032182736E-2,2.847443298761E-1, +-3.196941691469E-1)); +#1363=CARTESIAN_POINT('',(-6.532570580102E-3,2.831688641611E-1, +-3.191491375699E-1)); +#1364=CARTESIAN_POINT('',(7.633774919275E-3,2.832326428396E-1, +-3.186036913246E-1)); +#1365=CARTESIAN_POINT('',(2.169291728249E-2,2.849362921791E-1, +-3.180584183376E-1)); +#1366=CARTESIAN_POINT('',(3.545413914445E-2,2.882538504553E-1, +-3.175133950592E-1)); +#1367=CARTESIAN_POINT('',(4.874505126612E-2,2.931437309895E-1, +-3.169681256415E-1)); +#1368=CARTESIAN_POINT('',(6.138430284895E-2,2.995422547240E-1, +-3.164226761707E-1)); +#1369=CARTESIAN_POINT('',(7.318875701798E-2,3.073550001052E-1, +-3.158776440421E-1)); +#1370=CARTESIAN_POINT('',(8.401226440353E-2,3.164824969666E-1, +-3.153325098964E-1)); +#1371=CARTESIAN_POINT('',(9.371291850309E-2,3.268096667893E-1, +-3.147869771517E-1)); +#1372=CARTESIAN_POINT('',(1.021454763540E-1,3.381814600446E-1, +-3.142418887906E-1)); +#1373=CARTESIAN_POINT('',(1.092056318740E-1,3.504514847256E-1, +-3.136968361821E-1)); +#1374=CARTESIAN_POINT('',(1.148021981104E-1,3.634672524871E-1, +-3.131513324243E-1)); +#1375=CARTESIAN_POINT('',(1.188512870322E-1,3.770359940502E-1, +-3.126061354605E-1)); +#1376=CARTESIAN_POINT('',(1.213025931255E-1,3.909776236446E-1, +-3.120611115680E-1)); +#1377=CARTESIAN_POINT('',(1.221242920427E-1,4.051180486354E-1, +-3.115157504027E-1)); +#1378=CARTESIAN_POINT('',(1.213026009084E-1,4.192585551544E-1, +-3.109703861204E-1)); +#1379=CARTESIAN_POINT('',(1.188512944168E-1,4.332001858771E-1, +-3.104253621884E-1)); +#1380=CARTESIAN_POINT('',(1.148022302439E-1,4.467688778949E-1, +-3.098801673183E-1)); +#1381=CARTESIAN_POINT('',(1.092056609807E-1,4.597846818100E-1, +-3.093346622374E-1)); +#1382=CARTESIAN_POINT('',(1.021454971701E-1,4.720547278938E-1, +-3.087896087602E-1)); +#1383=CARTESIAN_POINT('',(9.371296339805E-2,4.834264977677E-1, +-3.082445216693E-1)); +#1384=CARTESIAN_POINT('',(8.401232475096E-2,4.937536676756E-1, +-3.076989893290E-1)); +#1385=CARTESIAN_POINT('',(7.318878876886E-2,5.028811981488E-1, +-3.071538535132E-1)); +#1386=CARTESIAN_POINT('',(6.138434540385E-2,5.106939383360E-1, +-3.066088218369E-1)); +#1387=CARTESIAN_POINT('',(4.874514801440E-2,5.170924476747E-1, +-3.060633744773E-1)); +#1388=CARTESIAN_POINT('',(3.545417766651E-2,5.219823608967E-1, +-3.055181025244E-1)); +#1389=CARTESIAN_POINT('',(2.169295556109E-2,5.252999188690E-1, +-3.049730792391E-1)); +#1390=CARTESIAN_POINT('',(7.633879256070E-3,5.270035710296E-1, +-3.044278087620E-1)); +#1391=CARTESIAN_POINT('',(-6.532527962140E-3,5.270673609462E-1, +-3.038823601385E-1)); +#1392=CARTESIAN_POINT('',(-2.060028861772E-2,5.254918951555E-1, +-3.033373282072E-1)); +#1393=CARTESIAN_POINT('',(-3.439398859533E-2,5.222991076755E-1, +-3.027921933289E-1)); +#1394=CARTESIAN_POINT('',(-4.773482588399E-2,5.175267829520E-1, +-3.022466607279E-1)); +#1395=CARTESIAN_POINT('',(-6.042287500687E-2,5.112467561576E-1, +-3.017015728829E-1)); +#1396=CARTESIAN_POINT('',(-7.229860905183E-2,5.035417948421E-1, +-3.011565200406E-1)); +#1397=CARTESIAN_POINT('',(-8.321136486352E-2,4.945060641955E-1, +-3.006110150227E-1)); +#1398=CARTESIAN_POINT('',(-9.299855332216E-2,4.842730540212E-1, +-3.000658212344E-1)); +#1399=CARTESIAN_POINT('',(-1.015335474526E-1,4.729797830213E-1, +-2.995207890733E-1)); +#1400=CARTESIAN_POINT('',(-1.063165694118E-1,4.648385089803E-1, +-2.991572344622E-1)); +#1401=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.989754328298E-1)); +#1403=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1404=VECTOR('',#1403,5.905511811043E-3); +#1405=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.989754328298E-1)); +#1406=LINE('',#1405,#1404); +#1407=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.048809446408E-1)); +#1408=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-3.050627462734E-1)); +#1409=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-3.054263008848E-1)); +#1410=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-3.059713330457E-1)); +#1411=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-3.065165268350E-1)); +#1412=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-3.070620318531E-1)); +#1413=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-3.076070846950E-1)); +#1414=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-3.081521725412E-1)); +#1415=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-3.086977051434E-1)); +#1416=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-3.092428400201E-1)); +#1417=CARTESIAN_POINT('',(-6.532527900751E-3,5.270673609527E-1, +-3.097878719519E-1)); +#1418=CARTESIAN_POINT('',(7.633879399073E-3,5.270035710204E-1, +-3.103333205785E-1)); +#1419=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-3.108785910522E-1)); +#1420=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-3.114236143375E-1)); +#1421=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-3.119688862936E-1)); +#1422=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-3.125143336503E-1)); +#1423=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-3.130593653260E-1)); +#1424=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-3.136045011437E-1)); +#1425=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-3.141500334828E-1)); +#1426=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-3.146951205724E-1)); +#1427=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-3.152401740502E-1)); +#1428=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-3.157856791316E-1)); +#1429=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-3.163308740003E-1)); +#1430=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-3.168758979324E-1)); +#1431=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-3.174212622159E-1)); +#1432=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-3.179666233798E-1)); +#1433=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-3.185116472722E-1)); +#1434=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-3.190568442371E-1)); +#1435=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-3.196023479945E-1)); +#1436=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-3.201474006025E-1)); +#1437=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-3.206924889643E-1)); +#1438=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-3.212380217093E-1)); +#1439=CARTESIAN_POINT('',(7.318875699800E-2,3.073550000913E-1, +-3.217831558540E-1)); +#1440=CARTESIAN_POINT('',(6.138430282298E-2,2.995422547069E-1, +-3.223281879829E-1)); +#1441=CARTESIAN_POINT('',(4.87450512E-2,2.931437309616E-1,-3.228736374553E-1)); +#1442=CARTESIAN_POINT('',(3.545413912408E-2,2.882538504490E-1, +-3.234189068711E-1)); +#1443=CARTESIAN_POINT('',(2.169291723636E-2,2.849362921735E-1, +-3.239639301505E-1)); +#1444=CARTESIAN_POINT('',(7.633774926385E-3,2.832326428327E-1, +-3.245092031353E-1)); +#1445=CARTESIAN_POINT('',(-6.532570891496E-3,2.831688641739E-1, +-3.250546493929E-1)); +#1446=CARTESIAN_POINT('',(-2.060032197825E-2,2.847443299062E-1, +-3.255996809638E-1)); +#1447=CARTESIAN_POINT('',(-3.439405006064E-2,2.879371304957E-1, +-3.261448170055E-1)); +#1448=CARTESIAN_POINT('',(-4.773486527324E-2,2.927094556574E-1, +-3.266903488136E-1)); +#1449=CARTESIAN_POINT('',(-6.042289301380E-2,2.989894747195E-1, +-3.272354357921E-1)); +#1450=CARTESIAN_POINT('',(-7.229863535841E-2,3.066944442854E-1, +-3.277804890738E-1)); +#1451=CARTESIAN_POINT('',(-8.321138750200E-2,3.157301784079E-1, +-3.283259940694E-1)); +#1452=CARTESIAN_POINT('',(-9.299855715220E-2,3.259631716210E-1, +-3.288711868860E-1)); +#1453=CARTESIAN_POINT('',(-1.015335535201E-1,3.372564463665E-1, +-3.294162192165E-1)); +#1454=CARTESIAN_POINT('',(-1.063165710615E-1,3.453977147147E-1, +-3.297797735514E-1)); +#1455=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.299615750442E-1)); +#1457=DIRECTION('',(0.E0,1.879977655026E-14,-1.E0)); +#1458=VECTOR('',#1457,5.905511811042E-3); +#1459=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.240560632331E-1)); +#1460=LINE('',#1459,#1458); +#1461=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.945285041780E-1)); +#1462=CARTESIAN_POINT('',(-1.063165711088E-1,3.453977148072E-1, +-2.943467026892E-1)); +#1463=CARTESIAN_POINT('',(-1.015335536468E-1,3.372564465610E-1, +-2.939831483593E-1)); +#1464=CARTESIAN_POINT('',(-9.299855726589E-2,3.259631717414E-1, +-2.934381160262E-1)); +#1465=CARTESIAN_POINT('',(-8.321138742769E-2,3.157301783278E-1, +-2.928929231990E-1)); +#1466=CARTESIAN_POINT('',(-7.229863520706E-2,3.066944441737E-1, +-2.923474182004E-1)); +#1467=CARTESIAN_POINT('',(-6.042289290474E-2,2.989894746673E-1, +-2.918023649213E-1)); +#1468=CARTESIAN_POINT('',(-4.773486523026E-2,2.927094556192E-1, +-2.912572779454E-1)); +#1469=CARTESIAN_POINT('',(-3.439404940939E-2,2.879371303047E-1, +-2.907117461132E-1)); +#1470=CARTESIAN_POINT('',(-2.060032188553E-2,2.847443299037E-1, +-2.901666100941E-1)); +#1471=CARTESIAN_POINT('',(-6.532570662570E-3,2.831688641607E-1, +-2.896215785179E-1)); +#1472=CARTESIAN_POINT('',(7.633774878467E-3,2.832326428367E-1, +-2.890761322710E-1)); +#1473=CARTESIAN_POINT('',(2.169291726160E-2,2.849362921746E-1, +-2.885308592833E-1)); +#1474=CARTESIAN_POINT('',(3.545413912657E-2,2.882538504506E-1, +-2.879858360048E-1)); +#1475=CARTESIAN_POINT('',(4.874505123016E-2,2.931437309738E-1, +-2.874405665879E-1)); +#1476=CARTESIAN_POINT('',(6.138430283726E-2,2.995422547162E-1, +-2.868951171161E-1)); +#1477=CARTESIAN_POINT('',(7.318875700834E-2,3.073550000985E-1, +-2.863500849874E-1)); +#1478=CARTESIAN_POINT('',(8.401226438666E-2,3.164824969508E-1, +-2.858049508421E-1)); +#1479=CARTESIAN_POINT('',(9.371291849217E-2,3.268096667756E-1, +-2.852594180973E-1)); +#1480=CARTESIAN_POINT('',(1.021454763495E-1,3.381814600377E-1, +-2.847143297358E-1)); +#1481=CARTESIAN_POINT('',(1.092056318679E-1,3.504514847139E-1, +-2.841692771275E-1)); +#1482=CARTESIAN_POINT('',(1.148021981059E-1,3.634672524742E-1, +-2.836237733697E-1)); +#1483=CARTESIAN_POINT('',(1.188512870310E-1,3.770359940437E-1, +-2.830785764056E-1)); +#1484=CARTESIAN_POINT('',(1.213025931243E-1,3.909776236394E-1, +-2.825335525131E-1)); +#1485=CARTESIAN_POINT('',(1.221242920425E-1,4.051180486110E-1, +-2.819881913485E-1)); +#1486=CARTESIAN_POINT('',(1.213026009102E-1,4.192585551441E-1, +-2.814428270657E-1)); +#1487=CARTESIAN_POINT('',(1.188512944185E-1,4.332001858680E-1, +-2.808978031336E-1)); +#1488=CARTESIAN_POINT('',(1.148022302518E-1,4.467688778728E-1, +-2.803526082641E-1)); +#1489=CARTESIAN_POINT('',(1.092056609872E-1,4.597846817981E-1, +-2.798071031828E-1)); +#1490=CARTESIAN_POINT('',(1.021454971751E-1,4.720547278860E-1, +-2.792620497054E-1)); +#1491=CARTESIAN_POINT('',(9.371296340804E-2,4.834264977551E-1, +-2.787169626148E-1)); +#1492=CARTESIAN_POINT('',(8.401232476805E-2,4.937536676596E-1, +-2.781714302748E-1)); +#1493=CARTESIAN_POINT('',(7.318878877748E-2,5.028811981427E-1, +-2.776262944585E-1)); +#1494=CARTESIAN_POINT('',(6.138434541646E-2,5.106939383280E-1, +-2.770812627823E-1)); +#1495=CARTESIAN_POINT('',(4.874514803840E-2,5.170924476646E-1, +-2.765358154231E-1)); +#1496=CARTESIAN_POINT('',(3.545417767277E-2,5.219823608954E-1, +-2.759905434695E-1)); +#1497=CARTESIAN_POINT('',(2.169295556869E-2,5.252999188673E-1, +-2.754455201843E-1)); +#1498=CARTESIAN_POINT('',(7.633879270762E-3,5.270035710287E-1, +-2.749002497074E-1)); +#1499=CARTESIAN_POINT('',(-6.532527956297E-3,5.270673609468E-1, +-2.743548010836E-1)); +#1500=CARTESIAN_POINT('',(-2.060028861370E-2,5.254918951561E-1, +-2.738097691523E-1)); +#1501=CARTESIAN_POINT('',(-3.439398858848E-2,5.222991076777E-1, +-2.732646342741E-1)); +#1502=CARTESIAN_POINT('',(-4.773482588591E-2,5.175267829514E-1, +-2.727191016727E-1)); +#1503=CARTESIAN_POINT('',(-6.042287500851E-2,5.112467561566E-1, +-2.721740138277E-1)); +#1504=CARTESIAN_POINT('',(-7.229860905653E-2,5.035417948390E-1, +-2.716289609852E-1)); +#1505=CARTESIAN_POINT('',(-8.321136487011E-2,4.945060641892E-1, +-2.710834559673E-1)); +#1506=CARTESIAN_POINT('',(-9.299855332288E-2,4.842730540201E-1, +-2.705382621792E-1)); +#1507=CARTESIAN_POINT('',(-1.015335474541E-1,4.729797830191E-1, +-2.699932300181E-1)); +#1508=CARTESIAN_POINT('',(-1.063165694122E-1,4.648385089795E-1, +-2.696296754071E-1)); +#1509=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.694478737747E-1)); +#1511=DIRECTION('',(-2.584969275675E-14,-3.759955310073E-14,-1.E0)); +#1512=VECTOR('',#1511,5.905511811009E-3); +#1513=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.694478737747E-1)); +#1514=LINE('',#1513,#1512); +#1515=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.753533855857E-1)); +#1516=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-2.755351872183E-1)); +#1517=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-2.758987418297E-1)); +#1518=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-2.764437739905E-1)); +#1519=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-2.769889677798E-1)); +#1520=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-2.775344727980E-1)); +#1521=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-2.780795256398E-1)); +#1522=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-2.786246134860E-1)); +#1523=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-2.791701460883E-1)); +#1524=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-2.797152809650E-1)); +#1525=CARTESIAN_POINT('',(-6.532527900751E-3,5.270673609527E-1, +-2.802603128968E-1)); +#1526=CARTESIAN_POINT('',(7.633879399073E-3,5.270035710204E-1, +-2.808057615234E-1)); +#1527=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-2.813510319970E-1)); +#1528=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-2.818960552823E-1)); +#1529=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-2.824413272384E-1)); +#1530=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-2.829867745951E-1)); +#1531=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-2.835318062709E-1)); +#1532=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-2.840769420886E-1)); +#1533=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-2.846224744276E-1)); +#1534=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-2.851675615173E-1)); +#1535=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-2.857126149950E-1)); +#1536=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-2.862581200765E-1)); +#1537=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-2.868033149451E-1)); +#1538=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-2.873483388773E-1)); +#1539=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-2.878937031608E-1)); +#1540=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-2.884390643246E-1)); +#1541=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-2.889840882171E-1)); +#1542=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-2.895292851819E-1)); +#1543=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-2.900747889393E-1)); +#1544=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-2.906198415473E-1)); +#1545=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-2.911649299091E-1)); +#1546=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-2.917104626541E-1)); +#1547=CARTESIAN_POINT('',(7.318875699800E-2,3.073550000913E-1, +-2.922555967989E-1)); +#1548=CARTESIAN_POINT('',(6.138430282298E-2,2.995422547069E-1, +-2.928006289278E-1)); +#1549=CARTESIAN_POINT('',(4.87450512E-2,2.931437309616E-1,-2.933460784002E-1)); +#1550=CARTESIAN_POINT('',(3.545413912408E-2,2.882538504490E-1, +-2.938913478159E-1)); +#1551=CARTESIAN_POINT('',(2.169291723636E-2,2.849362921735E-1, +-2.944363710953E-1)); +#1552=CARTESIAN_POINT('',(7.633774926384E-3,2.832326428327E-1, +-2.949816440802E-1)); +#1553=CARTESIAN_POINT('',(-6.532570891495E-3,2.831688641739E-1, +-2.955270903378E-1)); +#1554=CARTESIAN_POINT('',(-2.060032197825E-2,2.847443299062E-1, +-2.960721219087E-1)); +#1555=CARTESIAN_POINT('',(-3.439405006064E-2,2.879371304957E-1, +-2.966172579504E-1)); +#1556=CARTESIAN_POINT('',(-4.773486527324E-2,2.927094556574E-1, +-2.971627897585E-1)); +#1557=CARTESIAN_POINT('',(-6.042289301380E-2,2.989894747195E-1, +-2.977078767370E-1)); +#1558=CARTESIAN_POINT('',(-7.229863535841E-2,3.066944442854E-1, +-2.982529300186E-1)); +#1559=CARTESIAN_POINT('',(-8.321138750200E-2,3.157301784079E-1, +-2.987984350142E-1)); +#1560=CARTESIAN_POINT('',(-9.299855715220E-2,3.259631716210E-1, +-2.993436278309E-1)); +#1561=CARTESIAN_POINT('',(-1.015335535201E-1,3.372564463665E-1, +-2.998886601614E-1)); +#1562=CARTESIAN_POINT('',(-1.063165710615E-1,3.453977147147E-1, +-3.002522144962E-1)); +#1563=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.004340159890E-1)); +#1565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1566=VECTOR('',#1565,5.905511811040E-3); +#1567=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.945285041780E-1)); +#1568=LINE('',#1567,#1566); +#1569=CARTESIAN_POINT('',(-4.702550736569E-2,3.078149049158E-1, +-3.644019671319E-1)); +#1570=CARTESIAN_POINT('',(-4.689661921853E-2,3.071654129173E-1, +-3.640793885478E-1)); +#1571=CARTESIAN_POINT('',(-4.671142050974E-2,3.057877298092E-1, +-3.633744013420E-1)); +#1572=CARTESIAN_POINT('',(-4.668493243656E-2,3.034628395769E-1, +-3.621207188741E-1)); +#1573=CARTESIAN_POINT('',(-4.693043763430E-2,3.009993253024E-1, +-3.607340124548E-1)); +#1574=CARTESIAN_POINT('',(-4.742870249506E-2,2.984837826902E-1, +-3.592674225459E-1)); +#1575=CARTESIAN_POINT('',(-4.815135315480E-2,2.959759721371E-1, +-3.577604277094E-1)); +#1576=CARTESIAN_POINT('',(-4.874545103418E-2,2.943733621712E-1, +-3.567714168280E-1)); +#1577=CARTESIAN_POINT('',(-4.906791475291E-2,2.935839980246E-1, +-3.562784154372E-1)); +#1579=CARTESIAN_POINT('',(-4.702550736569E-2,3.078149049158E-1, +-3.644019671319E-1)); +#1580=CARTESIAN_POINT('',(-4.316330707394E-2,3.059483484373E-1, +-3.642154342827E-1)); +#1581=CARTESIAN_POINT('',(-3.521610483975E-2,3.026757268962E-1, +-3.638423569240E-1)); +#1582=CARTESIAN_POINT('',(-2.280055871894E-2,2.992181912917E-1, +-3.632829161647E-1)); +#1583=CARTESIAN_POINT('',(-1.005179737897E-2,2.972586489336E-1, +-3.627230199057E-1)); +#1584=CARTESIAN_POINT('',(2.833949377556E-3,2.968287762597E-1, +-3.621633652425E-1)); +#1585=CARTESIAN_POINT('',(1.567380571477E-2,2.979314912773E-1, +-3.616039612224E-1)); +#1586=CARTESIAN_POINT('',(2.830071911575E-2,3.005534311154E-1, +-3.610441614374E-1)); +#1587=CARTESIAN_POINT('',(4.052571482515E-2,3.046576510094E-1, +-3.604843926643E-1)); +#1588=CARTESIAN_POINT('',(5.216968092862E-2,3.101815879233E-1, +-3.599249585223E-1)); +#1589=CARTESIAN_POINT('',(6.307945643845E-2,3.170518326464E-1, +-3.593653099207E-1)); +#1590=CARTESIAN_POINT('',(7.309863871306E-2,3.251727627254E-1, +-3.588054767596E-1)); +#1591=CARTESIAN_POINT('',(8.207725807942E-2,3.344220258640E-1, +-3.582459272453E-1)); +#1592=CARTESIAN_POINT('',(8.989328533165E-2,3.446709927196E-1, +-3.576864329600E-1)); +#1593=CARTESIAN_POINT('',(9.644005221687E-2,3.557824029665E-1, +-3.571266164539E-1)); +#1594=CARTESIAN_POINT('',(1.016186287831E-1,3.675910543783E-1, +-3.565669032920E-1)); +#1595=CARTESIAN_POINT('',(1.053560953390E-1,3.799244732349E-1, +-3.560074926173E-1)); +#1596=CARTESIAN_POINT('',(1.076036443367E-1,3.926217263511E-1, +-3.554477631571E-1)); +#1597=CARTESIAN_POINT('',(1.083259154523E-1,4.054987462994E-1, +-3.548879200265E-1)); +#1598=CARTESIAN_POINT('',(1.075139221281E-1,4.183605692824E-1, +-3.543285027768E-1)); +#1599=CARTESIAN_POINT('',(1.051797629424E-1,4.310393412308E-1, +-3.537688944122E-1)); +#1600=CARTESIAN_POINT('',(1.013530896695E-1,4.433571684045E-1, +-3.532089959565E-1)); +#1601=CARTESIAN_POINT('',(9.609336318745E-2,4.551248059898E-1, +-3.526494843653E-1)); +#1602=CARTESIAN_POINT('',(8.947389320289E-2,4.661843501450E-1, +-3.520899911730E-1)); +#1603=CARTESIAN_POINT('',(8.158222988328E-2,4.763854571248E-1, +-3.515301455070E-1)); +#1604=CARTESIAN_POINT('',(7.253651356556E-2,4.855735496015E-1, +-3.509704591758E-1)); +#1605=CARTESIAN_POINT('',(6.246846772365E-2,4.936184748088E-1, +-3.504110407131E-1)); +#1606=CARTESIAN_POINT('',(5.151063096128E-2,5.004142778259E-1, +-3.498513359456E-1)); +#1607=CARTESIAN_POINT('',(3.981895175572E-2,5.058608813942E-1, +-3.492914565887E-1)); +#1608=CARTESIAN_POINT('',(2.757340079740E-2,5.098764156542E-1, +-3.487320523508E-1)); +#1609=CARTESIAN_POINT('',(1.493408550335E-2,5.124105685241E-1, +-3.481724867131E-1)); +#1610=CARTESIAN_POINT('',(2.073742577566E-3,5.134249975335E-1, +-3.476125122504E-1)); +#1611=CARTESIAN_POINT('',(-1.080439123541E-2,5.129042523679E-1, +-3.470530418350E-1)); +#1612=CARTESIAN_POINT('',(-2.352935374201E-2,5.108585764036E-1, +-3.464935848648E-1)); +#1613=CARTESIAN_POINT('',(-3.593198797916E-2,5.073120001166E-1, +-3.459336337951E-1)); +#1614=CARTESIAN_POINT('',(-4.781765941E-2,5.023193356618E-1, +-3.453740319192E-1)); +#1615=CARTESIAN_POINT('',(-5.902271413283E-2,4.959528780109E-1, +-3.448146157861E-1)); +#1616=CARTESIAN_POINT('',(-6.593999840146E-2,4.908481841535E-1, +-3.444414416405E-1)); +#1617=CARTESIAN_POINT('',(-6.923515800924E-2,4.880988610406E-1, +-3.442548265440E-1)); +#1619=CARTESIAN_POINT('',(-5.442879043213E-2,3.117542045728E-1, +-3.671634613848E-1)); +#1620=CARTESIAN_POINT('',(-5.40592466E-2,3.115387698858E-1,-3.671634613848E-1)); +#1621=CARTESIAN_POINT('',(-5.331777976809E-2,3.111124140471E-1, +-3.671244669805E-1)); +#1622=CARTESIAN_POINT('',(-5.220891494286E-2,3.104921473079E-1, +-3.669500671906E-1)); +#1623=CARTESIAN_POINT('',(-5.110928897704E-2,3.098938306871E-1, +-3.666605462126E-1)); +#1624=CARTESIAN_POINT('',(-5.003116121954E-2,3.093231440005E-1, +-3.662587733221E-1)); +#1625=CARTESIAN_POINT('',(-4.898317579347E-2,3.087832504972E-1, +-3.657479781463E-1)); +#1626=CARTESIAN_POINT('',(-4.797501124454E-2,3.082774525584E-1, +-3.651324973275E-1)); +#1627=CARTESIAN_POINT('',(-4.733559652838E-2,3.079647674014E-1, +-3.646558778536E-1)); +#1628=CARTESIAN_POINT('',(-4.702550736569E-2,3.078149049158E-1, +-3.644019671319E-1)); +#1630=CARTESIAN_POINT('',(1.043296298660E-1,3.769287427258E-1, +-4.524380868419E-1)); +#1631=CARTESIAN_POINT('',(1.054714656789E-1,3.811547086927E-1, +-4.522477310888E-1)); +#1632=CARTESIAN_POINT('',(1.072405133863E-1,3.897443166287E-1, +-4.518670753428E-1)); +#1633=CARTESIAN_POINT('',(1.083129536332E-1,4.028471763715E-1, +-4.512964454943E-1)); +#1634=CARTESIAN_POINT('',(1.077904948186E-1,4.159882313937E-1, +-4.507256099477E-1)); +#1635=CARTESIAN_POINT('',(1.056772724991E-1,4.289767373255E-1, +-4.501544336203E-1)); +#1636=CARTESIAN_POINT('',(1.020087346587E-1,4.416016514747E-1, +-4.495837849236E-1)); +#1637=CARTESIAN_POINT('',(9.683810308313E-2,4.536909512952E-1, +-4.490130705139E-1)); +#1638=CARTESIAN_POINT('',(9.023408753243E-2,4.650743520922E-1, +-4.484418483848E-1)); +#1639=CARTESIAN_POINT('',(8.230554483579E-2,4.755640443744E-1, +-4.478711194598E-1)); +#1640=CARTESIAN_POINT('',(7.316673036163E-2,4.850152014782E-1, +-4.473004778367E-1)); +#1641=CARTESIAN_POINT('',(6.294050405014E-2,4.932965946535E-1, +-4.467293177226E-1)); +#1642=CARTESIAN_POINT('',(5.179144955889E-2,5.002732508973E-1, +-4.461584576823E-1)); +#1643=CARTESIAN_POINT('',(3.988456763569E-2,5.058459690149E-1, +-4.455878376129E-1)); +#1644=CARTESIAN_POINT('',(2.738215607389E-2,5.099378223153E-1, +-4.450168483691E-1)); +#1645=CARTESIAN_POINT('',(1.447450105610E-2,5.124837487205E-1, +-4.444458001679E-1)); +#1646=CARTESIAN_POINT('',(1.363307914646E-3,5.134462591112E-1, +-4.438751789028E-1)); +#1647=CARTESIAN_POINT('',(-1.177248838705E-2,5.128137868164E-1, +-4.433043616073E-1)); +#1648=CARTESIAN_POINT('',(-2.474312783567E-2,5.105918087943E-1, +-4.427331726753E-1)); +#1649=CARTESIAN_POINT('',(-3.733704362252E-2,5.068175445761E-1, +-4.421625154895E-1)); +#1650=CARTESIAN_POINT('',(-4.938230864340E-2,5.015459277359E-1, +-4.415918146490E-1)); +#1651=CARTESIAN_POINT('',(-6.070995997135E-2,4.948468373535E-1, +-4.410205947204E-1)); +#1652=CARTESIAN_POINT('',(-7.113314524523E-2,4.868304711970E-1, +-4.404498502003E-1)); +#1653=CARTESIAN_POINT('',(-8.050727533503E-2,4.776128682361E-1, +-4.398792147702E-1)); +#1654=CARTESIAN_POINT('',(-8.870248263679E-2,4.673179693680E-1, +-4.393080724728E-1)); +#1655=CARTESIAN_POINT('',(-9.558579648774E-2,4.561104155788E-1, +-4.387371891817E-1)); +#1656=CARTESIAN_POINT('',(-1.010585030501E-1,4.441572417879E-1, +-4.381665693169E-1)); +#1657=CARTESIAN_POINT('',(-1.050453137946E-1,4.316216262340E-1, +-4.375956085038E-1)); +#1658=CARTESIAN_POINT('',(-1.074831752709E-1,4.186924936392E-1, +-4.370245333540E-1)); +#1659=CARTESIAN_POINT('',(-1.083357523366E-1,4.055736469588E-1, +-4.364539101393E-1)); +#1660=CARTESIAN_POINT('',(-1.075932968794E-1,3.924440651863E-1, +-4.358831125948E-1)); +#1661=CARTESIAN_POINT('',(-1.052627269371E-1,3.794922375116E-1, +-4.353119125923E-1)); +#1662=CARTESIAN_POINT('',(-1.013829942713E-1,3.669301854124E-1, +-4.347412460568E-1)); +#1663=CARTESIAN_POINT('',(-9.601075334731E-2,3.549297774997E-1, +-4.341705576941E-1)); +#1664=CARTESIAN_POINT('',(-8.921708506065E-2,3.436587137125E-1, +-4.335993421932E-1)); +#1665=CARTESIAN_POINT('',(-8.111344236849E-2,3.333027488242E-1, +-4.330285810365E-1)); +#1666=CARTESIAN_POINT('',(-7.181767970447E-2,3.240062884181E-1, +-4.324579507392E-1)); +#1667=CARTESIAN_POINT('',(-6.145487661563E-2,3.158978678816E-1, +-4.318868285413E-1)); +#1668=CARTESIAN_POINT('',(-5.018958143224E-2,3.091083687560E-1, +-4.313159207045E-1)); +#1669=CARTESIAN_POINT('',(-3.819095327675E-2,3.037360572051E-1, +-4.307453009420E-1)); +#1670=CARTESIAN_POINT('',(-2.562298687159E-2,2.998545528247E-1, +-4.301743672646E-1)); +#1671=CARTESIAN_POINT('',(-1.267333294203E-2,2.975249479712E-1, +-4.296032674492E-1)); +#1672=CARTESIAN_POINT('',(4.522696647388E-4,2.967823592564E-1, +-4.290326412238E-1)); +#1673=CARTESIAN_POINT('',(1.357473968533E-2,2.976347446515E-1, +-4.284618623922E-1)); +#1674=CARTESIAN_POINT('',(2.650679619911E-2,3.000737380091E-1, +-4.278906535334E-1)); +#1675=CARTESIAN_POINT('',(3.903612562018E-2,3.040586732083E-1, +-4.273199766056E-1)); +#1676=CARTESIAN_POINT('',(5.099085585370E-2,3.095311707485E-1, +-4.267492996779E-1)); +#1677=CARTESIAN_POINT('',(6.220449899653E-2,3.164189121591E-1, +-4.261780908191E-1)); +#1678=CARTESIAN_POINT('',(7.249253447532E-2,3.246092788352E-1, +-4.256073119875E-1)); +#1679=CARTESIAN_POINT('',(8.171068754346E-2,3.339825672551E-1, +-4.250366857621E-1)); +#1680=CARTESIAN_POINT('',(8.973172763786E-2,3.444125006908E-1, +-4.244655859467E-1)); +#1681=CARTESIAN_POINT('',(9.642694570004E-2,3.557347756794E-1, +-4.238946522693E-1)); +#1682=CARTESIAN_POINT('',(1.016984858222E-1,3.677780175085E-1, +-4.233240325068E-1)); +#1683=CARTESIAN_POINT('',(1.054744267557E-1,3.803774795609E-1, +-4.227531246700E-1)); +#1684=CARTESIAN_POINT('',(1.076955922135E-1,3.933466967873E-1, +-4.221820024721E-1)); +#1685=CARTESIAN_POINT('',(1.083281447243E-1,4.064781573652E-1, +-4.216113721748E-1)); +#1686=CARTESIAN_POINT('',(1.073658897845E-1,4.195926188135E-1, +-4.210406110181E-1)); +#1687=CARTESIAN_POINT('',(1.048186504404E-1,4.325039463754E-1, +-4.204693955172E-1)); +#1688=CARTESIAN_POINT('',(1.007287854589E-1,4.449996963348E-1, +-4.198987071545E-1)); +#1689=CARTESIAN_POINT('',(9.515640533735E-2,4.569079312285E-1, +-4.193280406190E-1)); +#1690=CARTESIAN_POINT('',(8.817510330916E-2,4.680633253659E-1, +-4.187568406165E-1)); +#1691=CARTESIAN_POINT('',(7.989857081412E-2,4.782827268887E-1, +-4.181860430720E-1)); +#1692=CARTESIAN_POINT('',(7.044839570486E-2,4.874219399994E-1, +-4.176154198573E-1)); +#1693=CARTESIAN_POINT('',(5.995211126480E-2,4.953550132491E-1, +-4.170443447075E-1)); +#1694=CARTESIAN_POINT('',(4.857362169882E-2,5.019554899307E-1, +-4.164733838944E-1)); +#1695=CARTESIAN_POINT('',(3.648661001528E-2,5.071258892726E-1, +-4.159027640296E-1)); +#1696=CARTESIAN_POINT('',(2.385650953090E-2,5.107960164179E-1, +-4.153318807385E-1)); +#1697=CARTESIAN_POINT('',(1.086868875487E-2,5.129085686455E-1, +-4.147607384411E-1)); +#1698=CARTESIAN_POINT('',(-2.267731552898E-3,5.134310442740E-1, +-4.141901030110E-1)); +#1699=CARTESIAN_POINT('',(-1.537328806748E-2,5.123589873485E-1, +-4.136193584909E-1)); +#1700=CARTESIAN_POINT('',(-2.826293056885E-2,5.097036883546E-1, +-4.130481385623E-1)); +#1701=CARTESIAN_POINT('',(-4.072424654337E-2,5.055091731602E-1, +-4.124774377218E-1)); +#1702=CARTESIAN_POINT('',(-5.258517139842E-2,4.998372910372E-1, +-4.119067805360E-1)); +#1703=CARTESIAN_POINT('',(-6.368149298697E-2,4.927629485773E-1, +-4.113355916040E-1)); +#1704=CARTESIAN_POINT('',(-7.383156052853E-2,4.844008140062E-1, +-4.107647743085E-1)); +#1705=CARTESIAN_POINT('',(-8.289120613779E-2,4.748743963542E-1, +-4.101941530434E-1)); +#1706=CARTESIAN_POINT('',(-9.073573346652E-2,4.643125456496E-1, +-4.096231048422E-1)); +#1707=CARTESIAN_POINT('',(-9.724101449888E-2,4.528786103260E-1, +-4.090521155984E-1)); +#1708=CARTESIAN_POINT('',(-1.023099108014E-1,4.407486756755E-1, +-4.084814955290E-1)); +#1709=CARTESIAN_POINT('',(-1.058739805737E-1,4.280887959343E-1, +-4.079106354886E-1)); +#1710=CARTESIAN_POINT('',(-1.078777546919E-1,4.150833329776E-1, +-4.073394753748E-1)); +#1711=CARTESIAN_POINT('',(-1.082901199351E-1,4.019428514111E-1, +-4.067688337508E-1)); +#1712=CARTESIAN_POINT('',(-1.071083357457E-1,3.888470956767E-1, +-4.061981048293E-1)); +#1713=CARTESIAN_POINT('',(-1.043451723306E-1,3.759800925756E-1, +-4.056268826871E-1)); +#1714=CARTESIAN_POINT('',(-1.000462932922E-1,3.635540627956E-1, +-4.050561683262E-1)); +#1715=CARTESIAN_POINT('',(-9.427529626982E-2,3.517412596642E-1, +-4.044855194472E-1)); +#1716=CARTESIAN_POINT('',(-8.710844119637E-2,3.407048292763E-1, +-4.039143438E-1)); +#1717=CARTESIAN_POINT('',(-7.866127383329E-2,3.306247911772E-1, +-4.033435057153E-1)); +#1718=CARTESIAN_POINT('',(-6.905926282616E-2,3.216453354975E-1, +-4.027728853389E-1)); +#1719=CARTESIAN_POINT('',(-5.843263592219E-2,3.138899257874E-1, +-4.022018663694E-1)); +#1720=CARTESIAN_POINT('',(-4.694402720638E-2,3.074802885977E-1, +-4.016308473999E-1)); +#1721=CARTESIAN_POINT('',(-3.477201948458E-2,3.025132504757E-1, +-4.010602270235E-1)); +#1722=CARTESIAN_POINT('',(-2.208322156949E-2,2.990554748890E-1, +-4.004893889389E-1)); +#1723=CARTESIAN_POINT('',(-9.061079336112E-3,2.971606347887E-1, +-3.999182132916E-1)); +#1724=CARTESIAN_POINT('',(4.082564845348E-3,2.968584062581E-1, +-3.993475644127E-1)); +#1725=CARTESIAN_POINT('',(1.716762452227E-2,2.981498358267E-1, +-3.987768500518E-1)); +#1726=CARTESIAN_POINT('',(3.001103574655E-2,3.010206595738E-1, +-3.982056279096E-1)); +#1727=CARTESIAN_POINT('',(4.240092945869E-2,3.054236092585E-1, +-3.976348989880E-1)); +#1728=CARTESIAN_POINT('',(5.416480235949E-2,3.112933277584E-1, +-3.970642573641E-1)); +#1729=CARTESIAN_POINT('',(6.514052743936E-2,3.185521593728E-1, +-3.964930972502E-1)); +#1730=CARTESIAN_POINT('',(7.514984681409E-2,3.270837872397E-1, +-3.959222372099E-1)); +#1731=CARTESIAN_POINT('',(8.404848942419E-2,3.367607280811E-1, +-3.953516171404E-1)); +#1732=CARTESIAN_POINT('',(9.171423914656E-2,3.474513706945E-1, +-3.947806278966E-1)); +#1733=CARTESIAN_POINT('',(9.802776850347E-2,3.589938421100E-1, +-3.942095796954E-1)); +#1734=CARTESIAN_POINT('',(1.028926063148E-1,3.712070793923E-1, +-3.936389584304E-1)); +#1735=CARTESIAN_POINT('',(1.062438561177E-1,3.839239300418E-1, +-3.930681411349E-1)); +#1736=CARTESIAN_POINT('',(1.080296156990E-1,3.969617878702E-1, +-3.924969522029E-1)); +#1737=CARTESIAN_POINT('',(1.082216882522E-1,4.101076957266E-1, +-3.919262950170E-1)); +#1738=CARTESIAN_POINT('',(1.068207023783E-1,4.231811647427E-1, +-3.913555941766E-1)); +#1739=CARTESIAN_POINT('',(1.038424312229E-1,4.360000335494E-1, +-3.907843742479E-1)); +#1740=CARTESIAN_POINT('',(9.933571090166E-2,4.483529437149E-1, +-3.902136297278E-1)); +#1741=CARTESIAN_POINT('',(9.336767063758E-2,4.600670811006E-1, +-3.896429942977E-1)); +#1742=CARTESIAN_POINT('',(8.601740677476E-2,4.709812914051E-1, +-3.890718520003E-1)); +#1743=CARTESIAN_POINT('',(7.740189360706E-2,4.809192089116E-1, +-3.885009687093E-1)); +#1744=CARTESIAN_POINT('',(6.765070094115E-2,4.897364149534E-1, +-3.879303488445E-1)); +#1745=CARTESIAN_POINT('',(5.689677273121E-2,4.973119905279E-1, +-3.873593880314E-1)); +#1746=CARTESIAN_POINT('',(4.530128831740E-2,5.035289334614E-1, +-3.867883128815E-1)); +#1747=CARTESIAN_POINT('',(3.304765834473E-2,5.082912415543E-1, +-3.862176896668E-1)); +#1748=CARTESIAN_POINT('',(2.030362475711E-2,5.115357392881E-1, +-3.856468921223E-1)); +#1749=CARTESIAN_POINT('',(7.251015691145E-3,5.132122812473E-1, +-3.850756921198E-1)); +#1750=CARTESIAN_POINT('',(-5.896256656139E-3,5.132941856282E-1, +-3.845050255844E-1)); +#1751=CARTESIAN_POINT('',(-1.895724114130E-2,5.117837397028E-1, +-3.839343372216E-1)); +#1752=CARTESIAN_POINT('',(-3.175061900286E-2,5.086982428907E-1, +-3.833631217208E-1)); +#1753=CARTESIAN_POINT('',(-4.406570062094E-2,5.040880586872E-1, +-3.827923605640E-1)); +#1754=CARTESIAN_POINT('',(-5.572930036469E-2,4.980221028181E-1, +-3.822217302668E-1)); +#1755=CARTESIAN_POINT('',(-6.658119157248E-2,4.905809585064E-1, +-3.816506080688E-1)); +#1756=CARTESIAN_POINT('',(-7.644702863167E-2,4.818821405944E-1, +-3.810797002321E-1)); +#1757=CARTESIAN_POINT('',(-8.518218031571E-2,4.720573793214E-1, +-3.805090804696E-1)); +#1758=CARTESIAN_POINT('',(-9.266707334331E-2,4.612408996639E-1, +-3.799381467922E-1)); +#1759=CARTESIAN_POINT('',(-9.878695918603E-2,4.495932564284E-1, +-3.793670469768E-1)); +#1760=CARTESIAN_POINT('',(-1.034464148709E-1,4.373000731746E-1, +-3.787964207513E-1)); +#1761=CARTESIAN_POINT('',(-1.065839411924E-1,4.245297282738E-1, +-3.782256419198E-1)); +#1762=CARTESIAN_POINT('',(-1.081511374053E-1,4.114633335796E-1, +-3.776544330610E-1)); +#1763=CARTESIAN_POINT('',(-1.081228686034E-1,3.983155959355E-1, +-3.770837561332E-1)); +#1764=CARTESIAN_POINT('',(-1.065030660243E-1,3.852679891094E-1, +-3.765130792054E-1)); +#1765=CARTESIAN_POINT('',(-1.033105741236E-1,3.725010486091E-1, +-3.759418703466E-1)); +#1766=CARTESIAN_POINT('',(-9.859723951416E-2,3.602246380115E-1, +-3.753710915151E-1)); +#1767=CARTESIAN_POINT('',(-9.243378062110E-2,3.486123748271E-1, +-3.748004652896E-1)); +#1768=CARTESIAN_POINT('',(-8.490231511607E-2,3.378236018192E-1, +-3.742293654742E-1)); +#1769=CARTESIAN_POINT('',(-7.612077833436E-2,3.280305191806E-1, +-3.736584317968E-1)); +#1770=CARTESIAN_POINT('',(-6.622313683107E-2,3.193780338910E-1, +-3.730878120343E-1)); +#1771=CARTESIAN_POINT('',(-5.534484386678E-2,3.119843260168E-1, +-3.725169041976E-1)); +#1772=CARTESIAN_POINT('',(-4.364590038445E-2,3.059619599659E-1, +-3.719457819996E-1)); +#1773=CARTESIAN_POINT('',(-3.131400574470E-2,3.014056726415E-1, +-3.713751517024E-1)); +#1774=CARTESIAN_POINT('',(-1.851822292996E-2,2.983753301183E-1, +-3.708043905456E-1)); +#1775=CARTESIAN_POINT('',(-5.439009381423E-3,2.969175987858E-1, +-3.702331750448E-1)); +#1776=CARTESIAN_POINT('',(7.708294411897E-3,2.970560385967E-1, +-3.696624866820E-1)); +#1777=CARTESIAN_POINT('',(2.074163124893E-2,2.987850872929E-1, +-3.690918201466E-1)); +#1778=CARTESIAN_POINT('',(3.348119016883E-2,3.020843349187E-1, +-3.685206201441E-1)); +#1779=CARTESIAN_POINT('',(4.571808985288E-2,3.069004997570E-1, +-3.679498225996E-1)); +#1780=CARTESIAN_POINT('',(5.727822082073E-2,3.131610426955E-1, +-3.673791993849E-1)); +#1781=CARTESIAN_POINT('',(6.800308181578E-2,3.207822627334E-1, +-3.668081242350E-1)); +#1782=CARTESIAN_POINT('',(7.772274070688E-2,3.296459272278E-1, +-3.662371634219E-1)); +#1783=CARTESIAN_POINT('',(8.629195336185E-2,3.396157554744E-1, +-3.656665435571E-1)); +#1784=CARTESIAN_POINT('',(9.359397929892E-2,3.505551196571E-1, +-3.650956602661E-1)); +#1785=CARTESIAN_POINT('',(9.951837086649E-2,3.623045004910E-1, +-3.645245179687E-1)); +#1786=CARTESIAN_POINT('',(1.039711806336E-1,3.746742621889E-1, +-3.639538825386E-1)); +#1787=CARTESIAN_POINT('',(1.068941339440E-1,3.874946077987E-1, +-3.633831380185E-1)); +#1788=CARTESIAN_POINT('',(1.082422902636E-1,4.005856723504E-1, +-3.628119180898E-1)); +#1789=CARTESIAN_POINT('',(1.079936887998E-1,4.137316432482E-1, +-3.622412172494E-1)); +#1790=CARTESIAN_POINT('',(1.061555116562E-1,4.267498186204E-1, +-3.616705600635E-1)); +#1791=CARTESIAN_POINT('',(1.027497564422E-1,4.394610540230E-1, +-3.610993711315E-1)); +#1792=CARTESIAN_POINT('',(9.783108823230E-2,4.516576055325E-1, +-3.605285538360E-1)); +#1793=CARTESIAN_POINT('',(9.147388659930E-2,4.631648119373E-1, +-3.599579325710E-1)); +#1794=CARTESIAN_POINT('',(8.376348604127E-2,4.738249724686E-1, +-3.593868843698E-1)); +#1795=CARTESIAN_POINT('',(7.481829023758E-2,4.834705429715E-1, +-3.588158951260E-1)); +#1796=CARTESIAN_POINT('',(6.477697379537E-2,4.919558825910E-1, +-3.582452750565E-1)); +#1797=CARTESIAN_POINT('',(5.377728438666E-2,4.991657356619E-1, +-3.576744150163E-1)); +#1798=CARTESIAN_POINT('',(4.197833333461E-2,5.049917061021E-1, +-3.571032549021E-1)); +#1799=CARTESIAN_POINT('',(2.957155130276E-2,5.093407359029E-1, +-3.565326132791E-1)); +#1800=CARTESIAN_POINT('',(1.672751961804E-2,5.121561015184E-1, +-3.559618843541E-1)); +#1801=CARTESIAN_POINT('',(3.625573142968E-3,5.133945819033E-1, +-3.553906622249E-1)); +#1802=CARTESIAN_POINT('',(-9.518166864983E-3,5.130358345798E-1, +-3.548199478152E-1)); +#1803=CARTESIAN_POINT('',(-2.252028688145E-2,5.110886565603E-1, +-3.542492991185E-1)); +#1804=CARTESIAN_POINT('',(-3.520227160896E-2,5.075766402900E-1, +-3.536781227912E-1)); +#1805=CARTESIAN_POINT('',(-4.735759404498E-2,5.025558408729E-1, +-3.531072872446E-1)); +#1806=CARTESIAN_POINT('',(-5.881125977849E-2,4.961022680029E-1, +-3.525366573960E-1)); +#1807=CARTESIAN_POINT('',(-6.587394768710E-2,4.909032937012E-1, +-3.521560016501E-1)); +#1808=CARTESIAN_POINT('',(-6.923515800924E-2,4.880988610406E-1, +-3.519656458970E-1)); +#1810=DIRECTION('',(0.E0,0.E0,1.E0)); +#1811=VECTOR('',#1810,7.710819353042E-3); +#1812=CARTESIAN_POINT('',(1.043296298660E-1,3.769287427258E-1, +-4.524380868419E-1)); +#1813=LINE('',#1812,#1811); +#1814=CARTESIAN_POINT('',(-6.066537598224E-2,3.156808458239E-1, +-3.650872641743E-1)); +#1815=CARTESIAN_POINT('',(-6.020740551491E-2,3.153702040487E-1, +-3.654253437304E-1)); +#1816=CARTESIAN_POINT('',(-5.924911912635E-2,3.147305234414E-1, +-3.660249027203E-1)); +#1817=CARTESIAN_POINT('',(-5.770908220688E-2,3.137389974061E-1, +-3.666785531222E-1)); +#1818=CARTESIAN_POINT('',(-5.609292280241E-2,3.127377590688E-1, +-3.670750888592E-1)); +#1819=CARTESIAN_POINT('',(-5.498517510731E-2,3.120785627354E-1, +-3.671634613848E-1)); +#1820=CARTESIAN_POINT('',(-5.442879043213E-2,3.117542045728E-1, +-3.671634613848E-1)); +#1822=CARTESIAN_POINT('',(-4.611832461398E-2,3.073816674760E-1, +-3.425415108254E-1)); +#1823=CARTESIAN_POINT('',(-4.658092420027E-2,3.075999516419E-1, +-3.420869538649E-1)); +#1824=CARTESIAN_POINT('',(-4.756220275229E-2,3.080709606252E-1, +-3.412515069968E-1)); +#1825=CARTESIAN_POINT('',(-4.918180389459E-2,3.088808018123E-1, +-3.402420836362E-1)); +#1826=CARTESIAN_POINT('',(-5.087362703926E-2,3.097636361274E-1, +-3.395153075154E-1)); +#1827=CARTESIAN_POINT('',(-5.264592206937E-2,3.107299869623E-1, +-3.390653026029E-1)); +#1828=CARTESIAN_POINT('',(-5.383628269764E-2,3.114087875824E-1, +-3.389655501455E-1)); +#1829=CARTESIAN_POINT('',(-5.442879043213E-2,3.117542045728E-1, +-3.389655501455E-1)); +#1831=CARTESIAN_POINT('',(-5.442879043213E-2,3.117542045728E-1, +-3.389655501455E-1)); +#1832=CARTESIAN_POINT('',(-5.509234429733E-2,3.121410396566E-1, +-3.389655501455E-1)); +#1833=CARTESIAN_POINT('',(-5.641096094254E-2,3.129288079480E-1, +-3.390908044524E-1)); +#1834=CARTESIAN_POINT('',(-5.832007909271E-2,3.141253703693E-1, +-3.396556311466E-1)); +#1835=CARTESIAN_POINT('',(-6.008603875274E-2,3.152828911721E-1, +-3.405686586029E-1)); +#1836=CARTESIAN_POINT('',(-6.169959498480E-2,3.163848041736E-1, +-3.418223764791E-1)); +#1837=CARTESIAN_POINT('',(-6.262636400926E-2,3.170413061269E-1, +-3.428453204839E-1)); +#1838=CARTESIAN_POINT('',(-6.305054977043E-2,3.173460173919E-1, +-3.433970228127E-1)); +#1840=CARTESIAN_POINT('',(-6.923515800924E-2,4.880988610406E-1, +-3.519656458970E-1)); +#1841=CARTESIAN_POINT('',(-7.259853486411E-2,4.852926207290E-1, +-3.517751674464E-1)); +#1842=CARTESIAN_POINT('',(-7.898256335754E-2,4.792714404997E-1, +-3.513942665002E-1)); +#1843=CARTESIAN_POINT('',(-8.738830206307E-2,4.691510059991E-1, +-3.508232343390E-1)); +#1844=CARTESIAN_POINT('',(-9.450737709092E-2,4.580838988222E-1, +-3.502520672563E-1)); +#1845=CARTESIAN_POINT('',(-1.002351716934E-1,4.462266968919E-1, +-3.496805067084E-1)); +#1846=CARTESIAN_POINT('',(-1.044787571341E-1,4.337729391246E-1, +-3.491094347427E-1)); +#1847=CARTESIAN_POINT('',(-1.071821651439E-1,4.208972595632E-1, +-3.485383833313E-1)); +#1848=CARTESIAN_POINT('',(-1.083048938882E-1,4.077775581162E-1, +-3.479668455220E-1)); +#1849=CARTESIAN_POINT('',(-1.078278735060E-1,3.946260926585E-1, +-3.473956348318E-1)); +#1850=CARTESIAN_POINT('',(-1.057612320140E-1,3.816337106904E-1, +-3.468246134010E-1)); +#1851=CARTESIAN_POINT('',(-1.021328808308E-1,3.689808665706E-1, +-3.462532861166E-1)); +#1852=CARTESIAN_POINT('',(-9.699514909984E-2,3.568592427914E-1, +-3.456818423150E-1)); +#1853=CARTESIAN_POINT('',(-9.043029276005E-2,3.454584033471E-1, +-3.451108154375E-1)); +#1854=CARTESIAN_POINT('',(-8.253088970558E-2,3.349350108157E-1, +-3.445396806581E-1)); +#1855=CARTESIAN_POINT('',(-7.340630923888E-2,3.254404641325E-1, +-3.439681143307E-1)); +#1856=CARTESIAN_POINT('',(-6.660566622568E-2,3.198998137039E-1, +-3.435873693830E-1)); +#1857=CARTESIAN_POINT('',(-6.305054977043E-2,3.173460173919E-1, +-3.433970228127E-1)); +#1859=DIRECTION('',(0.E0,0.E0,1.E0)); +#1860=VECTOR('',#1859,7.710819353042E-3); +#1861=CARTESIAN_POINT('',(-6.923515800924E-2,4.880988610406E-1, +-3.519656458970E-1)); +#1862=LINE('',#1861,#1860); +#1863=CARTESIAN_POINT('',(-1.617002759824E-2,2.982638060829E-1, +-3.039370078740E-1)); +#1864=CARTESIAN_POINT('',(-1.197499941222E-2,2.976289816640E-1, +-3.039370078740E-1)); +#1865=CARTESIAN_POINT('',(-3.577784180896E-3,2.968597469215E-1, +-3.039370078740E-1)); +#1866=CARTESIAN_POINT('',(9.112822807751E-3,2.971831799492E-1, +-3.039370078740E-1)); +#1867=CARTESIAN_POINT('',(2.166819206821E-2,2.989889324916E-1, +-3.039370078740E-1)); +#1868=CARTESIAN_POINT('',(3.393760178393E-2,3.022531932856E-1, +-3.039370078740E-1)); +#1869=CARTESIAN_POINT('',(4.572911021092E-2,3.069259524468E-1, +-3.039370078740E-1)); +#1870=CARTESIAN_POINT('',(5.689605909917E-2,3.129454677383E-1, +-3.039370078740E-1)); +#1871=CARTESIAN_POINT('',(6.728986820102E-2,3.202357739073E-1, +-3.039370078740E-1)); +#1872=CARTESIAN_POINT('',(7.675094817389E-2,3.286841167237E-1, +-3.039370078740E-1)); +#1873=CARTESIAN_POINT('',(8.515999971998E-2,3.381803369964E-1, +-3.039370078740E-1)); +#1874=CARTESIAN_POINT('',(9.240318213383E-2,3.485973423007E-1, +-3.039370078740E-1)); +#1875=CARTESIAN_POINT('',(9.837918459323E-2,3.597926869920E-1, +-3.039370078740E-1)); +#1876=CARTESIAN_POINT('',(1.030028206475E-1,3.716008562856E-1, +-3.039370078740E-1)); +#1877=CARTESIAN_POINT('',(1.062154606969E-1,3.838826272532E-1, +-3.039370078740E-1)); +#1878=CARTESIAN_POINT('',(1.073837114220E-1,3.922322527007E-1, +-3.039370078740E-1)); +#1879=CARTESIAN_POINT('',(1.077235360764E-1,3.964606346331E-1, +-3.039370078740E-1)); +#1881=CARTESIAN_POINT('',(-4.611832461398E-2,3.073816674760E-1, +-3.425415108254E-1)); +#1882=CARTESIAN_POINT('',(-4.218127564287E-2,3.055239151643E-1, +-3.423522058768E-1)); +#1883=CARTESIAN_POINT('',(-3.408352782761E-2,3.022853412918E-1, +-3.419736496043E-1)); +#1884=CARTESIAN_POINT('',(-2.144510205861E-2,2.989280212525E-1, +-3.414060435374E-1)); +#1885=CARTESIAN_POINT('',(-8.494877718842E-3,2.971176142890E-1, +-3.408384634087E-1)); +#1886=CARTESIAN_POINT('',(4.586188429941E-3,2.968809985622E-1, +-3.402705781302E-1)); +#1887=CARTESIAN_POINT('',(1.759770185545E-2,2.982230389531E-1, +-3.397028080307E-1)); +#1888=CARTESIAN_POINT('',(3.034636455588E-2,3.011212952100E-1, +-3.391353232405E-1)); +#1889=CARTESIAN_POINT('',(4.265994162425E-2,3.055367723918E-1, +-3.385675200712E-1)); +#1890=CARTESIAN_POINT('',(5.435312432883E-2,3.114057972922E-1, +-3.379996252019E-1)); +#1891=CARTESIAN_POINT('',(6.524638814744E-2,3.186361428095E-1, +-3.374321192953E-1)); +#1892=CARTESIAN_POINT('',(7.519245420052E-2,3.271284332987E-1, +-3.368644440255E-1)); +#1893=CARTESIAN_POINT('',(8.404597945524E-2,3.367619708572E-1, +-3.362965262158E-1)); +#1894=CARTESIAN_POINT('',(9.167004140378E-2,3.473874536666E-1, +-3.357288776441E-1)); +#1895=CARTESIAN_POINT('',(9.795751887820E-2,3.588516938603E-1, +-3.351613381699E-1)); +#1896=CARTESIAN_POINT('',(1.028203754306E-1,3.709965452669E-1, +-3.345934952215E-1)); +#1897=CARTESIAN_POINT('',(1.061833551826E-1,3.836392137691E-1, +-3.340256486823E-1)); +#1898=CARTESIAN_POINT('',(1.079973995020E-1,3.965865494777E-1, +-3.334581706043E-1)); +#1899=CARTESIAN_POINT('',(1.082388310334E-1,4.096640573563E-1, +-3.328904354738E-1)); +#1900=CARTESIAN_POINT('',(1.069011380836E-1,4.226800289507E-1, +-3.323224926623E-1)); +#1901=CARTESIAN_POINT('',(1.040065110668E-1,4.354308558234E-1, +-3.317549514399E-1)); +#1902=CARTESIAN_POINT('',(9.959673044E-2,4.477417377832E-1,-3.311873410816E-1)); +#1903=CARTESIAN_POINT('',(9.373208207423E-2,4.594375022155E-1, +-3.306194314884E-1)); +#1904=CARTESIAN_POINT('',(8.650254959428E-2,4.703372903265E-1, +-3.300517095739E-1)); +#1905=CARTESIAN_POINT('',(7.801586066163E-2,4.802830400373E-1, +-3.294842021146E-1)); +#1906=CARTESIAN_POINT('',(6.838800222075E-2,4.891383140379E-1, +-3.289164142243E-1)); +#1907=CARTESIAN_POINT('',(5.776019554181E-2,4.967697414821E-1, +-3.283484977264E-1)); +#1908=CARTESIAN_POINT('',(4.629891119674E-2,5.030600612131E-1, +-3.277810115665E-1)); +#1909=CARTESIAN_POINT('',(3.415960818264E-2,5.079258188647E-1, +-3.272133446556E-1)); +#1910=CARTESIAN_POINT('',(2.151538251587E-2,5.112942652341E-1, +-3.266453721476E-1)); +#1911=CARTESIAN_POINT('',(8.566083305106E-3,5.131127347454E-1, +-3.260777834343E-1)); +#1912=CARTESIAN_POINT('',(-4.507262962689E-3,5.133583608767E-1, +-3.255102247314E-1)); +#1913=CARTESIAN_POINT('',(-1.752219434983E-2,5.120259231692E-1, +-3.249423502658E-1)); +#1914=CARTESIAN_POINT('',(-3.027995532657E-2,5.091343022592E-1, +-3.243745448542E-1)); +#1915=CARTESIAN_POINT('',(-4.258950075681E-2,5.047291568222E-1, +-3.238070566620E-1)); +#1916=CARTESIAN_POINT('',(-5.428372680490E-2,4.988709238957E-1, +-3.232393311889E-1)); +#1917=CARTESIAN_POINT('',(-6.519100220997E-2,4.916421465516E-1, +-3.226713568931E-1)); +#1918=CARTESIAN_POINT('',(-7.513931597413E-2,4.831584258320E-1, +-3.221038487554E-1)); +#1919=CARTESIAN_POINT('',(-8.399509986151E-2,4.735368049678E-1, +-3.215362443434E-1)); +#1920=CARTESIAN_POINT('',(-9.163141287709E-2,4.629106944043E-1, +-3.209682641705E-1)); +#1921=CARTESIAN_POINT('',(-9.792723682917E-2,4.514482025461E-1, +-3.204006176855E-1)); +#1922=CARTESIAN_POINT('',(-1.027955541075E-1,4.393135156580E-1, +-3.198330946031E-1)); +#1923=CARTESIAN_POINT('',(-1.061680613973E-1,4.266739702915E-1, +-3.192652730519E-1)); +#1924=CARTESIAN_POINT('',(-1.079920823082E-1,4.137185432795E-1, +-3.186973877869E-1)); +#1925=CARTESIAN_POINT('',(-1.082415011362E-1,4.006469914361E-1, +-3.181299038675E-1)); +#1926=CARTESIAN_POINT('',(-1.069144570812E-1,3.876364518286E-1, +-3.175622423040E-1)); +#1927=CARTESIAN_POINT('',(-1.040265149859E-1,3.748729005565E-1, +-3.169942273341E-1)); +#1928=CARTESIAN_POINT('',(-9.962470545654E-2,3.625608216167E-1, +-3.164266849052E-1)); +#1929=CARTESIAN_POINT('',(-9.377221718264E-2,3.508681861715E-1, +-3.158591319968E-1)); +#1930=CARTESIAN_POINT('',(-8.654774933750E-2,3.399583529747E-1, +-3.152911685770E-1)); +#1931=CARTESIAN_POINT('',(-7.806431019145E-2,3.300035767745E-1, +-3.147234555861E-1)); +#1932=CARTESIAN_POINT('',(-6.844710320156E-2,3.211465027878E-1, +-3.141559534907E-1)); +#1933=CARTESIAN_POINT('',(-5.782809441916E-2,3.135090221086E-1, +-3.135881939965E-1)); +#1934=CARTESIAN_POINT('',(-4.636171437538E-2,3.072055853444E-1, +-3.130202407363E-1)); +#1935=CARTESIAN_POINT('',(-3.422905115252E-2,3.023339853775E-1, +-3.124527464257E-1)); +#1936=CARTESIAN_POINT('',(-2.159563312211E-2,2.989581753E-1, +-3.118851441503E-1)); +#1937=CARTESIAN_POINT('',(-8.637481587293E-3,2.971287903305E-1, +-3.113171094925E-1)); +#1938=CARTESIAN_POINT('',(4.436376644310E-3,2.968752112966E-1, +-3.107495226801E-1)); +#1939=CARTESIAN_POINT('',(1.744400238309E-2,2.981976897338E-1, +-3.101820061973E-1)); +#1940=CARTESIAN_POINT('',(3.020657667987E-2,3.010802578298E-1, +-3.096140840534E-1)); +#1941=CARTESIAN_POINT('',(4.252548321107E-2,3.054796406324E-1, +-3.090462986549E-1)); +#1942=CARTESIAN_POINT('',(5.421860552883E-2,3.113280978653E-1, +-3.084788046391E-1)); +#1943=CARTESIAN_POINT('',(6.512606924914E-2,3.185449808267E-1, +-3.079111085465E-1)); +#1944=CARTESIAN_POINT('',(7.508913118239E-2,3.270290182129E-1, +-3.073431050878E-1)); +#1945=CARTESIAN_POINT('',(8.394928319518E-2,3.366439024793E-1, +-3.067755871909E-1)); +#1946=CARTESIAN_POINT('',(9.158771967796E-2,3.472563400224E-1, +-3.062080342193E-1)); +#1947=CARTESIAN_POINT('',(9.789637148989E-2,3.587219192403E-1, +-3.056400023973E-1)); +#1948=CARTESIAN_POINT('',(1.027731741234E-1,3.708560274348E-1, +-3.050723665336E-1)); +#1949=CARTESIAN_POINT('',(1.061523144291E-1,3.834862672619E-1, +-3.045048594735E-1)); +#1950=CARTESIAN_POINT('',(1.073747974566E-1,3.921213377041E-1, +-3.041263104163E-1)); +#1951=CARTESIAN_POINT('',(1.077235360764E-1,3.964606346331E-1, +-3.039370078740E-1)); +#1953=DIRECTION('',(-5.053630053587E-1,-8.629046485622E-1,1.949436982510E-3)); +#1954=VECTOR('',#1953,1.979847019259E-3); +#1955=CARTESIAN_POINT('',(-5.442879043213E-2,3.117542045728E-1, +-3.389655501455E-1)); +#1956=LINE('',#1955,#1954); +#1957=CARTESIAN_POINT('',(-7.301771474299E-2,3.075684727241E-1, +-3.514448438193E-1)); +#1958=CARTESIAN_POINT('',(-7.307900964197E-2,3.076143530940E-1, +-3.521022344545E-1)); +#1959=CARTESIAN_POINT('',(-7.312635433185E-2,3.076499033934E-1, +-3.534148584557E-1)); +#1960=CARTESIAN_POINT('',(-7.297587377715E-2,3.075370092419E-1, +-3.553860174675E-1)); +#1961=CARTESIAN_POINT('',(-7.272548311248E-2,3.073501963821E-1, +-3.566833663975E-1)); +#1962=CARTESIAN_POINT('',(-7.256000457128E-2,3.072275377501E-1, +-3.573283439334E-1)); +#1964=CARTESIAN_POINT('',(-7.256000457128E-2,3.072275377501E-1, +-3.573283439334E-1)); +#1965=CARTESIAN_POINT('',(-7.631221927815E-2,3.100088139177E-1, +-3.575084774351E-1)); +#1966=CARTESIAN_POINT('',(-8.349532722069E-2,3.160024171680E-1, +-3.578686889351E-1)); +#1967=CARTESIAN_POINT('',(-9.316507718662E-2,3.261669761702E-1, +-3.584088721418E-1)); +#1968=CARTESIAN_POINT('',(-1.016021255493E-1,3.373683241356E-1, +-3.589488239065E-1)); +#1969=CARTESIAN_POINT('',(-1.063364429881E-1,3.454365337006E-1, +-3.593090145212E-1)); +#1970=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.594891340993E-1)); +#1972=DIRECTION('',(0.E0,1.879977655028E-14,-1.E0)); +#1973=VECTOR('',#1972,5.905511811036E-3); +#1974=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.535836222883E-1)); +#1975=LINE('',#1974,#1973); +#1976=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.535836222883E-1)); +#1977=CARTESIAN_POINT('',(-1.063581510848E-1,3.454789395688E-1, +-3.534053400342E-1)); +#1978=CARTESIAN_POINT('',(-1.016769704786E-1,3.374905101428E-1, +-3.530488223567E-1)); +#1979=CARTESIAN_POINT('',(-9.334528073699E-2,3.263885652037E-1, +-3.525143497087E-1)); +#1980=CARTESIAN_POINT('',(-8.380420580431E-2,3.163003423149E-1, +-3.519796907991E-1)); +#1981=CARTESIAN_POINT('',(-7.671882428449E-2,3.103388219291E-1, +-3.516231448926E-1)); +#1982=CARTESIAN_POINT('',(-7.301771474299E-2,3.075684727241E-1, +-3.514448438193E-1)); +#1984=CARTESIAN_POINT('',(-7.256000457128E-2,3.072275377501E-1, +-3.573283439334E-1)); +#1985=CARTESIAN_POINT('',(-7.203825128009E-2,3.078388418934E-1, +-3.577891353986E-1)); +#1986=CARTESIAN_POINT('',(-7.093672311537E-2,3.090512679998E-1, +-3.587238098454E-1)); +#1987=CARTESIAN_POINT('',(-6.905516788339E-2,3.108397853445E-1, +-3.601813741762E-1)); +#1988=CARTESIAN_POINT('',(-6.701350594178E-2,3.124744221987E-1, +-3.616104513356E-1)); +#1989=CARTESIAN_POINT('',(-6.487113919060E-2,3.138767731763E-1, +-3.629513389722E-1)); +#1990=CARTESIAN_POINT('',(-6.269415792131E-2,3.149827012093E-1, +-3.641485145301E-1)); +#1991=CARTESIAN_POINT('',(-6.132879344235E-2,3.154819687505E-1, +-3.647962500487E-1)); +#1992=CARTESIAN_POINT('',(-6.066537598224E-2,3.156808458239E-1, +-3.650872641743E-1)); +#1994=CARTESIAN_POINT('',(1.043296298660E-1,3.769287427258E-1, +-4.447272674888E-1)); +#1995=CARTESIAN_POINT('',(1.054674496805E-1,3.811398453711E-1, +-4.445375812439E-1)); +#1996=CARTESIAN_POINT('',(1.072320816227E-1,3.896987484521E-1, +-4.441582645919E-1)); +#1997=CARTESIAN_POINT('',(1.083091028603E-1,4.027546635122E-1, +-4.435896418761E-1)); +#1998=CARTESIAN_POINT('',(1.078024805464E-1,4.158498062116E-1, +-4.430208171770E-1)); +#1999=CARTESIAN_POINT('',(1.057160875644E-1,4.287956118235E-1, +-4.424516491975E-1)); +#2000=CARTESIAN_POINT('',(1.020846750982E-1,4.413830333361E-1, +-4.418830044605E-1)); +#2001=CARTESIAN_POINT('',(9.696067385703E-2,4.534416020834E-1, +-4.413143034316E-1)); +#2002=CARTESIAN_POINT('',(9.041153732813E-2,4.648030406685E-1, +-4.407450916053E-1)); +#2003=CARTESIAN_POINT('',(8.254442201242E-2,4.752811075522E-1, +-4.401763626411E-1)); +#2004=CARTESIAN_POINT('',(7.347223221335E-2,4.847317971993E-1, +-4.396077346740E-1)); +#2005=CARTESIAN_POINT('',(6.331580200607E-2,4.930252001593E-1, +-4.390385887284E-1)); +#2006=CARTESIAN_POINT('',(5.223722782276E-2,5.000271376780E-1, +-4.384697268145E-1)); +#2007=CARTESIAN_POINT('',(4.039984721527E-2,5.056383828006E-1, +-4.379011159124E-1)); +#2008=CARTESIAN_POINT('',(2.796377543391E-2,5.097822173890E-1, +-4.373321459636E-1)); +#2009=CARTESIAN_POINT('',(1.511637766308E-2,5.123933824298E-1, +-4.367630989902E-1)); +#2010=CARTESIAN_POINT('',(2.057491082658E-3,5.134334225541E-1, +-4.361944773547E-1)); +#2011=CARTESIAN_POINT('',(-1.103544745423E-2,5.128899087747E-1, +-4.356256839475E-1)); +#2012=CARTESIAN_POINT('',(-2.397561798536E-2,5.107670151366E-1, +-4.350565031744E-1)); +#2013=CARTESIAN_POINT('',(-3.655324088887E-2,5.070999016558E-1, +-4.344878353267E-1)); +#2014=CARTESIAN_POINT('',(-4.859675074444E-2,5.019420841262E-1, +-4.339191595028E-1)); +#2015=CARTESIAN_POINT('',(-5.993953210259E-2,4.953610802159E-1, +-4.333499562218E-1)); +#2016=CARTESIAN_POINT('',(-7.039595172980E-2,4.874639760253E-1, +-4.327811949228E-1)); +#2017=CARTESIAN_POINT('',(-7.982073972534E-2,4.783652949935E-1, +-4.322125793867E-1)); +#2018=CARTESIAN_POINT('',(-8.808507982884E-2,4.681860662344E-1, +-4.316434625110E-1)); +#2019=CARTESIAN_POINT('',(-9.505621360009E-2,4.570870924736E-1, +-4.310745642677E-1)); +#2020=CARTESIAN_POINT('',(-1.006339641953E-1,4.452338278667E-1, +-4.305059508122E-1)); +#2021=CARTESIAN_POINT('',(-1.047424273022E-1,4.327870463364E-1, +-4.299370228678E-1)); +#2022=CARTESIAN_POINT('',(-1.073175478761E-1,4.199316548296E-1, +-4.293679452547E-1)); +#2023=CARTESIAN_POINT('',(-1.083206771775E-1,4.068695286378E-1, +-4.287993084486E-1)); +#2024=CARTESIAN_POINT('',(-1.077402438301E-1,3.937789412148E-1, +-4.282305481689E-1)); +#2025=CARTESIAN_POINT('',(-1.055809051972E-1,3.808446702778E-1, +-4.276613617554E-1)); +#2026=CARTESIAN_POINT('',(-1.018780925114E-1,3.682768200132E-1, +-4.270926652296E-1)); +#2027=CARTESIAN_POINT('',(-9.668645892443E-2,3.562484080468E-1, +-4.265240116272E-1)); +#2028=CARTESIAN_POINT('',(-9.007373489044E-2,3.449245332398E-1, +-4.259548249346E-1)); +#2029=CARTESIAN_POINT('',(-8.214666759556E-2,3.344897730496E-1, +-4.253860278727E-1)); +#2030=CARTESIAN_POINT('',(-7.302148280933E-2,3.250908915777E-1, +-4.248174200039E-1)); +#2031=CARTESIAN_POINT('',(-6.281965432390E-2,3.168557772541E-1, +-4.242483388306E-1)); +#2032=CARTESIAN_POINT('',(-5.170037178370E-2,3.099154912072E-1, +-4.236794040798E-1)); +#2033=CARTESIAN_POINT('',(-3.983121169705E-2,3.043712311551E-1, +-4.231107830256E-1)); +#2034=CARTESIAN_POINT('',(-2.737382278460E-2,3.002981851505E-1, +-4.225418973843E-1)); +#2035=CARTESIAN_POINT('',(-1.451069907428E-2,2.977591565303E-1, +-4.219727961538E-1)); +#2036=CARTESIAN_POINT('',(-1.445288269585E-3,2.967929253109E-1, +-4.214041376485E-1)); +#2037=CARTESIAN_POINT('',(1.164287927446E-2,2.974102912105E-1, +-4.208354089233E-1)); +#2038=CARTESIAN_POINT('',(2.457096768259E-2,2.996060233197E-1, +-4.202662248250E-1)); +#2039=CARTESIAN_POINT('',(3.712905695106E-2,3.033445265986E-1, +-4.196974947139E-1)); +#2040=CARTESIAN_POINT('',(4.914234456919E-2,3.085699803479E-1, +-4.191288597813E-1)); +#2041=CARTESIAN_POINT('',(6.044707565821E-2,3.152142848651E-1, +-4.185596970949E-1)); +#2042=CARTESIAN_POINT('',(7.086014052960E-2,3.231712724046E-1, +-4.179908621137E-1)); +#2043=CARTESIAN_POINT('',(8.023311850632E-2,3.323229772363E-1, +-4.174222567861E-1)); +#2044=CARTESIAN_POINT('',(8.843886046189E-2,3.425472286207E-1, +-4.168532167582E-1)); +#2045=CARTESIAN_POINT('',(9.534823511278E-2,3.536866992389E-1, +-4.162842468795E-1)); +#2046=CARTESIAN_POINT('',(1.008590133652E-1,3.655717694159E-1, +-4.157156129687E-1)); +#2047=CARTESIAN_POINT('',(1.048966032867E-1,3.780396866057E-1, +-4.151467688785E-1)); +#2048=CARTESIAN_POINT('',(1.073994891732E-1,3.909102654825E-1, +-4.145776517416E-1)); +#2049=CARTESIAN_POINT('',(1.083288352919E-1,4.039789951797E-1, +-4.140089654735E-1)); +#2050=CARTESIAN_POINT('',(1.076745237964E-1,4.170646918324E-1, +-4.134402659567E-1)); +#2051=CARTESIAN_POINT('',(1.054424487573E-1,4.299863177992E-1, +-4.128710919936E-1)); +#2052=CARTESIAN_POINT('',(1.016682698053E-1,4.425346361917E-1, +-4.123023243663E-1)); +#2053=CARTESIAN_POINT('',(9.640898889198E-2,4.545327847338E-1, +-4.117337040312E-1)); +#2054=CARTESIAN_POINT('',(8.973323369119E-2,4.658181502102E-1, +-4.111645719812E-1)); +#2055=CARTESIAN_POINT('',(8.174638655721E-2,4.762094593051E-1, +-4.105956982433E-1)); +#2056=CARTESIAN_POINT('',(7.256814327852E-2,4.855565431787E-1, +-4.100270900678E-1)); +#2057=CARTESIAN_POINT('',(6.232162191694E-2,4.937327837863E-1, +-4.094580953384E-1)); +#2058=CARTESIAN_POINT('',(5.116211388413E-2,5.006111639854E-1, +-4.088890932646E-1)); +#2059=CARTESIAN_POINT('',(3.926111565257E-2,5.060884736593E-1, +-4.083204410233E-1)); +#2060=CARTESIAN_POINT('',(2.678273050517E-2,5.100905739183E-1, +-4.077516368838E-1)); +#2061=CARTESIAN_POINT('',(1.390498136594E-2,5.125572646751E-1, +-4.071825118993E-1)); +#2062=CARTESIAN_POINT('',(8.329136487143E-4,5.134497381105E-1, +-4.066137924655E-1)); +#2063=CARTESIAN_POINT('',(-1.225027668353E-2,5.127584684330E-1, +-4.060451191327E-1)); +#2064=CARTESIAN_POINT('',(-2.516516850687E-2,5.104900990600E-1, +-4.054759627602E-1)); +#2065=CARTESIAN_POINT('',(-3.770368224667E-2,5.066802661899E-1, +-4.049071547667E-1)); +#2066=CARTESIAN_POINT('',(-4.968668677393E-2,5.013871493071E-1, +-4.043385445336E-1)); +#2067=CARTESIAN_POINT('',(-6.095248286470E-2,4.946800615544E-1, +-4.037694488153E-1)); +#2068=CARTESIAN_POINT('',(-7.132195073717E-2,4.866634335982E-1, +-4.032005368248E-1)); +#2069=CARTESIAN_POINT('',(-8.064315471991E-2,4.774586329825E-1, +-4.026319202479E-1)); +#2070=CARTESIAN_POINT('',(-8.878978346777E-2,4.671899692937E-1, +-4.020629736097E-1)); +#2071=CARTESIAN_POINT('',(-9.563706749552E-2,4.560106038770E-1, +-4.014939437886E-1)); +#2072=CARTESIAN_POINT('',(-1.010809257952E-1,4.440936564717E-1, +-4.009252675439E-1)); +#2073=CARTESIAN_POINT('',(-1.050475071657E-1,4.316048489438E-1, +-4.003565010875E-1)); +#2074=CARTESIAN_POINT('',(-1.074779502144E-1,4.187202154105E-1, +-3.997873763556E-1)); +#2075=CARTESIAN_POINT('',(-1.083335621740E-1,4.056448094661E-1, +-3.992186191797E-1)); +#2076=CARTESIAN_POINT('',(-1.076053226511E-1,3.925641474730E-1, +-3.986499684233E-1)); +#2077=CARTESIAN_POINT('',(-1.053007046910E-1,3.796562487391E-1, +-3.980808365304E-1)); +#2078=CARTESIAN_POINT('',(-1.014552469018E-1,3.671275990650E-1, +-3.975119864796E-1)); +#2079=CARTESIAN_POINT('',(-9.612828448852E-2,3.551597356599E-1, +-3.969433815266E-1)); +#2080=CARTESIAN_POINT('',(-8.938996914523E-2,3.439136923155E-1, +-3.963743267809E-1)); +#2081=CARTESIAN_POINT('',(-8.134365723563E-2,3.335661759756E-1, +-3.958053783780E-1)); +#2082=CARTESIAN_POINT('',(-7.211228148398E-2,3.242708344229E-1, +-3.952367477802E-1)); +#2083=CARTESIAN_POINT('',(-6.182157703168E-2,3.161539091168E-1, +-3.946678506238E-1)); +#2084=CARTESIAN_POINT('',(-5.062256237300E-2,3.093378405271E-1, +-3.940987989468E-1)); +#2085=CARTESIAN_POINT('',(-3.868964214352E-2,3.039274131132E-1, +-3.935300928642E-1)); +#2086=CARTESIAN_POINT('',(-2.619044667380E-2,2.999963763712E-1, +-3.929613613174E-1)); +#2087=CARTESIAN_POINT('',(-1.329920941589E-2,2.976022325878E-1, +-3.923922447061E-1)); +#2088=CARTESIAN_POINT('',(-2.204870612946E-4,2.967834726062E-1, +-3.918234461744E-1)); +#2089=CARTESIAN_POINT('',(1.285763580421E-2,2.975486922976E-1, +-3.912548138998E-1)); +#2090=CARTESIAN_POINT('',(2.575829917394E-2,2.998895167665E-1, +-3.906857126353E-1)); +#2091=CARTESIAN_POINT('',(3.827699859525E-2,3.037705629115E-1, +-3.901168200460E-1)); +#2092=CARTESIAN_POINT('',(5.022972974792E-2,3.091313803219E-1, +-3.895482153134E-1)); +#2093=CARTESIAN_POINT('',(6.145585868783E-2,3.159008320525E-1, +-3.889792050677E-1)); +#2094=CARTESIAN_POINT('',(7.178129063998E-2,3.239767155116E-1, +-3.884102232762E-1)); +#2095=CARTESIAN_POINT('',(8.105078266029E-2,3.332346734692E-1, +-3.878415735132E-1)); +#2096=CARTESIAN_POINT('',(8.913794960997E-2,3.435473674800E-1, +-3.872727245209E-1)); +#2097=CARTESIAN_POINT('',(9.592265652911E-2,3.547657848232E-1, +-3.867036589199E-1)); +#2098=CARTESIAN_POINT('',(1.012996731456E-1,3.667146949829E-1, +-3.861349174242E-1)); +#2099=CARTESIAN_POINT('',(1.051951435060E-1,3.792242552579E-1, +-3.855662174960E-1)); +#2100=CARTESIAN_POINT('',(1.075529381535E-1,3.921218253009E-1, +-3.849971164419E-1)); +#2101=CARTESIAN_POINT('',(1.083348536671E-1,4.052038180248E-1, +-3.844282739994E-1)); +#2102=CARTESIAN_POINT('',(1.075326451642E-1,4.182793999572E-1, +-3.838596557239E-1)); +#2103=CARTESIAN_POINT('',(1.051556519003E-1,4.311726056419E-1, +-3.832905903505E-1)); +#2104=CARTESIAN_POINT('',(1.012390616486E-1,4.436812413797E-1, +-3.827216559720E-1)); +#2105=CARTESIAN_POINT('',(9.584438088732E-2,4.556188530980E-1, +-3.821530462620E-1)); +#2106=CARTESIAN_POINT('',(8.904386918069E-2,4.668249290139E-1, +-3.815840828557E-1)); +#2107=CARTESIAN_POINT('',(8.093854212093E-2,4.771281218065E-1, +-3.810150718637E-1)); +#2108=CARTESIAN_POINT('',(7.165400421478E-2,4.863717730535E-1, +-3.804463982087E-1)); +#2109=CARTESIAN_POINT('',(6.131933208433E-2,4.944291560115E-1, +-3.798775939165E-1)); +#2110=CARTESIAN_POINT('',(5.008177730773E-2,5.011823403215E-1, +-3.793085233511E-1)); +#2111=CARTESIAN_POINT('',(3.811688055101E-2,5.065259581972E-1, +-3.787397418171E-1)); +#2112=CARTESIAN_POINT('',(2.559696585904E-2,5.103858459388E-1, +-3.781710696158E-1)); +#2113=CARTESIAN_POINT('',(1.269332067975E-2,5.127072434958E-1, +-3.776019909726E-1)); +#2114=CARTESIAN_POINT('',(-3.918706767771E-4,5.134523199721E-1, +-3.770331031855E-1)); +#2115=CARTESIAN_POINT('',(-1.346491658524E-2,5.126131159647E-1, +-3.764644941386E-1)); +#2116=CARTESIAN_POINT('',(-2.635046486205E-2,5.101999866852E-1, +-3.758954689148E-1)); +#2117=CARTESIAN_POINT('',(-3.884889642883E-2,5.062479041932E-1, +-3.753264947177E-1)); +#2118=CARTESIAN_POINT('',(-5.077140332200E-2,5.008193539590E-1, +-3.747578748021E-1)); +#2119=CARTESIAN_POINT('',(-6.195731183817E-2,4.939878426818E-1, +-3.741889593112E-1)); +#2120=CARTESIAN_POINT('',(-7.223808839490E-2,4.858532171244E-1, +-3.736199245321E-1)); +#2121=CARTESIAN_POINT('',(-8.145590960965E-2,4.765421020131E-1, +-3.730512222109E-1)); +#2122=CARTESIAN_POINT('',(-8.948348942221E-2,4.661854549706E-1, +-3.724824587004E-1)); +#2123=CARTESIAN_POINT('',(-9.620499444116E-2,4.549290212870E-1, +-3.719133917820E-1)); +#2124=CARTESIAN_POINT('',(-1.015152157441E-1,4.429481622477E-1, +-3.713445665956E-1)); +#2125=CARTESIAN_POINT('',(-1.053395095813E-1,4.304178942972E-1, +-3.707759177794E-1)); +#2126=CARTESIAN_POINT('',(-1.076244646595E-1,4.175084140824E-1, +-3.702068676317E-1)); +#2127=CARTESIAN_POINT('',(-1.083327052677E-1,4.044201226907E-1, +-3.696379342372E-1)); +#2128=CARTESIAN_POINT('',(-1.074565014340E-1,3.913496034530E-1, +-3.690693294643E-1)); +#2129=CARTESIAN_POINT('',(-1.050072629323E-1,3.784718873606E-1, +-3.685003475257E-1)); +#2130=CARTESIAN_POINT('',(-1.010197496698E-1,3.659838280535E-1, +-3.679313367777E-1)); +#2131=CARTESIAN_POINT('',(-9.555731888885E-2,3.540764066714E-1, +-3.673627010811E-1)); +#2132=CARTESIAN_POINT('',(-8.869488241327E-2,3.429107740193E-1, +-3.667938348559E-1)); +#2133=CARTESIAN_POINT('',(-8.053100679079E-2,3.326525420369E-1, +-3.662247770453E-1)); +#2134=CARTESIAN_POINT('',(-7.119373816044E-2,3.234607967150E-1, +-3.656560628512E-1)); +#2135=CARTESIAN_POINT('',(-6.427361099315E-2,3.181283148404E-1, +-3.652768579116E-1)); +#2136=CARTESIAN_POINT('',(-6.066537598224E-2,3.156808458239E-1, +-3.650872641743E-1)); +#2138=DIRECTION('',(8.360450235767E-1,-2.258953708084E-1,5.E-1)); +#2139=VECTOR('',#2138,1.591122789105E-2); +#2140=CARTESIAN_POINT('',(1.043296298660E-1,3.769287427258E-1, +-4.447272674888E-1)); +#2141=LINE('',#2140,#2139); +#2142=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.185442522095E-1)); +#2143=CARTESIAN_POINT('',(-1.084645669291E-1,3.534474232057E-1, +-4.196903991327E-1)); +#2144=CARTESIAN_POINT('',(-1.084645669291E-1,3.610678498045E-1, +-4.218000221365E-1)); +#2145=CARTESIAN_POINT('',(-1.084645669291E-1,3.721710444503E-1, +-4.243752907032E-1)); +#2146=CARTESIAN_POINT('',(-1.084645669291E-1,3.831756270488E-1, +-4.263873617610E-1)); +#2147=CARTESIAN_POINT('',(-1.084645669291E-1,3.941482611447E-1, +-4.278067182548E-1)); +#2148=CARTESIAN_POINT('',(-1.084645669291E-1,4.051265650084E-1, +-4.286036537651E-1)); +#2149=CARTESIAN_POINT('',(-1.084645669291E-1,4.161047407288E-1, +-4.287570212748E-1)); +#2150=CARTESIAN_POINT('',(-1.084645669291E-1,4.270760611919E-1, +-4.282683213124E-1)); +#2151=CARTESIAN_POINT('',(-1.084645669291E-1,4.380763806832E-1, +-4.271537914398E-1)); +#2152=CARTESIAN_POINT('',(-1.084645669291E-1,4.491735504735E-1, +-4.254350183672E-1)); +#2153=CARTESIAN_POINT('',(-1.084645669291E-1,4.567910239090E-1, +-4.238722194413E-1)); +#2154=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.229911808613E-1)); +#2156=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.890166931544E-1)); +#2157=CARTESIAN_POINT('',(-1.084645669291E-1,3.534473985580E-1, +-3.901628327469E-1)); +#2158=CARTESIAN_POINT('',(-1.084645669291E-1,3.610673812059E-1, +-3.922723134194E-1)); +#2159=CARTESIAN_POINT('',(-1.084645669291E-1,3.721677180759E-1, +-3.948469923018E-1)); +#2160=CARTESIAN_POINT('',(-1.084645669291E-1,3.831700323668E-1, +-3.968588897255E-1)); +#2161=CARTESIAN_POINT('',(-1.084645669291E-1,3.941407262775E-1, +-3.982783602985E-1)); +#2162=CARTESIAN_POINT('',(-1.084645669291E-1,4.051173718236E-1, +-3.990756657086E-1)); +#2163=CARTESIAN_POINT('',(-1.084645669291E-1,4.160942611420E-1, +-3.992296044830E-1)); +#2164=CARTESIAN_POINT('',(-1.084645669291E-1,4.270654696074E-1, +-3.987415639744E-1)); +#2165=CARTESIAN_POINT('',(-1.084645669291E-1,4.380682985516E-1, +-3.976273270005E-1)); +#2166=CARTESIAN_POINT('',(-1.084645669291E-1,4.491685966458E-1, +-3.959084190118E-1)); +#2167=CARTESIAN_POINT('',(-1.084645669291E-1,4.567892349097E-1, +-3.943450696277E-1)); +#2168=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.934636218062E-1)); +#2170=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.594891340993E-1)); +#2171=CARTESIAN_POINT('',(-1.084645669291E-1,3.534481852404E-1, +-3.606355076635E-1)); +#2172=CARTESIAN_POINT('',(-1.084645669291E-1,3.610691957093E-1, +-3.627452255335E-1)); +#2173=CARTESIAN_POINT('',(-1.084645669291E-1,3.721690600325E-1, +-3.653196926875E-1)); +#2174=CARTESIAN_POINT('',(-1.084645669291E-1,3.831697752186E-1, +-3.673312591046E-1)); +#2175=CARTESIAN_POINT('',(-1.084645669291E-1,3.941386938400E-1, +-3.687505596209E-1)); +#2176=CARTESIAN_POINT('',(-1.084645669291E-1,4.051134368910E-1, +-3.695478999216E-1)); +#2177=CARTESIAN_POINT('',(-1.084645669291E-1,4.160886362703E-1, +-3.697020999220E-1)); +#2178=CARTESIAN_POINT('',(-1.084645669291E-1,4.270583246867E-1, +-3.692145047741E-1)); +#2179=CARTESIAN_POINT('',(-1.084645669291E-1,4.380604840590E-1, +-3.681007768031E-1)); +#2180=CARTESIAN_POINT('',(-1.084645669291E-1,4.491623523762E-1, +-3.663820490388E-1)); +#2181=CARTESIAN_POINT('',(-1.084645669291E-1,4.567867815734E-1, +-3.648180717840E-1)); +#2182=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.639360627511E-1)); +#2184=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.366544884300E-1)); +#2185=CARTESIAN_POINT('',(-1.084645669291E-1,3.514247742110E-1, +-5.371990679121E-1)); +#2186=CARTESIAN_POINT('',(-1.084645669291E-1,3.550670492037E-1, +-5.382470908207E-1)); +#2187=CARTESIAN_POINT('',(-1.084645669291E-1,3.604605212047E-1, +-5.396897900956E-1)); +#2188=CARTESIAN_POINT('',(-1.084645669291E-1,3.640329828584E-1, +-5.405692484868E-1)); +#2189=CARTESIAN_POINT('',(-1.084645669291E-1,3.658116570914E-1, +-5.409872464311E-1)); +#2191=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.071269293749E-1)); +#2192=CARTESIAN_POINT('',(-1.084645669291E-1,3.534483878606E-1, +-5.082733632014E-1)); +#2193=CARTESIAN_POINT('',(-1.084645669291E-1,3.610699103695E-1, +-5.103832245875E-1)); +#2194=CARTESIAN_POINT('',(-1.084645669291E-1,3.721715453437E-1, +-5.129580315873E-1)); +#2195=CARTESIAN_POINT('',(-1.084645669291E-1,3.831730830196E-1, +-5.149695742556E-1)); +#2196=CARTESIAN_POINT('',(-1.084645669291E-1,3.941414045942E-1, +-5.163886091854E-1)); +#2197=CARTESIAN_POINT('',(-1.084645669291E-1,4.051147565226E-1, +-5.171857210158E-1)); +#2198=CARTESIAN_POINT('',(-1.084645669291E-1,4.160885196429E-1, +-5.173398788987E-1)); +#2199=CARTESIAN_POINT('',(-1.084645669291E-1,4.270580257640E-1, +-5.168523309993E-1)); +#2200=CARTESIAN_POINT('',(-1.084645669291E-1,4.380612709491E-1, +-5.157385028681E-1)); +#2201=CARTESIAN_POINT('',(-1.084645669291E-1,4.491646394631E-1, +-5.140194318202E-1)); +#2202=CARTESIAN_POINT('',(-1.084645669291E-1,4.567877718905E-1, +-5.124556405202E-1)); +#2203=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.115738580267E-1)); +#2205=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.775993703198E-1)); +#2206=CARTESIAN_POINT('',(-1.084645669291E-1,3.534483150267E-1, +-4.787457824844E-1)); +#2207=CARTESIAN_POINT('',(-1.084645669291E-1,3.610697712233E-1, +-4.808556371025E-1)); +#2208=CARTESIAN_POINT('',(-1.084645669291E-1,3.721721084043E-1, +-4.834305960749E-1)); +#2209=CARTESIAN_POINT('',(-1.084645669291E-1,3.831735235457E-1, +-4.854420794451E-1)); +#2210=CARTESIAN_POINT('',(-1.084645669291E-1,3.941416910123E-1, +-4.868610773850E-1)); +#2211=CARTESIAN_POINT('',(-1.084645669291E-1,4.051149644162E-1, +-4.876581699259E-1)); +#2212=CARTESIAN_POINT('',(-1.084645669291E-1,4.160886947908E-1, +-4.878123167779E-1)); +#2213=CARTESIAN_POINT('',(-1.084645669291E-1,4.270581272352E-1, +-4.873247608835E-1)); +#2214=CARTESIAN_POINT('',(-1.084645669291E-1,4.380610392288E-1, +-4.862109656662E-1)); +#2215=CARTESIAN_POINT('',(-1.084645669291E-1,4.491640872303E-1, +-4.844919730254E-1)); +#2216=CARTESIAN_POINT('',(-1.084645669291E-1,4.567875317555E-1, +-4.829281363970E-1)); +#2217=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.820462989716E-1)); +#2219=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.480718112647E-1)); +#2220=CARTESIAN_POINT('',(-1.084645669291E-1,3.534408378905E-1, +-4.492159996121E-1)); +#2221=CARTESIAN_POINT('',(-1.084645669291E-1,3.610513688276E-1, +-4.513232443688E-1)); +#2222=CARTESIAN_POINT('',(-1.084645669291E-1,3.721518330383E-1, +-4.538988668751E-1)); +#2223=CARTESIAN_POINT('',(-1.084645669291E-1,3.831603235458E-1, +-4.559126391747E-1)); +#2224=CARTESIAN_POINT('',(-1.084645669291E-1,3.941418139805E-1, +-4.573338203749E-1)); +#2225=CARTESIAN_POINT('',(-1.084645669291E-1,4.051312092456E-1, +-4.581316411550E-1)); +#2226=CARTESIAN_POINT('',(-1.084645669291E-1,4.161205633621E-1, +-4.582845334217E-1)); +#2227=CARTESIAN_POINT('',(-1.084645669291E-1,4.270997454304E-1, +-4.577942350637E-1)); +#2228=CARTESIAN_POINT('',(-1.084645669291E-1,4.381016561425E-1, +-4.566780600836E-1)); +#2229=CARTESIAN_POINT('',(-1.084645669291E-1,4.491927669614E-1, +-4.549589046972E-1)); +#2230=CARTESIAN_POINT('',(-1.084645669291E-1,4.567985207142E-1, +-4.533980635694E-1)); +#2231=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.525187399165E-1)); +#2233=CARTESIAN_POINT('',(-1.016049056915E-1,3.682975283824E-1, +-5.451997084337E-1)); +#2234=CARTESIAN_POINT('',(-1.001134547394E-1,3.641819298312E-1, +-5.450093526806E-1)); +#2235=CARTESIAN_POINT('',(-9.663015734985E-2,3.561334806899E-1, +-5.446286969347E-1)); +#2236=CARTESIAN_POINT('',(-8.998523634076E-2,3.447897561557E-1, +-5.440580670861E-1)); +#2237=CARTESIAN_POINT('',(-8.201255972195E-2,3.343304675641E-1, +-5.434872315395E-1)); +#2238=CARTESIAN_POINT('',(-7.282502901758E-2,3.249094127152E-1, +-5.429160552122E-1)); +#2239=CARTESIAN_POINT('',(-6.257283709055E-2,3.166790307159E-1, +-5.423454065155E-1)); +#2240=CARTESIAN_POINT('',(-5.139832578382E-2,3.097496080780E-1, +-5.417746921058E-1)); +#2241=CARTESIAN_POINT('',(-3.945551995663E-2,3.042211160528E-1, +-5.412034699766E-1)); +#2242=CARTESIAN_POINT('',(-2.694137676797E-2,3.001848239770E-1, +-5.406327410516E-1)); +#2243=CARTESIAN_POINT('',(-1.403259492636E-2,2.976937093751E-1, +-5.400620994286E-1)); +#2244=CARTESIAN_POINT('',(-9.051109284622E-4,2.967846761615E-1, +-5.394909393144E-1)); +#2245=CARTESIAN_POINT('',(1.222882762046E-2,2.974737382669E-1, +-5.389200792742E-1)); +#2246=CARTESIAN_POINT('',(2.517716994047E-2,2.997473819318E-1, +-5.383494592047E-1)); +#2247=CARTESIAN_POINT('',(3.776286716495E-2,3.035754080116E-1, +-5.377784699609E-1)); +#2248=CARTESIAN_POINT('',(4.979276693970E-2,3.089018337107E-1, +-5.372074217597E-1)); +#2249=CARTESIAN_POINT('',(6.108019842972E-2,3.156415445504E-1, +-5.366368004947E-1)); +#2250=CARTESIAN_POINT('',(7.147201739780E-2,3.237012755768E-1, +-5.360659831992E-1)); +#2251=CARTESIAN_POINT('',(8.081600215055E-2,3.329675921576E-1, +-5.354947942672E-1)); +#2252=CARTESIAN_POINT('',(8.896030159029E-2,3.432885500527E-1, +-5.349241370813E-1)); +#2253=CARTESIAN_POINT('',(9.579569016862E-2,3.545204606878E-1, +-5.343534362408E-1)); +#2254=CARTESIAN_POINT('',(1.012239501964E-1,3.665091007120E-1, +-5.337822163122E-1)); +#2255=CARTESIAN_POINT('',(1.051553658862E-1,3.790569646052E-1, +-5.332114717921E-1)); +#2256=CARTESIAN_POINT('',(1.075381782459E-1,3.919860297123E-1, +-5.326408363620E-1)); +#2257=CARTESIAN_POINT('',(1.083372184309E-1,4.051202560012E-1, +-5.320696940646E-1)); +#2258=CARTESIAN_POINT('',(1.075381448444E-1,4.182484985687E-1, +-5.314988107736E-1)); +#2259=CARTESIAN_POINT('',(1.051560381862E-1,4.311773209691E-1, +-5.309281909087E-1)); +#2260=CARTESIAN_POINT('',(1.012229443540E-1,4.437298940175E-1, +-5.303572300956E-1)); +#2261=CARTESIAN_POINT('',(9.579570903007E-2,4.557153333073E-1, +-5.297861549458E-1)); +#2262=CARTESIAN_POINT('',(8.896159585980E-2,4.669459131256E-1, +-5.292155317311E-1)); +#2263=CARTESIAN_POINT('',(8.081538402436E-2,4.772694973074E-1, +-5.286447341866E-1)); +#2264=CARTESIAN_POINT('',(7.147095840106E-2,4.865357320411E-1, +-5.280735341841E-1)); +#2265=CARTESIAN_POINT('',(6.108194254352E-2,4.945933885251E-1, +-5.275028676486E-1)); +#2266=CARTESIAN_POINT('',(4.979340042435E-2,5.013342771489E-1, +-5.269321792859E-1)); +#2267=CARTESIAN_POINT('',(3.775981424049E-2,5.066619043169E-1, +-5.263609637850E-1)); +#2268=CARTESIAN_POINT('',(2.517908702193E-2,5.104881735576E-1, +-5.257902026283E-1)); +#2269=CARTESIAN_POINT('',(1.223062245441E-2,5.127625185033E-1, +-5.252195723310E-1)); +#2270=CARTESIAN_POINT('',(-9.093720483732E-4,5.134515148173E-1, +-5.246484501331E-1)); +#2271=CARTESIAN_POINT('',(-1.403102691084E-2,5.125424781760E-1, +-5.240775422964E-1)); +#2272=CARTESIAN_POINT('',(-2.693942352416E-2,5.100520754200E-1, +-5.235069225339E-1)); +#2273=CARTESIAN_POINT('',(-3.945802242119E-2,5.060141876712E-1, +-5.229359888564E-1)); +#2274=CARTESIAN_POINT('',(-5.139810824070E-2,5.004865347976E-1, +-5.223648890411E-1)); +#2275=CARTESIAN_POINT('',(-6.257106987249E-2,4.935584896068E-1, +-5.217942628156E-1)); +#2276=CARTESIAN_POINT('',(-7.282571522447E-2,4.853263556789E-1, +-5.212234839840E-1)); +#2277=CARTESIAN_POINT('',(-8.201351118651E-2,4.759045098070E-1, +-5.206522751252E-1)); +#2278=CARTESIAN_POINT('',(-8.998397408923E-2,4.654481468924E-1, +-5.200815981975E-1)); +#2279=CARTESIAN_POINT('',(-9.662990189575E-2,4.541037452831E-1, +-5.195109212697E-1)); +#2280=CARTESIAN_POINT('',(-1.018565039178E-1,4.420261045542E-1, +-5.189397124109E-1)); +#2281=CARTESIAN_POINT('',(-1.055773634416E-1,4.294133734975E-1, +-5.183689335793E-1)); +#2282=CARTESIAN_POINT('',(-1.077430828726E-1,4.164463946229E-1, +-5.177983073539E-1)); +#2283=CARTESIAN_POINT('',(-1.083219935603E-1,4.033016063749E-1, +-5.172272075385E-1)); +#2284=CARTESIAN_POINT('',(-1.073030493141E-1,3.901874305167E-1, +-5.166562738611E-1)); +#2285=CARTESIAN_POINT('',(-1.047045249491E-1,3.773003641267E-1, +-5.160856540986E-1)); +#2286=CARTESIAN_POINT('',(-1.005621238108E-1,3.648165871841E-1, +-5.155147462618E-1)); +#2287=CARTESIAN_POINT('',(-9.493445379767E-2,3.529227441686E-1, +-5.149436240639E-1)); +#2288=CARTESIAN_POINT('',(-8.791295296607E-2,3.418081634001E-1, +-5.143729937667E-1)); +#2289=CARTESIAN_POINT('',(-7.959546130024E-2,3.316231564762E-1, +-5.138022326099E-1)); +#2290=CARTESIAN_POINT('',(-7.009689036378E-2,3.225144816082E-1, +-5.132310171090E-1)); +#2291=CARTESIAN_POINT('',(-5.957389562829E-2,3.146317571799E-1, +-5.126603287463E-1)); +#2292=CARTESIAN_POINT('',(-4.817441022877E-2,3.080812449002E-1, +-5.120896622108E-1)); +#2293=CARTESIAN_POINT('',(-3.605361017203E-2,3.029560519912E-1, +-5.115184622083E-1)); +#2294=CARTESIAN_POINT('',(-2.340973678980E-2,2.993408585633E-1, +-5.109476646638E-1)); +#2295=CARTESIAN_POINT('',(-1.042513006189E-2,2.972839156861E-1, +-5.103770414491E-1)); +#2296=CARTESIAN_POINT('',(2.723477161490E-3,2.968151232848E-1, +-5.098059662993E-1)); +#2297=CARTESIAN_POINT('',(1.582928319390E-2,2.979439126670E-1, +-5.092350054862E-1)); +#2298=CARTESIAN_POINT('',(2.869411641983E-2,3.006503765706E-1, +-5.086643856214E-1)); +#2299=CARTESIAN_POINT('',(4.114222582873E-2,3.048970038973E-1, +-5.080935023303E-1)); +#2300=CARTESIAN_POINT('',(5.298894043927E-2,3.106242823401E-1, +-5.075223600329E-1)); +#2301=CARTESIAN_POINT('',(6.404438155274E-2,3.177387563945E-1, +-5.069517246028E-1)); +#2302=CARTESIAN_POINT('',(7.415905494002E-2,3.261410358399E-1, +-5.063809800828E-1)); +#2303=CARTESIAN_POINT('',(8.318793288374E-2,3.357156303293E-1, +-5.058097601541E-1)); +#2304=CARTESIAN_POINT('',(9.098237970973E-2,3.463045373650E-1, +-5.052390593136E-1)); +#2305=CARTESIAN_POINT('',(9.743702793621E-2,3.577583271966E-1, +-5.046684021278E-1)); +#2306=CARTESIAN_POINT('',(1.024604361185E-1,3.699213878423E-1, +-5.040972131958E-1)); +#2307=CARTESIAN_POINT('',(1.059697139757E-1,3.825955400665E-1, +-5.035263959003E-1)); +#2308=CARTESIAN_POINT('',(1.079177363322E-1,3.955968869484E-1, +-5.029557746352E-1)); +#2309=CARTESIAN_POINT('',(1.082763868847E-1,4.087483381649E-1, +-5.023847264341E-1)); +#2310=CARTESIAN_POINT('',(1.070378218497E-1,4.218448840816E-1, +-5.018137371902E-1)); +#2311=CARTESIAN_POINT('',(1.042236080461E-1,4.346865827628E-1, +-5.012431171208E-1)); +#2312=CARTESIAN_POINT('',(9.987304838963E-2,4.470981813334E-1, +-5.006722570804E-1)); +#2313=CARTESIAN_POINT('',(9.404657849022E-2,4.588968857515E-1, +-5.001010969666E-1)); +#2314=CARTESIAN_POINT('',(8.683961963799E-2,4.698924323499E-1, +-4.995304553426E-1)); +#2315=CARTESIAN_POINT('',(7.835312794041E-2,4.799360816265E-1, +-4.989597264211E-1)); +#2316=CARTESIAN_POINT('',(6.870321767393E-2,4.888844933689E-1, +-4.983885042789E-1)); +#2317=CARTESIAN_POINT('',(5.804912209771E-2,4.965901292630E-1, +-4.978177899180E-1)); +#2318=CARTESIAN_POINT('',(4.654181080191E-2,5.029484711219E-1, +-4.972471410391E-1)); +#2319=CARTESIAN_POINT('',(3.433739174656E-2,5.078697273511E-1, +-4.966759653918E-1)); +#2320=CARTESIAN_POINT('',(2.163382608015E-2,5.112728470813E-1, +-4.961051273072E-1)); +#2321=CARTESIAN_POINT('',(8.616627933412E-3,5.131118120328E-1, +-4.955345069307E-1)); +#2322=CARTESIAN_POINT('',(-4.536692169933E-3,5.133603062727E-1, +-4.949634879612E-1)); +#2323=CARTESIAN_POINT('',(-1.762308826627E-2,5.120120420782E-1, +-4.943924689917E-1)); +#2324=CARTESIAN_POINT('',(-3.044075264581E-2,5.090902755684E-1, +-4.938218486153E-1)); +#2325=CARTESIAN_POINT('',(-4.281499939978E-2,5.046360840806E-1, +-4.932510105307E-1)); +#2326=CARTESIAN_POINT('',(-5.456481573198E-2,4.987108479239E-1, +-4.926798348834E-1)); +#2327=CARTESIAN_POINT('',(-6.549971693348E-2,4.914118986731E-1, +-4.921091860045E-1)); +#2328=CARTESIAN_POINT('',(-7.547165610383E-2,4.828417757882E-1, +-4.915384716436E-1)); +#2329=CARTESIAN_POINT('',(-8.433893898092E-2,4.731172637058E-1, +-4.909672495014E-1)); +#2330=CARTESIAN_POINT('',(-9.195523644699E-2,4.623987075982E-1, +-4.903965205799E-1)); +#2331=CARTESIAN_POINT('',(-9.821683585453E-2,4.508386611556E-1, +-4.898258789559E-1)); +#2332=CARTESIAN_POINT('',(-1.030355813641E-1,4.385937900191E-1, +-4.892547188420E-1)); +#2333=CARTESIAN_POINT('',(-1.063323071948E-1,4.258616752222E-1, +-4.886838588017E-1)); +#2334=CARTESIAN_POINT('',(-1.080620855041E-1,4.128295268441E-1, +-4.881132387322E-1)); +#2335=CARTESIAN_POINT('',(-1.082004159998E-1,3.996752719063E-1, +-4.875422494884E-1)); +#2336=CARTESIAN_POINT('',(-1.067425382108E-1,3.865999557850E-1, +-4.869712012873E-1)); +#2337=CARTESIAN_POINT('',(-1.037134231754E-1,3.738072127661E-1, +-4.864005800222E-1)); +#2338=CARTESIAN_POINT('',(-9.915590712541E-2,3.614711593804E-1, +-4.858297627267E-1)); +#2339=CARTESIAN_POINT('',(-9.313233805949E-2,3.497711042632E-1, +-4.852585737947E-1)); +#2340=CARTESIAN_POINT('',(-8.574189876173E-2,3.388975955277E-1, +-4.846879166088E-1)); +#2341=CARTESIAN_POINT('',(-7.708873125385E-2,3.289980477798E-1, +-4.841172157684E-1)); +#2342=CARTESIAN_POINT('',(-6.729033743824E-2,3.202125497749E-1, +-4.835459958397E-1)); +#2343=CARTESIAN_POINT('',(-5.650805301111E-2,3.126861123613E-1, +-4.829752513197E-1)); +#2344=CARTESIAN_POINT('',(-4.489606104037E-2,3.065216852382E-1, +-4.824046158896E-1)); +#2345=CARTESIAN_POINT('',(-3.261164821715E-2,3.018058006962E-1, +-4.818334735921E-1)); +#2346=CARTESIAN_POINT('',(-1.985184746388E-2,2.986156978381E-1, +-4.812625903011E-1)); +#2347=CARTESIAN_POINT('',(-6.805661956298E-3,2.969952273835E-1, +-4.806919704363E-1)); +#2348=CARTESIAN_POINT('',(6.348634830789E-3,2.969670624085E-1, +-4.801210096232E-1)); +#2349=CARTESIAN_POINT('',(1.941190050086E-2,2.985344577841E-1, +-4.795499344734E-1)); +#2350=CARTESIAN_POINT('',(3.217884784156E-2,3.016707105606E-1, +-4.789793112586E-1)); +#2351=CARTESIAN_POINT('',(4.447586579093E-2,3.063312370523E-1, +-4.784085137142E-1)); +#2352=CARTESIAN_POINT('',(5.612529140657E-2,3.124526975967E-1, +-4.778373137116E-1)); +#2353=CARTESIAN_POINT('',(6.693666446603E-2,3.199341206612E-1, +-4.772666471762E-1)); +#2354=CARTESIAN_POINT('',(7.676314419811E-2,3.286697407703E-1, +-4.766959588134E-1)); +#2355=CARTESIAN_POINT('',(8.546620804080E-2,3.385412901641E-1, +-4.761247433126E-1)); +#2356=CARTESIAN_POINT('',(9.290226967896E-2,3.493865665997E-1, +-4.755539821558E-1)); +#2357=CARTESIAN_POINT('',(9.896910097061E-2,3.610497110156E-1, +-4.749833518586E-1)); +#2358=CARTESIAN_POINT('',(1.035817828204E-1,3.733727519393E-1, +-4.744122296607E-1)); +#2359=CARTESIAN_POINT('',(1.066650404437E-1,3.861593737126E-1, +-4.738413218239E-1)); +#2360=CARTESIAN_POINT('',(1.081760894956E-1,3.992186822616E-1, +-4.732707020614E-1)); +#2361=CARTESIAN_POINT('',(1.080940942080E-1,4.123721279162E-1, +-4.726997683840E-1)); +#2362=CARTESIAN_POINT('',(1.064172863100E-1,4.254223735438E-1, +-4.721286685686E-1)); +#2363=CARTESIAN_POINT('',(1.031741135350E-1,4.381626526672E-1, +-4.715580423432E-1)); +#2364=CARTESIAN_POINT('',(9.841089745600E-2,4.504197956005E-1, +-4.709872635116E-1)); +#2365=CARTESIAN_POINT('',(9.219199493410E-2,4.620177275583E-1, +-4.704160546528E-1)); +#2366=CARTESIAN_POINT('',(8.462010027126E-2,4.727662258487E-1, +-4.698453777250E-1)); +#2367=CARTESIAN_POINT('',(7.580262500600E-2,4.825189656806E-1, +-4.692747007973E-1)); +#2368=CARTESIAN_POINT('',(6.585865219481E-2,4.911389528619E-1, +-4.687034919385E-1)); +#2369=CARTESIAN_POINT('',(5.495112411431E-2,4.984841289303E-1, +-4.681327131069E-1)); +#2370=CARTESIAN_POINT('',(4.323762375150E-2,5.044529471584E-1, +-4.675620868814E-1)); +#2371=CARTESIAN_POINT('',(3.087687142237E-2,5.089620931448E-1, +-4.669909870661E-1)); +#2372=CARTESIAN_POINT('',(1.806429527524E-2,5.119382900957E-1, +-4.664200533886E-1)); +#2373=CARTESIAN_POINT('',(4.992778694051E-3,5.133398118692E-1, +-4.658494336261E-1)); +#2374=CARTESIAN_POINT('',(-8.158922903589E-3,5.131476686113E-1, +-4.652785257894E-1)); +#2375=CARTESIAN_POINT('',(-2.119517987057E-2,5.113615623029E-1, +-4.647074035915E-1)); +#2376=CARTESIAN_POINT('',(-3.390792007030E-2,5.080116942156E-1, +-4.641367732942E-1)); +#2377=CARTESIAN_POINT('',(-4.612435094969E-2,5.031461161185E-1, +-4.635660121375E-1)); +#2378=CARTESIAN_POINT('',(-5.766992930157E-2,4.968302291494E-1, +-4.629947966366E-1)); +#2379=CARTESIAN_POINT('',(-6.835481789248E-2,4.891683809118E-1, +-4.624241082739E-1)); +#2380=CARTESIAN_POINT('',(-7.803315069225E-2,4.802696533220E-1, +-4.618534417384E-1)); +#2381=CARTESIAN_POINT('',(-8.656942556133E-2,4.702539951944E-1, +-4.612822417359E-1)); +#2382=CARTESIAN_POINT('',(-9.382321222549E-2,4.592849598376E-1, +-4.607114441914E-1)); +#2383=CARTESIAN_POINT('',(-9.969360650041E-2,4.475219033923E-1, +-4.601408209767E-1)); +#2384=CARTESIAN_POINT('',(-1.040988920350E-1,4.351243593779E-1, +-4.595697458269E-1)); +#2385=CARTESIAN_POINT('',(-1.069678197126E-1,4.222866971604E-1, +-4.589987850138E-1)); +#2386=CARTESIAN_POINT('',(-1.082597160122E-1,4.092038884122E-1, +-4.584281651489E-1)); +#2387=CARTESIAN_POINT('',(-1.079574441103E-1,3.960548236848E-1, +-4.578572818579E-1)); +#2388=CARTESIAN_POINT('',(-1.060621625421E-1,3.830335229356E-1, +-4.572861395605E-1)); +#2389=CARTESIAN_POINT('',(-1.026058307562E-1,3.703491904789E-1, +-4.567155041304E-1)); +#2390=CARTESIAN_POINT('',(-9.763822490558E-2,3.581743060967E-1, +-4.561447596103E-1)); +#2391=CARTESIAN_POINT('',(-9.122581900801E-2,3.466819370126E-1, +-4.555735396817E-1)); +#2392=CARTESIAN_POINT('',(-8.347454104106E-2,3.360613888435E-1, +-4.550028388412E-1)); +#2393=CARTESIAN_POINT('',(-7.449516930799E-2,3.264581254131E-1, +-4.544321816554E-1)); +#2394=CARTESIAN_POINT('',(-6.440856983782E-2,3.180061916009E-1, +-4.538609927234E-1)); +#2395=CARTESIAN_POINT('',(-5.337877553138E-2,3.108442921852E-1, +-4.532901754279E-1)); +#2396=CARTESIAN_POINT('',(-4.156696618455E-2,3.050727263839E-1, +-4.527195541628E-1)); +#2397=CARTESIAN_POINT('',(-2.913355314636E-2,3.007716172780E-1, +-4.521485059616E-1)); +#2398=CARTESIAN_POINT('',(-1.627167476692E-2,2.980101606251E-1, +-4.515775167178E-1)); +#2399=CARTESIAN_POINT('',(-3.178490507526E-3,2.968279803087E-1, +-4.510068966484E-1)); +#2400=CARTESIAN_POINT('',(9.967044701202E-3,2.972403544932E-1, +-4.504360366081E-1)); +#2401=CARTESIAN_POINT('',(2.297242282619E-2,2.992446791488E-1, +-4.498648764940E-1)); +#2402=CARTESIAN_POINT('',(3.562748065558E-2,3.028072360857E-1, +-4.492942348709E-1)); +#2403=CARTESIAN_POINT('',(4.775998676674E-2,3.078765288302E-1, +-4.487235059459E-1)); +#2404=CARTESIAN_POINT('',(5.919829520339E-2,3.143849798907E-1, +-4.481522838168E-1)); +#2405=CARTESIAN_POINT('',(6.975377678428E-2,3.222251590745E-1, +-4.475815694071E-1)); +#2406=CARTESIAN_POINT('',(7.928131221572E-2,3.312845572756E-1, +-4.470109207104E-1)); +#2407=CARTESIAN_POINT('',(8.764828740508E-2,3.414413639141E-1, +-4.464397443830E-1)); +#2408=CARTESIAN_POINT('',(9.471779201270E-2,3.525311066935E-1, +-4.458689088364E-1)); +#2409=CARTESIAN_POINT('',(1.003901899442E-1,3.643910798482E-1, +-4.452982789879E-1)); +#2410=CARTESIAN_POINT('',(1.031877940531E-1,3.727027767588E-1, +-4.449176232419E-1)); +#2411=CARTESIAN_POINT('',(1.043296298660E-1,3.769287427258E-1, +-4.447272674888E-1)); +#2413=DIRECTION('',(-8.142104585572E-1,-2.950615684497E-1,5.E-1)); +#2414=VECTOR('',#2413,8.424924005296E-3); +#2415=CARTESIAN_POINT('',(-1.016049056915E-1,3.682975283824E-1, +-5.451997084337E-1)); +#2416=LINE('',#2415,#2414); +#2417=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.307489766190E-1)); +#2418=CARTESIAN_POINT('',(-1.063165710920E-1,3.453977147743E-1, +-5.305671751287E-1)); +#2419=CARTESIAN_POINT('',(-1.015335536516E-1,3.372564465549E-1, +-5.302036208002E-1)); +#2420=CARTESIAN_POINT('',(-9.299855721119E-2,3.259631717175E-1, +-5.296585884651E-1)); +#2421=CARTESIAN_POINT('',(-8.321138820853E-2,3.157301790953E-1, +-5.291133956821E-1)); +#2422=CARTESIAN_POINT('',(-7.229863600014E-2,3.066944447223E-1, +-5.285678906784E-1)); +#2423=CARTESIAN_POINT('',(-6.042289331018E-2,2.989894749069E-1, +-5.280228373803E-1)); +#2424=CARTESIAN_POINT('',(-4.773486614209E-2,2.927094560059E-1, +-5.274777504245E-1)); +#2425=CARTESIAN_POINT('',(-3.439404969604E-2,2.879371303610E-1, +-5.269322185653E-1)); +#2426=CARTESIAN_POINT('',(-2.060032189933E-2,2.847443299001E-1, +-5.263870825356E-1)); +#2427=CARTESIAN_POINT('',(-6.532570526004E-3,2.831688641539E-1, +-5.258420509536E-1)); +#2428=CARTESIAN_POINT('',(7.633774863624E-3,2.832326428395E-1, +-5.252966047126E-1)); +#2429=CARTESIAN_POINT('',(2.169291727736E-2,2.849362921758E-1, +-5.247513317236E-1)); +#2430=CARTESIAN_POINT('',(3.545413913100E-2,2.882538504524E-1, +-5.242063084456E-1)); +#2431=CARTESIAN_POINT('',(4.874505124702E-2,2.931437309808E-1, +-5.236610390282E-1)); +#2432=CARTESIAN_POINT('',(6.138430284390E-2,2.995422547207E-1, +-5.231155895567E-1)); +#2433=CARTESIAN_POINT('',(7.318875701328E-2,3.073550001019E-1, +-5.225705574281E-1)); +#2434=CARTESIAN_POINT('',(8.401226439570E-2,3.164824969594E-1, +-5.220254232826E-1)); +#2435=CARTESIAN_POINT('',(9.371291849581E-2,3.268096667803E-1, +-5.214798905380E-1)); +#2436=CARTESIAN_POINT('',(1.021454763511E-1,3.381814600402E-1, +-5.209348021767E-1)); +#2437=CARTESIAN_POINT('',(1.092056318696E-1,3.504514847173E-1, +-5.203897495683E-1)); +#2438=CARTESIAN_POINT('',(1.148021981071E-1,3.634672524776E-1, +-5.198442458105E-1)); +#2439=CARTESIAN_POINT('',(1.188512870313E-1,3.770359940453E-1, +-5.192990488465E-1)); +#2440=CARTESIAN_POINT('',(1.213025931246E-1,3.909776236408E-1, +-5.187540249540E-1)); +#2441=CARTESIAN_POINT('',(1.221242920425E-1,4.051180486161E-1, +-5.182086637893E-1)); +#2442=CARTESIAN_POINT('',(1.213026009100E-1,4.192585551441E-1, +-5.176632995067E-1)); +#2443=CARTESIAN_POINT('',(1.188512944183E-1,4.332001858687E-1, +-5.171182755745E-1)); +#2444=CARTESIAN_POINT('',(1.148022302519E-1,4.467688778725E-1, +-5.165730807051E-1)); +#2445=CARTESIAN_POINT('',(1.092056609873E-1,4.597846817980E-1, +-5.160275756237E-1)); +#2446=CARTESIAN_POINT('',(1.021454971750E-1,4.720547278862E-1, +-5.154825221463E-1)); +#2447=CARTESIAN_POINT('',(9.371296340777E-2,4.834264977555E-1, +-5.149374350557E-1)); +#2448=CARTESIAN_POINT('',(8.401232476656E-2,4.937536676610E-1, +-5.143919027157E-1)); +#2449=CARTESIAN_POINT('',(7.318878877711E-2,5.028811981430E-1, +-5.138467668994E-1)); +#2450=CARTESIAN_POINT('',(6.138434541592E-2,5.106939383283E-1, +-5.133017352233E-1)); +#2451=CARTESIAN_POINT('',(4.874514803751E-2,5.170924476650E-1, +-5.127562878641E-1)); +#2452=CARTESIAN_POINT('',(3.545417767183E-2,5.219823608957E-1, +-5.122110159104E-1)); +#2453=CARTESIAN_POINT('',(2.169295556816E-2,5.252999188674E-1, +-5.116659926252E-1)); +#2454=CARTESIAN_POINT('',(7.633879266859E-3,5.270035710290E-1, +-5.111207221482E-1)); +#2455=CARTESIAN_POINT('',(-6.532527958281E-3,5.270673609466E-1, +-5.105752735245E-1)); +#2456=CARTESIAN_POINT('',(-2.060028861506E-2,5.254918951558E-1, +-5.100302415932E-1)); +#2457=CARTESIAN_POINT('',(-3.439398859037E-2,5.222991076771E-1, +-5.094851067150E-1)); +#2458=CARTESIAN_POINT('',(-4.773482588664E-2,5.175267829511E-1, +-5.089395741136E-1)); +#2459=CARTESIAN_POINT('',(-6.042287500916E-2,5.112467561562E-1, +-5.083944862687E-1)); +#2460=CARTESIAN_POINT('',(-7.229860905692E-2,5.035417948388E-1, +-5.078494334262E-1)); +#2461=CARTESIAN_POINT('',(-8.321136487298E-2,4.945060641865E-1, +-5.073039284081E-1)); +#2462=CARTESIAN_POINT('',(-9.299855332319E-2,4.842730540196E-1, +-5.067587346201E-1)); +#2463=CARTESIAN_POINT('',(-1.015335474548E-1,4.729797830180E-1, +-5.062137024590E-1)); +#2464=CARTESIAN_POINT('',(-1.063165694124E-1,4.648385089792E-1, +-5.058501478480E-1)); +#2465=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.056683462157E-1)); +#2467=DIRECTION('',(0.E0,1.879977655036E-14,-1.E0)); +#2468=VECTOR('',#2467,5.905511811012E-3); +#2469=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.056683462157E-1)); +#2470=LINE('',#2469,#2468); +#2471=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.115738580267E-1)); +#2472=CARTESIAN_POINT('',(-1.063165690779E-1,4.648385096327E-1, +-5.117556596874E-1)); +#2473=CARTESIAN_POINT('',(-1.015335462104E-1,4.729797848464E-1, +-5.121192143554E-1)); +#2474=CARTESIAN_POINT('',(-9.299855253286E-2,4.842730550899E-1, +-5.126642464821E-1)); +#2475=CARTESIAN_POINT('',(-8.321136006026E-2,4.945060689076E-1, +-5.132094404785E-1)); +#2476=CARTESIAN_POINT('',(-7.229860312206E-2,5.035417990648E-1, +-5.137549455174E-1)); +#2477=CARTESIAN_POINT('',(-6.042287087482E-2,5.112467585424E-1, +-5.142999982635E-1)); +#2478=CARTESIAN_POINT('',(-4.773481673809E-2,5.175267871834E-1, +-5.148450863122E-1)); +#2479=CARTESIAN_POINT('',(-3.439397362295E-2,5.222991120122E-1, +-5.153906191254E-1)); +#2480=CARTESIAN_POINT('',(-2.060028020614E-2,5.254918963100E-1, +-5.159357537311E-1)); +#2481=CARTESIAN_POINT('',(-6.532517335719E-3,5.270673621309E-1, +-5.164807857457E-1)); +#2482=CARTESIAN_POINT('',(7.633907103480E-3,5.270035693542E-1, +-5.170262350324E-1)); +#2483=CARTESIAN_POINT('',(2.169296769013E-2,5.252999159849E-1, +-5.175715049152E-1)); +#2484=CARTESIAN_POINT('',(3.545418899839E-2,5.219823582802E-1, +-5.181165281676E-1)); +#2485=CARTESIAN_POINT('',(4.874518078478E-2,5.170924336509E-1, +-5.186618010459E-1)); +#2486=CARTESIAN_POINT('',(6.138436171026E-2,5.106939279520E-1, +-5.192072477766E-1)); +#2487=CARTESIAN_POINT('',(7.318880072082E-2,5.028811897842E-1, +-5.197522792718E-1)); +#2488=CARTESIAN_POINT('',(8.401234916872E-2,4.937536452392E-1, +-5.202974158015E-1)); +#2489=CARTESIAN_POINT('',(9.371298344002E-2,4.834264729726E-1, +-5.208429480925E-1)); +#2490=CARTESIAN_POINT('',(1.021455067606E-1,4.720547128786E-1, +-5.213880346434E-1)); +#2491=CARTESIAN_POINT('',(1.092056746779E-1,4.597846566397E-1, +-5.219330885359E-1)); +#2492=CARTESIAN_POINT('',(1.148022467402E-1,4.467688320227E-1, +-5.224785943905E-1)); +#2493=CARTESIAN_POINT('',(1.188512984864E-1,4.332001636978E-1, +-5.230237882532E-1)); +#2494=CARTESIAN_POINT('',(1.213026051571E-1,4.192585330548E-1, +-5.235688121797E-1)); +#2495=CARTESIAN_POINT('',(1.221242921753E-1,4.051179773554E-1, +-5.241141783433E-1)); +#2496=CARTESIAN_POINT('',(1.213025883460E-1,3.909775973246E-1, +-5.246595377912E-1)); +#2497=CARTESIAN_POINT('',(1.188512825449E-1,3.770359692320E-1, +-5.252045616276E-1)); +#2498=CARTESIAN_POINT('',(1.148021772391E-1,3.634671935574E-1, +-5.257497600262E-1)); +#2499=CARTESIAN_POINT('',(1.092056116172E-1,3.504514469596E-1, +-5.262952630269E-1)); +#2500=CARTESIAN_POINT('',(1.021454616180E-1,3.381814370323E-1, +-5.268403150401E-1)); +#2501=CARTESIAN_POINT('',(9.371288589229E-2,3.268096259805E-1, +-5.273854043577E-1)); +#2502=CARTESIAN_POINT('',(8.401221763533E-2,3.164824535154E-1, +-5.279309375489E-1)); +#2503=CARTESIAN_POINT('',(7.318873125516E-2,3.073549820684E-1, +-5.284760704501E-1)); +#2504=CARTESIAN_POINT('',(6.138426856078E-2,2.995422324921E-1, +-5.290211029371E-1)); +#2505=CARTESIAN_POINT('',(4.874496801211E-2,2.931436948304E-1, +-5.295665543312E-1)); +#2506=CARTESIAN_POINT('',(3.545410357402E-2,2.882538419402E-1, +-5.301118216616E-1)); +#2507=CARTESIAN_POINT('',(2.169288315675E-2,2.849362836204E-1, +-5.306568448847E-1)); +#2508=CARTESIAN_POINT('',(7.633674073436E-3,2.832326363300E-1, +-5.312021204109E-1)); +#2509=CARTESIAN_POINT('',(-6.532612570171E-3,2.831688687301E-1, +-5.317475643880E-1)); +#2510=CARTESIAN_POINT('',(-2.060035360383E-2,2.847443342067E-1, +-5.322925955785E-1)); +#2511=CARTESIAN_POINT('',(-3.439410706568E-2,2.879371472755E-1, +-5.328377326778E-1)); +#2512=CARTESIAN_POINT('',(-4.773489132292E-2,2.927094680968E-1, +-5.333832633083E-1)); +#2513=CARTESIAN_POINT('',(-6.042290623767E-2,2.989894822503E-1, +-5.339283497650E-1)); +#2514=CARTESIAN_POINT('',(-7.229865218490E-2,3.066944562492E-1, +-5.344734032532E-1)); +#2515=CARTESIAN_POINT('',(-8.321140358969E-2,3.157301939964E-1, +-5.350189083175E-1)); +#2516=CARTESIAN_POINT('',(-9.299856042381E-2,3.259631759150E-1, +-5.355641004787E-1)); +#2517=CARTESIAN_POINT('',(-1.015335585643E-1,3.372564538044E-1, +-5.361091329489E-1)); +#2518=CARTESIAN_POINT('',(-1.063165724961E-1,3.453977175171E-1, +-5.364726870586E-1)); +#2519=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.366544884300E-1)); +#2521=DIRECTION('',(-1.409983241269E-14,3.759955310051E-14,-1.E0)); +#2522=VECTOR('',#2521,5.905511811044E-3); +#2523=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.307489766190E-1)); +#2524=LINE('',#2523,#2522); +#2525=CARTESIAN_POINT('',(-1.084645669291E-1,3.658116570914E-1, +-5.571229897894E-1)); +#2526=CARTESIAN_POINT('',(-1.084645669291E-1,3.640304827204E-1, +-5.574051457708E-1)); +#2527=CARTESIAN_POINT('',(-1.084645669291E-1,3.604545615733E-1, +-5.580159495298E-1)); +#2528=CARTESIAN_POINT('',(-1.084645669291E-1,3.550607781771E-1, +-5.590662293573E-1)); +#2529=CARTESIAN_POINT('',(-1.084645669291E-1,3.514220416665E-1, +-5.598583026601E-1)); +#2530=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.602765356741E-1)); +#2532=DIRECTION('',(8.142104585572E-1,2.950615684499E-1,5.E-1)); +#2533=VECTOR('',#2532,8.424924005296E-3); +#2534=CARTESIAN_POINT('',(-1.084645669291E-1,3.658116570914E-1, +-5.571229897894E-1)); +#2535=LINE('',#2534,#2533); +#2536=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.761407871606E-1)); +#2537=CARTESIAN_POINT('',(-1.084645669291E-1,4.567893112759E-1, +-4.749947931118E-1)); +#2538=CARTESIAN_POINT('',(-1.084645669291E-1,4.491699277231E-1, +-4.728854215788E-1)); +#2539=CARTESIAN_POINT('',(-1.084645669291E-1,4.380670249339E-1, +-4.703101591368E-1)); +#2540=CARTESIAN_POINT('',(-1.084645669291E-1,4.270653748916E-1, +-4.682984819088E-1)); +#2541=CARTESIAN_POINT('',(-1.084645669291E-1,4.160957002947E-1, +-4.668791771401E-1)); +#2542=CARTESIAN_POINT('',(-1.084645669291E-1,4.051221937053E-1, +-4.660820338367E-1)); +#2543=CARTESIAN_POINT('',(-1.084645669291E-1,3.941483356256E-1, +-4.659278069604E-1)); +#2544=CARTESIAN_POINT('',(-1.084645669291E-1,3.831773666781E-1, +-4.664154328094E-1)); +#2545=CARTESIAN_POINT('',(-1.084645669291E-1,3.721737712150E-1, +-4.675293362226E-1)); +#2546=CARTESIAN_POINT('',(-1.084645669291E-1,3.610681841018E-1, +-4.692488931225E-1)); +#2547=CARTESIAN_POINT('',(-1.084645669291E-1,3.534470190751E-1, +-4.708124030212E-1)); +#2548=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.716938585087E-1)); +#2550=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.056683462157E-1)); +#2551=CARTESIAN_POINT('',(-1.084645669291E-1,4.567886265841E-1, +-5.045221485288E-1)); +#2552=CARTESIAN_POINT('',(-1.084645669291E-1,4.491687552286E-1, +-5.024127174759E-1)); +#2553=CARTESIAN_POINT('',(-1.084645669291E-1,4.380701285159E-1, +-4.998384284594E-1)); +#2554=CARTESIAN_POINT('',(-1.084645669291E-1,4.270711878985E-1, +-4.978270029769E-1)); +#2555=CARTESIAN_POINT('',(-1.084645669291E-1,4.161044639923E-1, +-4.964076623337E-1)); +#2556=CARTESIAN_POINT('',(-1.084645669291E-1,4.051315514998E-1, +-4.956100012275E-1)); +#2557=CARTESIAN_POINT('',(-1.084645669291E-1,3.941580711583E-1, +-4.954552324234E-1)); +#2558=CARTESIAN_POINT('',(-1.084645669291E-1,3.831876350767E-1, +-4.959422289404E-1)); +#2559=CARTESIAN_POINT('',(-1.084645669291E-1,3.721822920863E-1, +-4.970557584149E-1)); +#2560=CARTESIAN_POINT('',(-1.084645669291E-1,3.610740680324E-1, +-4.987753230039E-1)); +#2561=CARTESIAN_POINT('',(-1.084645669291E-1,3.534492175508E-1, +-5.003394591653E-1)); +#2562=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.012214175639E-1)); +#2564=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.351959052708E-1)); +#2565=CARTESIAN_POINT('',(-1.084645669291E-1,4.567884570038E-1, +-5.340496571481E-1)); +#2566=CARTESIAN_POINT('',(-1.084645669291E-1,4.491680096839E-1, +-5.319400708782E-1)); +#2567=CARTESIAN_POINT('',(-1.084645669291E-1,4.380680020965E-1, +-5.293655121632E-1)); +#2568=CARTESIAN_POINT('',(-1.084645669291E-1,4.270664732945E-1, +-5.273537703090E-1)); +#2569=CARTESIAN_POINT('',(-1.084645669291E-1,4.160968592541E-1, +-5.259343988241E-1)); +#2570=CARTESIAN_POINT('',(-1.084645669291E-1,4.051214066238E-1, +-5.251370671370E-1)); +#2571=CARTESIAN_POINT('',(-1.084645669291E-1,3.941455994828E-1, +-5.249829559025E-1)); +#2572=CARTESIAN_POINT('',(-1.084645669291E-1,3.831750010709E-1, +-5.254707268263E-1)); +#2573=CARTESIAN_POINT('',(-1.084645669291E-1,3.721718804117E-1, +-5.265847467211E-1)); +#2574=CARTESIAN_POINT('',(-1.084645669291E-1,3.610702190947E-1, +-5.283036778405E-1)); +#2575=CARTESIAN_POINT('',(-1.084645669291E-1,3.534479646376E-1, +-5.298673048298E-1)); +#2576=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.307489766190E-1)); +#2578=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.602765356741E-1)); +#2579=CARTESIAN_POINT('',(-1.063165724018E-1,3.453977173330E-1, +-5.600947342947E-1)); +#2580=CARTESIAN_POINT('',(-1.015335582202E-1,3.372564533032E-1, +-5.597311801695E-1)); +#2581=CARTESIAN_POINT('',(-9.299856023650E-2,3.259631756514E-1, +-5.591861477104E-1)); +#2582=CARTESIAN_POINT('',(-8.321140235679E-2,3.157301928189E-1, +-5.586409554960E-1)); +#2583=CARTESIAN_POINT('',(-7.229865138488E-2,3.066944557131E-1, +-5.580954504603E-1)); +#2584=CARTESIAN_POINT('',(-6.042290577743E-2,2.989894819776E-1, +-5.575503969884E-1)); +#2585=CARTESIAN_POINT('',(-4.773489047227E-2,2.927094677356E-1, +-5.570053105168E-1)); +#2586=CARTESIAN_POINT('',(-3.439410665549E-2,2.879371471784E-1, +-5.564597799057E-1)); +#2587=CARTESIAN_POINT('',(-2.060035344085E-2,2.847443341772E-1, +-5.559146428162E-1)); +#2588=CARTESIAN_POINT('',(-6.532612319843E-3,2.831688687208E-1, +-5.553696116225E-1)); +#2589=CARTESIAN_POINT('',(7.633674064769E-3,2.832326363351E-1, +-5.548241676553E-1)); +#2590=CARTESIAN_POINT('',(2.169288319439E-2,2.849362836250E-1, +-5.542788921273E-1)); +#2591=CARTESIAN_POINT('',(3.545410359005E-2,2.882538419451E-1, +-5.537338689051E-1)); +#2592=CARTESIAN_POINT('',(4.874496806743E-2,2.931436948538E-1, +-5.531886015730E-1)); +#2593=CARTESIAN_POINT('',(6.138426858205E-2,2.995422325061E-1, +-5.526431501802E-1)); +#2594=CARTESIAN_POINT('',(7.318873127167E-2,3.073549820800E-1, +-5.520981176934E-1)); +#2595=CARTESIAN_POINT('',(8.401221766389E-2,3.164824535420E-1, +-5.515529847915E-1)); +#2596=CARTESIAN_POINT('',(9.371288591337E-2,3.268096260067E-1, +-5.510074516005E-1)); +#2597=CARTESIAN_POINT('',(1.021454616278E-1,3.381814370476E-1, +-5.504623622835E-1)); +#2598=CARTESIAN_POINT('',(1.092056116310E-1,3.504514469853E-1, +-5.499173102699E-1)); +#2599=CARTESIAN_POINT('',(1.148021772539E-1,3.634671935993E-1, +-5.493718072686E-1)); +#2600=CARTESIAN_POINT('',(1.188512825479E-1,3.770359692493E-1, +-5.488266088710E-1)); +#2601=CARTESIAN_POINT('',(1.213025883494E-1,3.909775973420E-1, +-5.482815850346E-1)); +#2602=CARTESIAN_POINT('',(1.221242921755E-1,4.051179774105E-1, +-5.477362255853E-1)); +#2603=CARTESIAN_POINT('',(1.213026051530E-1,4.192585330788E-1, +-5.471908594228E-1)); +#2604=CARTESIAN_POINT('',(1.188512984825E-1,4.332001637190E-1, +-5.466458354965E-1)); +#2605=CARTESIAN_POINT('',(1.148022467209E-1,4.467688320778E-1, +-5.461006416323E-1)); +#2606=CARTESIAN_POINT('',(1.092056746565E-1,4.597846566802E-1, +-5.455551357783E-1)); +#2607=CARTESIAN_POINT('',(1.021455067440E-1,4.720547129045E-1, +-5.450100818863E-1)); +#2608=CARTESIAN_POINT('',(9.371298340208E-2,4.834264730207E-1, +-5.444649953342E-1)); +#2609=CARTESIAN_POINT('',(8.401234910326E-2,4.937536453005E-1, +-5.439194630421E-1)); +#2610=CARTESIAN_POINT('',(7.318880068417E-2,5.028811898097E-1, +-5.433743265141E-1)); +#2611=CARTESIAN_POINT('',(6.138436166155E-2,5.106939279838E-1, +-5.428292950185E-1)); +#2612=CARTESIAN_POINT('',(4.874518066254E-2,5.170924337040E-1, +-5.422838482848E-1)); +#2613=CARTESIAN_POINT('',(3.545418894744E-2,5.219823582924E-1, +-5.417385754097E-1)); +#2614=CARTESIAN_POINT('',(2.169296764030E-2,5.252999159972E-1, +-5.411935521573E-1)); +#2615=CARTESIAN_POINT('',(7.633906964348E-3,5.270035693631E-1, +-5.406482822711E-1)); +#2616=CARTESIAN_POINT('',(-6.532517395363E-3,5.270673621246E-1, +-5.401028329875E-1)); +#2617=CARTESIAN_POINT('',(-2.060028025159E-2,5.254918963036E-1, +-5.395578009735E-1)); +#2618=CARTESIAN_POINT('',(-3.439397370637E-2,5.222991119883E-1, +-5.390126663662E-1)); +#2619=CARTESIAN_POINT('',(-4.773481679216E-2,5.175267871585E-1, +-5.384671335540E-1)); +#2620=CARTESIAN_POINT('',(-6.042287089793E-2,5.112467585290E-1, +-5.379220455066E-1)); +#2621=CARTESIAN_POINT('',(-7.229860315570E-2,5.035417990407E-1, +-5.373769927599E-1)); +#2622=CARTESIAN_POINT('',(-8.321136008400E-2,4.945060688841E-1, +-5.368314877213E-1)); +#2623=CARTESIAN_POINT('',(-9.299855253708E-2,4.842730550843E-1, +-5.362862937259E-1)); +#2624=CARTESIAN_POINT('',(-1.015335462167E-1,4.729797848372E-1, +-5.357412615990E-1)); +#2625=CARTESIAN_POINT('',(-1.063165690796E-1,4.648385096294E-1, +-5.353777069313E-1)); +#2626=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.351959052708E-1)); +#2628=DIRECTION('',(-1.879977655024E-14,-2.819966482535E-14,-1.E0)); +#2629=VECTOR('',#2628,5.905511811050E-3); +#2630=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.351959052708E-1)); +#2631=LINE('',#2630,#2629); +#2632=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.411014170818E-1)); +#2633=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-5.412832187144E-1)); +#2634=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-5.416467733258E-1)); +#2635=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-5.421918054866E-1)); +#2636=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-5.427369992759E-1)); +#2637=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-5.432825042941E-1)); +#2638=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-5.438275571359E-1)); +#2639=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-5.443726449821E-1)); +#2640=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-5.449181775844E-1)); +#2641=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-5.454633124611E-1)); +#2642=CARTESIAN_POINT('',(-6.532527900751E-3,5.270673609527E-1, +-5.460083443929E-1)); +#2643=CARTESIAN_POINT('',(7.633879399073E-3,5.270035710204E-1, +-5.465537930195E-1)); +#2644=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-5.470990634931E-1)); +#2645=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-5.476440867784E-1)); +#2646=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-5.481893587345E-1)); +#2647=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-5.487348060912E-1)); +#2648=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-5.492798377670E-1)); +#2649=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-5.498249735847E-1)); +#2650=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-5.503705059237E-1)); +#2651=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-5.509155930134E-1)); +#2652=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-5.514606464912E-1)); +#2653=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-5.520061515726E-1)); +#2654=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-5.525513464412E-1)); +#2655=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-5.530963703734E-1)); +#2656=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-5.536417346569E-1)); +#2657=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-5.541870958208E-1)); +#2658=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-5.547321197132E-1)); +#2659=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-5.552773166780E-1)); +#2660=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-5.558228204354E-1)); +#2661=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-5.563678730434E-1)); +#2662=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-5.569129614053E-1)); +#2663=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-5.574584941503E-1)); +#2664=CARTESIAN_POINT('',(7.318875699801E-2,3.073550000913E-1, +-5.580036282950E-1)); +#2665=CARTESIAN_POINT('',(6.138430282298E-2,2.995422547069E-1, +-5.585486604239E-1)); +#2666=CARTESIAN_POINT('',(4.87450512E-2,2.931437309616E-1,-5.590941098963E-1)); +#2667=CARTESIAN_POINT('',(3.545413912408E-2,2.882538504490E-1, +-5.596393793121E-1)); +#2668=CARTESIAN_POINT('',(2.169291723636E-2,2.849362921735E-1, +-5.601844025914E-1)); +#2669=CARTESIAN_POINT('',(7.633774926384E-3,2.832326428327E-1, +-5.607296755763E-1)); +#2670=CARTESIAN_POINT('',(-6.532570891496E-3,2.831688641739E-1, +-5.612751218339E-1)); +#2671=CARTESIAN_POINT('',(-2.060032197825E-2,2.847443299062E-1, +-5.618201534048E-1)); +#2672=CARTESIAN_POINT('',(-3.439405006064E-2,2.879371304957E-1, +-5.623652894465E-1)); +#2673=CARTESIAN_POINT('',(-4.773486527324E-2,2.927094556574E-1, +-5.629108212546E-1)); +#2674=CARTESIAN_POINT('',(-6.042289301380E-2,2.989894747195E-1, +-5.634559082331E-1)); +#2675=CARTESIAN_POINT('',(-7.229863535841E-2,3.066944442854E-1, +-5.640009615148E-1)); +#2676=CARTESIAN_POINT('',(-8.321138750200E-2,3.157301784079E-1, +-5.645464665104E-1)); +#2677=CARTESIAN_POINT('',(-9.299855715220E-2,3.259631716210E-1, +-5.650916593270E-1)); +#2678=CARTESIAN_POINT('',(-1.015335535201E-1,3.372564463665E-1, +-5.656366916575E-1)); +#2679=CARTESIAN_POINT('',(-1.063165710615E-1,3.453977147147E-1, +-5.660002459923E-1)); +#2680=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.661820474852E-1)); +#2682=DIRECTION('',(0.E0,2.819966482532E-14,-1.E0)); +#2683=VECTOR('',#2682,5.905511811056E-3); +#2684=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.602765356741E-1)); +#2685=LINE('',#2684,#2683); +#2686=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.252371655954E-1)); +#2687=CARTESIAN_POINT('',(-1.084645669291E-1,3.534477924214E-1, +-6.263834223290E-1)); +#2688=CARTESIAN_POINT('',(-1.084645669291E-1,3.610682751567E-1, +-6.284930165949E-1)); +#2689=CARTESIAN_POINT('',(-1.084645669291E-1,3.721682539773E-1, +-6.310675651586E-1)); +#2690=CARTESIAN_POINT('',(-1.084645669291E-1,3.831697051803E-1, +-6.330792923279E-1)); +#2691=CARTESIAN_POINT('',(-1.084645669291E-1,3.941392194404E-1, +-6.344986556900E-1)); +#2692=CARTESIAN_POINT('',(-1.084645669291E-1,4.051145692722E-1, +-6.352959911228E-1)); +#2693=CARTESIAN_POINT('',(-1.084645669291E-1,4.160902854928E-1, +-6.354501186489E-1)); +#2694=CARTESIAN_POINT('',(-1.084645669291E-1,4.270608364925E-1, +-6.349623718719E-1)); +#2695=CARTESIAN_POINT('',(-1.084645669291E-1,4.380639913842E-1, +-6.338483706331E-1)); +#2696=CARTESIAN_POINT('',(-1.084645669291E-1,4.491657882799E-1, +-6.321294344753E-1)); +#2697=CARTESIAN_POINT('',(-1.084645669291E-1,4.567881765110E-1, +-6.305657841820E-1)); +#2698=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.296840942472E-1)); +#2700=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.957096065403E-1)); +#2701=CARTESIAN_POINT('',(-1.084645669291E-1,3.534454650802E-1, +-5.968551710863E-1)); +#2702=CARTESIAN_POINT('',(-1.084645669291E-1,3.610619800722E-1, +-5.989637823240E-1)); +#2703=CARTESIAN_POINT('',(-1.084645669291E-1,3.721585788661E-1, +-6.015379436326E-1)); +#2704=CARTESIAN_POINT('',(-1.084645669291E-1,3.831579412235E-1, +-6.035498763397E-1)); +#2705=CARTESIAN_POINT('',(-1.084645669291E-1,3.941283348181E-1, +-6.049700262931E-1)); +#2706=CARTESIAN_POINT('',(-1.084645669291E-1,4.051057954005E-1, +-6.057680952565E-1)); +#2707=CARTESIAN_POINT('',(-1.084645669291E-1,4.160839049250E-1, +-6.059227063573E-1)); +#2708=CARTESIAN_POINT('',(-1.084645669291E-1,4.270569700536E-1, +-6.054351425662E-1)); +#2709=CARTESIAN_POINT('',(-1.084645669291E-1,4.380626402205E-1, +-6.043210363364E-1)); +#2710=CARTESIAN_POINT('',(-1.084645669291E-1,4.491669022047E-1, +-6.026016924540E-1)); +#2711=CARTESIAN_POINT('',(-1.084645669291E-1,4.567887772847E-1, +-6.010380876973E-1)); +#2712=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.001565351921E-1)); +#2714=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.661820474852E-1)); +#2715=CARTESIAN_POINT('',(-1.084645669291E-1,3.534424633453E-1, +-5.673267192683E-1)); +#2716=CARTESIAN_POINT('',(-1.084645669291E-1,3.610540211462E-1, +-5.694341078406E-1)); +#2717=CARTESIAN_POINT('',(-1.084645669291E-1,3.721468682145E-1, +-5.720079035064E-1)); +#2718=CARTESIAN_POINT('',(-1.084645669291E-1,3.831449220140E-1, +-5.740202757487E-1)); +#2719=CARTESIAN_POINT('',(-1.084645669291E-1,3.941166457035E-1, +-5.754413217523E-1)); +#2720=CARTESIAN_POINT('',(-1.084645669291E-1,4.050965772002E-1, +-5.762401836843E-1)); +#2721=CARTESIAN_POINT('',(-1.084645669291E-1,4.160773550334E-1, +-5.763953100921E-1)); +#2722=CARTESIAN_POINT('',(-1.084645669291E-1,4.270538086833E-1, +-5.759078698782E-1)); +#2723=CARTESIAN_POINT('',(-1.084645669291E-1,4.380622416253E-1, +-5.747935965742E-1)); +#2724=CARTESIAN_POINT('',(-1.084645669291E-1,4.491701988133E-1, +-5.730735569284E-1)); +#2725=CARTESIAN_POINT('',(-1.084645669291E-1,4.567902912556E-1, +-5.715101823147E-1)); +#2726=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.706289761370E-1)); +#2728=CARTESIAN_POINT('',(-1.084645669291E-1,3.658116570914E-1, +-5.409872464311E-1)); +#2729=CARTESIAN_POINT('',(-1.084645669291E-1,3.697790634977E-1, +-5.419196081256E-1)); +#2730=CARTESIAN_POINT('',(-1.084645669291E-1,3.776857322848E-1, +-5.435788061375E-1)); +#2731=CARTESIAN_POINT('',(-1.084645669291E-1,3.894377910952E-1, +-5.453969069900E-1)); +#2732=CARTESIAN_POINT('',(-1.084645669291E-1,4.011969611905E-1, +-5.465177954331E-1)); +#2733=CARTESIAN_POINT('',(-1.084645669291E-1,4.129586388615E-1, +-5.469052970469E-1)); +#2734=CARTESIAN_POINT('',(-1.084645669291E-1,4.247134198980E-1, +-5.465529065396E-1)); +#2735=CARTESIAN_POINT('',(-1.084645669291E-1,4.364920252337E-1, +-5.454764877481E-1)); +#2736=CARTESIAN_POINT('',(-1.084645669291E-1,4.483628261068E-1, +-5.437042170021E-1)); +#2737=CARTESIAN_POINT('',(-1.084645669291E-1,4.565183072655E-1, +-5.420448407915E-1)); +#2738=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.411014170818E-1)); +#2740=CARTESIAN_POINT('',(6.204869029981E-2,4.936012845729E-1, +-6.456721493786E-1)); +#2741=CARTESIAN_POINT('',(5.846459933855E-2,4.961146228627E-1, +-6.454817936255E-1)); +#2742=CARTESIAN_POINT('',(5.099164231341E-2,5.007043731375E-1, +-6.451011378796E-1)); +#2743=CARTESIAN_POINT('',(3.903812513818E-2,5.061768943723E-1, +-6.445305080310E-1)); +#2744=CARTESIAN_POINT('',(2.650530346178E-2,5.101629539727E-1, +-6.439596724844E-1)); +#2745=CARTESIAN_POINT('',(1.357391829247E-2,5.126014519764E-1, +-6.433884961571E-1)); +#2746=CARTESIAN_POINT('',(4.544628836962E-4,5.134538116068E-1, +-6.428178474604E-1)); +#2747=CARTESIAN_POINT('',(-1.267319938039E-2,5.127114609357E-1, +-6.422471330507E-1)); +#2748=CARTESIAN_POINT('',(-2.562556211746E-2,5.103809814514E-1, +-6.416759109215E-1)); +#2749=CARTESIAN_POINT('',(-3.818896425236E-2,5.065007288483E-1, +-6.411051819965E-1)); +#2750=CARTESIAN_POINT('',(-5.018831651904E-2,5.011287789440E-1, +-6.405345403735E-1)); +#2751=CARTESIAN_POINT('',(-6.145838234729E-2,4.943359302246E-1, +-6.399633802594E-1)); +#2752=CARTESIAN_POINT('',(-7.181618934132E-2,4.862309328361E-1, +-6.393925202191E-1)); +#2753=CARTESIAN_POINT('',(-8.111225455413E-2,4.769351167929E-1, +-6.388219001496E-1)); +#2754=CARTESIAN_POINT('',(-8.921895775971E-2,4.665748599703E-1, +-6.382509109058E-1)); +#2755=CARTESIAN_POINT('',(-9.601029761490E-2,4.553068964325E-1, +-6.376798627046E-1)); +#2756=CARTESIAN_POINT('',(-1.013823054323E-1,4.433080904979E-1, +-6.371092414396E-1)); +#2757=CARTESIAN_POINT('',(-1.052631420541E-1,4.307427304633E-1, +-6.365384241441E-1)); +#2758=CARTESIAN_POINT('',(-1.075933040405E-1,4.177910889012E-1, +-6.359672352121E-1)); +#2759=CARTESIAN_POINT('',(-1.083357015989E-1,4.046647554033E-1, +-6.353965780262E-1)); +#2760=CARTESIAN_POINT('',(-1.074834046892E-1,3.915440868414E-1, +-6.348258771858E-1)); +#2761=CARTESIAN_POINT('',(-1.050445444949E-1,3.786117453089E-1, +-6.342546572571E-1)); +#2762=CARTESIAN_POINT('',(-1.010590635217E-1,3.660809488849E-1, +-6.336839127370E-1)); +#2763=CARTESIAN_POINT('',(-9.558679540385E-2,3.541271712003E-1, +-6.331132773070E-1)); +#2764=CARTESIAN_POINT('',(-8.870001866270E-2,3.429147374082E-1, +-6.325421350095E-1)); +#2765=CARTESIAN_POINT('',(-8.050821955612E-2,3.326247568978E-1, +-6.319712517185E-1)); +#2766=CARTESIAN_POINT('',(-7.113480660998E-2,3.234069474762E-1, +-6.314006318537E-1)); +#2767=CARTESIAN_POINT('',(-6.070754360175E-2,3.153876891946E-1, +-6.308296710406E-1)); +#2768=CARTESIAN_POINT('',(-4.938256894739E-2,3.086906310861E-1, +-6.302585958908E-1)); +#2769=CARTESIAN_POINT('',(-3.733911225476E-2,3.034193715809E-1, +-6.296879726760E-1)); +#2770=CARTESIAN_POINT('',(-2.474212281345E-2,2.996440550853E-1, +-6.291171751316E-1)); +#2771=CARTESIAN_POINT('',(-1.177116939384E-2,2.974223972007E-1, +-6.285459751290E-1)); +#2772=CARTESIAN_POINT('',(1.361140762371E-3,2.967900140396E-1, +-6.279753085936E-1)); +#2773=CARTESIAN_POINT('',(1.447391945561E-2,2.977521977836E-1, +-6.274046202308E-1)); +#2774=CARTESIAN_POINT('',(2.738528254726E-2,3.002992570294E-1, +-6.268334047300E-1)); +#2775=CARTESIAN_POINT('',(3.988261622375E-2,3.043896952246E-1, +-6.262626435732E-1)); +#2776=CARTESIAN_POINT('',(5.179000087638E-2,3.099619093557E-1, +-6.256920132760E-1)); +#2777=CARTESIAN_POINT('',(6.294397591438E-2,3.169420964811E-1, +-6.251208910781E-1)); +#2778=CARTESIAN_POINT('',(7.316542764498E-2,3.252201456754E-1, +-6.245499832413E-1)); +#2779=CARTESIAN_POINT('',(8.230433541793E-2,3.346704987763E-1, +-6.239793634788E-1)); +#2780=CARTESIAN_POINT('',(9.023561007936E-2,3.451640557548E-1, +-6.234084298014E-1)); +#2781=CARTESIAN_POINT('',(9.683788041731E-2,3.565452078078E-1, +-6.228373299860E-1)); +#2782=CARTESIAN_POINT('',(1.020080340530E-1,3.686324900984E-1, +-6.222667037606E-1)); +#2783=CARTESIAN_POINT('',(1.056775747720E-1,3.812602472712E-1, +-6.216959249290E-1)); +#2784=CARTESIAN_POINT('',(1.077905601072E-1,3.942495531513E-1, +-6.211247160702E-1)); +#2785=CARTESIAN_POINT('',(1.083128862108E-1,4.073869417703E-1, +-6.205540391424E-1)); +#2786=CARTESIAN_POINT('',(1.072408815392E-1,4.204909339203E-1, +-6.199833622147E-1)); +#2787=CARTESIAN_POINT('',(1.045858139759E-1,4.333803633300E-1, +-6.194121533559E-1)); +#2788=CARTESIAN_POINT('',(1.003906964809E-1,4.458433793978E-1, +-6.188413745243E-1)); +#2789=CARTESIAN_POINT('',(9.471891510060E-2,4.577035555248E-1, +-6.182707482989E-1)); +#2790=CARTESIAN_POINT('',(8.764584289306E-2,4.687982499873E-1, +-6.176996484835E-1)); +#2791=CARTESIAN_POINT('',(7.928210074862E-2,4.789504750221E-1, +-6.171287148060E-1)); +#2792=CARTESIAN_POINT('',(6.975546963643E-2,4.880098408204E-1, +-6.165580950435E-1)); +#2793=CARTESIAN_POINT('',(5.919633513401E-2,4.958525987858E-1, +-6.159871872068E-1)); +#2794=CARTESIAN_POINT('',(4.775984412816E-2,5.023595831048E-1, +-6.154160650089E-1)); +#2795=CARTESIAN_POINT('',(3.562956416550E-2,5.074282799408E-1, +-6.148454347116E-1)); +#2796=CARTESIAN_POINT('',(2.297190300049E-2,5.109917961091E-1, +-6.142746735549E-1)); +#2797=CARTESIAN_POINT('',(9.965205596923E-3,5.129959493812E-1, +-6.137034580540E-1)); +#2798=CARTESIAN_POINT('',(-3.176363034811E-3,5.134081829466E-1, +-6.131327696913E-1)); +#2799=CARTESIAN_POINT('',(-1.627067246554E-2,5.122264304203E-1, +-6.125621031558E-1)); +#2800=CARTESIAN_POINT('',(-2.913721477894E-2,5.094635541532E-1, +-6.119909031533E-1)); +#2801=CARTESIAN_POINT('',(-4.156506067398E-2,5.051640420836E-1, +-6.114201056088E-1)); +#2802=CARTESIAN_POINT('',(-5.337721577114E-2,4.993930787464E-1, +-6.108494823941E-1)); +#2803=CARTESIAN_POINT('',(-6.441181281224E-2,4.922276543786E-1, +-6.102784072443E-1)); +#2804=CARTESIAN_POINT('',(-7.449411765625E-2,4.837787818940E-1, +-6.097074464312E-1)); +#2805=CARTESIAN_POINT('',(-8.347330673120E-2,4.741765402626E-1, +-6.091368265664E-1)); +#2806=CARTESIAN_POINT('',(-9.122702057743E-2,4.635525488705E-1, +-6.085659432753E-1)); +#2807=CARTESIAN_POINT('',(-9.763822845037E-2,4.520615620097E-1, +-6.079948009779E-1)); +#2808=CARTESIAN_POINT('',(-1.026051242043E-1,4.398891150144E-1, +-6.074241655478E-1)); +#2809=CARTESIAN_POINT('',(-1.060623739415E-1,4.272024156066E-1, +-6.068534210277E-1)); +#2810=CARTESIAN_POINT('',(-1.079575445851E-1,4.141792890699E-1, +-6.062822010991E-1)); +#2811=CARTESIAN_POINT('',(-1.082596573122E-1,4.010344390733E-1, +-6.057115002586E-1)); +#2812=CARTESIAN_POINT('',(-1.069682371664E-1,3.879507079831E-1, +-6.051408430728E-1)); +#2813=CARTESIAN_POINT('',(-1.040977606706E-1,3.751080042676E-1, +-6.045696541408E-1)); +#2814=CARTESIAN_POINT('',(-9.969414553607E-2,3.627161787592E-1, +-6.039988368453E-1)); +#2815=CARTESIAN_POINT('',(-9.382439203979E-2,3.509528541553E-1, +-6.034282155802E-1)); +#2816=CARTESIAN_POINT('',(-8.656715600418E-2,3.399791663320E-1, +-6.028571673790E-1)); +#2817=CARTESIAN_POINT('',(-7.803372122732E-2,3.299674707113E-1, +-6.022861781352E-1)); +#2818=CARTESIAN_POINT('',(-6.835654186969E-2,3.210690874857E-1, +-6.017155580658E-1)); +#2819=CARTESIAN_POINT('',(-5.766841836681E-2,3.134049394970E-1, +-6.011446980254E-1)); +#2820=CARTESIAN_POINT('',(-4.612378332502E-2,3.070899906305E-1, +-6.005735379116E-1)); +#2821=CARTESIAN_POINT('',(-3.391000259722E-2,3.022252335731E-1, +-6.000028962876E-1)); +#2822=CARTESIAN_POINT('',(-2.119513265229E-2,2.988744852376E-1, +-5.994321673661E-1)); +#2823=CARTESIAN_POINT('',(-8.156540739146E-3,2.970884388257E-1, +-5.988609452239E-1)); +#2824=CARTESIAN_POINT('',(4.990691832876E-3,2.968964680560E-1, +-5.982902308630E-1)); +#2825=CARTESIAN_POINT('',(1.806294957259E-2,2.982974684272E-1, +-5.977195819840E-1)); +#2826=CARTESIAN_POINT('',(3.088086518722E-2,3.012753278343E-1, +-5.971484063368E-1)); +#2827=CARTESIAN_POINT('',(4.323582249825E-2,3.057827477594E-1, +-5.965775682521E-1)); +#2828=CARTESIAN_POINT('',(5.494951023795E-2,3.117508931347E-1, +-5.960069478757E-1)); +#2829=CARTESIAN_POINT('',(6.586148216940E-2,3.190993928248E-1, +-5.954359289062E-1)); +#2830=CARTESIAN_POINT('',(7.580188403841E-2,3.277168164428E-1, +-5.948649099367E-1)); +#2831=CARTESIAN_POINT('',(8.461883795587E-2,3.374682554802E-1, +-5.942942895603E-1)); +#2832=CARTESIAN_POINT('',(9.219290406523E-2,3.482197822141E-1, +-5.937234514757E-1)); +#2833=CARTESIAN_POINT('',(9.841111927624E-2,3.598172132634E-1, +-5.931522758284E-1)); +#2834=CARTESIAN_POINT('',(1.031734067100E-1,3.720714918162E-1, +-5.925816269495E-1)); +#2835=CARTESIAN_POINT('',(1.064174256014E-1,3.848136626875E-1, +-5.920109125886E-1)); +#2836=CARTESIAN_POINT('',(1.080942151438E-1,3.978667549530E-1, +-5.914396904464E-1)); +#2837=CARTESIAN_POINT('',(1.081760300531E-1,4.110154711810E-1, +-5.908689615249E-1)); +#2838=CARTESIAN_POINT('',(1.066655438750E-1,4.240753613950E-1, +-5.902983199009E-1)); +#2839=CARTESIAN_POINT('',(1.035805281829E-1,4.368675408659E-1, +-5.897271597870E-1)); +#2840=CARTESIAN_POINT('',(9.896960667133E-2,4.491847869549E-1, +-5.891562997467E-1)); +#2841=CARTESIAN_POINT('',(9.290348093032E-2,4.608480259066E-1, +-5.885856796772E-1)); +#2842=CARTESIAN_POINT('',(8.546424778548E-2,4.716975129226E-1, +-5.880146904334E-1)); +#2843=CARTESIAN_POINT('',(7.676343942966E-2,4.815659086014E-1, +-5.874436422322E-1)); +#2844=CARTESIAN_POINT('',(6.693841718890E-2,4.903008241598E-1, +-5.868730209672E-1)); +#2845=CARTESIAN_POINT('',(5.612422197627E-2,4.977842957865E-1, +-5.863022036717E-1)); +#2846=CARTESIAN_POINT('',(4.447485102931E-2,5.039053112722E-1, +-5.857310147397E-1)); +#2847=CARTESIAN_POINT('',(3.218091324346E-2,5.085648046945E-1, +-5.851603575538E-1)); +#2848=CARTESIAN_POINT('',(1.941231287270E-2,5.117018730880E-1, +-5.845896567134E-1)); +#2849=CARTESIAN_POINT('',(6.345686468612E-3,5.132692820886E-1, +-5.840184367847E-1)); +#2850=CARTESIAN_POINT('',(-6.803614426120E-3,5.132409344548E-1, +-5.834476922646E-1)); +#2851=CARTESIAN_POINT('',(-1.985024382513E-2,5.116210639015E-1, +-5.828770568345E-1)); +#2852=CARTESIAN_POINT('',(-3.261574067132E-2,5.084291278597E-1, +-5.823059145371E-1)); +#2853=CARTESIAN_POINT('',(-4.489443729133E-2,5.037150127973E-1, +-5.817350312461E-1)); +#2854=CARTESIAN_POINT('',(-5.650641025755E-2,4.975513317036E-1, +-5.811644113813E-1)); +#2855=CARTESIAN_POINT('',(-6.729268020542E-2,4.900218763555E-1, +-5.805934505681E-1)); +#2856=CARTESIAN_POINT('',(-7.708833023805E-2,4.812383190654E-1, +-5.800223754183E-1)); +#2857=CARTESIAN_POINT('',(-8.574061261024E-2,4.713403881891E-1, +-5.794517522036E-1)); +#2858=CARTESIAN_POINT('',(-9.313298066131E-2,4.604642651563E-1, +-5.788809546591E-1)); +#2859=CARTESIAN_POINT('',(-9.915633895772E-2,4.487638142589E-1, +-5.783097546566E-1)); +#2860=CARTESIAN_POINT('',(-1.037127205440E-1,4.364310584703E-1, +-5.777390881212E-1)); +#2861=CARTESIAN_POINT('',(-1.067426243860E-1,4.236369017836E-1, +-5.771683997584E-1)); +#2862=CARTESIAN_POINT('',(-1.082005381583E-1,4.105577085700E-1, +-5.765971842575E-1)); +#2863=CARTESIAN_POINT('',(-1.080620284841E-1,3.974087219657E-1, +-5.760264231008E-1)); +#2864=CARTESIAN_POINT('',(-1.063328821230E-1,3.843762458982E-1, +-5.754557928035E-1)); +#2865=CARTESIAN_POINT('',(-1.030342704779E-1,3.716383758773E-1, +-5.748846706056E-1)); +#2866=CARTESIAN_POINT('',(-9.821727648898E-2,3.593990645056E-1, +-5.743137627689E-1)); +#2867=CARTESIAN_POINT('',(-9.195647189706E-2,3.478391711291E-1, +-5.737431430064E-1)); +#2868=CARTESIAN_POINT('',(-8.433731135431E-2,3.371168463606E-1, +-5.731722093289E-1)); +#2869=CARTESIAN_POINT('',(-7.547164784050E-2,3.273946755059E-1, +-5.726011095136E-1)); +#2870=CARTESIAN_POINT('',(-6.550148757271E-2,3.188256156960E-1, +-5.720304832881E-1)); +#2871=CARTESIAN_POINT('',(-5.456418146283E-2,3.115248544096E-1, +-5.714597044565E-1)); +#2872=CARTESIAN_POINT('',(-4.281351479816E-2,3.055996027517E-1, +-5.708884955977E-1)); +#2873=CARTESIAN_POINT('',(-3.044278469440E-2,3.011466440742E-1, +-5.703178186700E-1)); +#2874=CARTESIAN_POINT('',(-1.762394661183E-2,2.982241118680E-1, +-5.697471417422E-1)); +#2875=CARTESIAN_POINT('',(-4.533154936372E-3,2.968757990023E-1, +-5.691759328834E-1)); +#2876=CARTESIAN_POINT('',(8.614618506411E-3,2.971244630333E-1, +-5.686051540518E-1)); +#2877=CARTESIAN_POINT('',(2.163204955527E-2,2.989627695035E-1, +-5.680345278264E-1)); +#2878=CARTESIAN_POINT('',(3.434135091587E-2,3.023678032176E-1, +-5.674634280110E-1)); +#2879=CARTESIAN_POINT('',(4.654044377846E-2,3.072873549396E-1, +-5.668924943336E-1)); +#2880=CARTESIAN_POINT('',(5.804744761190E-2,3.136448443648E-1, +-5.663218745711E-1)); +#2881=CARTESIAN_POINT('',(6.870510317536E-2,3.213531873668E-1, +-5.657509667343E-1)); +#2882=CARTESIAN_POINT('',(7.835306731187E-2,3.303003075445E-1, +-5.651798445364E-1)); +#2883=CARTESIAN_POINT('',(8.683832067044E-2,3.403420133273E-1, +-5.646092142392E-1)); +#2884=CARTESIAN_POINT('',(9.404697793961E-2,3.513397537328E-1, +-5.640384530824E-1)); +#2885=CARTESIAN_POINT('',(9.987368156560E-2,3.631397678235E-1, +-5.634672375816E-1)); +#2886=CARTESIAN_POINT('',(1.042229130312E-1,3.755476261509E-1, +-5.628965492188E-1)); +#2887=CARTESIAN_POINT('',(1.070378735011E-1,3.883902690275E-1, +-5.623258826833E-1)); +#2888=CARTESIAN_POINT('',(1.082764887995E-1,4.014916898860E-1, +-5.617546826808E-1)); +#2889=CARTESIAN_POINT('',(1.079176852744E-1,4.146373514387E-1, +-5.611838851363E-1)); +#2890=CARTESIAN_POINT('',(1.059703415192E-1,4.276388466672E-1, +-5.606132619216E-1)); +#2891=CARTESIAN_POINT('',(1.024591480346E-1,4.403186399835E-1, +-5.600421867718E-1)); +#2892=CARTESIAN_POINT('',(9.743736632207E-2,4.524766836444E-1, +-5.594712259587E-1)); +#2893=CARTESIAN_POINT('',(9.098363750810E-2,4.639299916901E-1, +-5.589006060939E-1)); +#2894=CARTESIAN_POINT('',(8.318664047755E-2,4.745222581526E-1, +-5.583297228028E-1)); +#2895=CARTESIAN_POINT('',(7.415871998666E-2,4.840952940888E-1, +-5.577585805054E-1)); +#2896=CARTESIAN_POINT('',(6.404615715404E-2,4.924961626296E-1, +-5.571879450753E-1)); +#2897=CARTESIAN_POINT('',(5.298873522664E-2,4.996122245973E-1, +-5.566172005552E-1)); +#2898=CARTESIAN_POINT('',(4.114024613078E-2,5.053399468937E-1, +-5.560459806266E-1)); +#2899=CARTESIAN_POINT('',(2.869610802165E-2,5.095851536324E-1, +-5.554752797861E-1)); +#2900=CARTESIAN_POINT('',(1.583053858012E-2,5.122923492625E-1, +-5.549046226003E-1)); +#2901=CARTESIAN_POINT('',(2.719458660848E-3,5.134211793593E-1, +-5.543334336683E-1)); +#2902=CARTESIAN_POINT('',(-1.042319247195E-2,5.129522583798E-1, +-5.537626163728E-1)); +#2903=CARTESIAN_POINT('',(-2.340786201996E-2,5.108960072829E-1, +-5.531919951077E-1)); +#2904=CARTESIAN_POINT('',(-3.605721044399E-2,5.072789250656E-1, +-5.526209469065E-1)); +#2905=CARTESIAN_POINT('',(-4.817337682930E-2,5.021552476346E-1, +-5.520499576627E-1)); +#2906=CARTESIAN_POINT('',(-5.957218660812E-2,4.956057316237E-1, +-5.514793375933E-1)); +#2907=CARTESIAN_POINT('',(-7.009834824788E-2,4.877206146604E-1, +-5.509084775530E-1)); +#2908=CARTESIAN_POINT('',(-7.959574062365E-2,4.786125569333E-1, +-5.503373174389E-1)); +#2909=CARTESIAN_POINT('',(-8.791165154572E-2,4.684298300819E-1, +-5.497666758158E-1)); +#2910=CARTESIAN_POINT('',(-9.493463289978E-2,4.573134832734E-1, +-5.491959468908E-1)); +#2911=CARTESIAN_POINT('',(-1.005629488099E-1,4.454173958865E-1, +-5.486247247617E-1)); +#2912=CARTESIAN_POINT('',(-1.047038397164E-1,4.329378276432E-1, +-5.480540103520E-1)); +#2913=CARTESIAN_POINT('',(-1.073030858900E-1,4.200502155102E-1, +-5.474833616553E-1)); +#2914=CARTESIAN_POINT('',(-1.083220466769E-1,4.069304322107E-1, +-5.469121853279E-1)); +#2915=CARTESIAN_POINT('',(-1.077430580116E-1,3.937917464912E-1, +-5.463413497813E-1)); +#2916=CARTESIAN_POINT('',(-1.055779599188E-1,3.808245798087E-1, +-5.457707199328E-1)); +#2917=CARTESIAN_POINT('',(-1.030963566436E-1,3.724131269337E-1, +-5.453900641868E-1)); +#2918=CARTESIAN_POINT('',(-1.016049056915E-1,3.682975283824E-1, +-5.451997084337E-1)); +#2920=DIRECTION('',(4.972269029538E-1,7.090595228745E-1,5.E-1)); +#2921=VECTOR('',#2920,1.591122789105E-2); +#2922=CARTESIAN_POINT('',(6.204869029981E-2,4.936012845729E-1, +-6.456721493786E-1)); +#2923=LINE('',#2922,#2921); +#2924=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.193316537844E-1)); +#2925=CARTESIAN_POINT('',(-1.063165711074E-1,3.453977148044E-1, +-6.191498522955E-1)); +#2926=CARTESIAN_POINT('',(-1.015335536665E-1,3.372564465845E-1, +-6.187862979669E-1)); +#2927=CARTESIAN_POINT('',(-9.299855725305E-2,3.259631717442E-1, +-6.182412656324E-1)); +#2928=CARTESIAN_POINT('',(-8.321138778465E-2,3.157301786751E-1, +-6.176960728246E-1)); +#2929=CARTESIAN_POINT('',(-7.229863550718E-2,3.066944443783E-1, +-6.171505678207E-1)); +#2930=CARTESIAN_POINT('',(-6.042289305988E-2,2.989894747596E-1, +-6.166055145346E-1)); +#2931=CARTESIAN_POINT('',(-4.773486556032E-2,2.927094557573E-1, +-6.160604275656E-1)); +#2932=CARTESIAN_POINT('',(-3.439404947144E-2,2.879371303130E-1, +-6.155148957219E-1)); +#2933=CARTESIAN_POINT('',(-2.060032188780E-2,2.847443299029E-1, +-6.149697597006E-1)); +#2934=CARTESIAN_POINT('',(-6.532570604849E-3,2.831688641577E-1, +-6.144247281220E-1)); +#2935=CARTESIAN_POINT('',(7.633774866184E-3,2.832326428376E-1, +-6.138792818779E-1)); +#2936=CARTESIAN_POINT('',(2.169291726658E-2,2.849362921747E-1, +-6.133340088895E-1)); +#2937=CARTESIAN_POINT('',(3.545413912648E-2,2.882538504509E-1, +-6.127889856112E-1)); +#2938=CARTESIAN_POINT('',(4.874505123284E-2,2.931437309748E-1, +-6.122437161942E-1)); +#2939=CARTESIAN_POINT('',(6.138430283823E-2,2.995422547169E-1, +-6.116982667224E-1)); +#2940=CARTESIAN_POINT('',(7.318875700904E-2,3.073550000990E-1, +-6.111532345937E-1)); +#2941=CARTESIAN_POINT('',(8.401226438791E-2,3.164824969520E-1, +-6.106081004485E-1)); +#2942=CARTESIAN_POINT('',(9.371291849193E-2,3.268096667754E-1, +-6.100625677037E-1)); +#2943=CARTESIAN_POINT('',(1.021454763494E-1,3.381814600375E-1, +-6.095174793422E-1)); +#2944=CARTESIAN_POINT('',(1.092056318676E-1,3.504514847133E-1, +-6.089724267339E-1)); +#2945=CARTESIAN_POINT('',(1.148021981054E-1,3.634672524726E-1, +-6.084269229761E-1)); +#2946=CARTESIAN_POINT('',(1.188512870309E-1,3.770359940430E-1, +-6.078817260120E-1)); +#2947=CARTESIAN_POINT('',(1.213025931242E-1,3.909776236386E-1, +-6.073367021195E-1)); +#2948=CARTESIAN_POINT('',(1.221242920425E-1,4.051180486091E-1, +-6.067913409549E-1)); +#2949=CARTESIAN_POINT('',(1.213026009104E-1,4.192585551428E-1, +-6.062459766722E-1)); +#2950=CARTESIAN_POINT('',(1.188512944186E-1,4.332001858670E-1, +-6.057009527400E-1)); +#2951=CARTESIAN_POINT('',(1.148022302528E-1,4.467688778700E-1, +-6.051557578706E-1)); +#2952=CARTESIAN_POINT('',(1.092056609881E-1,4.597846817966E-1, +-6.046102527892E-1)); +#2953=CARTESIAN_POINT('',(1.021454971757E-1,4.720547278852E-1, +-6.040651993118E-1)); +#2954=CARTESIAN_POINT('',(9.371296340914E-2,4.834264977537E-1, +-6.035201122212E-1)); +#2955=CARTESIAN_POINT('',(8.401232476918E-2,4.937536676586E-1, +-6.029745798813E-1)); +#2956=CARTESIAN_POINT('',(7.318878877820E-2,5.028811981422E-1, +-6.024294440649E-1)); +#2957=CARTESIAN_POINT('',(6.138434541745E-2,5.106939383273E-1, +-6.018844123887E-1)); +#2958=CARTESIAN_POINT('',(4.874514804048E-2,5.170924476637E-1, +-6.013389650296E-1)); +#2959=CARTESIAN_POINT('',(3.545417767313E-2,5.219823608953E-1, +-6.007936930759E-1)); +#2960=CARTESIAN_POINT('',(2.169295556933E-2,5.252999188671E-1, +-6.002486697907E-1)); +#2961=CARTESIAN_POINT('',(7.633879270812E-3,5.270035710287E-1, +-5.997033993138E-1)); +#2962=CARTESIAN_POINT('',(-6.532527956776E-3,5.270673609468E-1, +-5.991579506900E-1)); +#2963=CARTESIAN_POINT('',(-2.060028861396E-2,5.254918951560E-1, +-5.986129187586E-1)); +#2964=CARTESIAN_POINT('',(-3.439398858915E-2,5.222991076775E-1, +-5.980677838804E-1)); +#2965=CARTESIAN_POINT('',(-4.773482588665E-2,5.175267829511E-1, +-5.975222512790E-1)); +#2966=CARTESIAN_POINT('',(-6.042287500897E-2,5.112467561564E-1, +-5.969771634341E-1)); +#2967=CARTESIAN_POINT('',(-7.229860905715E-2,5.035417948386E-1, +-5.964321105916E-1)); +#2968=CARTESIAN_POINT('',(-8.321136487166E-2,4.945060641877E-1, +-5.958866055736E-1)); +#2969=CARTESIAN_POINT('',(-9.299855332307E-2,4.842730540198E-1, +-5.953414117855E-1)); +#2970=CARTESIAN_POINT('',(-1.015335474545E-1,4.729797830185E-1, +-5.947963796245E-1)); +#2971=CARTESIAN_POINT('',(-1.063165694123E-1,4.648385089793E-1, +-5.944328250135E-1)); +#2972=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.942510233811E-1)); +#2974=DIRECTION('',(-1.409983241269E-14,0.E0,-1.E0)); +#2975=VECTOR('',#2974,5.905511811043E-3); +#2976=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.942510233811E-1)); +#2977=LINE('',#2976,#2975); +#2978=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.001565351921E-1)); +#2979=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-6.003383368247E-1)); +#2980=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-6.007018914360E-1)); +#2981=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-6.012469235969E-1)); +#2982=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-6.017921173862E-1)); +#2983=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-6.023376224044E-1)); +#2984=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-6.028826752462E-1)); +#2985=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-6.034277630924E-1)); +#2986=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-6.039732956947E-1)); +#2987=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-6.045184305713E-1)); +#2988=CARTESIAN_POINT('',(-6.532527900751E-3,5.270673609527E-1, +-6.050634625032E-1)); +#2989=CARTESIAN_POINT('',(7.633879399073E-3,5.270035710204E-1, +-6.056089111298E-1)); +#2990=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-6.061541816034E-1)); +#2991=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-6.066992048887E-1)); +#2992=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-6.072444768448E-1)); +#2993=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-6.077899242015E-1)); +#2994=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-6.083349558773E-1)); +#2995=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-6.088800916949E-1)); +#2996=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-6.094256240340E-1)); +#2997=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-6.099707111236E-1)); +#2998=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-6.105157646014E-1)); +#2999=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-6.110612696829E-1)); +#3000=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-6.116064645515E-1)); +#3001=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-6.121514884837E-1)); +#3002=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-6.126968527671E-1)); +#3003=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-6.132422139310E-1)); +#3004=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-6.137872378235E-1)); +#3005=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-6.143324347883E-1)); +#3006=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-6.148779385457E-1)); +#3007=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-6.154229911537E-1)); +#3008=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-6.159680795155E-1)); +#3009=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-6.165136122605E-1)); +#3010=CARTESIAN_POINT('',(7.318875699800E-2,3.073550000913E-1, +-6.170587464053E-1)); +#3011=CARTESIAN_POINT('',(6.138430282298E-2,2.995422547069E-1, +-6.176037785341E-1)); +#3012=CARTESIAN_POINT('',(4.87450512E-2,2.931437309616E-1,-6.181492280066E-1)); +#3013=CARTESIAN_POINT('',(3.545413912408E-2,2.882538504490E-1, +-6.186944974223E-1)); +#3014=CARTESIAN_POINT('',(2.169291723636E-2,2.849362921735E-1, +-6.192395207017E-1)); +#3015=CARTESIAN_POINT('',(7.633774926384E-3,2.832326428327E-1, +-6.197847936866E-1)); +#3016=CARTESIAN_POINT('',(-6.532570891496E-3,2.831688641739E-1, +-6.203302399441E-1)); +#3017=CARTESIAN_POINT('',(-2.060032197825E-2,2.847443299062E-1, +-6.208752715150E-1)); +#3018=CARTESIAN_POINT('',(-3.439405006064E-2,2.879371304957E-1, +-6.214204075567E-1)); +#3019=CARTESIAN_POINT('',(-4.773486527324E-2,2.927094556574E-1, +-6.219659393649E-1)); +#3020=CARTESIAN_POINT('',(-6.042289301380E-2,2.989894747195E-1, +-6.225110263434E-1)); +#3021=CARTESIAN_POINT('',(-7.229863535841E-2,3.066944442854E-1, +-6.230560796250E-1)); +#3022=CARTESIAN_POINT('',(-8.321138750200E-2,3.157301784079E-1, +-6.236015846206E-1)); +#3023=CARTESIAN_POINT('',(-9.299855715220E-2,3.259631716210E-1, +-6.241467774372E-1)); +#3024=CARTESIAN_POINT('',(-1.015335535201E-1,3.372564463665E-1, +-6.246918097678E-1)); +#3025=CARTESIAN_POINT('',(-1.063165710615E-1,3.453977147147E-1, +-6.250553641026E-1)); +#3026=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.252371655954E-1)); +#3028=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3029=VECTOR('',#3028,5.905511811002E-3); +#3030=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.193316537844E-1)); +#3031=LINE('',#3030,#3029); +#3032=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.533061414913E-1)); +#3033=CARTESIAN_POINT('',(-1.084645669291E-1,4.567946630671E-1, +-6.521617391489E-1)); +#3034=CARTESIAN_POINT('',(-1.084645669291E-1,4.491830985708E-1, +-6.500542515222E-1)); +#3035=CARTESIAN_POINT('',(-1.084645669291E-1,4.380827786548E-1, +-6.474787583904E-1)); +#3036=CARTESIAN_POINT('',(-1.084645669291E-1,4.270748788614E-1, +-6.454651689861E-1)); +#3037=CARTESIAN_POINT('',(-1.084645669291E-1,4.160944613898E-1, +-6.440441594652E-1)); +#3038=CARTESIAN_POINT('',(-1.084645669291E-1,4.051061414031E-1, +-6.432463813648E-1)); +#3039=CARTESIAN_POINT('',(-1.084645669291E-1,3.941178657326E-1, +-6.430934066829E-1)); +#3040=CARTESIAN_POINT('',(-1.084645669291E-1,3.831395934978E-1, +-6.435834975021E-1)); +#3041=CARTESIAN_POINT('',(-1.084645669291E-1,3.721376521035E-1, +-6.446994876186E-1)); +#3042=CARTESIAN_POINT('',(-1.084645669291E-1,3.610457752403E-1, +-6.464186044201E-1)); +#3043=CARTESIAN_POINT('',(-1.084645669291E-1,3.534385972167E-1, +-6.479796838890E-1)); +#3044=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.488592128395E-1)); +#3046=DIRECTION('',(-4.972269029538E-1,-7.090595228745E-1,5.E-1)); +#3047=VECTOR('',#3046,1.591122789105E-2); +#3048=CARTESIAN_POINT('',(6.996018086627E-2,5.048832922297E-1, +-6.613385826772E-1)); +#3049=LINE('',#3048,#3047); +#3050=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.647234643259E-1)); +#3051=CARTESIAN_POINT('',(-1.084645669291E-1,4.565186353694E-1, +-5.634969670297E-1)); +#3052=CARTESIAN_POINT('',(-1.084645669291E-1,4.483655002051E-1, +-5.612517771152E-1)); +#3053=CARTESIAN_POINT('',(-1.084645669291E-1,4.364964562999E-1, +-5.585580259695E-1)); +#3054=CARTESIAN_POINT('',(-1.084645669291E-1,4.247197091599E-1, +-5.565121996403E-1)); +#3055=CARTESIAN_POINT('',(-1.084645669291E-1,4.129656101635E-1, +-5.551541475967E-1)); +#3056=CARTESIAN_POINT('',(-1.084645669291E-1,4.012034122714E-1, +-5.545192962614E-1)); +#3057=CARTESIAN_POINT('',(-1.084645669291E-1,3.894428304900E-1, +-5.546262458174E-1)); +#3058=CARTESIAN_POINT('',(-1.084645669291E-1,3.776885561831E-1, +-5.554621262729E-1)); +#3059=CARTESIAN_POINT('',(-1.084645669291E-1,3.697792880747E-1, +-5.564944770327E-1)); +#3060=CARTESIAN_POINT('',(-1.084645669291E-1,3.658116570914E-1, +-5.571229897894E-1)); +#3062=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.942510233811E-1)); +#3063=CARTESIAN_POINT('',(-1.084645669291E-1,4.567907261733E-1, +-5.931054501449E-1)); +#3064=CARTESIAN_POINT('',(-1.084645669291E-1,4.491744551507E-1, +-5.909969135336E-1)); +#3065=CARTESIAN_POINT('',(-1.084645669291E-1,4.380790735857E-1, +-5.884230135049E-1)); +#3066=CARTESIAN_POINT('',(-1.084645669291E-1,4.270820872404E-1, +-5.864114030466E-1)); +#3067=CARTESIAN_POINT('',(-1.084645669291E-1,4.161147539013E-1, +-5.849913548006E-1)); +#3068=CARTESIAN_POINT('',(-1.084645669291E-1,4.051401248691E-1, +-5.841930235985E-1)); +#3069=CARTESIAN_POINT('',(-1.084645669291E-1,3.941650448189E-1, +-5.840377521253E-1)); +#3070=CARTESIAN_POINT('',(-1.084645669291E-1,3.831918859866E-1, +-5.845245745108E-1)); +#3071=CARTESIAN_POINT('',(-1.084645669291E-1,3.721860234070E-1, +-5.856379019068E-1)); +#3072=CARTESIAN_POINT('',(-1.084645669291E-1,3.610728842415E-1, +-5.873581605747E-1)); +#3073=CARTESIAN_POINT('',(-1.084645669291E-1,3.534485779494E-1, +-5.889222826424E-1)); +#3074=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.898040947292E-1)); +#3076=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.237785824362E-1)); +#3077=CARTESIAN_POINT('',(-1.084645669291E-1,4.567908505174E-1, +-6.226330461819E-1)); +#3078=CARTESIAN_POINT('',(-1.084645669291E-1,4.491744897509E-1, +-6.205244729247E-1)); +#3079=CARTESIAN_POINT('',(-1.084645669291E-1,4.380780033195E-1, +-6.179503216797E-1)); +#3080=CARTESIAN_POINT('',(-1.084645669291E-1,4.270786535066E-1, +-6.159383708495E-1)); +#3081=CARTESIAN_POINT('',(-1.084645669291E-1,4.161082006636E-1, +-6.145181930665E-1)); +#3082=CARTESIAN_POINT('',(-1.084645669291E-1,4.051306456152E-1, +-6.137201014649E-1)); +#3083=CARTESIAN_POINT('',(-1.084645669291E-1,3.941524409679E-1, +-6.135654786481E-1)); +#3084=CARTESIAN_POINT('',(-1.084645669291E-1,3.831792710226E-1, +-6.140530432733E-1)); +#3085=CARTESIAN_POINT('',(-1.084645669291E-1,3.721735293423E-1, +-6.151671577249E-1)); +#3086=CARTESIAN_POINT('',(-1.084645669291E-1,3.610691999588E-1, +-6.168865179543E-1)); +#3087=CARTESIAN_POINT('',(-1.084645669291E-1,3.534473917768E-1, +-6.184501130397E-1)); +#3088=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.193316537844E-1)); +#3090=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.488592128395E-1)); +#3091=CARTESIAN_POINT('',(-1.063165708764E-1,3.453977143531E-1, +-6.486774113310E-1)); +#3092=CARTESIAN_POINT('',(-1.015335528281E-1,3.372564453650E-1, +-6.483138569649E-1)); +#3093=CARTESIAN_POINT('',(-9.299855680857E-2,3.259631711152E-1, +-6.477688246581E-1)); +#3094=CARTESIAN_POINT('',(-8.321138484831E-2,3.157301758871E-1, +-6.472236317237E-1)); +#3095=CARTESIAN_POINT('',(-7.229863395011E-2,3.066944433623E-1, +-6.466781268045E-1)); +#3096=CARTESIAN_POINT('',(-6.042289224670E-2,2.989894742817E-1, +-6.461330735534E-1)); +#3097=CARTESIAN_POINT('',(-4.773486450857E-2,2.927094553476E-1, +-6.455879865772E-1)); +#3098=CARTESIAN_POINT('',(-3.439404979586E-2,2.879371304355E-1, +-6.450424547904E-1)); +#3099=CARTESIAN_POINT('',(-2.060032180245E-2,2.847443298698E-1, +-6.444973187522E-1)); +#3100=CARTESIAN_POINT('',(-6.532570593147E-3,2.831688641630E-1, +-6.439522871768E-1)); +#3101=CARTESIAN_POINT('',(7.633774929064E-3,2.832326428394E-1, +-6.434068409306E-1)); +#3102=CARTESIAN_POINT('',(2.169291728363E-2,2.849362921798E-1, +-6.428615679439E-1)); +#3103=CARTESIAN_POINT('',(3.545413914688E-2,2.882538504557E-1, +-6.423165446655E-1)); +#3104=CARTESIAN_POINT('',(4.874505127194E-2,2.931437309921E-1, +-6.417712752477E-1)); +#3105=CARTESIAN_POINT('',(6.138430285098E-2,2.995422547254E-1, +-6.412258257769E-1)); +#3106=CARTESIAN_POINT('',(7.318875701964E-2,3.073550001064E-1, +-6.406807936484E-1)); +#3107=CARTESIAN_POINT('',(8.401226440638E-2,3.164824969692E-1, +-6.401356595026E-1)); +#3108=CARTESIAN_POINT('',(9.371291850542E-2,3.268096667922E-1, +-6.395901267579E-1)); +#3109=CARTESIAN_POINT('',(1.021454763552E-1,3.381814600465E-1, +-6.390450383969E-1)); +#3110=CARTESIAN_POINT('',(1.092056318757E-1,3.504514847286E-1, +-6.384999857884E-1)); +#3111=CARTESIAN_POINT('',(1.148021981127E-1,3.634672524935E-1, +-6.379544820304E-1)); +#3112=CARTESIAN_POINT('',(1.188512870326E-1,3.770359940528E-1, +-6.374092850667E-1)); +#3113=CARTESIAN_POINT('',(1.213025931260E-1,3.909776236474E-1, +-6.368642611743E-1)); +#3114=CARTESIAN_POINT('',(1.221242920427E-1,4.051180486432E-1, +-6.363189000088E-1)); +#3115=CARTESIAN_POINT('',(1.213026009079E-1,4.192585551570E-1, +-6.357735357267E-1)); +#3116=CARTESIAN_POINT('',(1.188512944164E-1,4.332001858796E-1, +-6.352285117947E-1)); +#3117=CARTESIAN_POINT('',(1.148022302419E-1,4.467688779003E-1, +-6.346833169245E-1)); +#3118=CARTESIAN_POINT('',(1.092056609792E-1,4.597846818129E-1, +-6.341378118436E-1)); +#3119=CARTESIAN_POINT('',(1.021454971691E-1,4.720547278954E-1, +-6.335927583665E-1)); +#3120=CARTESIAN_POINT('',(9.371296339619E-2,4.834264977700E-1, +-6.330476712756E-1)); +#3121=CARTESIAN_POINT('',(8.401232474927E-2,4.937536676771E-1, +-6.325021389353E-1)); +#3122=CARTESIAN_POINT('',(7.318878876800E-2,5.028811981494E-1, +-6.319570031195E-1)); +#3123=CARTESIAN_POINT('',(6.138434540282E-2,5.106939383366E-1, +-6.314119714432E-1)); +#3124=CARTESIAN_POINT('',(4.874514801183E-2,5.170924476759E-1, +-6.308665240835E-1)); +#3125=CARTESIAN_POINT('',(3.545417766559E-2,5.219823608969E-1, +-6.303212521307E-1)); +#3126=CARTESIAN_POINT('',(2.169295556003E-2,5.252999188693E-1, +-6.297762288454E-1)); +#3127=CARTESIAN_POINT('',(7.633879254361E-3,5.270035710296E-1, +-6.292309583683E-1)); +#3128=CARTESIAN_POINT('',(-6.532527962683E-3,5.270673609461E-1, +-6.286855097449E-1)); +#3129=CARTESIAN_POINT('',(-2.060028861826E-2,5.254918951554E-1, +-6.281404778136E-1)); +#3130=CARTESIAN_POINT('',(-3.439398859620E-2,5.222991076753E-1, +-6.275953429353E-1)); +#3131=CARTESIAN_POINT('',(-4.773482588557E-2,5.175267829513E-1, +-6.270498103342E-1)); +#3132=CARTESIAN_POINT('',(-6.042287500748E-2,5.112467561572E-1, +-6.265047224893E-1)); +#3133=CARTESIAN_POINT('',(-7.229860905294E-2,5.035417948413E-1, +-6.259596696469E-1)); +#3134=CARTESIAN_POINT('',(-8.321136486451E-2,4.945060641945E-1, +-6.254141646291E-1)); +#3135=CARTESIAN_POINT('',(-9.299855332234E-2,4.842730540210E-1, +-6.248689708407E-1)); +#3136=CARTESIAN_POINT('',(-1.015335474528E-1,4.729797830209E-1, +-6.243239386797E-1)); +#3137=CARTESIAN_POINT('',(-1.063165694119E-1,4.648385089801E-1, +-6.239603840686E-1)); +#3138=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.237785824362E-1)); +#3140=DIRECTION('',(0.E0,-2.819966482563E-14,-1.E0)); +#3141=VECTOR('',#3140,5.905511810992E-3); +#3142=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.237785824362E-1)); +#3143=LINE('',#3142,#3141); +#3144=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.296840942472E-1)); +#3145=CARTESIAN_POINT('',(-1.063550426046E-1,4.647633531910E-1, +-6.298626395959E-1)); +#3146=CARTESIAN_POINT('',(-1.016662658341E-1,4.727633932448E-1, +-6.302196887650E-1)); +#3147=CARTESIAN_POINT('',(-9.331729186301E-2,4.838820603220E-1, +-6.307550736012E-1)); +#3148=CARTESIAN_POINT('',(-8.375868356064E-2,4.939799047941E-1, +-6.312904625153E-1)); +#3149=CARTESIAN_POINT('',(-7.310781006308E-2,5.029301926261E-1, +-6.318261493610E-1)); +#3150=CARTESIAN_POINT('',(-6.151204106779E-2,5.106082371099E-1, +-6.323616496075E-1)); +#3151=CARTESIAN_POINT('',(-4.912150250611E-2,5.169168655480E-1, +-6.328970267131E-1)); +#3152=CARTESIAN_POINT('',(-3.609288482067E-2,5.217768090543E-1, +-6.334324574597E-1)); +#3153=CARTESIAN_POINT('',(-2.258889074575E-2,5.251251530124E-1, +-6.339681727458E-1)); +#3154=CARTESIAN_POINT('',(-8.799020603793E-3,5.269149118821E-1, +-6.345036032520E-1)); +#3155=CARTESIAN_POINT('',(5.103490641667E-3,5.271257022216E-1, +-6.350389803749E-1)); +#3156=CARTESIAN_POINT('',(1.894306847581E-2,5.257544044987E-1, +-6.355744808241E-1)); +#3157=CARTESIAN_POINT('',(3.254156200700E-2,5.228168029918E-1, +-6.361101673522E-1)); +#3158=CARTESIAN_POINT('',(4.571044089082E-2,5.183542899330E-1, +-6.366455561817E-1)); +#3159=CARTESIAN_POINT('',(5.828671990461E-2,5.124240848802E-1, +-6.371809410202E-1)); +#3160=CARTESIAN_POINT('',(6.616982692285E-2,5.075412721194E-1, +-6.375379901235E-1)); +#3161=CARTESIAN_POINT('',(6.996018086627E-2,5.048832922297E-1, +-6.377165354331E-1)); +#3163=CARTESIAN_POINT('',(6.996018086627E-2,5.048832922297E-1, +-6.377165354331E-1)); +#3164=CARTESIAN_POINT('',(7.385295979019E-2,5.021534869795E-1, +-6.378999054899E-1)); +#3165=CARTESIAN_POINT('',(8.131749246672E-2,4.962383666335E-1, +-6.382665963637E-1)); +#3166=CARTESIAN_POINT('',(9.139711068712E-2,4.861240530432E-1, +-6.388163706596E-1)); +#3167=CARTESIAN_POINT('',(1.002289411786E-1,4.749009750959E-1, +-6.393662258270E-1)); +#3168=CARTESIAN_POINT('',(1.076944004443E-1,4.627149930971E-1, +-6.399164481276E-1)); +#3169=CARTESIAN_POINT('',(1.136811109512E-1,4.497498208307E-1, +-6.404662734272E-1)); +#3170=CARTESIAN_POINT('',(1.181137528780E-1,4.361760615182E-1, +-6.410160438494E-1)); +#3171=CARTESIAN_POINT('',(1.209329800535E-1,4.221684140304E-1, +-6.415661731021E-1)); +#3172=CARTESIAN_POINT('',(1.220963389617E-1,4.079306205773E-1, +-6.421161755403E-1)); +#3173=CARTESIAN_POINT('',(1.215899923971E-1,3.936605572159E-1, +-6.426659406878E-1)); +#3174=CARTESIAN_POINT('',(1.194212782493E-1,3.795436647817E-1, +-6.432158378788E-1)); +#3175=CARTESIAN_POINT('',(1.156162789004E-1,3.657689948361E-1, +-6.437660444021E-1)); +#3176=CARTESIAN_POINT('',(1.102325474843E-1,3.525427690373E-1, +-6.443158445827E-1)); +#3177=CARTESIAN_POINT('',(1.033426870517E-1,3.400354241448E-1, +-6.448656263983E-1)); +#3178=CARTESIAN_POINT('',(9.503340843101E-2,3.284099091860E-1, +-6.454158021355E-1)); +#3179=CARTESIAN_POINT('',(8.542702592058E-2,3.178390381272E-1, +-6.459657476488E-1)); +#3180=CARTESIAN_POINT('',(7.465610072862E-2,3.084647096632E-1, +-6.465155125343E-1)); +#3181=CARTESIAN_POINT('',(6.286077072502E-2,3.004088922229E-1, +-6.470654581884E-1)); +#3182=CARTESIAN_POINT('',(5.019987787943E-2,2.937833033314E-1, +-6.476156338266E-1)); +#3183=CARTESIAN_POINT('',(3.686145467492E-2,2.886852360547E-1, +-6.481654156029E-1)); +#3184=CARTESIAN_POINT('',(2.301885507282E-2,2.851780870196E-1, +-6.487152158440E-1)); +#3185=CARTESIAN_POINT('',(8.850905666129E-3,2.833102475286E-1, +-6.492654224516E-1)); +#3186=CARTESIAN_POINT('',(-5.430196234445E-3,2.831102633188E-1, +-6.498153194975E-1)); +#3187=CARTESIAN_POINT('',(-1.963361850051E-2,2.845778793478E-1, +-6.503650846526E-1)); +#3188=CARTESIAN_POINT('',(-3.357468092355E-2,2.876947764337E-1, +-6.509150872290E-1)); +#3189=CARTESIAN_POINT('',(-4.705929923754E-2,2.924199413166E-1, +-6.514652163257E-1)); +#3190=CARTESIAN_POINT('',(-5.989154943502E-2,2.986832151276E-1, +-6.520149867317E-1)); +#3191=CARTESIAN_POINT('',(-7.190659061749E-2,3.064017132602E-1, +-6.525648120760E-1)); +#3192=CARTESIAN_POINT('',(-8.294584206589E-2,3.154772928321E-1, +-6.531150343469E-1)); +#3193=CARTESIAN_POINT('',(-9.284285565161E-2,3.257733009487E-1, +-6.536648894506E-1)); +#3194=CARTESIAN_POINT('',(-1.014695314362E-1,3.371521636358E-1, +-6.542146637577E-1)); +#3195=CARTESIAN_POINT('',(-1.062980385394E-1,3.453615122001E-1, +-6.545813546070E-1)); +#3196=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.547647246504E-1)); +#3198=DIRECTION('',(-1.644980448169E-14,3.759955310100E-14,-1.E0)); +#3199=VECTOR('',#3198,5.905511810966E-3); +#3200=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.488592128395E-1)); +#3201=LINE('',#3200,#3199); +#3202=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.842922837056E-1)); +#3203=CARTESIAN_POINT('',(-1.084645669291E-1,3.534460592416E-1, +-6.854380249645E-1)); +#3204=CARTESIAN_POINT('',(-1.084645669291E-1,3.610635384873E-1, +-6.875468734607E-1)); +#3205=CARTESIAN_POINT('',(-1.084645669291E-1,3.721608250174E-1, +-6.901210949653E-1)); +#3206=CARTESIAN_POINT('',(-1.084645669291E-1,3.831602906387E-1, +-6.921329192624E-1)); +#3207=CARTESIAN_POINT('',(-1.084645669291E-1,3.941303179545E-1, +-6.935528948857E-1)); +#3208=CARTESIAN_POINT('',(-1.084645669291E-1,4.051071937774E-1, +-6.943508215527E-1)); +#3209=CARTESIAN_POINT('',(-1.084645669291E-1,4.160846922689E-1, +-6.945053584715E-1)); +#3210=CARTESIAN_POINT('',(-1.084645669291E-1,4.270570896369E-1, +-6.940177999404E-1)); +#3211=CARTESIAN_POINT('',(-1.084645669291E-1,4.380622510782E-1, +-6.929037538478E-1)); +#3212=CARTESIAN_POINT('',(-1.084645669291E-1,4.491661101369E-1, +-6.911845137994E-1)); +#3213=CARTESIAN_POINT('',(-1.084645669291E-1,4.567884336171E-1, +-6.896208434780E-1)); +#3214=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.887392123574E-1)); +#3216=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.547647246504E-1)); +#3217=CARTESIAN_POINT('',(-1.084645669291E-1,3.534477628149E-1, +-6.559109725787E-1)); +#3218=CARTESIAN_POINT('',(-1.084645669291E-1,3.610680657656E-1, +-6.580205160724E-1)); +#3219=CARTESIAN_POINT('',(-1.084645669291E-1,3.721674093985E-1, +-6.605949329274E-1)); +#3220=CARTESIAN_POINT('',(-1.084645669291E-1,3.831678123883E-1, +-6.626065385780E-1)); +#3221=CARTESIAN_POINT('',(-1.084645669291E-1,3.941367992139E-1, +-6.640259650825E-1)); +#3222=CARTESIAN_POINT('',(-1.084645669291E-1,4.051121224353E-1, +-6.648234451162E-1)); +#3223=CARTESIAN_POINT('',(-1.084645669291E-1,4.160879079064E-1, +-6.649777153157E-1)); +#3224=CARTESIAN_POINT('',(-1.084645669291E-1,4.270585447154E-1, +-6.644901022478E-1)); +#3225=CARTESIAN_POINT('',(-1.084645669291E-1,4.380620341562E-1, +-6.633761908037E-1)); +#3226=CARTESIAN_POINT('',(-1.084645669291E-1,4.491645363750E-1, +-6.616572357932E-1)); +#3227=CARTESIAN_POINT('',(-1.084645669291E-1,4.567877120128E-1, +-6.600934494931E-1)); +#3228=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.592116533023E-1)); +#3230=CARTESIAN_POINT('',(2.868950570680E-2,3.009249033035E-1, +-7.153543307087E-1)); +#3231=CARTESIAN_POINT('',(3.291214047952E-2,3.020876019858E-1, +-7.151638759655E-1)); +#3232=CARTESIAN_POINT('',(4.121456544285E-2,3.049267767474E-1, +-7.147830223934E-1)); +#3233=CARTESIAN_POINT('',(5.305309461493E-2,3.106602787653E-1, +-7.142120820942E-1)); +#3234=CARTESIAN_POINT('',(6.411313500479E-2,3.177881944859E-1, +-7.136409649036E-1)); +#3235=CARTESIAN_POINT('',(7.423326778482E-2,3.262105267880E-1, +-7.130694833809E-1)); +#3236=CARTESIAN_POINT('',(8.324912556694E-2,3.357893654864E-1, +-7.124985169982E-1)); +#3237=CARTESIAN_POINT('',(9.103868375692E-2,3.463903336687E-1, +-7.119275212055E-1)); +#3238=CARTESIAN_POINT('',(9.749072028376E-2,3.578680870922E-1, +-7.113560153222E-1)); +#3239=CARTESIAN_POINT('',(1.024989419961E-1,3.700343912651E-1, +-7.107849480867E-1)); +#3240=CARTESIAN_POINT('',(1.059955615122E-1,3.827148893439E-1, +-7.102140118641E-1)); +#3241=CARTESIAN_POINT('',(1.079304995528E-1,3.957362038726E-1, +-7.096426195999E-1)); +#3242=CARTESIAN_POINT('',(1.082713599133E-1,4.088924643769E-1, +-7.090713843552E-1)); +#3243=CARTESIAN_POINT('',(1.070158694147E-1,4.219860215290E-1, +-7.085004542480E-1)); +#3244=CARTESIAN_POINT('',(1.041816735250E-1,4.348366340119E-1, +-7.079292724770E-1)); +#3245=CARTESIAN_POINT('',(9.980748978542E-2,4.472540590225E-1, +-7.073578352036E-1)); +#3246=CARTESIAN_POINT('',(9.396490033939E-2,4.590392834875E-1, +-7.067868892262E-1)); +#3247=CARTESIAN_POINT('',(8.673657804759E-2,4.700316451158E-1, +-7.062158558098E-1)); +#3248=CARTESIAN_POINT('',(7.822110894623E-2,4.800744804853E-1, +-7.056443430815E-1)); +#3249=CARTESIAN_POINT('',(6.855864371736E-2,4.890025937079E-1, +-7.050733198777E-1)); +#3250=CARTESIAN_POINT('',(5.788718129583E-2,4.966934914654E-1, +-7.045023695657E-1)); +#3251=CARTESIAN_POINT('',(4.635174041168E-2,5.030391365452E-1, +-7.039309196386E-1)); +#3252=CARTESIAN_POINT('',(3.413777415634E-2,5.079360651432E-1, +-7.033597543688E-1)); +#3253=CARTESIAN_POINT('',(2.142568297893E-2,5.113154590690E-1, +-7.027888241626E-1)); +#3254=CARTESIAN_POINT('',(8.390283803501E-3,5.131302219707E-1, +-7.022175697698E-1)); +#3255=CARTESIAN_POINT('',(-4.771771174953E-3,5.133502220258E-1, +-7.016461948584E-1)); +#3256=CARTESIAN_POINT('',(-1.785327793136E-2,5.119739151100E-1, +-7.010752608028E-1)); +#3257=CARTESIAN_POINT('',(-3.067497476515E-2,5.090221637861E-1, +-7.005041812396E-1)); +#3258=CARTESIAN_POINT('',(-4.305306010513E-2,5.045335292170E-1, +-6.999326798982E-1)); +#3259=CARTESIAN_POINT('',(-5.478478519898E-2,4.985821078594E-1, +-6.993616922472E-1)); +#3260=CARTESIAN_POINT('',(-6.570893010446E-2,4.912536047208E-1, +-6.987907191855E-1)); +#3261=CARTESIAN_POINT('',(-7.567265174018E-2,4.826465450557E-1, +-6.982192303718E-1)); +#3262=CARTESIAN_POINT('',(-8.451273119082E-2,4.729008938753E-1, +-6.976481245568E-1)); +#3263=CARTESIAN_POINT('',(-9.210459180114E-2,4.621592927209E-1, +-6.970771932126E-1)); +#3264=CARTESIAN_POINT('',(-9.834272542086E-2,4.505683223181E-1, +-6.965058565299E-1)); +#3265=CARTESIAN_POINT('',(-1.031282546855E-1,4.383070768319E-1, +-6.959345618564E-1)); +#3266=CARTESIAN_POINT('',(-1.063901577849E-1,4.255643220665E-1, +-6.953636313033E-1)); +#3267=CARTESIAN_POINT('',(-1.080846337334E-1,4.125155376296E-1, +-6.947924970513E-1)); +#3268=CARTESIAN_POINT('',(-1.081836783036E-1,3.993497856654E-1, +-6.942210253404E-1)); +#3269=CARTESIAN_POINT('',(-1.066867026058E-1,3.862809154531E-1, +-6.936500647344E-1)); +#3270=CARTESIAN_POINT('',(-1.036174296084E-1,3.734886312850E-1, +-6.930790603669E-1)); +#3271=CARTESIAN_POINT('',(-9.901514389420E-2,3.611521550167E-1, +-6.925075510546E-1)); +#3272=CARTESIAN_POINT('',(-9.295520512319E-2,3.494743470165E-1, +-6.919364956241E-1)); +#3273=CARTESIAN_POINT('',(-8.552672927891E-2,3.386188970290E-1, +-6.913655566909E-1)); +#3274=CARTESIAN_POINT('',(-7.682968238205E-2,3.287360934201E-1, +-6.907941482492E-1)); +#3275=CARTESIAN_POINT('',(-6.700123348475E-2,3.199843080480E-1, +-6.902229314906E-1)); +#3276=CARTESIAN_POINT('',(-5.619003573575E-2,3.124919477085E-1, +-6.896520014330E-1)); +#3277=CARTESIAN_POINT('',(-4.454503147824E-2,3.063620857302E-1, +-6.890808024990E-1)); +#3278=CARTESIAN_POINT('',(-3.223755546109E-2,3.016883752814E-1, +-6.885093790542E-1)); +#3279=CARTESIAN_POINT('',(-1.946497492306E-2,2.985441377030E-1, +-6.879384368696E-1)); +#3280=CARTESIAN_POINT('',(-6.403218988659E-3,2.969700575203E-1, +-6.873673927110E-1)); +#3281=CARTESIAN_POINT('',(6.763807304622E-3,2.969920335407E-1, +-6.867958811214E-1)); +#3282=CARTESIAN_POINT('',(1.981954427880E-2,2.986095243845E-1, +-6.862248676006E-1)); +#3283=CARTESIAN_POINT('',(3.258189308036E-2,3.017962861958E-1, +-6.856539124124E-1)); +#3284=CARTESIAN_POINT('',(4.487451416161E-2,3.065113730399E-1, +-6.850824510060E-1)); +#3285=CARTESIAN_POINT('',(5.649799187541E-2,3.126795185791E-1, +-6.845113015760E-1)); +#3286=CARTESIAN_POINT('',(6.728425372712E-2,3.202077471795E-1, +-6.839403712211E-1)); +#3287=CARTESIAN_POINT('',(7.708441957376E-2,3.289931762377E-1, +-6.833690970188E-1)); +#3288=CARTESIAN_POINT('',(8.574762928038E-2,3.389040617553E-1, +-6.827977406344E-1)); +#3289=CARTESIAN_POINT('',(9.313990665391E-2,3.497840094873E-1, +-6.822268082053E-1)); +#3290=CARTESIAN_POINT('',(9.916128014320E-2,3.614827117179E-1, +-6.816557157811E-1)); +#3291=CARTESIAN_POINT('',(1.037222510577E-1,3.738341861779E-1, +-6.810842201339E-1)); +#3292=CARTESIAN_POINT('',(1.067487966461E-1,3.866360690595E-1, +-6.805132400436E-1)); +#3293=CARTESIAN_POINT('',(1.082023327692E-1,3.997102962356E-1, +-6.799422599533E-1)); +#3294=CARTESIAN_POINT('',(1.080593336177E-1,4.128761964427E-1, +-6.793707643061E-1)); +#3295=CARTESIAN_POINT('',(1.063214798073E-1,4.259182989078E-1, +-6.787996718819E-1)); +#3296=CARTESIAN_POINT('',(1.030172583331E-1,4.386501938126E-1, +-6.782287394529E-1)); +#3297=CARTESIAN_POINT('',(9.819028914392E-2,4.508967126082E-1, +-6.776573830685E-1)); +#3298=CARTESIAN_POINT('',(9.191417126143E-2,4.624655223432E-1, +-6.770861088662E-1)); +#3299=CARTESIAN_POINT('',(8.428669214445E-2,4.731818292123E-1, +-6.765151785113E-1)); +#3300=CARTESIAN_POINT('',(7.541347753459E-2,4.828986865254E-1, +-6.759440290812E-1)); +#3301=CARTESIAN_POINT('',(6.542155905475E-2,4.914720255533E-1, +-6.753725676749E-1)); +#3302=CARTESIAN_POINT('',(5.447344084009E-2,4.987639186773E-1, +-6.748016124867E-1)); +#3303=CARTESIAN_POINT('',(4.272143243198E-2,5.046765190312E-1, +-6.742305989659E-1)); +#3304=CARTESIAN_POINT('',(3.032821019355E-2,5.091238898166E-1, +-6.736590873763E-1)); +#3305=CARTESIAN_POINT('',(1.749754645991E-2,5.120327151233E-1, +-6.730880432176E-1)); +#3306=CARTESIAN_POINT('',(4.411348690579E-3,5.133655585095E-1, +-6.725171010331E-1)); +#3307=CARTESIAN_POINT('',(-8.751016443970E-3,5.131015900691E-1, +-6.719456775882E-1)); +#3308=CARTESIAN_POINT('',(-2.177901971345E-2,5.112435096508E-1, +-6.713744786543E-1)); +#3309=CARTESIAN_POINT('',(-3.447981366258E-2,5.078219174359E-1, +-6.708035485966E-1)); +#3310=CARTESIAN_POINT('',(-4.667847284196E-2,5.028838136345E-1, +-6.702323318381E-1)); +#3311=CARTESIAN_POINT('',(-5.819182720612E-2,4.965001545597E-1, +-6.696609233964E-1)); +#3312=CARTESIAN_POINT('',(-6.883744827787E-2,4.887739782871E-1, +-6.690899844631E-1)); +#3313=CARTESIAN_POINT('',(-7.847066274768E-2,4.798132173497E-1, +-6.685189290326E-1)); +#3314=CARTESIAN_POINT('',(-8.695251033095E-2,4.697420733189E-1, +-6.679474197203E-1)); +#3315=CARTESIAN_POINT('',(-9.414381742475E-2,4.587262631693E-1, +-6.673764153528E-1)); +#3316=CARTESIAN_POINT('',(-9.994733279732E-2,4.469213695152E-1, +-6.668054547468E-1)); +#3317=CARTESIAN_POINT('',(-1.042802988413E-1,4.344886610463E-1, +-6.662339830360E-1)); +#3318=CARTESIAN_POINT('',(-1.070713753453E-1,4.216297374534E-1, +-6.656628487839E-1)); +#3319=CARTESIAN_POINT('',(-1.082833749492E-1,4.085320709513E-1, +-6.650919182308E-1)); +#3320=CARTESIAN_POINT('',(-1.078985539318E-1,3.953756525937E-1, +-6.645206235573E-1)); +#3321=CARTESIAN_POINT('',(-1.059203382098E-1,3.823621377536E-1, +-6.639492868746E-1)); +#3322=CARTESIAN_POINT('',(-1.023816394064E-1,3.696934379913E-1, +-6.633783555305E-1)); +#3323=CARTESIAN_POINT('',(-9.733254479102E-2,3.575430731742E-1, +-6.628072497154E-1)); +#3324=CARTESIAN_POINT('',(-9.084242454342E-2,3.460872639892E-1, +-6.622357609018E-1)); +#3325=CARTESIAN_POINT('',(-8.301794167835E-2,3.355127016785E-1, +-6.616647878400E-1)); +#3326=CARTESIAN_POINT('',(-7.396991911841E-2,3.259635615283E-1, +-6.610938001891E-1)); +#3327=CARTESIAN_POINT('',(-6.382137914217E-2,3.175747610581E-1, +-6.605222988476E-1)); +#3328=CARTESIAN_POINT('',(-5.273835828620E-2,3.104842466704E-1, +-6.599512192844E-1)); +#3329=CARTESIAN_POINT('',(-4.088099551743E-2,3.047901279576E-1, +-6.593802852289E-1)); +#3330=CARTESIAN_POINT('',(-2.841110434682E-2,3.005723198215E-1, +-6.588089103174E-1)); +#3331=CARTESIAN_POINT('',(-1.552434715666E-2,2.978990287461E-1, +-6.582376559247E-1)); +#3332=CARTESIAN_POINT('',(-2.416059701427E-3,2.968079900668E-1, +-6.576667257184E-1)); +#3333=CARTESIAN_POINT('',(1.073328319376E-2,2.973136211623E-1, +-6.570955604487E-1)); +#3334=CARTESIAN_POINT('',(2.373064543060E-2,2.994117590879E-1, +-6.565241105216E-1)); +#3335=CARTESIAN_POINT('',(3.636658472467E-2,3.030673105767E-1, +-6.559531602095E-1)); +#3336=CARTESIAN_POINT('',(4.846820202948E-2,3.082272813734E-1, +-6.553821370057E-1)); +#3337=CARTESIAN_POINT('',(5.986434943662E-2,3.148227025540E-1, +-6.548106242775E-1)); +#3338=CARTESIAN_POINT('',(7.036749619414E-2,3.227451116265E-1, +-6.542395908611E-1)); +#3339=CARTESIAN_POINT('',(7.983212539683E-2,3.318801081334E-1, +-6.536686448836E-1)); +#3340=CARTESIAN_POINT('',(8.812636265822E-2,3.421041755986E-1, +-6.530972076103E-1)); +#3341=CARTESIAN_POINT('',(9.511588545025E-2,3.532539504244E-1, +-6.525260258393E-1)); +#3342=CARTESIAN_POINT('',(1.007002714017E-1,3.651632799115E-1, +-6.519550957321E-1)); +#3343=CARTESIAN_POINT('',(1.048023061067E-1,3.776683463856E-1, +-6.513838604873E-1)); +#3344=CARTESIAN_POINT('',(1.073576819303E-1,3.905822409058E-1, +-6.508124682232E-1)); +#3345=CARTESIAN_POINT('',(1.083276969867E-1,4.037001847369E-1, +-6.502415320005E-1)); +#3346=CARTESIAN_POINT('',(1.077012271369E-1,4.168420558926E-1, +-6.496704647650E-1)); +#3347=CARTESIAN_POINT('',(1.054834963411E-1,4.298208566505E-1, +-6.490989588818E-1)); +#3348=CARTESIAN_POINT('',(1.017113274350E-1,4.424235740139E-1, +-6.485279630890E-1)); +#3349=CARTESIAN_POINT('',(9.644060471522E-2,4.544759316408E-1, +-6.479569967063E-1)); +#3350=CARTESIAN_POINT('',(8.974107336681E-2,4.658103503999E-1, +-6.473855151836E-1)); +#3351=CARTESIAN_POINT('',(8.172116884774E-2,4.762416881592E-1, +-6.468143979930E-1)); +#3352=CARTESIAN_POINT('',(7.249929582404E-2,4.856215003259E-1, +-6.462434576939E-1)); +#3353=CARTESIAN_POINT('',(6.563464508279E-2,4.910866392810E-1, +-6.458626041217E-1)); +#3354=CARTESIAN_POINT('',(6.204869029981E-2,4.936012845729E-1, +-6.456721493786E-1)); +#3356=CARTESIAN_POINT('',(6.996018086627E-2,5.048832922297E-1, +-6.613385826772E-1)); +#3357=CARTESIAN_POINT('',(6.616982692301E-2,5.075412721193E-1, +-6.611600373676E-1)); +#3358=CARTESIAN_POINT('',(5.828671990503E-2,5.124240848799E-1, +-6.608029882643E-1)); +#3359=CARTESIAN_POINT('',(4.571044089144E-2,5.183542899328E-1, +-6.602676034258E-1)); +#3360=CARTESIAN_POINT('',(3.254156200743E-2,5.228168029916E-1, +-6.597322145963E-1)); +#3361=CARTESIAN_POINT('',(1.894306848018E-2,5.257544044980E-1, +-6.591965280684E-1)); +#3362=CARTESIAN_POINT('',(5.103490636594E-3,5.271257022226E-1, +-6.586610276188E-1)); +#3363=CARTESIAN_POINT('',(-8.799020570369E-3,5.269149118779E-1, +-6.581256504974E-1)); +#3364=CARTESIAN_POINT('',(-2.258889030202E-2,5.251251530694E-1, +-6.575902200071E-1)); +#3365=CARTESIAN_POINT('',(-3.609288395262E-2,5.217768093341E-1, +-6.570545047389E-1)); +#3366=CARTESIAN_POINT('',(-4.912150244535E-2,5.169168656020E-1, +-6.565190739601E-1)); +#3367=CARTESIAN_POINT('',(-6.151204100277E-2,5.106082371309E-1, +-6.559836968542E-1)); +#3368=CARTESIAN_POINT('',(-7.310780978169E-2,5.029301928396E-1, +-6.554481966187E-1)); +#3369=CARTESIAN_POINT('',(-8.375868355482E-2,4.939799048136E-1, +-6.549125097601E-1)); +#3370=CARTESIAN_POINT('',(-9.331729191519E-2,4.838820602685E-1, +-6.543771208424E-1)); +#3371=CARTESIAN_POINT('',(-1.016662659903E-1,4.727633930136E-1, +-6.538417359983E-1)); +#3372=CARTESIAN_POINT('',(-1.063550426599E-1,4.647633530831E-1, +-6.534846868354E-1)); +#3373=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.533061414913E-1)); +#3375=DIRECTION('',(0.E0,-1.879977655048E-14,-1.E0)); +#3376=VECTOR('',#3375,5.905511810973E-3); +#3377=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.533061414913E-1)); +#3378=LINE('',#3377,#3376); +#3379=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.592116533023E-1)); +#3380=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-6.593934549348E-1)); +#3381=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-6.597570095462E-1)); +#3382=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-6.603020417071E-1)); +#3383=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-6.608472354964E-1)); +#3384=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-6.613927405146E-1)); +#3385=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-6.619377933564E-1)); +#3386=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-6.624828812026E-1)); +#3387=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-6.630284138048E-1)); +#3388=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-6.635735486815E-1)); +#3389=CARTESIAN_POINT('',(-6.532527900751E-3,5.270673609527E-1, +-6.641185806133E-1)); +#3390=CARTESIAN_POINT('',(7.633879399073E-3,5.270035710204E-1, +-6.646640292399E-1)); +#3391=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-6.652092997136E-1)); +#3392=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-6.657543229989E-1)); +#3393=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-6.662995949550E-1)); +#3394=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-6.668450423116E-1)); +#3395=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-6.673900739874E-1)); +#3396=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-6.679352098051E-1)); +#3397=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-6.684807421442E-1)); +#3398=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-6.690258292338E-1)); +#3399=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-6.695708827116E-1)); +#3400=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-6.701163877930E-1)); +#3401=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-6.706615826617E-1)); +#3402=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-6.712066065938E-1)); +#3403=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-6.717519708773E-1)); +#3404=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-6.722973320412E-1)); +#3405=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-6.728423559336E-1)); +#3406=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-6.733875528984E-1)); +#3407=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-6.739330566559E-1)); +#3408=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-6.744781092639E-1)); +#3409=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-6.750231976257E-1)); +#3410=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-6.755687303707E-1)); +#3411=CARTESIAN_POINT('',(7.318875699801E-2,3.073550000913E-1, +-6.761138645154E-1)); +#3412=CARTESIAN_POINT('',(6.138430282298E-2,2.995422547069E-1, +-6.766588966443E-1)); +#3413=CARTESIAN_POINT('',(4.874505120001E-2,2.931437309616E-1, +-6.772043461167E-1)); +#3414=CARTESIAN_POINT('',(3.545413912405E-2,2.882538504490E-1, +-6.777496155325E-1)); +#3415=CARTESIAN_POINT('',(2.169291723648E-2,2.849362921735E-1, +-6.782946388118E-1)); +#3416=CARTESIAN_POINT('',(7.633774925924E-3,2.832326428327E-1, +-6.788399117967E-1)); +#3417=CARTESIAN_POINT('',(-6.532570889755E-3,2.831688641738E-1, +-6.793853580542E-1)); +#3418=CARTESIAN_POINT('',(-2.060032197723E-2,2.847443299060E-1, +-6.799303896251E-1)); +#3419=CARTESIAN_POINT('',(-3.439405005642E-2,2.879371304946E-1, +-6.804755256667E-1)); +#3420=CARTESIAN_POINT('',(-4.773486526831E-2,2.927094556552E-1, +-6.810210574748E-1)); +#3421=CARTESIAN_POINT('',(-6.042289301141E-2,2.989894747181E-1, +-6.815661444534E-1)); +#3422=CARTESIAN_POINT('',(-7.229863535442E-2,3.066944442827E-1, +-6.821111977350E-1)); +#3423=CARTESIAN_POINT('',(-8.321138749717E-2,3.157301784032E-1, +-6.826567027305E-1)); +#3424=CARTESIAN_POINT('',(-9.299855715129E-2,3.259631716198E-1, +-6.832018955474E-1)); +#3425=CARTESIAN_POINT('',(-1.015335535186E-1,3.372564463643E-1, +-6.837469278778E-1)); +#3426=CARTESIAN_POINT('',(-1.063165710611E-1,3.453977147139E-1, +-6.841104822127E-1)); +#3427=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.842922837056E-1)); +#3429=DIRECTION('',(2.584969275671E-14,-4.699944137583E-14,-1.E0)); +#3430=VECTOR('',#3429,5.905511811020E-3); +#3431=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.783867718945E-1)); +#3432=LINE('',#3431,#3430); +#3433=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.783867718945E-1)); +#3434=CARTESIAN_POINT('',(-1.062980392262E-1,3.453615135417E-1, +-6.782034019093E-1)); +#3435=CARTESIAN_POINT('',(-1.014695338473E-1,3.371521672388E-1, +-6.778367111688E-1)); +#3436=CARTESIAN_POINT('',(-9.284285766003E-2,3.257733034613E-1, +-6.772869368183E-1)); +#3437=CARTESIAN_POINT('',(-8.294584996675E-2,3.154773006454E-1, +-6.767370820185E-1)); +#3438=CARTESIAN_POINT('',(-7.190660407812E-2,3.064017230501E-1, +-6.761868599600E-1)); +#3439=CARTESIAN_POINT('',(-5.989155931984E-2,2.986832203312E-1, +-6.756370344065E-1)); +#3440=CARTESIAN_POINT('',(-4.705931112891E-2,2.924199472269E-1, +-6.750872640788E-1)); +#3441=CARTESIAN_POINT('',(-3.357471931583E-2,2.876947876083E-1, +-6.745371360120E-1)); +#3442=CARTESIAN_POINT('',(-1.963363769096E-2,2.845778815370E-1, +-6.739871326389E-1)); +#3443=CARTESIAN_POINT('',(-5.430213655484E-3,2.831102649823E-1, +-6.734373674144E-1)); +#3444=CARTESIAN_POINT('',(8.850860642752E-3,2.833102446441E-1, +-6.728874714318E-1)); +#3445=CARTESIAN_POINT('',(2.301882455559E-2,2.851780803222E-1, +-6.723372642889E-1)); +#3446=CARTESIAN_POINT('',(3.686143655267E-2,2.886852301853E-1, +-6.717874635815E-1)); +#3447=CARTESIAN_POINT('',(5.019985311464E-2,2.937832930555E-1, +-6.712376821010E-1)); +#3448=CARTESIAN_POINT('',(6.286073323352E-2,3.004088694527E-1, +-6.706875071205E-1)); +#3449=CARTESIAN_POINT('',(7.465608693919E-2,3.084646978463E-1, +-6.701375604764E-1)); +#3450=CARTESIAN_POINT('',(8.542701232839E-2,3.178390261041E-1, +-6.695877955903E-1)); +#3451=CARTESIAN_POINT('',(9.503338131741E-2,3.284098758441E-1, +-6.690378510334E-1)); +#3452=CARTESIAN_POINT('',(1.033426748639E-1,3.400354032305E-1, +-6.684876745724E-1)); +#3453=CARTESIAN_POINT('',(1.102325401056E-1,3.525427538610E-1, +-6.679378924774E-1)); +#3454=CARTESIAN_POINT('',(1.156162697722E-1,3.657689698808E-1, +-6.673880926676E-1)); +#3455=CARTESIAN_POINT('',(1.194212710595E-1,3.795436296842E-1, +-6.668378865014E-1)); +#3456=CARTESIAN_POINT('',(1.215899917166E-1,3.936605424777E-1, +-6.662879884993E-1)); +#3457=CARTESIAN_POINT('',(1.220963385294E-1,4.079306048637E-1, +-6.657382233883E-1)); +#3458=CARTESIAN_POINT('',(1.209329850655E-1,4.221683786823E-1, +-6.651882217202E-1)); +#3459=CARTESIAN_POINT('',(1.181137574164E-1,4.361760473116E-1, +-6.646380916660E-1)); +#3460=CARTESIAN_POINT('',(1.136811149006E-1,4.497498098818E-1, +-6.640883211198E-1)); +#3461=CARTESIAN_POINT('',(1.076944098974E-1,4.627149752245E-1, +-6.635384961493E-1)); +#3462=CARTESIAN_POINT('',(1.002289493084E-1,4.749009642511E-1, +-6.629882735923E-1)); +#3463=CARTESIAN_POINT('',(9.139711311541E-2,4.861240505208E-1, +-6.624384180383E-1)); +#3464=CARTESIAN_POINT('',(8.131749587220E-2,4.962383635936E-1, +-6.618886437837E-1)); +#3465=CARTESIAN_POINT('',(7.385296103948E-2,5.021534861035E-1, +-6.615219527928E-1)); +#3466=CARTESIAN_POINT('',(6.996018086627E-2,5.048832922297E-1, +-6.613385826772E-1)); +#3468=CARTESIAN_POINT('',(-1.084645669291E-1,4.444760042932E-1, +-7.080405960420E-1)); +#3469=CARTESIAN_POINT('',(-1.084645669291E-1,4.409118968785E-1, +-7.072022424211E-1)); +#3470=CARTESIAN_POINT('',(-1.084645669291E-1,4.338081108131E-1, +-7.056916239941E-1)); +#3471=CARTESIAN_POINT('',(-1.084645669291E-1,4.232442746458E-1, +-7.039634728469E-1)); +#3472=CARTESIAN_POINT('',(-1.084645669291E-1,4.126843347881E-1, +-7.027914588496E-1)); +#3473=CARTESIAN_POINT('',(-1.084645669291E-1,4.021193112871E-1, +-7.022040003267E-1)); +#3474=CARTESIAN_POINT('',(-1.084645669291E-1,3.915586774632E-1, +-7.022137669029E-1)); +#3475=CARTESIAN_POINT('',(-1.084645669291E-1,3.809997936913E-1, +-7.028156505416E-1)); +#3476=CARTESIAN_POINT('',(-1.084645669291E-1,3.704206628003E-1, +-7.039896367143E-1)); +#3477=CARTESIAN_POINT('',(-1.084645669291E-1,3.632622099041E-1, +-7.051507411163E-1)); +#3478=CARTESIAN_POINT('',(-1.084645669291E-1,3.596633176614E-1, +-7.058211984186E-1)); +#3480=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.828337005463E-1)); +#3481=CARTESIAN_POINT('',(-1.084645669291E-1,4.567880239591E-1, +-6.816873236294E-1)); +#3482=CARTESIAN_POINT('',(-1.084645669291E-1,4.491665777822E-1, +-6.795774700022E-1)); +#3483=CARTESIAN_POINT('',(-1.084645669291E-1,4.380642086006E-1, +-6.770024794933E-1)); +#3484=CARTESIAN_POINT('',(-1.084645669291E-1,4.270607430979E-1, +-6.749906376877E-1)); +#3485=CARTESIAN_POINT('',(-1.084645669291E-1,4.160896348107E-1, +-6.735714343125E-1)); +#3486=CARTESIAN_POINT('',(-1.084645669291E-1,4.051128335625E-1, +-6.727744658851E-1)); +#3487=CARTESIAN_POINT('',(-1.084645669291E-1,3.941360723462E-1, +-6.726208857697E-1)); +#3488=CARTESIAN_POINT('',(-1.084645669291E-1,3.831656683370E-1, +-6.731092340022E-1)); +#3489=CARTESIAN_POINT('',(-1.084645669291E-1,3.721650355574E-1, +-6.742234752885E-1)); +#3490=CARTESIAN_POINT('',(-1.084645669291E-1,3.610662703620E-1, +-6.759422419808E-1)); +#3491=CARTESIAN_POINT('',(-1.084645669291E-1,3.534465669674E-1, +-6.775054198286E-1)); +#3492=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.783867718945E-1)); +#3494=CARTESIAN_POINT('',(-1.084645669291E-1,3.596633176614E-1, +-7.058211984186E-1)); +#3495=CARTESIAN_POINT('',(-1.084645669291E-1,3.630039332298E-1, +-7.071123704005E-1)); +#3496=CARTESIAN_POINT('',(-1.084645669291E-1,3.695880219785E-1, +-7.094135077422E-1)); +#3497=CARTESIAN_POINT('',(-1.084645669291E-1,3.791132938161E-1, +-7.120120702777E-1)); +#3498=CARTESIAN_POINT('',(-1.084645669291E-1,3.884544066821E-1, +-7.138160838172E-1)); +#3499=CARTESIAN_POINT('',(-1.084645669291E-1,3.976951552983E-1, +-7.148366493938E-1)); +#3500=CARTESIAN_POINT('',(-1.084645669291E-1,4.068970430065E-1, +-7.150762167717E-1)); +#3501=CARTESIAN_POINT('',(-1.084645669291E-1,4.161068673200E-1, +-7.145346096378E-1)); +#3502=CARTESIAN_POINT('',(-1.084645669291E-1,4.253772195237E-1, +-7.132116696638E-1)); +#3503=CARTESIAN_POINT('',(-1.084645669291E-1,4.347760596238E-1, +-7.111041638292E-1)); +#3504=CARTESIAN_POINT('',(-1.084645669291E-1,4.412183690875E-1, +-7.091517812469E-1)); +#3505=CARTESIAN_POINT('',(-1.084645669291E-1,4.444760042932E-1, +-7.080405960420E-1)); +#3507=CARTESIAN_POINT('',(0.E0,2.840165054286E-1,-7.023235920428E-1)); +#3508=CARTESIAN_POINT('',(-4.722558629044E-3,2.841326896078E-1, +-7.024397762219E-1)); +#3509=CARTESIAN_POINT('',(-1.414317576555E-2,2.849182692514E-1, +-7.026722122159E-1)); +#3510=CARTESIAN_POINT('',(-2.800693122900E-2,2.877332176476E-1, +-7.030210511238E-1)); +#3511=CARTESIAN_POINT('',(-4.141678064034E-2,2.921401366993E-1, +-7.033701289184E-1)); +#3512=CARTESIAN_POINT('',(-5.419147716348E-2,2.980731257407E-1, +-7.037194726698E-1)); +#3513=CARTESIAN_POINT('',(-6.615992774955E-2,3.054469004441E-1, +-7.040691495343E-1)); +#3514=CARTESIAN_POINT('',(-7.715743304690E-2,3.141538621547E-1, +-7.044190873338E-1)); +#3515=CARTESIAN_POINT('',(-8.703372216043E-2,3.240664182559E-1, +-7.047692004558E-1)); +#3516=CARTESIAN_POINT('',(-9.565909157208E-2,3.350447397730E-1, +-7.051195577847E-1)); +#3517=CARTESIAN_POINT('',(-1.029196852755E-1,3.469342240154E-1, +-7.054701907046E-1)); +#3518=CARTESIAN_POINT('',(-1.067869157266E-1,3.553572876675E-1, +-7.057041616747E-1)); +#3519=CARTESIAN_POINT('',(-1.084645669291E-1,3.596633176614E-1, +-7.058211984186E-1)); +#3521=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.185039370079E-1)); +#3522=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3523=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3524=AXIS2_PLACEMENT_3D('',#3521,#3522,#3523); +#3526=CARTESIAN_POINT('',(-1.084645669291E-1,4.444760042932E-1, +-7.080405960420E-1)); +#3527=CARTESIAN_POINT('',(-1.069083250835E-1,4.484423542052E-1, +-7.081505753468E-1)); +#3528=CARTESIAN_POINT('',(-1.033595151044E-1,4.561930029079E-1, +-7.083705884516E-1)); +#3529=CARTESIAN_POINT('',(-9.679916855869E-2,4.671312649295E-1, +-7.087007280776E-1)); +#3530=CARTESIAN_POINT('',(-8.908492019064E-2,4.772548897274E-1, +-7.090311182215E-1)); +#3531=CARTESIAN_POINT('',(-8.030980663981E-2,4.864468450741E-1, +-7.093619489263E-1)); +#3532=CARTESIAN_POINT('',(-7.059577043228E-2,4.945865472784E-1, +-7.096928366334E-1)); +#3533=CARTESIAN_POINT('',(-6.006304257705E-2,5.015824437971E-1, +-7.100239264653E-1)); +#3534=CARTESIAN_POINT('',(-4.884140148262E-2,5.073542004163E-1, +-7.103553144787E-1)); +#3535=CARTESIAN_POINT('',(-3.706886786422E-2,5.118347553282E-1, +-7.106870788062E-1)); +#3536=CARTESIAN_POINT('',(-2.490439946829E-2,5.149694776495E-1, +-7.110189091695E-1)); +#3537=CARTESIAN_POINT('',(-1.249458901466E-2,5.167276603357E-1, +-7.113509740476E-1)); +#3538=CARTESIAN_POINT('',(-4.157937181636E-3,5.169707157018E-1, +-7.115725913848E-1)); +#3539=CARTESIAN_POINT('',(0.E0,5.168598538792E-1,-7.116834532074E-1)); +#3541=CARTESIAN_POINT('',(0.E0,5.168598538792E-1,-7.116834532074E-1)); +#3542=CARTESIAN_POINT('',(4.155860250455E-3,5.167490474333E-1, +-7.117942596533E-1)); +#3543=CARTESIAN_POINT('',(1.244495825440E-2,5.160631721478E-1, +-7.120159300950E-1)); +#3544=CARTESIAN_POINT('',(2.465478409913E-2,5.136609988974E-1, +-7.123485663673E-1)); +#3545=CARTESIAN_POINT('',(3.649430085740E-2,5.099205809029E-1, +-7.126814593688E-1)); +#3546=CARTESIAN_POINT('',(4.782273335757E-2,5.048900594549E-1, +-7.130147843855E-1)); +#3547=CARTESIAN_POINT('',(5.848956714397E-2,4.986415269913E-1, +-7.133482289445E-1)); +#3548=CARTESIAN_POINT('',(6.836674051582E-2,4.912579465276E-1, +-7.136818632759E-1)); +#3549=CARTESIAN_POINT('',(7.733676900439E-2,4.828339311603E-1, +-7.140157948767E-1)); +#3550=CARTESIAN_POINT('',(8.529276644265E-2,4.734743672842E-1, +-7.143501644158E-1)); +#3551=CARTESIAN_POINT('',(9.212932899568E-2,4.633117035714E-1, +-7.146845785889E-1)); +#3552=CARTESIAN_POINT('',(9.777034186675E-2,4.524720688624E-1, +-7.150192386934E-1)); +#3553=CARTESIAN_POINT('',(1.006904563570E-1,4.448840980427E-1, +-7.152425963785E-1)); +#3554=CARTESIAN_POINT('',(1.019316596270E-1,4.410242221715E-1, +-7.153543307087E-1)); +#3556=DIRECTION('',(0.E0,7.071067811866E-1,7.071067811865E-1)); +#3557=VECTOR('',#3556,9.645620692623E-3); +#3558=CARTESIAN_POINT('',(0.E0,5.100393700787E-1,-7.185039370079E-1)); +#3559=LINE('',#3558,#3557); +#3560=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.185039370079E-1)); +#3561=DIRECTION('',(0.E0,0.E0,1.E0)); +#3562=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3563=AXIS2_PLACEMENT_3D('',#3560,#3561,#3562); +#3565=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#3566=VECTOR('',#3565,2.288246329355E-2); +#3567=CARTESIAN_POINT('',(0.E0,3.001968503937E-1,-7.185039370079E-1)); +#3568=LINE('',#3567,#3566); +#3569=CARTESIAN_POINT('',(3.030296065963E-2,2.870958707205E-1, +-7.015748031496E-1)); +#3570=CARTESIAN_POINT('',(2.698840496422E-2,2.863306846686E-1, +-7.016579533065E-1)); +#3571=CARTESIAN_POINT('',(2.030067861207E-2,2.850794939681E-1, +-7.018242852090E-1)); +#3572=CARTESIAN_POINT('',(1.016683615387E-2,2.840508032353E-1, +-7.020738116414E-1)); +#3573=CARTESIAN_POINT('',(3.385016133366E-3,2.839332274109E-1, +-7.022403140251E-1)); +#3574=CARTESIAN_POINT('',(0.E0,2.840165054286E-1,-7.023235920428E-1)); +#3576=CARTESIAN_POINT('',(2.868950570680E-2,3.009249033035E-1, +-7.153543307087E-1)); +#3577=CARTESIAN_POINT('',(3.277355437888E-2,3.016098447095E-1, +-7.149305060677E-1)); +#3578=CARTESIAN_POINT('',(4.089783136844E-2,3.034581696184E-1, +-7.140841210144E-1)); +#3579=CARTESIAN_POINT('',(5.278313193334E-2,3.076472862325E-1, +-7.128185564311E-1)); +#3580=CARTESIAN_POINT('',(6.420885599457E-2,3.132179903252E-1, +-7.115563439112E-1)); +#3581=CARTESIAN_POINT('',(7.502035972236E-2,3.201199919216E-1, +-7.102968018504E-1)); +#3582=CARTESIAN_POINT('',(8.505371661278E-2,3.282731890240E-1, +-7.090411756924E-1)); +#3583=CARTESIAN_POINT('',(9.416944135085E-2,3.375878306987E-1, +-7.077890427733E-1)); +#3584=CARTESIAN_POINT('',(1.022385362922E-1,3.479608927953E-1, +-7.065398907842E-1)); +#3585=CARTESIAN_POINT('',(1.091417062845E-1,3.592746426940E-1, +-7.052933861035E-1)); +#3586=CARTESIAN_POINT('',(1.147669286511E-1,3.713824640410E-1, +-7.040507682909E-1)); +#3587=CARTESIAN_POINT('',(1.190297986910E-1,3.841445114222E-1, +-7.028112564160E-1)); +#3588=CARTESIAN_POINT('',(1.209155276473E-1,3.929885470128E-1, +-7.019866467999E-1)); +#3589=CARTESIAN_POINT('',(1.216099497829E-1,3.974670607104E-1, +-7.015748031496E-1)); +#3591=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.153543307087E-1)); +#3592=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3593=DIRECTION('',(9.431927703191E-1,3.322459902206E-1,0.E0)); +#3594=AXIS2_PLACEMENT_3D('',#3591,#3592,#3593); +#3596=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.460629921260E-1)); +#3597=DIRECTION('',(0.E0,0.E0,1.E0)); +#3598=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3599=AXIS2_PLACEMENT_3D('',#3596,#3597,#3598); +#3601=DIRECTION('',(-3.443903112913E-10,-1.121903522338E-10,1.E0)); +#3602=VECTOR('',#3601,2.755905512120E-2); +#3603=CARTESIAN_POINT('',(9.491058722937E-12,3.001968503968E-1, +-7.460629921291E-1)); +#3604=LINE('',#3603,#3602); +#3605=DIRECTION('',(3.443907744870E-10,1.121903522338E-10,1.E0)); +#3606=VECTOR('',#3605,2.755905512120E-2); +#3607=CARTESIAN_POINT('',(-9.491071488173E-12,5.100393700756E-1, +-7.460629921291E-1)); +#3608=LINE('',#3607,#3606); +#3609=DIRECTION('',(4.829321779772E-10,7.071067811865E-1,-7.071067811866E-1)); +#3610=VECTOR('',#3609,5.567769931841E-3); +#3611=CARTESIAN_POINT('',(9.491058722937E-12,3.001968503968E-1, +-7.460629921291E-1)); +#3612=LINE('',#3611,#3610); +#3613=DIRECTION('',(-4.829302028329E-10,-7.071067811865E-1,-7.071067811865E-1)); +#3614=VECTOR('',#3613,5.567769931842E-3); +#3615=CARTESIAN_POINT('',(-9.491071488173E-12,5.100393700756E-1, +-7.460629921291E-1)); +#3616=LINE('',#3615,#3614); +#3617=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.460629921260E-1)); +#3618=DIRECTION('',(0.E0,0.E0,1.E0)); +#3619=DIRECTION('',(0.E0,1.E0,0.E0)); +#3620=AXIS2_PLACEMENT_3D('',#3617,#3618,#3619); +#3622=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.5E-1)); +#3623=DIRECTION('',(0.E0,0.E0,1.E0)); +#3624=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3625=AXIS2_PLACEMENT_3D('',#3622,#3623,#3624); +#3627=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.5E-1)); +#3628=DIRECTION('',(0.E0,0.E0,1.E0)); +#3629=DIRECTION('',(0.E0,1.E0,0.E0)); +#3630=AXIS2_PLACEMENT_3D('',#3627,#3628,#3629); +#3632=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.5E-1)); +#3633=DIRECTION('',(0.E0,0.E0,1.E0)); +#3634=DIRECTION('',(0.E0,1.E0,0.E0)); +#3635=AXIS2_PLACEMENT_3D('',#3632,#3633,#3634); +#3637=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.5E-1)); +#3638=DIRECTION('',(0.E0,0.E0,1.E0)); +#3639=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3640=AXIS2_PLACEMENT_3D('',#3637,#3638,#3639); +#3642=DIRECTION('',(-3.808982600125E-9,-7.071067811865E-1,7.071067811866E-1)); +#3643=VECTOR('',#3642,5.567769924934E-3); +#3644=CARTESIAN_POINT('',(7.549106539816E-11,5.005905511668E-1, +-7.499999999857E-1)); +#3645=LINE('',#3644,#3643); +#3646=DIRECTION('',(3.808980064633E-9,7.071067811865E-1,7.071067811866E-1)); +#3647=VECTOR('',#3646,5.567769924934E-3); +#3648=CARTESIAN_POINT('',(-7.549102222812E-11,3.096456693056E-1, +-7.499999999857E-1)); +#3649=LINE('',#3648,#3647); +#3650=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.460629921260E-1)); +#3651=DIRECTION('',(0.E0,0.E0,1.E0)); +#3652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3653=AXIS2_PLACEMENT_3D('',#3650,#3651,#3652); +#3655=DIRECTION('',(7.534433807889E-10,-1.424113893195E-10,-1.E0)); +#3656=VECTOR('',#3655,7.204724408423E-2); +#3657=CARTESIAN_POINT('',(0.E0,4.966535433071E-1,-6.740157480315E-1)); +#3658=LINE('',#3657,#3656); +#3659=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.740157480315E-1)); +#3660=DIRECTION('',(0.E0,0.E0,1.E0)); +#3661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3662=AXIS2_PLACEMENT_3D('',#3659,#3660,#3661); +#3664=DIRECTION('',(-7.534429775397E-10,1.424098483542E-10,-1.E0)); +#3665=VECTOR('',#3664,7.204724408423E-2); +#3666=CARTESIAN_POINT('',(0.E0,3.135826771654E-1,-6.740157480315E-1)); +#3667=LINE('',#3666,#3665); +#3668=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.460629921260E-1)); +#3669=DIRECTION('',(0.E0,0.E0,1.E0)); +#3670=DIRECTION('',(0.E0,1.E0,0.E0)); +#3671=AXIS2_PLACEMENT_3D('',#3668,#3669,#3670); +#3673=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.740157480315E-1)); +#3674=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3675=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3676=AXIS2_PLACEMENT_3D('',#3673,#3674,#3675); +#3678=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.740157480315E-1)); +#3679=DIRECTION('',(0.E0,0.E0,1.E0)); +#3680=DIRECTION('',(0.E0,1.E0,0.E0)); +#3681=AXIS2_PLACEMENT_3D('',#3678,#3679,#3680); +#3683=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.740157480315E-1)); +#3684=DIRECTION('',(0.E0,0.E0,1.E0)); +#3685=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3686=AXIS2_PLACEMENT_3D('',#3683,#3684,#3685); +#3688=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.700787401575E-1)); +#3689=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3690=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3691=AXIS2_PLACEMENT_3D('',#3688,#3689,#3690); +#3693=DIRECTION('',(-3.705331187273E-10,-7.071067811866E-1,7.071067811865E-1)); +#3694=VECTOR('',#3693,5.567769908735E-3); +#3695=CARTESIAN_POINT('',(4.435532354474E-11,4.897637795121E-1, +-6.740157480160E-1)); +#3696=LINE('',#3695,#3694); +#3697=DIRECTION('',(1.E0,0.E0,6.199379650870E-14)); +#3698=VECTOR('',#3697,1.790861484777E-3); +#3699=CARTESIAN_POINT('',(2.271997696605E-2,4.001968503937E-1, +-6.700787401575E-1)); +#3700=LINE('',#3699,#3698); +#3701=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.700787401575E-1)); +#3702=DIRECTION('',(0.E0,0.E0,1.E0)); +#3703=DIRECTION('',(-9.773356179313E-1,-2.116957484762E-1,0.E0)); +#3704=AXIS2_PLACEMENT_3D('',#3701,#3702,#3703); +#3706=DIRECTION('',(1.E0,0.E0,0.E0)); +#3707=VECTOR('',#3706,1.790861484777E-3); +#3708=CARTESIAN_POINT('',(-2.451083845082E-2,4.001968503937E-1, +-6.700787401575E-1)); +#3709=LINE('',#3708,#3707); +#3710=DIRECTION('',(1.E0,0.E0,-6.199379650870E-14)); +#3711=VECTOR('',#3710,1.790861484777E-3); +#3712=CARTESIAN_POINT('',(-2.451083845082E-2,4.100393700787E-1, +-6.700787401575E-1)); +#3713=LINE('',#3712,#3711); +#3714=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.700787401575E-1)); +#3715=DIRECTION('',(0.E0,0.E0,1.E0)); +#3716=DIRECTION('',(9.773356179313E-1,2.116957484762E-1,0.E0)); +#3717=AXIS2_PLACEMENT_3D('',#3714,#3715,#3716); +#3719=DIRECTION('',(1.E0,0.E0,0.E0)); +#3720=VECTOR('',#3719,1.790861484777E-3); +#3721=CARTESIAN_POINT('',(2.271997696605E-2,4.100393700787E-1, +-6.700787401575E-1)); +#3722=LINE('',#3721,#3720); +#3723=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.700787401575E-1)); +#3724=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3725=DIRECTION('',(0.E0,1.E0,0.E0)); +#3726=AXIS2_PLACEMENT_3D('',#3723,#3724,#3725); +#3728=DIRECTION('',(3.705315119640E-10,7.071067811866E-1,7.071067811865E-1)); +#3729=VECTOR('',#3728,5.567769908735E-3); +#3730=CARTESIAN_POINT('',(-4.435531550730E-11,3.204724409603E-1, +-6.740157480160E-1)); +#3731=LINE('',#3730,#3729); +#3732=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.834645669291E-1)); +#3733=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3734=DIRECTION('',(9.804335380330E-1,1.968503937008E-1,0.E0)); +#3735=AXIS2_PLACEMENT_3D('',#3732,#3733,#3734); +#3737=DIRECTION('',(0.E0,0.E0,1.E0)); +#3738=VECTOR('',#3737,8.661417322835E-2); +#3739=CARTESIAN_POINT('',(2.451083845082E-2,4.001968503937E-1, +-6.700787401575E-1)); +#3740=LINE('',#3739,#3738); +#3741=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.700787401575E-1)); +#3742=DIRECTION('',(0.E0,0.E0,1.E0)); +#3743=DIRECTION('',(9.804335380330E-1,-1.968503937008E-1,0.E0)); +#3744=AXIS2_PLACEMENT_3D('',#3741,#3742,#3743); +#3746=DIRECTION('',(0.E0,0.E0,1.E0)); +#3747=VECTOR('',#3746,8.661417322835E-2); +#3748=CARTESIAN_POINT('',(2.451083845082E-2,4.100393700787E-1, +-6.700787401575E-1)); +#3749=LINE('',#3748,#3747); +#3750=DIRECTION('',(1.E0,0.E0,-1.716166923294E-14)); +#3751=VECTOR('',#3750,6.469201856510E-3); +#3752=CARTESIAN_POINT('',(1.804163659431E-2,4.100393700787E-1, +-5.834645669291E-1)); +#3753=LINE('',#3752,#3751); +#3754=DIRECTION('',(-1.E0,0.E0,1.716166923294E-14)); +#3755=VECTOR('',#3754,6.469201856510E-3); +#3756=CARTESIAN_POINT('',(2.451083845082E-2,4.001968503937E-1, +-5.834645669291E-1)); +#3757=LINE('',#3756,#3755); +#3758=CARTESIAN_POINT('',(-2.271997696605E-2,4.100393700787E-1, +-6.700787401575E-1)); +#3759=CARTESIAN_POINT('',(-2.218246446013E-2,4.100393700787E-1, +-6.691688417039E-1)); +#3760=CARTESIAN_POINT('',(-2.111943903610E-2,4.100393700787E-1, +-6.673722426907E-1)); +#3761=CARTESIAN_POINT('',(-1.955955280163E-2,4.100393700787E-1, +-6.647459977704E-1)); +#3762=CARTESIAN_POINT('',(-1.854377180915E-2,4.100393700787E-1, +-6.630437927692E-1)); +#3763=CARTESIAN_POINT('',(-1.804163659431E-2,4.100393700787E-1, +-6.622047244094E-1)); +#3765=CARTESIAN_POINT('',(1.804163659431E-2,4.100393700787E-1, +-6.622047244094E-1)); +#3766=CARTESIAN_POINT('',(1.854271138180E-2,4.100393700787E-1, +-6.630420207942E-1)); +#3767=CARTESIAN_POINT('',(1.955708698154E-2,4.100393700787E-1, +-6.647418577767E-1)); +#3768=CARTESIAN_POINT('',(2.111697198807E-2,4.100393700787E-1, +-6.673680781712E-1)); +#3769=CARTESIAN_POINT('',(2.218140929130E-2,4.100393700787E-1, +-6.691670555194E-1)); +#3770=CARTESIAN_POINT('',(2.271997696605E-2,4.100393700787E-1, +-6.700787401575E-1)); +#3772=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.700787401575E-1)); +#3773=DIRECTION('',(0.E0,0.E0,1.E0)); +#3774=DIRECTION('',(-9.804335380330E-1,1.968503937008E-1,0.E0)); +#3775=AXIS2_PLACEMENT_3D('',#3772,#3773,#3774); +#3777=DIRECTION('',(0.E0,0.E0,1.E0)); +#3778=VECTOR('',#3777,8.661417322835E-2); +#3779=CARTESIAN_POINT('',(-2.451083845082E-2,4.001968503937E-1, +-6.700787401575E-1)); +#3780=LINE('',#3779,#3778); +#3781=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.834645669291E-1)); +#3782=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3783=DIRECTION('',(-9.804335380330E-1,-1.968503937008E-1,0.E0)); +#3784=AXIS2_PLACEMENT_3D('',#3781,#3782,#3783); +#3786=DIRECTION('',(0.E0,0.E0,1.E0)); +#3787=VECTOR('',#3786,8.661417322835E-2); +#3788=CARTESIAN_POINT('',(-2.451083845082E-2,4.100393700787E-1, +-6.700787401575E-1)); +#3789=LINE('',#3788,#3787); +#3790=CARTESIAN_POINT('',(2.271997696605E-2,4.001968503937E-1, +-6.700787401575E-1)); +#3791=CARTESIAN_POINT('',(2.218246446013E-2,4.001968503937E-1, +-6.691688417039E-1)); +#3792=CARTESIAN_POINT('',(2.111943903610E-2,4.001968503937E-1, +-6.673722426907E-1)); +#3793=CARTESIAN_POINT('',(1.955955280163E-2,4.001968503937E-1, +-6.647459977704E-1)); +#3794=CARTESIAN_POINT('',(1.854377180915E-2,4.001968503937E-1, +-6.630437927692E-1)); +#3795=CARTESIAN_POINT('',(1.804163659431E-2,4.001968503937E-1, +-6.622047244094E-1)); +#3797=CARTESIAN_POINT('',(-1.804163659431E-2,4.001968503937E-1, +-6.622047244094E-1)); +#3798=CARTESIAN_POINT('',(-1.854271138180E-2,4.001968503937E-1, +-6.630420207942E-1)); +#3799=CARTESIAN_POINT('',(-1.955708698154E-2,4.001968503937E-1, +-6.647418577767E-1)); +#3800=CARTESIAN_POINT('',(-2.111697198807E-2,4.001968503937E-1, +-6.673680781712E-1)); +#3801=CARTESIAN_POINT('',(-2.218140929130E-2,4.001968503937E-1, +-6.691670555194E-1)); +#3802=CARTESIAN_POINT('',(-2.271997696605E-2,4.001968503937E-1, +-6.700787401575E-1)); +#3804=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.834645669291E-1)); +#3805=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3806=DIRECTION('',(1.E0,0.E0,0.E0)); +#3807=AXIS2_PLACEMENT_3D('',#3804,#3805,#3806); +#3809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3810=VECTOR('',#3809,3.937007874016E-2); +#3811=CARTESIAN_POINT('',(1.870078740157E-2,4.051181102362E-1, +-5.440944881890E-1)); +#3812=LINE('',#3811,#3810); +#3813=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.440944881890E-1)); +#3814=DIRECTION('',(0.E0,0.E0,1.E0)); +#3815=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3816=AXIS2_PLACEMENT_3D('',#3813,#3814,#3815); +#3818=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3819=VECTOR('',#3818,3.937007874016E-2); +#3820=CARTESIAN_POINT('',(-1.870078740157E-2,4.051181102362E-1, +-5.440944881890E-1)); +#3821=LINE('',#3820,#3819); +#3822=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.834645669291E-1)); +#3823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3824=DIRECTION('',(-9.647527778854E-1,-2.631578947368E-1,0.E0)); +#3825=AXIS2_PLACEMENT_3D('',#3822,#3823,#3824); +#3827=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3828=VECTOR('',#3827,7.874015748031E-2); +#3829=CARTESIAN_POINT('',(-1.804163659431E-2,4.001968503937E-1, +-5.834645669291E-1)); +#3830=LINE('',#3829,#3828); +#3831=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.622047244094E-1)); +#3832=DIRECTION('',(0.E0,0.E0,1.E0)); +#3833=DIRECTION('',(-9.647527778854E-1,-2.631578947368E-1,0.E0)); +#3834=AXIS2_PLACEMENT_3D('',#3831,#3832,#3833); +#3836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3837=VECTOR('',#3836,7.874015748031E-2); +#3838=CARTESIAN_POINT('',(1.804163659431E-2,4.001968503937E-1, +-5.834645669291E-1)); +#3839=LINE('',#3838,#3837); +#3840=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.834645669291E-1)); +#3841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3843=AXIS2_PLACEMENT_3D('',#3840,#3841,#3842); +#3845=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.440944881890E-1)); +#3846=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3847=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3848=AXIS2_PLACEMENT_3D('',#3845,#3846,#3847); +#3850=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.834645669291E-1)); +#3851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3852=DIRECTION('',(9.647527778854E-1,2.631578947368E-1,0.E0)); +#3853=AXIS2_PLACEMENT_3D('',#3850,#3851,#3852); +#3855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3856=VECTOR('',#3855,7.874015748031E-2); +#3857=CARTESIAN_POINT('',(1.804163659431E-2,4.100393700787E-1, +-5.834645669291E-1)); +#3858=LINE('',#3857,#3856); +#3859=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.622047244094E-1)); +#3860=DIRECTION('',(0.E0,0.E0,1.E0)); +#3861=DIRECTION('',(9.647527778854E-1,2.631578947368E-1,0.E0)); +#3862=AXIS2_PLACEMENT_3D('',#3859,#3860,#3861); +#3864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3865=VECTOR('',#3864,7.874015748031E-2); +#3866=CARTESIAN_POINT('',(-1.804163659431E-2,4.100393700787E-1, +-5.834645669291E-1)); +#3867=LINE('',#3866,#3865); +#3868=DIRECTION('',(-1.E0,0.E0,-1.716166923294E-14)); +#3869=VECTOR('',#3868,6.469201856510E-3); +#3870=CARTESIAN_POINT('',(-1.804163659431E-2,4.001968503937E-1, +-5.834645669291E-1)); +#3871=LINE('',#3870,#3869); +#3872=DIRECTION('',(1.E0,0.E0,1.716166923294E-14)); +#3873=VECTOR('',#3872,6.469201856510E-3); +#3874=CARTESIAN_POINT('',(-2.451083845082E-2,4.100393700787E-1, +-5.834645669291E-1)); +#3875=LINE('',#3874,#3873); +#3876=DIRECTION('',(-8.660254037844E-1,0.E0,-5.E-1)); +#3877=VECTOR('',#3876,2.159380928071E-2); +#3878=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.332975835486E-1)); +#3879=LINE('',#3878,#3877); +#3880=DIRECTION('',(8.660254037844E-1,0.E0,-5.E-1)); +#3881=VECTOR('',#3880,2.159380928071E-2); +#3882=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.332975835486E-1)); +#3883=LINE('',#3882,#3881); +#3884=DIRECTION('',(-1.174986034394E-14,-1.879977655031E-14,-1.E0)); +#3885=VECTOR('',#3884,5.905511811026E-3); +#3886=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.828337005463E-1)); +#3887=LINE('',#3886,#3885); +#3888=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.887392123574E-1)); +#3889=CARTESIAN_POINT('',(-1.063576570099E-1,4.647582460584E-1, +-6.889175364288E-1)); +#3890=CARTESIAN_POINT('',(-1.016752946933E-1,4.727485604181E-1, +-6.892741391590E-1)); +#3891=CARTESIAN_POINT('',(-9.334010587852E-2,4.838539449484E-1, +-6.898087986081E-1)); +#3892=CARTESIAN_POINT('',(-8.379688485736E-2,4.939430757364E-1, +-6.903435386359E-1)); +#3893=CARTESIAN_POINT('',(-7.316260263643E-2,5.028883547638E-1, +-6.908786144263E-1)); +#3894=CARTESIAN_POINT('',(-6.158905108655E-2,5.105623380404E-1, +-6.914133179231E-1)); +#3895=CARTESIAN_POINT('',(-4.922045136430E-2,5.168728025606E-1, +-6.919479762377E-1)); +#3896=CARTESIAN_POINT('',(-3.620676713676E-2,5.217408790297E-1, +-6.924829801662E-1)); +#3897=CARTESIAN_POINT('',(-2.272798403813E-2,5.250979831356E-1, +-6.930178365809E-1)); +#3898=CARTESIAN_POINT('',(-8.960538126011E-3,5.269024497126E-1, +-6.935524875431E-1)); +#3899=CARTESIAN_POINT('',(4.926445563667E-3,5.271325674271E-1, +-6.940872796213E-1)); +#3900=CARTESIAN_POINT('',(1.875638184203E-2,5.257828331932E-1, +-6.946223317548E-1)); +#3901=CARTESIAN_POINT('',(3.233392697519E-2,5.228729865126E-1, +-6.951570074916E-1)); +#3902=CARTESIAN_POINT('',(4.549355021304E-2,5.184411615860E-1, +-6.956916829770E-1)); +#3903=CARTESIAN_POINT('',(5.807346672567E-2,5.125391051644E-1, +-6.962267346239E-1)); +#3904=CARTESIAN_POINT('',(6.989567773501E-2,5.052495787028E-1, +-6.967615275319E-1)); +#3905=CARTESIAN_POINT('',(8.081111902897E-2,4.966675180094E-1, +-6.972961784349E-1)); +#3906=CARTESIAN_POINT('',(9.068548420841E-2,4.868979560318E-1, +-6.978310344166E-1)); +#3907=CARTESIAN_POINT('',(9.938837754057E-2,4.760667862031E-1, +-6.983660389635E-1)); +#3908=CARTESIAN_POINT('',(1.068000469436E-1,4.643249200194E-1, +-6.989006973364E-1)); +#3909=CARTESIAN_POINT('',(1.128318429104E-1,4.518167436813E-1, +-6.994354007277E-1)); +#3910=CARTESIAN_POINT('',(1.174068665144E-1,4.386951880077E-1, +-6.999704766407E-1)); +#3911=CARTESIAN_POINT('',(1.204596893487E-1,4.251473460975E-1, +-7.005052168348E-1)); +#3912=CARTESIAN_POINT('',(1.219549026431E-1,4.113426635698E-1, +-7.010398762620E-1)); +#3913=CARTESIAN_POINT('',(1.219002740765E-1,4.020816332148E-1, +-7.013964790486E-1)); +#3914=CARTESIAN_POINT('',(1.216099497829E-1,3.974670607104E-1, +-7.015748031496E-1)); +#3916=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.015748031496E-1)); +#3917=DIRECTION('',(0.E0,0.E0,1.E0)); +#3918=DIRECTION('',(2.486898871582E-1,-9.685831611303E-1,0.E0)); +#3919=AXIS2_PLACEMENT_3D('',#3916,#3917,#3918); +#3921=CARTESIAN_POINT('',(3.030296065963E-2,2.870958707205E-1, +-7.015748031496E-1)); +#3922=CARTESIAN_POINT('',(3.491876003165E-2,2.882810065674E-1, +-7.013910093945E-1)); +#3923=CARTESIAN_POINT('',(4.400984102495E-2,2.911932142828E-1, +-7.010234718050E-1)); +#3924=CARTESIAN_POINT('',(5.703515586278E-2,2.971241329548E-1, +-7.004724406523E-1)); +#3925=CARTESIAN_POINT('',(6.927968205742E-2,3.045391251752E-1, +-6.999213083213E-1)); +#3926=CARTESIAN_POINT('',(8.057931746380E-2,3.133424561455E-1, +-6.993698133318E-1)); +#3927=CARTESIAN_POINT('',(9.076289488746E-2,3.234003649038E-1, +-6.988187395005E-1)); +#3928=CARTESIAN_POINT('',(9.969985149845E-2,3.345793393509E-1, +-6.982677031517E-1)); +#3929=CARTESIAN_POINT('',(1.072725347333E-1,3.467364624985E-1, +-6.977162609066E-1)); +#3930=CARTESIAN_POINT('',(1.133672979560E-1,3.596914392704E-1, +-6.971650386346E-1)); +#3931=CARTESIAN_POINT('',(1.179030762021E-1,3.732654634817E-1, +-6.966140169248E-1)); +#3932=CARTESIAN_POINT('',(1.208208868873E-1,3.872820013629E-1, +-6.960627954710E-1)); +#3933=CARTESIAN_POINT('',(1.220780229670E-1,4.015494918653E-1, +-6.955113525485E-1)); +#3934=CARTESIAN_POINT('',(1.216569021485E-1,4.158554852996E-1, +-6.949603160382E-1)); +#3935=CARTESIAN_POINT('',(1.195656270025E-1,4.300150470150E-1, +-6.944092423986E-1)); +#3936=CARTESIAN_POINT('',(1.158282822327E-1,4.438429959682E-1, +-6.938577480888E-1)); +#3937=CARTESIAN_POINT('',(1.105011679999E-1,4.571296224271E-1, +-6.933066129505E-1)); +#3938=CARTESIAN_POINT('',(1.036581051159E-1,4.696994560021E-1, +-6.927555903228E-1)); +#3939=CARTESIAN_POINT('',(9.538647587616E-2,4.813889150778E-1, +-6.922042527995E-1)); +#3940=CARTESIAN_POINT('',(8.580304762194E-2,4.920295034535E-1, +-6.916529140464E-1)); +#3941=CARTESIAN_POINT('',(7.504655852446E-2,5.014701699410E-1, +-6.911018914008E-1)); +#3942=CARTESIAN_POINT('',(6.325735916506E-2,5.095897053132E-1, +-6.905507569484E-1)); +#3943=CARTESIAN_POINT('',(5.059034438445E-2,5.162775174363E-1, +-6.899992624020E-1)); +#3944=CARTESIAN_POINT('',(3.723771382403E-2,5.214325366716E-1, +-6.894481882994E-1)); +#3945=CARTESIAN_POINT('',(2.337483736271E-2,5.249905236057E-1, +-6.888971520227E-1)); +#3946=CARTESIAN_POINT('',(9.180334856987E-3,5.269028998866E-1, +-6.883457098965E-1)); +#3947=CARTESIAN_POINT('',(-5.134744629070E-3,5.271400800151E-1, +-6.877944873653E-1)); +#3948=CARTESIAN_POINT('',(-1.937405976177E-2,5.257015763353E-1, +-6.872434656958E-1)); +#3949=CARTESIAN_POINT('',(-3.335244007625E-2,5.226061022698E-1, +-6.866922440763E-1)); +#3950=CARTESIAN_POINT('',(-4.687767727434E-2,5.178933829458E-1, +-6.861408010384E-1)); +#3951=CARTESIAN_POINT('',(-5.974883830604E-2,5.116346313286E-1, +-6.855897645645E-1)); +#3952=CARTESIAN_POINT('',(-7.180063594256E-2,5.039131796227E-1, +-6.850386909128E-1)); +#3953=CARTESIAN_POINT('',(-8.287411832222E-2,4.948270126428E-1, +-6.844871958628E-1)); +#3954=CARTESIAN_POINT('',(-9.280114507069E-2,4.845136746230E-1, +-6.839360631690E-1)); +#3955=CARTESIAN_POINT('',(-1.014522133450E-1,4.731122013574E-1, +-6.833850320820E-1)); +#3956=CARTESIAN_POINT('',(-1.062930315744E-1,4.648844891713E-1, +-6.830174943679E-1)); +#3957=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.828337005463E-1)); +#3959=CARTESIAN_POINT('',(1.019316596270E-1,4.410242221715E-1, +-7.153543307087E-1)); +#3960=CARTESIAN_POINT('',(1.004831764648E-1,4.451362322085E-1, +-7.151647506082E-1)); +#3961=CARTESIAN_POINT('',(9.708829157586E-2,4.531834701317E-1, +-7.147856455543E-1)); +#3962=CARTESIAN_POINT('',(9.058050194095E-2,4.645450158924E-1, +-7.142173213394E-1)); +#3963=CARTESIAN_POINT('',(8.274749814156E-2,4.750418504179E-1, +-7.136488267171E-1)); +#3964=CARTESIAN_POINT('',(7.369851000906E-2,4.845220484054E-1, +-7.130799697671E-1)); +#3965=CARTESIAN_POINT('',(6.358024912237E-2,4.928330804601E-1, +-7.125116187160E-1)); +#3966=CARTESIAN_POINT('',(5.253319287919E-2,4.998636995698E-1, +-7.119432435636E-1)); +#3967=CARTESIAN_POINT('',(4.070765886405E-2,5.055141367311E-1, +-7.113743662315E-1)); +#3968=CARTESIAN_POINT('',(2.829561744094E-2,5.096921596230E-1, +-7.108059125547E-1)); +#3969=CARTESIAN_POINT('',(1.547337040295E-2,5.123422073640E-1, +-7.102375937986E-1)); +#3970=CARTESIAN_POINT('',(2.414933152800E-3,5.134262393924E-1, +-7.096688369245E-1)); +#3971=CARTESIAN_POINT('',(-1.067581845695E-2,5.129255035315E-1, +-7.091002115639E-1)); +#3972=CARTESIAN_POINT('',(-2.360342103614E-2,5.108501799290E-1, +-7.085318973527E-1)); +#3973=CARTESIAN_POINT('',(-3.619147827938E-2,5.072291181420E-1, +-7.079633501561E-1)); +#3974=CARTESIAN_POINT('',(-4.825597836291E-2,5.021119606420E-1, +-7.073945277884E-1)); +#3975=CARTESIAN_POINT('',(-5.960478996733E-2,4.955815595086E-1, +-7.068261944776E-1)); +#3976=CARTESIAN_POINT('',(-7.008464588895E-2,4.877293183749E-1, +-7.062577896153E-1)); +#3977=CARTESIAN_POINT('',(-7.954774176576E-2,4.786614843920E-1, +-7.056889038447E-1)); +#3978=CARTESIAN_POINT('',(-8.783984326400E-2,4.685257591820E-1, +-7.051204877582E-1)); +#3979=CARTESIAN_POINT('',(-9.484811059216E-2,4.574657850825E-1, +-7.045521590867E-1)); +#3980=CARTESIAN_POINT('',(-1.004749096863E-1,4.456307516481E-1, +-7.039833509194E-1)); +#3981=CARTESIAN_POINT('',(-1.046295640772E-1,4.332081433156E-1, +-7.034147854045E-1)); +#3982=CARTESIAN_POINT('',(-1.072541569810E-1,4.203807770766E-1, +-7.028464712841E-1)); +#3983=CARTESIAN_POINT('',(-1.083125918449E-1,4.073237603144E-1, +-7.022778666872E-1)); +#3984=CARTESIAN_POINT('',(-1.077863489840E-1,3.942305492630E-1, +-7.017090912669E-1)); +#3985=CARTESIAN_POINT('',(-1.056853754833E-1,3.813069157685E-1, +-7.011407698722E-1)); +#3986=CARTESIAN_POINT('',(-1.020404663934E-1,3.687283109916E-1, +-7.005723293802E-1)); +#3987=CARTESIAN_POINT('',(-9.689937430408E-2,3.566725065494E-1, +-7.000034478223E-1)); +#3988=CARTESIAN_POINT('',(-9.034605573038E-2,3.453355463392E-1, +-6.994350634512E-1)); +#3989=CARTESIAN_POINT('',(-8.247407334520E-2,3.348722574404E-1, +-6.988667188606E-1)); +#3990=CARTESIAN_POINT('',(-7.338852220205E-2,3.254274302412E-1, +-6.982978724378E-1)); +#3991=CARTESIAN_POINT('',(-6.323488815717E-2,3.171537188492E-1, +-6.977293594324E-1)); +#3992=CARTESIAN_POINT('',(-5.216133922441E-2,3.101675434742E-1, +-6.971610448579E-1)); +#3993=CARTESIAN_POINT('',(-4.031831548474E-2,3.045650569706E-1, +-6.965923755244E-1)); +#3994=CARTESIAN_POINT('',(-2.788439198898E-2,3.004334181425E-1, +-6.960236600894E-1)); +#3995=CARTESIAN_POINT('',(-1.505180939969E-2,2.978342716558E-1, +-6.954553446063E-1)); +#3996=CARTESIAN_POINT('',(-1.995580497740E-3,2.968014652148E-1, +-6.948868626128E-1)); +#3997=CARTESIAN_POINT('',(1.109864176297E-2,2.973531889652E-1, +-6.943179979077E-1)); +#3998=CARTESIAN_POINT('',(2.401895641165E-2,2.994797949557E-1, +-6.937496393743E-1)); +#3999=CARTESIAN_POINT('',(3.658874703855E-2,3.031488784878E-1, +-6.931812728833E-1)); +#4000=CARTESIAN_POINT('',(4.863434316754E-2,3.083132677889E-1, +-6.926124011557E-1)); +#4001=CARTESIAN_POINT('',(5.996011761735E-2,3.148897303609E-1, +-6.920439336486E-1)); +#4002=CARTESIAN_POINT('',(7.040731513246E-2,3.227819808968E-1, +-6.914756168621E-1)); +#4003=CARTESIAN_POINT('',(7.983269282424E-2,3.318840736617E-1, +-6.909068799833E-1)); +#4004=CARTESIAN_POINT('',(8.808860526768E-2,3.420561656577E-1, +-6.903382330438E-1)); +#4005=CARTESIAN_POINT('',(9.505279346506E-2,3.531435488408E-1, +-6.897699186813E-1)); +#4006=CARTESIAN_POINT('',(1.006308979544E-1,3.649945165293E-1, +-6.892013889878E-1)); +#4007=CARTESIAN_POINT('',(1.047392903717E-1,3.774390446533E-1, +-6.886325538682E-1)); +#4008=CARTESIAN_POINT('',(1.073131634736E-1,3.902772715087E-1, +-6.880642152511E-1)); +#4009=CARTESIAN_POINT('',(1.083202770468E-1,4.033334725641E-1, +-6.874958209606E-1)); +#4010=CARTESIAN_POINT('',(1.077431231206E-1,4.164270526969E-1, +-6.869269365942E-1)); +#4011=CARTESIAN_POINT('',(1.055909055933E-1,4.293447548524E-1, +-6.863585086312E-1)); +#4012=CARTESIAN_POINT('',(1.018973233851E-1,4.419063576436E-1, +-6.857901839317E-1)); +#4013=CARTESIAN_POINT('',(9.671030000156E-2,4.539402356904E-1, +-6.852213914136E-1)); +#4014=CARTESIAN_POINT('',(9.011043736402E-2,4.652555231310E-1, +-6.846528067652E-1)); +#4015=CARTESIAN_POINT('',(8.219752025920E-2,4.756869915852E-1, +-6.840844926751E-1)); +#4016=CARTESIAN_POINT('',(7.307961105241E-2,4.850921842145E-1, +-6.835159080267E-1)); +#4017=CARTESIAN_POINT('',(6.288893193028E-2,4.933304784225E-1, +-6.829471155086E-1)); +#4018=CARTESIAN_POINT('',(5.178756383299E-2,5.002728152467E-1, +-6.823787908091E-1)); +#4019=CARTESIAN_POINT('',(3.992786615272E-2,5.058267904175E-1, +-6.818103628461E-1)); +#4020=CARTESIAN_POINT('',(2.747430983123E-2,5.099113812531E-1, +-6.812414784797E-1)); +#4021=CARTESIAN_POINT('',(1.462978066337E-2,5.124602504543E-1, +-6.806730841892E-1)); +#4022=CARTESIAN_POINT('',(1.572910038032E-3,5.134415867920E-1, +-6.801047455721E-1)); +#4023=CARTESIAN_POINT('',(-1.151839060897E-2,5.128390788210E-1, +-6.795359104525E-1)); +#4024=CARTESIAN_POINT('',(-2.443418877740E-2,5.106612720069E-1, +-6.789673807590E-1)); +#4025=CARTESIAN_POINT('',(-3.698821317836E-2,5.069428779399E-1, +-6.783990663965E-1)); +#4026=CARTESIAN_POINT('',(-4.900894005614E-2,5.017338788826E-1, +-6.778304194569E-1)); +#4027=CARTESIAN_POINT('',(-6.031443626747E-2,4.951103154347E-1, +-6.772616825782E-1)); +#4028=CARTESIAN_POINT('',(-7.073024190875E-2,4.871766815079E-1, +-6.766933657917E-1)); +#4029=CARTESIAN_POINT('',(-8.011572660901E-2,4.780423668762E-1, +-6.761248982846E-1)); +#4030=CARTESIAN_POINT('',(-8.833538230975E-2,4.678343032441E-1, +-6.755560265570E-1)); +#4031=CARTESIAN_POINT('',(-9.525633360428E-2,4.567184477418E-1, +-6.749876600660E-1)); +#4032=CARTESIAN_POINT('',(-1.007863489762E-1,4.448493317829E-1, +-6.744193015326E-1)); +#4033=CARTESIAN_POINT('',(-1.048466236390E-1,4.323883035198E-1, +-6.738504368275E-1)); +#4034=CARTESIAN_POINT('',(-1.073707581430E-1,4.195368231658E-1, +-6.732819548340E-1)); +#4035=CARTESIAN_POINT('',(-1.083262350795E-1,4.064785766451E-1, +-6.727136393509E-1)); +#4036=CARTESIAN_POINT('',(-1.076984828360E-1,3.933912232066E-1, +-6.721449239159E-1)); +#4037=CARTESIAN_POINT('',(-1.054950552321E-1,3.804765039640E-1, +-6.715762545824E-1)); +#4038=CARTESIAN_POINT('',(-1.017517591316E-1,3.679298762641E-1, +-6.710079400079E-1)); +#4039=CARTESIAN_POINT('',(-9.652064518760E-2,3.559221274567E-1, +-6.704394270025E-1)); +#4040=CARTESIAN_POINT('',(-8.987389382796E-2,3.446273190581E-1, +-6.698705805797E-1)); +#4041=CARTESIAN_POINT('',(-8.191930153860E-2,3.342266949056E-1, +-6.693022359891E-1)); +#4042=CARTESIAN_POINT('',(-7.276797759706E-2,3.248604832246E-1, +-6.687338516180E-1)); +#4043=CARTESIAN_POINT('',(-6.254380464372E-2,3.166605115150E-1, +-6.681649700601E-1)); +#4044=CARTESIAN_POINT('',(-5.141294597921E-2,3.097604647181E-1, +-6.675965295681E-1)); +#4045=CARTESIAN_POINT('',(-3.953372587786E-2,3.042542389569E-1, +-6.670282081734E-1)); +#4046=CARTESIAN_POINT('',(-2.706680416758E-2,3.002187748876E-1, +-6.664594327531E-1)); +#4047=CARTESIAN_POINT('',(-1.420765810326E-2,2.977191081924E-1, +-6.658908281562E-1)); +#4048=CARTESIAN_POINT('',(-1.147576971756E-3,2.967895419614E-1, +-6.653225140358E-1)); +#4049=CARTESIAN_POINT('',(1.193508279920E-2,2.974425076643E-1, +-6.647539485209E-1)); +#4050=CARTESIAN_POINT('',(2.484865976468E-2,2.996715016961E-1, +-6.641851403536E-1)); +#4051=CARTESIAN_POINT('',(3.738816881950E-2,3.034397308146E-1, +-6.636168116821E-1)); +#4052=CARTESIAN_POINT('',(4.938362767500E-2,3.086933809397E-1, +-6.630483955956E-1)); +#4053=CARTESIAN_POINT('',(6.066629797845E-2,3.153624998873E-1, +-6.624795098250E-1)); +#4054=CARTESIAN_POINT('',(7.105237623289E-2,3.233383665771E-1, +-6.619111049627E-1)); +#4055=CARTESIAN_POINT('',(8.039971246181E-2,3.325072797744E-1, +-6.613427716519E-1)); +#4056=CARTESIAN_POINT('',(8.857897871314E-2,3.427462771245E-1, +-6.607739492842E-1)); +#4057=CARTESIAN_POINT('',(9.545860807686E-2,3.538926654831E-1, +-6.602054020876E-1)); +#4058=CARTESIAN_POINT('',(1.009412027062E-1,3.657826205979E-1, +-6.596370878764E-1)); +#4059=CARTESIAN_POINT('',(1.049514407308E-1,3.782540481463E-1, +-6.590684625158E-1)); +#4060=CARTESIAN_POINT('',(1.074268402156E-1,3.911214607163E-1, +-6.584997056417E-1)); +#4061=CARTESIAN_POINT('',(1.083305138149E-1,4.041834722826E-1, +-6.579313868855E-1)); +#4062=CARTESIAN_POINT('',(1.076521964321E-1,4.172622540723E-1, +-6.573629332088E-1)); +#4063=CARTESIAN_POINT('',(1.053978788230E-1,4.301730536980E-1, +-6.567940558767E-1)); +#4064=CARTESIAN_POINT('',(1.016046435310E-1,4.427061468008E-1, +-6.562256807243E-1)); +#4065=CARTESIAN_POINT('',(9.632805657022E-2,4.546898894229E-1, +-6.556573296732E-1)); +#4066=CARTESIAN_POINT('',(8.963738924889E-2,4.659590203077E-1, +-6.550884727232E-1)); +#4067=CARTESIAN_POINT('',(8.163998761529E-2,4.763311488592E-1, +-6.545199781009E-1)); +#4068=CARTESIAN_POINT('',(7.245271751627E-2,4.856601421668E-1, +-6.539516538860E-1)); +#4069=CARTESIAN_POINT('',(6.561817697655E-2,4.910981875153E-1, +-6.535725488321E-1)); +#4070=CARTESIAN_POINT('',(6.204869029981E-2,4.936012845729E-1, +-6.533829687316E-1)); +#4072=DIRECTION('',(0.E0,0.E0,1.E0)); +#4073=VECTOR('',#4072,7.710819353042E-3); +#4074=CARTESIAN_POINT('',(6.204869029981E-2,4.936012845729E-1, +-6.533829687316E-1)); +#4075=LINE('',#4074,#4073); +#4076=CARTESIAN_POINT('',(6.204869029981E-2,4.936012845729E-1, +-6.533829687316E-1)); +#4077=CARTESIAN_POINT('',(5.846459933855E-2,4.961146228627E-1, +-6.531926129785E-1)); +#4078=CARTESIAN_POINT('',(5.099164231341E-2,5.007043731375E-1, +-6.528119572326E-1)); +#4079=CARTESIAN_POINT('',(3.903812513818E-2,5.061768943723E-1, +-6.522413273840E-1)); +#4080=CARTESIAN_POINT('',(2.650530346178E-2,5.101629539727E-1, +-6.516704918375E-1)); +#4081=CARTESIAN_POINT('',(1.357391829247E-2,5.126014519764E-1, +-6.510993155101E-1)); +#4082=CARTESIAN_POINT('',(4.544628836962E-4,5.134538116068E-1, +-6.505286668134E-1)); +#4083=CARTESIAN_POINT('',(-1.267319938039E-2,5.127114609357E-1, +-6.499579524037E-1)); +#4084=CARTESIAN_POINT('',(-2.562556211746E-2,5.103809814514E-1, +-6.493867302746E-1)); +#4085=CARTESIAN_POINT('',(-3.818896425236E-2,5.065007288483E-1, +-6.488160013495E-1)); +#4086=CARTESIAN_POINT('',(-5.018831651904E-2,5.011287789440E-1, +-6.482453597265E-1)); +#4087=CARTESIAN_POINT('',(-6.145838234729E-2,4.943359302246E-1, +-6.476741996124E-1)); +#4088=CARTESIAN_POINT('',(-7.181618934132E-2,4.862309328361E-1, +-6.471033395721E-1)); +#4089=CARTESIAN_POINT('',(-8.111225455413E-2,4.769351167929E-1, +-6.465327195027E-1)); +#4090=CARTESIAN_POINT('',(-8.921895775971E-2,4.665748599703E-1, +-6.459617302588E-1)); +#4091=CARTESIAN_POINT('',(-9.601029761490E-2,4.553068964325E-1, +-6.453906820577E-1)); +#4092=CARTESIAN_POINT('',(-1.013823054323E-1,4.433080904979E-1, +-6.448200607926E-1)); +#4093=CARTESIAN_POINT('',(-1.052631420541E-1,4.307427304633E-1, +-6.442492434971E-1)); +#4094=CARTESIAN_POINT('',(-1.075933040405E-1,4.177910889012E-1, +-6.436780545651E-1)); +#4095=CARTESIAN_POINT('',(-1.083357015989E-1,4.046647554033E-1, +-6.431073973793E-1)); +#4096=CARTESIAN_POINT('',(-1.074834046892E-1,3.915440868414E-1, +-6.425366965388E-1)); +#4097=CARTESIAN_POINT('',(-1.050445444949E-1,3.786117453089E-1, +-6.419654766102E-1)); +#4098=CARTESIAN_POINT('',(-1.010590635217E-1,3.660809488849E-1, +-6.413947320901E-1)); +#4099=CARTESIAN_POINT('',(-9.558679540385E-2,3.541271712003E-1, +-6.408240966600E-1)); +#4100=CARTESIAN_POINT('',(-8.870001866270E-2,3.429147374082E-1, +-6.402529543626E-1)); +#4101=CARTESIAN_POINT('',(-8.050821955612E-2,3.326247568978E-1, +-6.396820710715E-1)); +#4102=CARTESIAN_POINT('',(-7.113480660998E-2,3.234069474762E-1, +-6.391114512067E-1)); +#4103=CARTESIAN_POINT('',(-6.070754360175E-2,3.153876891946E-1, +-6.385404903936E-1)); +#4104=CARTESIAN_POINT('',(-4.938256894739E-2,3.086906310861E-1, +-6.379694152438E-1)); +#4105=CARTESIAN_POINT('',(-3.733911225476E-2,3.034193715809E-1, +-6.373987920291E-1)); +#4106=CARTESIAN_POINT('',(-2.474212281345E-2,2.996440550853E-1, +-6.368279944846E-1)); +#4107=CARTESIAN_POINT('',(-1.177116939384E-2,2.974223972007E-1, +-6.362567944821E-1)); +#4108=CARTESIAN_POINT('',(1.361140762371E-3,2.967900140396E-1, +-6.356861279466E-1)); +#4109=CARTESIAN_POINT('',(1.447391945561E-2,2.977521977836E-1, +-6.351154395839E-1)); +#4110=CARTESIAN_POINT('',(2.738528254726E-2,3.002992570294E-1, +-6.345442240830E-1)); +#4111=CARTESIAN_POINT('',(3.988261622375E-2,3.043896952246E-1, +-6.339734629263E-1)); +#4112=CARTESIAN_POINT('',(5.179000087638E-2,3.099619093557E-1, +-6.334028326290E-1)); +#4113=CARTESIAN_POINT('',(6.294397591438E-2,3.169420964811E-1, +-6.328317104311E-1)); +#4114=CARTESIAN_POINT('',(7.316542764498E-2,3.252201456754E-1, +-6.322608025944E-1)); +#4115=CARTESIAN_POINT('',(8.230433541793E-2,3.346704987763E-1, +-6.316901828319E-1)); +#4116=CARTESIAN_POINT('',(9.023561007936E-2,3.451640557548E-1, +-6.311192491544E-1)); +#4117=CARTESIAN_POINT('',(9.683788041731E-2,3.565452078078E-1, +-6.305481493391E-1)); +#4118=CARTESIAN_POINT('',(1.020080340530E-1,3.686324900984E-1, +-6.299775231136E-1)); +#4119=CARTESIAN_POINT('',(1.056775747720E-1,3.812602472712E-1, +-6.294067442820E-1)); +#4120=CARTESIAN_POINT('',(1.077905601072E-1,3.942495531513E-1, +-6.288355354232E-1)); +#4121=CARTESIAN_POINT('',(1.083128862108E-1,4.073869417703E-1, +-6.282648584955E-1)); +#4122=CARTESIAN_POINT('',(1.072408815392E-1,4.204909339203E-1, +-6.276941815677E-1)); +#4123=CARTESIAN_POINT('',(1.045858139759E-1,4.333803633300E-1, +-6.271229727089E-1)); +#4124=CARTESIAN_POINT('',(1.003906964809E-1,4.458433793978E-1, +-6.265521938773E-1)); +#4125=CARTESIAN_POINT('',(9.471891510060E-2,4.577035555248E-1, +-6.259815676519E-1)); +#4126=CARTESIAN_POINT('',(8.764584289306E-2,4.687982499873E-1, +-6.254104678365E-1)); +#4127=CARTESIAN_POINT('',(7.928210074862E-2,4.789504750221E-1, +-6.248395341591E-1)); +#4128=CARTESIAN_POINT('',(6.975546963643E-2,4.880098408204E-1, +-6.242689143966E-1)); +#4129=CARTESIAN_POINT('',(5.919633513401E-2,4.958525987858E-1, +-6.236980065598E-1)); +#4130=CARTESIAN_POINT('',(4.775984412816E-2,5.023595831048E-1, +-6.231268843619E-1)); +#4131=CARTESIAN_POINT('',(3.562956416550E-2,5.074282799408E-1, +-6.225562540647E-1)); +#4132=CARTESIAN_POINT('',(2.297190300049E-2,5.109917961091E-1, +-6.219854929079E-1)); +#4133=CARTESIAN_POINT('',(9.965205596924E-3,5.129959493812E-1, +-6.214142774071E-1)); +#4134=CARTESIAN_POINT('',(-3.176363034811E-3,5.134081829466E-1, +-6.208435890443E-1)); +#4135=CARTESIAN_POINT('',(-1.627067246554E-2,5.122264304203E-1, +-6.202729225089E-1)); +#4136=CARTESIAN_POINT('',(-2.913721477894E-2,5.094635541532E-1, +-6.197017225063E-1)); +#4137=CARTESIAN_POINT('',(-4.156506067398E-2,5.051640420836E-1, +-6.191309249619E-1)); +#4138=CARTESIAN_POINT('',(-5.337721577114E-2,4.993930787464E-1, +-6.185603017471E-1)); +#4139=CARTESIAN_POINT('',(-6.441181281224E-2,4.922276543786E-1, +-6.179892265973E-1)); +#4140=CARTESIAN_POINT('',(-7.449411765625E-2,4.837787818940E-1, +-6.174182657842E-1)); +#4141=CARTESIAN_POINT('',(-8.347330673120E-2,4.741765402626E-1, +-6.168476459194E-1)); +#4142=CARTESIAN_POINT('',(-9.122702057743E-2,4.635525488705E-1, +-6.162767626284E-1)); +#4143=CARTESIAN_POINT('',(-9.763822845037E-2,4.520615620097E-1, +-6.157056203309E-1)); +#4144=CARTESIAN_POINT('',(-1.026051242043E-1,4.398891150144E-1, +-6.151349849008E-1)); +#4145=CARTESIAN_POINT('',(-1.060623739415E-1,4.272024156066E-1, +-6.145642403808E-1)); +#4146=CARTESIAN_POINT('',(-1.079575445851E-1,4.141792890699E-1, +-6.139930204521E-1)); +#4147=CARTESIAN_POINT('',(-1.082596573122E-1,4.010344390733E-1, +-6.134223196117E-1)); +#4148=CARTESIAN_POINT('',(-1.069682371664E-1,3.879507079831E-1, +-6.128516624258E-1)); +#4149=CARTESIAN_POINT('',(-1.040977606706E-1,3.751080042676E-1, +-6.122804734938E-1)); +#4150=CARTESIAN_POINT('',(-9.969414553607E-2,3.627161787592E-1, +-6.117096561983E-1)); +#4151=CARTESIAN_POINT('',(-9.382439203979E-2,3.509528541553E-1, +-6.111390349332E-1)); +#4152=CARTESIAN_POINT('',(-8.656715600418E-2,3.399791663320E-1, +-6.105679867321E-1)); +#4153=CARTESIAN_POINT('',(-7.803372122732E-2,3.299674707113E-1, +-6.099969974883E-1)); +#4154=CARTESIAN_POINT('',(-6.835654186969E-2,3.210690874857E-1, +-6.094263774188E-1)); +#4155=CARTESIAN_POINT('',(-5.766841836681E-2,3.134049394970E-1, +-6.088555173785E-1)); +#4156=CARTESIAN_POINT('',(-4.612378332502E-2,3.070899906305E-1, +-6.082843572646E-1)); +#4157=CARTESIAN_POINT('',(-3.391000259722E-2,3.022252335731E-1, +-6.077137156406E-1)); +#4158=CARTESIAN_POINT('',(-2.119513265229E-2,2.988744852376E-1, +-6.071429867191E-1)); +#4159=CARTESIAN_POINT('',(-8.156540739144E-3,2.970884388257E-1, +-6.065717645769E-1)); +#4160=CARTESIAN_POINT('',(4.990691832875E-3,2.968964680560E-1, +-6.060010502160E-1)); +#4161=CARTESIAN_POINT('',(1.806294957259E-2,2.982974684272E-1, +-6.054304013371E-1)); +#4162=CARTESIAN_POINT('',(3.088086518722E-2,3.012753278343E-1, +-6.048592256898E-1)); +#4163=CARTESIAN_POINT('',(4.323582249825E-2,3.057827477594E-1, +-6.042883876052E-1)); +#4164=CARTESIAN_POINT('',(5.494951023795E-2,3.117508931347E-1, +-6.037177672288E-1)); +#4165=CARTESIAN_POINT('',(6.586148216940E-2,3.190993928248E-1, +-6.031467482593E-1)); +#4166=CARTESIAN_POINT('',(7.580188403841E-2,3.277168164428E-1, +-6.025757292897E-1)); +#4167=CARTESIAN_POINT('',(8.461883795587E-2,3.374682554802E-1, +-6.020051089133E-1)); +#4168=CARTESIAN_POINT('',(9.219290406523E-2,3.482197822141E-1, +-6.014342708287E-1)); +#4169=CARTESIAN_POINT('',(9.841111927624E-2,3.598172132634E-1, +-6.008630951814E-1)); +#4170=CARTESIAN_POINT('',(1.031734067100E-1,3.720714918162E-1, +-6.002924463025E-1)); +#4171=CARTESIAN_POINT('',(1.064174256014E-1,3.848136626875E-1, +-5.997217319416E-1)); +#4172=CARTESIAN_POINT('',(1.080942151438E-1,3.978667549530E-1, +-5.991505097994E-1)); +#4173=CARTESIAN_POINT('',(1.081760300531E-1,4.110154711810E-1, +-5.985797808779E-1)); +#4174=CARTESIAN_POINT('',(1.066655438750E-1,4.240753613950E-1, +-5.980091392539E-1)); +#4175=CARTESIAN_POINT('',(1.035805281829E-1,4.368675408659E-1, +-5.974379791400E-1)); +#4176=CARTESIAN_POINT('',(9.896960667133E-2,4.491847869549E-1, +-5.968671190997E-1)); +#4177=CARTESIAN_POINT('',(9.290348093032E-2,4.608480259066E-1, +-5.962964990303E-1)); +#4178=CARTESIAN_POINT('',(8.546424778548E-2,4.716975129226E-1, +-5.957255097864E-1)); +#4179=CARTESIAN_POINT('',(7.676343942966E-2,4.815659086014E-1, +-5.951544615853E-1)); +#4180=CARTESIAN_POINT('',(6.693841718890E-2,4.903008241598E-1, +-5.945838403202E-1)); +#4181=CARTESIAN_POINT('',(5.612422197627E-2,4.977842957865E-1, +-5.940130230247E-1)); +#4182=CARTESIAN_POINT('',(4.447485102931E-2,5.039053112722E-1, +-5.934418340927E-1)); +#4183=CARTESIAN_POINT('',(3.218091324346E-2,5.085648046945E-1, +-5.928711769069E-1)); +#4184=CARTESIAN_POINT('',(1.941231287270E-2,5.117018730880E-1, +-5.923004760664E-1)); +#4185=CARTESIAN_POINT('',(6.345686468613E-3,5.132692820886E-1, +-5.917292561377E-1)); +#4186=CARTESIAN_POINT('',(-6.803614426120E-3,5.132409344548E-1, +-5.911585116177E-1)); +#4187=CARTESIAN_POINT('',(-1.985024382513E-2,5.116210639015E-1, +-5.905878761876E-1)); +#4188=CARTESIAN_POINT('',(-3.261574067132E-2,5.084291278597E-1, +-5.900167338902E-1)); +#4189=CARTESIAN_POINT('',(-4.489443729133E-2,5.037150127973E-1, +-5.894458505991E-1)); +#4190=CARTESIAN_POINT('',(-5.650641025755E-2,4.975513317036E-1, +-5.888752307343E-1)); +#4191=CARTESIAN_POINT('',(-6.729268020542E-2,4.900218763555E-1, +-5.883042699212E-1)); +#4192=CARTESIAN_POINT('',(-7.708833023805E-2,4.812383190654E-1, +-5.877331947714E-1)); +#4193=CARTESIAN_POINT('',(-8.574061261024E-2,4.713403881891E-1, +-5.871625715566E-1)); +#4194=CARTESIAN_POINT('',(-9.313298066131E-2,4.604642651563E-1, +-5.865917740122E-1)); +#4195=CARTESIAN_POINT('',(-9.915633895772E-2,4.487638142589E-1, +-5.860205740096E-1)); +#4196=CARTESIAN_POINT('',(-1.037127205440E-1,4.364310584703E-1, +-5.854499074742E-1)); +#4197=CARTESIAN_POINT('',(-1.067426243860E-1,4.236369017836E-1, +-5.848792191114E-1)); +#4198=CARTESIAN_POINT('',(-1.082005381583E-1,4.105577085700E-1, +-5.843080036106E-1)); +#4199=CARTESIAN_POINT('',(-1.080620284841E-1,3.974087219657E-1, +-5.837372424538E-1)); +#4200=CARTESIAN_POINT('',(-1.063328821230E-1,3.843762458982E-1, +-5.831666121566E-1)); +#4201=CARTESIAN_POINT('',(-1.030342704779E-1,3.716383758773E-1, +-5.825954899586E-1)); +#4202=CARTESIAN_POINT('',(-9.821727648898E-2,3.593990645056E-1, +-5.820245821219E-1)); +#4203=CARTESIAN_POINT('',(-9.195647189706E-2,3.478391711291E-1, +-5.814539623594E-1)); +#4204=CARTESIAN_POINT('',(-8.433731135431E-2,3.371168463606E-1, +-5.808830286820E-1)); +#4205=CARTESIAN_POINT('',(-7.547164784050E-2,3.273946755059E-1, +-5.803119288666E-1)); +#4206=CARTESIAN_POINT('',(-6.550148757271E-2,3.188256156960E-1, +-5.797413026411E-1)); +#4207=CARTESIAN_POINT('',(-5.456418146283E-2,3.115248544096E-1, +-5.791705238096E-1)); +#4208=CARTESIAN_POINT('',(-4.281351479816E-2,3.055996027517E-1, +-5.785993149508E-1)); +#4209=CARTESIAN_POINT('',(-3.044278469440E-2,3.011466440742E-1, +-5.780286380230E-1)); +#4210=CARTESIAN_POINT('',(-1.762394661183E-2,2.982241118680E-1, +-5.774579610953E-1)); +#4211=CARTESIAN_POINT('',(-4.533154936372E-3,2.968757990023E-1, +-5.768867522364E-1)); +#4212=CARTESIAN_POINT('',(8.614618506411E-3,2.971244630333E-1, +-5.763159734049E-1)); +#4213=CARTESIAN_POINT('',(2.163204955527E-2,2.989627695035E-1, +-5.757453471794E-1)); +#4214=CARTESIAN_POINT('',(3.434135091587E-2,3.023678032176E-1, +-5.751742473640E-1)); +#4215=CARTESIAN_POINT('',(4.654044377846E-2,3.072873549396E-1, +-5.746033136866E-1)); +#4216=CARTESIAN_POINT('',(5.804744761190E-2,3.136448443648E-1, +-5.740326939241E-1)); +#4217=CARTESIAN_POINT('',(6.870510317536E-2,3.213531873668E-1, +-5.734617860874E-1)); +#4218=CARTESIAN_POINT('',(7.835306731188E-2,3.303003075445E-1, +-5.728906638895E-1)); +#4219=CARTESIAN_POINT('',(8.683832067044E-2,3.403420133273E-1, +-5.723200335922E-1)); +#4220=CARTESIAN_POINT('',(9.404697793961E-2,3.513397537328E-1, +-5.717492724354E-1)); +#4221=CARTESIAN_POINT('',(9.987368156560E-2,3.631397678235E-1, +-5.711780569346E-1)); +#4222=CARTESIAN_POINT('',(1.042229130312E-1,3.755476261509E-1, +-5.706073685718E-1)); +#4223=CARTESIAN_POINT('',(1.070378735011E-1,3.883902690275E-1, +-5.700367020364E-1)); +#4224=CARTESIAN_POINT('',(1.082764887995E-1,4.014916898860E-1, +-5.694655020338E-1)); +#4225=CARTESIAN_POINT('',(1.079176852744E-1,4.146373514387E-1, +-5.688947044894E-1)); +#4226=CARTESIAN_POINT('',(1.059703415192E-1,4.276388466672E-1, +-5.683240812746E-1)); +#4227=CARTESIAN_POINT('',(1.024591480346E-1,4.403186399835E-1, +-5.677530061248E-1)); +#4228=CARTESIAN_POINT('',(9.743736632207E-2,4.524766836444E-1, +-5.671820453117E-1)); +#4229=CARTESIAN_POINT('',(9.098363750810E-2,4.639299916901E-1, +-5.666114254469E-1)); +#4230=CARTESIAN_POINT('',(8.318664047755E-2,4.745222581526E-1, +-5.660405421559E-1)); +#4231=CARTESIAN_POINT('',(7.415871998666E-2,4.840952940888E-1, +-5.654693998584E-1)); +#4232=CARTESIAN_POINT('',(6.404615715404E-2,4.924961626296E-1, +-5.648987644284E-1)); +#4233=CARTESIAN_POINT('',(5.298873522664E-2,4.996122245973E-1, +-5.643280199083E-1)); +#4234=CARTESIAN_POINT('',(4.114024613078E-2,5.053399468937E-1, +-5.637567999796E-1)); +#4235=CARTESIAN_POINT('',(2.869610802164E-2,5.095851536324E-1, +-5.631860991392E-1)); +#4236=CARTESIAN_POINT('',(1.583053858012E-2,5.122923492625E-1, +-5.626154419533E-1)); +#4237=CARTESIAN_POINT('',(2.719458660847E-3,5.134211793593E-1, +-5.620442530213E-1)); +#4238=CARTESIAN_POINT('',(-1.042319247195E-2,5.129522583798E-1, +-5.614734357258E-1)); +#4239=CARTESIAN_POINT('',(-2.340786201997E-2,5.108960072829E-1, +-5.609028144608E-1)); +#4240=CARTESIAN_POINT('',(-3.605721044399E-2,5.072789250656E-1, +-5.603317662596E-1)); +#4241=CARTESIAN_POINT('',(-4.817337682930E-2,5.021552476346E-1, +-5.597607770158E-1)); +#4242=CARTESIAN_POINT('',(-5.957218660812E-2,4.956057316237E-1, +-5.591901569463E-1)); +#4243=CARTESIAN_POINT('',(-7.009834824788E-2,4.877206146604E-1, +-5.586192969060E-1)); +#4244=CARTESIAN_POINT('',(-7.959574062365E-2,4.786125569333E-1, +-5.580481367919E-1)); +#4245=CARTESIAN_POINT('',(-8.791165154572E-2,4.684298300819E-1, +-5.574774951689E-1)); +#4246=CARTESIAN_POINT('',(-9.493463289978E-2,4.573134832734E-1, +-5.569067662439E-1)); +#4247=CARTESIAN_POINT('',(-1.005629488099E-1,4.454173958865E-1, +-5.563355441147E-1)); +#4248=CARTESIAN_POINT('',(-1.047038397164E-1,4.329378276432E-1, +-5.557648297050E-1)); +#4249=CARTESIAN_POINT('',(-1.073030858900E-1,4.200502155102E-1, +-5.551941810083E-1)); +#4250=CARTESIAN_POINT('',(-1.083220466769E-1,4.069304322107E-1, +-5.546230046810E-1)); +#4251=CARTESIAN_POINT('',(-1.077430580116E-1,3.937917464912E-1, +-5.540521691344E-1)); +#4252=CARTESIAN_POINT('',(-1.055779599188E-1,3.808245798087E-1, +-5.534815392858E-1)); +#4253=CARTESIAN_POINT('',(-1.030963566436E-1,3.724131269337E-1, +-5.531008835399E-1)); +#4254=CARTESIAN_POINT('',(-1.016049056915E-1,3.682975283824E-1, +-5.529105277868E-1)); +#4256=DIRECTION('',(0.E0,0.E0,1.E0)); +#4257=VECTOR('',#4256,7.710819353042E-3); +#4258=CARTESIAN_POINT('',(-1.016049056915E-1,3.682975283824E-1, +-5.529105277868E-1)); +#4259=LINE('',#4258,#4257); +#4260=CARTESIAN_POINT('',(-1.016049056915E-1,3.682975283824E-1, +-5.529105277868E-1)); +#4261=CARTESIAN_POINT('',(-1.001134547394E-1,3.641819298312E-1, +-5.527201720336E-1)); +#4262=CARTESIAN_POINT('',(-9.663015734985E-2,3.561334806899E-1, +-5.523395162877E-1)); +#4263=CARTESIAN_POINT('',(-8.998523634076E-2,3.447897561557E-1, +-5.517688864391E-1)); +#4264=CARTESIAN_POINT('',(-8.201255972195E-2,3.343304675641E-1, +-5.511980508926E-1)); +#4265=CARTESIAN_POINT('',(-7.282502901758E-2,3.249094127152E-1, +-5.506268745652E-1)); +#4266=CARTESIAN_POINT('',(-6.257283709055E-2,3.166790307159E-1, +-5.500562258685E-1)); +#4267=CARTESIAN_POINT('',(-5.139832578382E-2,3.097496080780E-1, +-5.494855114588E-1)); +#4268=CARTESIAN_POINT('',(-3.945551995663E-2,3.042211160528E-1, +-5.489142893296E-1)); +#4269=CARTESIAN_POINT('',(-2.694137676797E-2,3.001848239770E-1, +-5.483435604046E-1)); +#4270=CARTESIAN_POINT('',(-1.403259492636E-2,2.976937093751E-1, +-5.477729187816E-1)); +#4271=CARTESIAN_POINT('',(-9.051109284630E-4,2.967846761615E-1, +-5.472017586675E-1)); +#4272=CARTESIAN_POINT('',(1.222882762046E-2,2.974737382669E-1, +-5.466308986272E-1)); +#4273=CARTESIAN_POINT('',(2.517716994047E-2,2.997473819318E-1, +-5.460602785577E-1)); +#4274=CARTESIAN_POINT('',(3.776286716495E-2,3.035754080116E-1, +-5.454892893139E-1)); +#4275=CARTESIAN_POINT('',(4.979276693970E-2,3.089018337107E-1, +-5.449182411128E-1)); +#4276=CARTESIAN_POINT('',(6.108019842972E-2,3.156415445504E-1, +-5.443476198477E-1)); +#4277=CARTESIAN_POINT('',(7.147201739780E-2,3.237012755768E-1, +-5.437768025522E-1)); +#4278=CARTESIAN_POINT('',(8.081600215055E-2,3.329675921576E-1, +-5.432056136202E-1)); +#4279=CARTESIAN_POINT('',(8.896030159029E-2,3.432885500527E-1, +-5.426349564343E-1)); +#4280=CARTESIAN_POINT('',(9.579569016862E-2,3.545204606878E-1, +-5.420642555939E-1)); +#4281=CARTESIAN_POINT('',(1.012239501964E-1,3.665091007120E-1, +-5.414930356652E-1)); +#4282=CARTESIAN_POINT('',(1.051553658862E-1,3.790569646052E-1, +-5.409222911451E-1)); +#4283=CARTESIAN_POINT('',(1.075381782459E-1,3.919860297123E-1, +-5.403516557151E-1)); +#4284=CARTESIAN_POINT('',(1.083372184309E-1,4.051202560012E-1, +-5.397805134176E-1)); +#4285=CARTESIAN_POINT('',(1.075381448444E-1,4.182484985687E-1, +-5.392096301266E-1)); +#4286=CARTESIAN_POINT('',(1.051560381862E-1,4.311773209691E-1, +-5.386390102618E-1)); +#4287=CARTESIAN_POINT('',(1.012229443540E-1,4.437298940175E-1, +-5.380680494487E-1)); +#4288=CARTESIAN_POINT('',(9.579570903007E-2,4.557153333073E-1, +-5.374969742989E-1)); +#4289=CARTESIAN_POINT('',(8.896159585980E-2,4.669459131256E-1, +-5.369263510841E-1)); +#4290=CARTESIAN_POINT('',(8.081538402436E-2,4.772694973074E-1, +-5.363555535397E-1)); +#4291=CARTESIAN_POINT('',(7.147095840106E-2,4.865357320411E-1, +-5.357843535371E-1)); +#4292=CARTESIAN_POINT('',(6.108194254352E-2,4.945933885251E-1, +-5.352136870017E-1)); +#4293=CARTESIAN_POINT('',(4.979340042435E-2,5.013342771489E-1, +-5.346429986389E-1)); +#4294=CARTESIAN_POINT('',(3.775981424049E-2,5.066619043169E-1, +-5.340717831381E-1)); +#4295=CARTESIAN_POINT('',(2.517908702193E-2,5.104881735576E-1, +-5.335010219813E-1)); +#4296=CARTESIAN_POINT('',(1.223062245441E-2,5.127625185033E-1, +-5.329303916841E-1)); +#4297=CARTESIAN_POINT('',(-9.093720483732E-4,5.134515148173E-1, +-5.323592694861E-1)); +#4298=CARTESIAN_POINT('',(-1.403102691084E-2,5.125424781760E-1, +-5.317883616494E-1)); +#4299=CARTESIAN_POINT('',(-2.693942352416E-2,5.100520754200E-1, +-5.312177418869E-1)); +#4300=CARTESIAN_POINT('',(-3.945802242119E-2,5.060141876712E-1, +-5.306468082095E-1)); +#4301=CARTESIAN_POINT('',(-5.139810824070E-2,5.004865347976E-1, +-5.300757083941E-1)); +#4302=CARTESIAN_POINT('',(-6.257106987249E-2,4.935584896068E-1, +-5.295050821686E-1)); +#4303=CARTESIAN_POINT('',(-7.282571522447E-2,4.853263556789E-1, +-5.289343033371E-1)); +#4304=CARTESIAN_POINT('',(-8.201351118651E-2,4.759045098070E-1, +-5.283630944783E-1)); +#4305=CARTESIAN_POINT('',(-8.998397408923E-2,4.654481468924E-1, +-5.277924175505E-1)); +#4306=CARTESIAN_POINT('',(-9.662990189575E-2,4.541037452831E-1, +-5.272217406228E-1)); +#4307=CARTESIAN_POINT('',(-1.018565039178E-1,4.420261045542E-1, +-5.266505317639E-1)); +#4308=CARTESIAN_POINT('',(-1.055773634416E-1,4.294133734975E-1, +-5.260797529324E-1)); +#4309=CARTESIAN_POINT('',(-1.077430828726E-1,4.164463946229E-1, +-5.255091267069E-1)); +#4310=CARTESIAN_POINT('',(-1.083219935603E-1,4.033016063749E-1, +-5.249380268915E-1)); +#4311=CARTESIAN_POINT('',(-1.073030493141E-1,3.901874305167E-1, +-5.243670932141E-1)); +#4312=CARTESIAN_POINT('',(-1.047045249491E-1,3.773003641267E-1, +-5.237964734516E-1)); +#4313=CARTESIAN_POINT('',(-1.005621238108E-1,3.648165871841E-1, +-5.232255656149E-1)); +#4314=CARTESIAN_POINT('',(-9.493445379767E-2,3.529227441686E-1, +-5.226544434170E-1)); +#4315=CARTESIAN_POINT('',(-8.791295296606E-2,3.418081634001E-1, +-5.220838131197E-1)); +#4316=CARTESIAN_POINT('',(-7.959546130024E-2,3.316231564762E-1, +-5.215130519629E-1)); +#4317=CARTESIAN_POINT('',(-7.009689036378E-2,3.225144816082E-1, +-5.209418364621E-1)); +#4318=CARTESIAN_POINT('',(-5.957389562829E-2,3.146317571799E-1, +-5.203711480993E-1)); +#4319=CARTESIAN_POINT('',(-4.817441022877E-2,3.080812449002E-1, +-5.198004815639E-1)); +#4320=CARTESIAN_POINT('',(-3.605361017203E-2,3.029560519912E-1, +-5.192292815614E-1)); +#4321=CARTESIAN_POINT('',(-2.340973678981E-2,2.993408585633E-1, +-5.186584840169E-1)); +#4322=CARTESIAN_POINT('',(-1.042513006189E-2,2.972839156861E-1, +-5.180878608022E-1)); +#4323=CARTESIAN_POINT('',(2.723477161489E-3,2.968151232848E-1, +-5.175167856523E-1)); +#4324=CARTESIAN_POINT('',(1.582928319390E-2,2.979439126670E-1, +-5.169458248392E-1)); +#4325=CARTESIAN_POINT('',(2.869411641983E-2,3.006503765706E-1, +-5.163752049744E-1)); +#4326=CARTESIAN_POINT('',(4.114222582873E-2,3.048970038973E-1, +-5.158043216834E-1)); +#4327=CARTESIAN_POINT('',(5.298894043927E-2,3.106242823401E-1, +-5.152331793860E-1)); +#4328=CARTESIAN_POINT('',(6.404438155274E-2,3.177387563945E-1, +-5.146625439559E-1)); +#4329=CARTESIAN_POINT('',(7.415905494002E-2,3.261410358399E-1, +-5.140917994358E-1)); +#4330=CARTESIAN_POINT('',(8.318793288374E-2,3.357156303293E-1, +-5.135205795071E-1)); +#4331=CARTESIAN_POINT('',(9.098237970973E-2,3.463045373650E-1, +-5.129498786667E-1)); +#4332=CARTESIAN_POINT('',(9.743702793621E-2,3.577583271966E-1, +-5.123792214808E-1)); +#4333=CARTESIAN_POINT('',(1.024604361185E-1,3.699213878423E-1, +-5.118080325488E-1)); +#4334=CARTESIAN_POINT('',(1.059697139757E-1,3.825955400665E-1, +-5.112372152533E-1)); +#4335=CARTESIAN_POINT('',(1.079177363322E-1,3.955968869484E-1, +-5.106665939883E-1)); +#4336=CARTESIAN_POINT('',(1.082763868847E-1,4.087483381649E-1, +-5.100955457871E-1)); +#4337=CARTESIAN_POINT('',(1.070378218497E-1,4.218448840816E-1, +-5.095245565433E-1)); +#4338=CARTESIAN_POINT('',(1.042236080461E-1,4.346865827628E-1, +-5.089539364738E-1)); +#4339=CARTESIAN_POINT('',(9.987304838963E-2,4.470981813334E-1, +-5.083830764335E-1)); +#4340=CARTESIAN_POINT('',(9.404657849022E-2,4.588968857515E-1, +-5.078119163196E-1)); +#4341=CARTESIAN_POINT('',(8.683961963799E-2,4.698924323499E-1, +-5.072412746957E-1)); +#4342=CARTESIAN_POINT('',(7.835312794041E-2,4.799360816265E-1, +-5.066705457741E-1)); +#4343=CARTESIAN_POINT('',(6.870321767393E-2,4.888844933689E-1, +-5.060993236319E-1)); +#4344=CARTESIAN_POINT('',(5.804912209771E-2,4.965901292630E-1, +-5.055286092710E-1)); +#4345=CARTESIAN_POINT('',(4.654181080191E-2,5.029484711219E-1, +-5.049579603921E-1)); +#4346=CARTESIAN_POINT('',(3.433739174656E-2,5.078697273511E-1, +-5.043867847448E-1)); +#4347=CARTESIAN_POINT('',(2.163382608015E-2,5.112728470813E-1, +-5.038159466602E-1)); +#4348=CARTESIAN_POINT('',(8.616627933413E-3,5.131118120328E-1, +-5.032453262838E-1)); +#4349=CARTESIAN_POINT('',(-4.536692169932E-3,5.133603062727E-1, +-5.026743073143E-1)); +#4350=CARTESIAN_POINT('',(-1.762308826627E-2,5.120120420782E-1, +-5.021032883448E-1)); +#4351=CARTESIAN_POINT('',(-3.044075264581E-2,5.090902755684E-1, +-5.015326679684E-1)); +#4352=CARTESIAN_POINT('',(-4.281499939979E-2,5.046360840806E-1, +-5.009618298837E-1)); +#4353=CARTESIAN_POINT('',(-5.456481573198E-2,4.987108479239E-1, +-5.003906542365E-1)); +#4354=CARTESIAN_POINT('',(-6.549971693348E-2,4.914118986731E-1, +-4.998200053575E-1)); +#4355=CARTESIAN_POINT('',(-7.547165610383E-2,4.828417757882E-1, +-4.992492909966E-1)); +#4356=CARTESIAN_POINT('',(-8.433893898091E-2,4.731172637058E-1, +-4.986780688544E-1)); +#4357=CARTESIAN_POINT('',(-9.195523644699E-2,4.623987075982E-1, +-4.981073399329E-1)); +#4358=CARTESIAN_POINT('',(-9.821683585453E-2,4.508386611556E-1, +-4.975366983089E-1)); +#4359=CARTESIAN_POINT('',(-1.030355813641E-1,4.385937900191E-1, +-4.969655381951E-1)); +#4360=CARTESIAN_POINT('',(-1.063323071948E-1,4.258616752222E-1, +-4.963946781547E-1)); +#4361=CARTESIAN_POINT('',(-1.080620855041E-1,4.128295268441E-1, +-4.958240580853E-1)); +#4362=CARTESIAN_POINT('',(-1.082004159998E-1,3.996752719063E-1, +-4.952530688415E-1)); +#4363=CARTESIAN_POINT('',(-1.067425382108E-1,3.865999557850E-1, +-4.946820206403E-1)); +#4364=CARTESIAN_POINT('',(-1.037134231754E-1,3.738072127661E-1, +-4.941113993753E-1)); +#4365=CARTESIAN_POINT('',(-9.915590712541E-2,3.614711593804E-1, +-4.935405820798E-1)); +#4366=CARTESIAN_POINT('',(-9.313233805949E-2,3.497711042632E-1, +-4.929693931477E-1)); +#4367=CARTESIAN_POINT('',(-8.574189876173E-2,3.388975955277E-1, +-4.923987359619E-1)); +#4368=CARTESIAN_POINT('',(-7.708873125385E-2,3.289980477798E-1, +-4.918280351214E-1)); +#4369=CARTESIAN_POINT('',(-6.729033743824E-2,3.202125497749E-1, +-4.912568151928E-1)); +#4370=CARTESIAN_POINT('',(-5.650805301111E-2,3.126861123613E-1, +-4.906860706727E-1)); +#4371=CARTESIAN_POINT('',(-4.489606104037E-2,3.065216852382E-1, +-4.901154352426E-1)); +#4372=CARTESIAN_POINT('',(-3.261164821715E-2,3.018058006962E-1, +-4.895442929452E-1)); +#4373=CARTESIAN_POINT('',(-1.985184746388E-2,2.986156978381E-1, +-4.889734096542E-1)); +#4374=CARTESIAN_POINT('',(-6.805661956298E-3,2.969952273835E-1, +-4.884027897893E-1)); +#4375=CARTESIAN_POINT('',(6.348634830789E-3,2.969670624085E-1, +-4.878318289762E-1)); +#4376=CARTESIAN_POINT('',(1.941190050086E-2,2.985344577841E-1, +-4.872607538264E-1)); +#4377=CARTESIAN_POINT('',(3.217884784156E-2,3.016707105606E-1, +-4.866901306117E-1)); +#4378=CARTESIAN_POINT('',(4.447586579093E-2,3.063312370523E-1, +-4.861193330672E-1)); +#4379=CARTESIAN_POINT('',(5.612529140657E-2,3.124526975967E-1, +-4.855481330647E-1)); +#4380=CARTESIAN_POINT('',(6.693666446603E-2,3.199341206612E-1, +-4.849774665292E-1)); +#4381=CARTESIAN_POINT('',(7.676314419811E-2,3.286697407703E-1, +-4.844067781665E-1)); +#4382=CARTESIAN_POINT('',(8.546620804080E-2,3.385412901641E-1, +-4.838355626656E-1)); +#4383=CARTESIAN_POINT('',(9.290226967896E-2,3.493865665997E-1, +-4.832648015089E-1)); +#4384=CARTESIAN_POINT('',(9.896910097061E-2,3.610497110156E-1, +-4.826941712116E-1)); +#4385=CARTESIAN_POINT('',(1.035817828204E-1,3.733727519393E-1, +-4.821230490137E-1)); +#4386=CARTESIAN_POINT('',(1.066650404437E-1,3.861593737126E-1, +-4.815521411770E-1)); +#4387=CARTESIAN_POINT('',(1.081760894956E-1,3.992186822616E-1, +-4.809815214145E-1)); +#4388=CARTESIAN_POINT('',(1.080940942080E-1,4.123721279162E-1, +-4.804105877370E-1)); +#4389=CARTESIAN_POINT('',(1.064172863100E-1,4.254223735438E-1, +-4.798394879217E-1)); +#4390=CARTESIAN_POINT('',(1.031741135350E-1,4.381626526672E-1, +-4.792688616962E-1)); +#4391=CARTESIAN_POINT('',(9.841089745600E-2,4.504197956005E-1, +-4.786980828646E-1)); +#4392=CARTESIAN_POINT('',(9.219199493410E-2,4.620177275583E-1, +-4.781268740058E-1)); +#4393=CARTESIAN_POINT('',(8.462010027126E-2,4.727662258487E-1, +-4.775561970781E-1)); +#4394=CARTESIAN_POINT('',(7.580262500600E-2,4.825189656806E-1, +-4.769855201503E-1)); +#4395=CARTESIAN_POINT('',(6.585865219481E-2,4.911389528619E-1, +-4.764143112915E-1)); +#4396=CARTESIAN_POINT('',(5.495112411431E-2,4.984841289303E-1, +-4.758435324600E-1)); +#4397=CARTESIAN_POINT('',(4.323762375150E-2,5.044529471584E-1, +-4.752729062345E-1)); +#4398=CARTESIAN_POINT('',(3.087687142237E-2,5.089620931448E-1, +-4.747018064191E-1)); +#4399=CARTESIAN_POINT('',(1.806429527524E-2,5.119382900957E-1, +-4.741308727417E-1)); +#4400=CARTESIAN_POINT('',(4.992778694051E-3,5.133398118692E-1, +-4.735602529792E-1)); +#4401=CARTESIAN_POINT('',(-8.158922903588E-3,5.131476686113E-1, +-4.729893451424E-1)); +#4402=CARTESIAN_POINT('',(-2.119517987057E-2,5.113615623029E-1, +-4.724182229445E-1)); +#4403=CARTESIAN_POINT('',(-3.390792007030E-2,5.080116942156E-1, +-4.718475926473E-1)); +#4404=CARTESIAN_POINT('',(-4.612435094969E-2,5.031461161185E-1, +-4.712768314905E-1)); +#4405=CARTESIAN_POINT('',(-5.766992930157E-2,4.968302291494E-1, +-4.707056159897E-1)); +#4406=CARTESIAN_POINT('',(-6.835481789248E-2,4.891683809118E-1, +-4.701349276269E-1)); +#4407=CARTESIAN_POINT('',(-7.803315069225E-2,4.802696533220E-1, +-4.695642610915E-1)); +#4408=CARTESIAN_POINT('',(-8.656942556133E-2,4.702539951944E-1, +-4.689930610889E-1)); +#4409=CARTESIAN_POINT('',(-9.382321222549E-2,4.592849598376E-1, +-4.684222635445E-1)); +#4410=CARTESIAN_POINT('',(-9.969360650041E-2,4.475219033923E-1, +-4.678516403297E-1)); +#4411=CARTESIAN_POINT('',(-1.040988920350E-1,4.351243593779E-1, +-4.672805651799E-1)); +#4412=CARTESIAN_POINT('',(-1.069678197126E-1,4.222866971604E-1, +-4.667096043668E-1)); +#4413=CARTESIAN_POINT('',(-1.082597160122E-1,4.092038884122E-1, +-4.661389845020E-1)); +#4414=CARTESIAN_POINT('',(-1.079574441103E-1,3.960548236848E-1, +-4.655681012110E-1)); +#4415=CARTESIAN_POINT('',(-1.060621625421E-1,3.830335229356E-1, +-4.649969589135E-1)); +#4416=CARTESIAN_POINT('',(-1.026058307562E-1,3.703491904789E-1, +-4.644263234835E-1)); +#4417=CARTESIAN_POINT('',(-9.763822490558E-2,3.581743060967E-1, +-4.638555789634E-1)); +#4418=CARTESIAN_POINT('',(-9.122581900801E-2,3.466819370126E-1, +-4.632843590347E-1)); +#4419=CARTESIAN_POINT('',(-8.347454104106E-2,3.360613888435E-1, +-4.627136581943E-1)); +#4420=CARTESIAN_POINT('',(-7.449516930799E-2,3.264581254131E-1, +-4.621430010084E-1)); +#4421=CARTESIAN_POINT('',(-6.440856983782E-2,3.180061916009E-1, +-4.615718120764E-1)); +#4422=CARTESIAN_POINT('',(-5.337877553138E-2,3.108442921852E-1, +-4.610009947809E-1)); +#4423=CARTESIAN_POINT('',(-4.156696618455E-2,3.050727263839E-1, +-4.604303735159E-1)); +#4424=CARTESIAN_POINT('',(-2.913355314636E-2,3.007716172780E-1, +-4.598593253147E-1)); +#4425=CARTESIAN_POINT('',(-1.627167476692E-2,2.980101606251E-1, +-4.592883360709E-1)); +#4426=CARTESIAN_POINT('',(-3.178490507526E-3,2.968279803087E-1, +-4.587177160014E-1)); +#4427=CARTESIAN_POINT('',(9.967044701202E-3,2.972403544932E-1, +-4.581468559611E-1)); +#4428=CARTESIAN_POINT('',(2.297242282619E-2,2.992446791488E-1, +-4.575756958470E-1)); +#4429=CARTESIAN_POINT('',(3.562748065558E-2,3.028072360857E-1, +-4.570050542240E-1)); +#4430=CARTESIAN_POINT('',(4.775998676674E-2,3.078765288302E-1, +-4.564343252990E-1)); +#4431=CARTESIAN_POINT('',(5.919829520339E-2,3.143849798907E-1, +-4.558631031698E-1)); +#4432=CARTESIAN_POINT('',(6.975377678428E-2,3.222251590745E-1, +-4.552923887601E-1)); +#4433=CARTESIAN_POINT('',(7.928131221572E-2,3.312845572756E-1, +-4.547217400634E-1)); +#4434=CARTESIAN_POINT('',(8.764828740508E-2,3.414413639141E-1, +-4.541505637361E-1)); +#4435=CARTESIAN_POINT('',(9.471779201270E-2,3.525311066935E-1, +-4.535797281895E-1)); +#4436=CARTESIAN_POINT('',(1.003901899442E-1,3.643910798482E-1, +-4.530090983409E-1)); +#4437=CARTESIAN_POINT('',(1.031877940531E-1,3.727027767588E-1, +-4.526284425950E-1)); +#4438=CARTESIAN_POINT('',(1.043296298660E-1,3.769287427258E-1, +-4.524380868419E-1)); +#4440=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.898040947292E-1)); +#4441=CARTESIAN_POINT('',(-1.063165709930E-1,3.453977145809E-1, +-5.896222932306E-1)); +#4442=CARTESIAN_POINT('',(-1.015335532789E-1,3.372564460223E-1, +-5.892587388854E-1)); +#4443=CARTESIAN_POINT('',(-9.299855706755E-2,3.259631714827E-1, +-5.887137065651E-1)); +#4444=CARTESIAN_POINT('',(-8.321138677526E-2,3.157301777703E-1, +-5.881685137171E-1)); +#4445=CARTESIAN_POINT('',(-7.229863601297E-2,3.066944447987E-1, +-5.876230087910E-1)); +#4446=CARTESIAN_POINT('',(-6.042289321712E-2,2.989894748429E-1, +-5.870779554862E-1)); +#4447=CARTESIAN_POINT('',(-4.773486647638E-2,2.927094561712E-1, +-5.865328685492E-1)); +#4448=CARTESIAN_POINT('',(-3.439405011953E-2,2.879371304719E-1, +-5.859873366924E-1)); +#4449=CARTESIAN_POINT('',(-2.060032195379E-2,2.847443299023E-1, +-5.854422006480E-1)); +#4450=CARTESIAN_POINT('',(-6.532570608825E-3,2.831688641579E-1, +-5.848971690671E-1)); +#4451=CARTESIAN_POINT('',(7.633774909644E-3,2.832326428397E-1, +-5.843517228211E-1)); +#4452=CARTESIAN_POINT('',(2.169291727554E-2,2.849362921775E-1, +-5.838064498340E-1)); +#4453=CARTESIAN_POINT('',(3.545413913913E-2,2.882538504539E-1, +-5.832614265556E-1)); +#4454=CARTESIAN_POINT('',(4.874505125485E-2,2.931437309846E-1, +-5.827161571381E-1)); +#4455=CARTESIAN_POINT('',(6.138430284633E-2,2.995422547222E-1, +-5.821707076669E-1)); +#4456=CARTESIAN_POINT('',(7.318875701530E-2,3.073550001033E-1, +-5.816256755383E-1)); +#4457=CARTESIAN_POINT('',(8.401226439935E-2,3.164824969627E-1, +-5.810805413927E-1)); +#4458=CARTESIAN_POINT('',(9.371291849840E-2,3.268096667837E-1, +-5.805350086481E-1)); +#4459=CARTESIAN_POINT('',(1.021454763516E-1,3.381814600409E-1, +-5.799899202869E-1)); +#4460=CARTESIAN_POINT('',(1.092056318701E-1,3.504514847184E-1, +-5.794448676786E-1)); +#4461=CARTESIAN_POINT('',(1.148021981060E-1,3.634672524748E-1, +-5.788993639209E-1)); +#4462=CARTESIAN_POINT('',(1.188512870312E-1,3.770359940449E-1, +-5.783541669568E-1)); +#4463=CARTESIAN_POINT('',(1.213025931245E-1,3.909776236394E-1, +-5.778091430644E-1)); +#4464=CARTESIAN_POINT('',(1.221242920426E-1,4.051180486178E-1, +-5.772637818995E-1)); +#4465=CARTESIAN_POINT('',(1.213026009097E-1,4.192585551466E-1, +-5.767184176169E-1)); +#4466=CARTESIAN_POINT('',(1.188512944179E-1,4.332001858705E-1, +-5.761733936847E-1)); +#4467=CARTESIAN_POINT('',(1.148022302505E-1,4.467688778762E-1, +-5.756281988152E-1)); +#4468=CARTESIAN_POINT('',(1.092056609860E-1,4.597846818005E-1, +-5.750826937339E-1)); +#4469=CARTESIAN_POINT('',(1.021454971737E-1,4.720547278882E-1, +-5.745376402565E-1)); +#4470=CARTESIAN_POINT('',(9.371296340517E-2,4.834264977590E-1, +-5.739925531658E-1)); +#4471=CARTESIAN_POINT('',(8.401232475916E-2,4.937536676680E-1, +-5.734470208256E-1)); +#4472=CARTESIAN_POINT('',(7.318878877310E-2,5.028811981458E-1, +-5.729018850095E-1)); +#4473=CARTESIAN_POINT('',(6.138434540948E-2,5.106939383324E-1, +-5.723568533332E-1)); +#4474=CARTESIAN_POINT('',(4.874514802660E-2,5.170924476696E-1, +-5.718114059739E-1)); +#4475=CARTESIAN_POINT('',(3.545417766902E-2,5.219823608963E-1, +-5.712661340206E-1)); +#4476=CARTESIAN_POINT('',(2.169295556472E-2,5.252999188682E-1, +-5.707211107354E-1)); +#4477=CARTESIAN_POINT('',(7.633879260584E-3,5.270035710294E-1, +-5.701758402582E-1)); +#4478=CARTESIAN_POINT('',(-6.532527960918E-3,5.270673609464E-1, +-5.696303916346E-1)); +#4479=CARTESIAN_POINT('',(-2.060028861660E-2,5.254918951557E-1, +-5.690853597034E-1)); +#4480=CARTESIAN_POINT('',(-3.439398859259E-2,5.222991076763E-1, +-5.685402248251E-1)); +#4481=CARTESIAN_POINT('',(-4.773482588271E-2,5.175267829526E-1, +-5.679946922240E-1)); +#4482=CARTESIAN_POINT('',(-6.042287500725E-2,5.112467561574E-1, +-5.674496043790E-1)); +#4483=CARTESIAN_POINT('',(-7.229860905268E-2,5.035417948417E-1, +-5.669045515366E-1)); +#4484=CARTESIAN_POINT('',(-8.321136486768E-2,4.945060641916E-1, +-5.663590465186E-1)); +#4485=CARTESIAN_POINT('',(-9.299855332328E-2,4.842730540198E-1, +-5.658138527304E-1)); +#4486=CARTESIAN_POINT('',(-1.015335474544E-1,4.729797830186E-1, +-5.652688205693E-1)); +#4487=CARTESIAN_POINT('',(-1.063165694124E-1,4.648385089792E-1, +-5.649052659583E-1)); +#4488=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.647234643259E-1)); +#4490=DIRECTION('',(1.644980448144E-14,1.879977655021E-14,-1.E0)); +#4491=VECTOR('',#4490,5.905511811057E-3); +#4492=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.647234643259E-1)); +#4493=LINE('',#4492,#4491); +#4494=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.706289761370E-1)); +#4495=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-5.708107777695E-1)); +#4496=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-5.711743323809E-1)); +#4497=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-5.717193645418E-1)); +#4498=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-5.722645583311E-1)); +#4499=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-5.728100633493E-1)); +#4500=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-5.733551161911E-1)); +#4501=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-5.739002040373E-1)); +#4502=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-5.744457366395E-1)); +#4503=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-5.749908715162E-1)); +#4504=CARTESIAN_POINT('',(-6.532527900750E-3,5.270673609527E-1, +-5.755359034480E-1)); +#4505=CARTESIAN_POINT('',(7.633879399073E-3,5.270035710204E-1, +-5.760813520746E-1)); +#4506=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-5.766266225483E-1)); +#4507=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-5.771716458336E-1)); +#4508=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-5.777169177897E-1)); +#4509=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-5.782623651464E-1)); +#4510=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-5.788073968221E-1)); +#4511=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-5.793525326398E-1)); +#4512=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-5.798980649789E-1)); +#4513=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-5.804431520685E-1)); +#4514=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-5.809882055463E-1)); +#4515=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-5.815337106277E-1)); +#4516=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-5.820789054964E-1)); +#4517=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-5.826239294285E-1)); +#4518=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-5.831692937120E-1)); +#4519=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-5.837146548759E-1)); +#4520=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-5.842596787683E-1)); +#4521=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-5.848048757332E-1)); +#4522=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-5.853503794906E-1)); +#4523=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-5.858954320986E-1)); +#4524=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-5.864405204604E-1)); +#4525=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-5.869860532054E-1)); +#4526=CARTESIAN_POINT('',(7.318875699800E-2,3.073550000913E-1, +-5.875311873502E-1)); +#4527=CARTESIAN_POINT('',(6.138430282298E-2,2.995422547069E-1, +-5.880762194790E-1)); +#4528=CARTESIAN_POINT('',(4.87450512E-2,2.931437309616E-1,-5.886216689515E-1)); +#4529=CARTESIAN_POINT('',(3.545413912408E-2,2.882538504490E-1, +-5.891669383672E-1)); +#4530=CARTESIAN_POINT('',(2.169291723636E-2,2.849362921735E-1, +-5.897119616466E-1)); +#4531=CARTESIAN_POINT('',(7.633774926385E-3,2.832326428327E-1, +-5.902572346315E-1)); +#4532=CARTESIAN_POINT('',(-6.532570891496E-3,2.831688641739E-1, +-5.908026808890E-1)); +#4533=CARTESIAN_POINT('',(-2.060032197825E-2,2.847443299062E-1, +-5.913477124599E-1)); +#4534=CARTESIAN_POINT('',(-3.439405006064E-2,2.879371304957E-1, +-5.918928485016E-1)); +#4535=CARTESIAN_POINT('',(-4.773486527324E-2,2.927094556574E-1, +-5.924383803098E-1)); +#4536=CARTESIAN_POINT('',(-6.042289301380E-2,2.989894747195E-1, +-5.929834672883E-1)); +#4537=CARTESIAN_POINT('',(-7.229863535841E-2,3.066944442854E-1, +-5.935285205699E-1)); +#4538=CARTESIAN_POINT('',(-8.321138750200E-2,3.157301784079E-1, +-5.940740255655E-1)); +#4539=CARTESIAN_POINT('',(-9.299855715220E-2,3.259631716210E-1, +-5.946192183822E-1)); +#4540=CARTESIAN_POINT('',(-1.015335535201E-1,3.372564463665E-1, +-5.951642507127E-1)); +#4541=CARTESIAN_POINT('',(-1.063165710615E-1,3.453977147147E-1, +-5.955278050475E-1)); +#4542=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.957096065403E-1)); +#4544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4545=VECTOR('',#4544,5.905511811047E-3); +#4546=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.898040947292E-1)); +#4547=LINE('',#4546,#4545); +#4548=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.466132281054E-1)); +#4549=CARTESIAN_POINT('',(-1.084645669291E-1,4.567906174007E-1, +-4.454676225186E-1)); +#4550=CARTESIAN_POINT('',(-1.084645669291E-1,4.491728689777E-1, +-4.433586274530E-1)); +#4551=CARTESIAN_POINT('',(-1.084645669291E-1,4.380691621081E-1, +-4.407830049584E-1)); +#4552=CARTESIAN_POINT('',(-1.084645669291E-1,4.270640024351E-1, +-4.387706383919E-1)); +#4553=CARTESIAN_POINT('',(-1.084645669291E-1,4.160901832732E-1, +-4.373509660684E-1)); +#4554=CARTESIAN_POINT('',(-1.084645669291E-1,4.051109311519E-1, +-4.365538621020E-1)); +#4555=CARTESIAN_POINT('',(-1.084645669291E-1,3.941317147870E-1, +-4.364004401380E-1)); +#4556=CARTESIAN_POINT('',(-1.084645669291E-1,3.831596585320E-1, +-4.368891728361E-1)); +#4557=CARTESIAN_POINT('',(-1.084645669291E-1,3.721577073829E-1, +-4.380039370222E-1)); +#4558=CARTESIAN_POINT('',(-1.084645669291E-1,3.610600585577E-1, +-4.397229488813E-1)); +#4559=CARTESIAN_POINT('',(-1.084645669291E-1,3.534440913235E-1, +-4.412855137021E-1)); +#4560=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.421662994536E-1)); +#4562=DIRECTION('',(-8.360450235767E-1,2.258953708084E-1,5.E-1)); +#4563=VECTOR('',#4562,1.591122789105E-2); +#4564=CARTESIAN_POINT('',(1.176321327633E-1,3.733344700013E-1, +-4.603937007874E-1)); +#4565=LINE('',#4564,#4563); +#4566=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.875581099952E-1)); +#4567=CARTESIAN_POINT('',(-1.084645669291E-1,4.567890014766E-1, +-3.864120238073E-1)); +#4568=CARTESIAN_POINT('',(-1.084645669291E-1,4.491692233712E-1, +-3.843025646032E-1)); +#4569=CARTESIAN_POINT('',(-1.084645669291E-1,4.380667875635E-1, +-3.817274429335E-1)); +#4570=CARTESIAN_POINT('',(-1.084645669291E-1,4.270656261414E-1, +-3.797158495932E-1)); +#4571=CARTESIAN_POINT('',(-1.084645669291E-1,4.160961182924E-1, +-3.782965447528E-1)); +#4572=CARTESIAN_POINT('',(-1.084645669291E-1,4.051227838795E-1, +-3.774993866187E-1)); +#4573=CARTESIAN_POINT('',(-1.084645669291E-1,3.941491426884E-1, +-3.773451206707E-1)); +#4574=CARTESIAN_POINT('',(-1.084645669291E-1,3.831785849707E-1, +-3.778326856269E-1)); +#4575=CARTESIAN_POINT('',(-1.084645669291E-1,3.721758373177E-1, +-3.789463837632E-1)); +#4576=CARTESIAN_POINT('',(-1.084645669291E-1,3.610685541358E-1, +-3.806661240020E-1)); +#4577=CARTESIAN_POINT('',(-1.084645669291E-1,3.534471410182E-1, +-3.822296979608E-1)); +#4578=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.831111813434E-1)); +#4580=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.170856690503E-1)); +#4581=CARTESIAN_POINT('',(-1.084645669291E-1,4.567885362048E-1, +-4.159394444833E-1)); +#4582=CARTESIAN_POINT('',(-1.084645669291E-1,4.491681775908E-1, +-4.138298774094E-1)); +#4583=CARTESIAN_POINT('',(-1.084645669291E-1,4.380680352617E-1, +-4.112552793325E-1)); +#4584=CARTESIAN_POINT('',(-1.084645669291E-1,4.270662472390E-1, +-4.092434932435E-1)); +#4585=CARTESIAN_POINT('',(-1.084645669291E-1,4.160962924643E-1, +-4.078240980643E-1)); +#4586=CARTESIAN_POINT('',(-1.084645669291E-1,4.051204577161E-1, +-4.070267821845E-1)); +#4587=CARTESIAN_POINT('',(-1.084645669291E-1,3.941443010677E-1, +-4.068727337986E-1)); +#4588=CARTESIAN_POINT('',(-1.084645669291E-1,3.831734983782E-1, +-4.073605992323E-1)); +#4589=CARTESIAN_POINT('',(-1.084645669291E-1,3.721704666374E-1, +-4.084746977009E-1)); +#4590=CARTESIAN_POINT('',(-1.084645669291E-1,3.610692925413E-1, +-4.101936207383E-1)); +#4591=CARTESIAN_POINT('',(-1.084645669291E-1,3.534476146129E-1, +-4.117571486791E-1)); +#4592=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.126387403985E-1)); +#4594=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.421662994536E-1)); +#4595=CARTESIAN_POINT('',(-1.063165710808E-1,3.453977147524E-1, +-4.419844979624E-1)); +#4596=CARTESIAN_POINT('',(-1.015335536289E-1,3.372564465206E-1, +-4.416209436333E-1)); +#4597=CARTESIAN_POINT('',(-9.299855719815E-2,3.259631717066E-1, +-4.410759112991E-1)); +#4598=CARTESIAN_POINT('',(-8.321138834697E-2,3.157301792478E-1, +-4.405307185246E-1)); +#4599=CARTESIAN_POINT('',(-7.229863647110E-2,3.066944450648E-1, +-4.399852135355E-1)); +#4600=CARTESIAN_POINT('',(-6.042289353599E-2,2.989894750358E-1, +-4.394401602250E-1)); +#4601=CARTESIAN_POINT('',(-4.773486672777E-2,2.927094562636E-1, +-4.388950732838E-1)); +#4602=CARTESIAN_POINT('',(-3.439405008407E-2,2.879371304567E-1, +-4.383495414153E-1)); +#4603=CARTESIAN_POINT('',(-2.060032196295E-2,2.847443299057E-1, +-4.378044053728E-1)); +#4604=CARTESIAN_POINT('',(-6.532570586326E-3,2.831688641559E-1, +-4.372593737906E-1)); +#4605=CARTESIAN_POINT('',(7.633774902872E-3,2.832326428401E-1, +-4.367139275457E-1)); +#4606=CARTESIAN_POINT('',(2.169291727964E-2,2.849362921779E-1, +-4.361686545582E-1)); +#4607=CARTESIAN_POINT('',(3.545413913978E-2,2.882538504541E-1, +-4.356236312799E-1)); +#4608=CARTESIAN_POINT('',(4.874505126408E-2,2.931437309883E-1, +-4.350783618621E-1)); +#4609=CARTESIAN_POINT('',(6.138430285119E-2,2.995422547253E-1, +-4.345329123911E-1)); +#4610=CARTESIAN_POINT('',(7.318875701861E-2,3.073550001057E-1, +-4.339878802625E-1)); +#4611=CARTESIAN_POINT('',(8.401226440551E-2,3.164824969685E-1, +-4.334427461168E-1)); +#4612=CARTESIAN_POINT('',(9.371291850182E-2,3.268096667880E-1, +-4.328972133723E-1)); +#4613=CARTESIAN_POINT('',(1.021454763537E-1,3.381814600442E-1, +-4.323521250111E-1)); +#4614=CARTESIAN_POINT('',(1.092056318729E-1,3.504514847236E-1, +-4.318070724027E-1)); +#4615=CARTESIAN_POINT('',(1.148021981101E-1,3.634672524861E-1, +-4.312615686448E-1)); +#4616=CARTESIAN_POINT('',(1.188512870320E-1,3.770359940491E-1, +-4.307163716810E-1)); +#4617=CARTESIAN_POINT('',(1.213025931253E-1,3.909776236446E-1, +-4.301713477885E-1)); +#4618=CARTESIAN_POINT('',(1.221242920425E-1,4.051180486275E-1, +-4.296259866235E-1)); +#4619=CARTESIAN_POINT('',(1.213026009094E-1,4.192585551473E-1, +-4.290806223412E-1)); +#4620=CARTESIAN_POINT('',(1.188512944177E-1,4.332001858722E-1, +-4.285355984091E-1)); +#4621=CARTESIAN_POINT('',(1.148022302496E-1,4.467688778787E-1, +-4.279904035395E-1)); +#4622=CARTESIAN_POINT('',(1.092056609854E-1,4.597846818016E-1, +-4.274448984583E-1)); +#4623=CARTESIAN_POINT('',(1.021454971736E-1,4.720547278885E-1, +-4.268998449809E-1)); +#4624=CARTESIAN_POINT('',(9.371296340475E-2,4.834264977593E-1, +-4.263547578902E-1)); +#4625=CARTESIAN_POINT('',(8.401232476167E-2,4.937536676656E-1, +-4.258092255501E-1)); +#4626=CARTESIAN_POINT('',(7.318878877469E-2,5.028811981446E-1, +-4.252640897340E-1)); +#4627=CARTESIAN_POINT('',(6.138434541247E-2,5.106939383305E-1, +-4.247190580578E-1)); +#4628=CARTESIAN_POINT('',(4.874514803066E-2,5.170924476679E-1, +-4.241736106984E-1)); +#4629=CARTESIAN_POINT('',(3.545417766944E-2,5.219823608962E-1, +-4.236283387450E-1)); +#4630=CARTESIAN_POINT('',(2.169295556562E-2,5.252999188680E-1, +-4.230833154598E-1)); +#4631=CARTESIAN_POINT('',(7.633879260983E-3,5.270035710293E-1, +-4.225380449827E-1)); +#4632=CARTESIAN_POINT('',(-6.532527960378E-3,5.270673609464E-1, +-4.219925963591E-1)); +#4633=CARTESIAN_POINT('',(-2.060028861665E-2,5.254918951556E-1, +-4.214475644278E-1)); +#4634=CARTESIAN_POINT('',(-3.439398859258E-2,5.222991076764E-1, +-4.209024295496E-1)); +#4635=CARTESIAN_POINT('',(-4.773482588686E-2,5.175267829509E-1, +-4.203568969483E-1)); +#4636=CARTESIAN_POINT('',(-6.042287500937E-2,5.112467561561E-1, +-4.198118091033E-1)); +#4637=CARTESIAN_POINT('',(-7.229860905675E-2,5.035417948389E-1, +-4.192667562609E-1)); +#4638=CARTESIAN_POINT('',(-8.321136487351E-2,4.945060641860E-1, +-4.187212512427E-1)); +#4639=CARTESIAN_POINT('',(-9.299855332341E-2,4.842730540194E-1, +-4.181760574548E-1)); +#4640=CARTESIAN_POINT('',(-1.015335474551E-1,4.729797830176E-1, +-4.176310252937E-1)); +#4641=CARTESIAN_POINT('',(-1.063165694125E-1,4.648385089790E-1, +-4.172674706827E-1)); +#4642=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.170856690503E-1)); +#4644=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4645=VECTOR('',#4644,5.905511811017E-3); +#4646=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.170856690503E-1)); +#4647=LINE('',#4646,#4645); +#4648=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.229911808613E-1)); +#4649=CARTESIAN_POINT('',(-1.062930321778E-1,4.648844879926E-1, +-4.231749746318E-1)); +#4650=CARTESIAN_POINT('',(-1.014522167826E-1,4.731122557213E-1, +-4.235425140292E-1)); +#4651=CARTESIAN_POINT('',(-9.280041835832E-2,4.845145511438E-1, +-4.240935872949E-1)); +#4652=CARTESIAN_POINT('',(-8.287365681151E-2,4.948273852131E-1, +-4.246446989286E-1)); +#4653=CARTESIAN_POINT('',(-7.180049011130E-2,5.039133242047E-1, +-4.251961791539E-1)); +#4654=CARTESIAN_POINT('',(-5.974694478800E-2,5.116358159744E-1, +-4.257473305175E-1)); +#4655=CARTESIAN_POINT('',(-4.687490645505E-2,5.178943842985E-1, +-4.262983947803E-1)); +#4656=CARTESIAN_POINT('',(-3.335406023346E-2,5.226056325608E-1, +-4.268496593701E-1)); +#4657=CARTESIAN_POINT('',(-1.937094562566E-2,5.257022847140E-1, +-4.274010687872E-1)); +#4658=CARTESIAN_POINT('',(-5.130261712788E-3,5.271401774965E-1, +-4.279521399989E-1)); +#4659=CARTESIAN_POINT('',(9.181294304701E-3,5.269027270837E-1, +-4.285032275965E-1)); +#4660=CARTESIAN_POINT('',(2.337616866235E-2,5.249903896120E-1, +-4.290546837883E-1)); +#4661=CARTESIAN_POINT('',(3.724313480642E-2,5.214309629552E-1, +-4.296058853853E-1)); +#4662=CARTESIAN_POINT('',(5.059511299927E-2,5.162750507286E-1, +-4.301569493166E-1)); +#4663=CARTESIAN_POINT('',(6.325545257021E-2,5.095908644617E-1, +-4.307081512840E-1)); +#4664=CARTESIAN_POINT('',(7.505159547932E-2,5.014666805921E-1, +-4.312596072852E-1)); +#4665=CARTESIAN_POINT('',(8.580846612792E-2,4.920238730152E-1, +-4.318106946999E-1)); +#4666=CARTESIAN_POINT('',(9.538688405816E-2,4.813881508605E-1, +-4.323617659932E-1)); +#4667=CARTESIAN_POINT('',(1.036597475492E-1,4.696972705464E-1, +-4.329131756853E-1)); +#4668=CARTESIAN_POINT('',(1.105051538319E-1,4.571215306797E-1, +-4.334644398418E-1)); +#4669=CARTESIAN_POINT('',(1.158302072572E-1,4.438360929274E-1, +-4.340155041081E-1)); +#4670=CARTESIAN_POINT('',(1.195653042685E-1,4.300167587659E-1, +-4.345666556220E-1)); +#4671=CARTESIAN_POINT('',(1.216581005776E-1,4.158467411973E-1, +-4.351181356948E-1)); +#4672=CARTESIAN_POINT('',(1.220774365016E-1,4.015387295307E-1, +-4.356692471933E-1)); +#4673=CARTESIAN_POINT('',(1.208204850506E-1,3.872808879426E-1, +-4.362203204818E-1)); +#4674=CARTESIAN_POINT('',(1.188751771980E-1,3.779350118804E-1, +-4.365878598116E-1)); +#4675=CARTESIAN_POINT('',(1.176321327633E-1,3.733344700013E-1, +-4.367716535433E-1)); +#4677=CARTESIAN_POINT('',(1.176321327633E-1,3.733344700013E-1, +-4.367716535433E-1)); +#4678=CARTESIAN_POINT('',(1.164186747161E-1,3.688434281616E-1, +-4.369510726989E-1)); +#4679=CARTESIAN_POINT('',(1.134770694357E-1,3.600016649557E-1, +-4.373098654104E-1)); +#4680=CARTESIAN_POINT('',(1.075809565721E-1,3.473354331407E-1, +-4.378478213791E-1)); +#4681=CARTESIAN_POINT('',(1.002762520572E-1,3.354240958845E-1, +-4.383858331495E-1)); +#4682=CARTESIAN_POINT('',(9.165246069486E-2,3.244186046830E-1, +-4.389241919103E-1)); +#4683=CARTESIAN_POINT('',(8.183358636325E-2,3.144772712013E-1, +-4.394622061017E-1)); +#4684=CARTESIAN_POINT('',(7.094509850253E-2,3.057232595294E-1, +-4.400001542972E-1)); +#4685=CARTESIAN_POINT('',(5.912184021247E-2,2.982668045239E-1, +-4.405383717853E-1)); +#4686=CARTESIAN_POINT('',(4.652372504508E-2,2.922106853620E-1, +-4.410765905556E-1)); +#4687=CARTESIAN_POINT('',(3.332286685382E-2,2.876358389162E-1, +-4.416145387240E-1)); +#4688=CARTESIAN_POINT('',(1.968427373831E-2,2.845979349116E-1, +-4.421525524934E-1)); +#4689=CARTESIAN_POINT('',(5.778940909359E-3,2.831378360371E-1, +-4.426909106082E-1)); +#4690=CARTESIAN_POINT('',(-8.193227919847E-3,2.832765380015E-1, +-4.432289252790E-1)); +#4691=CARTESIAN_POINT('',(-2.205642974351E-2,2.850095875529E-1, +-4.437668733797E-1)); +#4692=CARTESIAN_POINT('',(-3.563769259633E-2,2.883167898514E-1, +-4.443050910505E-1)); +#4693=CARTESIAN_POINT('',(-4.875193156767E-2,2.931547555704E-1, +-4.448433099418E-1)); +#4694=CARTESIAN_POINT('',(-6.122127409511E-2,2.994561349168E-1, +-4.453812581285E-1)); +#4695=CARTESIAN_POINT('',(-7.289095072901E-2,3.071411076196E-1, +-4.459192719836E-1)); +#4696=CARTESIAN_POINT('',(-8.361266477156E-2,3.161152744658E-1, +-4.464576310297E-1)); +#4697=CARTESIAN_POINT('',(-9.323222045667E-2,3.262495291066E-1, +-4.469956432204E-1)); +#4698=CARTESIAN_POINT('',(-1.016307007849E-1,3.374147899113E-1, +-4.475335991130E-1)); +#4699=CARTESIAN_POINT('',(-1.063447173142E-1,3.454526972542E-1, +-4.478923920068E-1)); +#4700=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.480718112647E-1)); +#4702=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4703=VECTOR('',#4702,5.905511811028E-3); +#4704=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.421662994536E-1)); +#4705=LINE('',#4704,#4703); +#4706=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.126387403985E-1)); +#4707=CARTESIAN_POINT('',(-1.063165709313E-1,3.453977144604E-1, +-4.124569388947E-1)); +#4708=CARTESIAN_POINT('',(-1.015335530282E-1,3.372564456589E-1, +-4.120933845376E-1)); +#4709=CARTESIAN_POINT('',(-9.299855693233E-2,3.259631712819E-1, +-4.115483522251E-1)); +#4710=CARTESIAN_POINT('',(-8.321138557071E-2,3.157301765917E-1, +-4.110031593216E-1)); +#4711=CARTESIAN_POINT('',(-7.229863470662E-2,3.066944438864E-1, +-4.104576543990E-1)); +#4712=CARTESIAN_POINT('',(-6.042289261359E-2,2.989894745038E-1, +-4.099126011289E-1)); +#4713=CARTESIAN_POINT('',(-4.773486545535E-2,2.927094557407E-1, +-4.093675141758E-1)); +#4714=CARTESIAN_POINT('',(-3.439404980826E-2,2.879371304104E-1, +-4.088219823495E-1)); +#4715=CARTESIAN_POINT('',(-2.060032185160E-2,2.847443298840E-1, +-4.082768463132E-1)); +#4716=CARTESIAN_POINT('',(-6.532570535924E-3,2.831688641573E-1, +-4.077318147335E-1)); +#4717=CARTESIAN_POINT('',(7.633774895533E-3,2.832326428401E-1, +-4.071863684909E-1)); +#4718=CARTESIAN_POINT('',(2.169291728179E-2,2.849362921778E-1, +-4.066410955030E-1)); +#4719=CARTESIAN_POINT('',(3.545413913946E-2,2.882538504544E-1, +-4.060960722248E-1)); +#4720=CARTESIAN_POINT('',(4.874505125597E-2,2.931437309850E-1, +-4.055508028074E-1)); +#4721=CARTESIAN_POINT('',(6.138430284527E-2,2.995422547217E-1, +-4.050053533362E-1)); +#4722=CARTESIAN_POINT('',(7.318875701501E-2,3.073550001031E-1, +-4.044603212076E-1)); +#4723=CARTESIAN_POINT('',(8.401226439829E-2,3.164824969617E-1, +-4.039151870620E-1)); +#4724=CARTESIAN_POINT('',(9.371291849874E-2,3.268096667840E-1, +-4.033696543174E-1)); +#4725=CARTESIAN_POINT('',(1.021454763518E-1,3.381814600412E-1, +-4.028245659562E-1)); +#4726=CARTESIAN_POINT('',(1.092056318709E-1,3.504514847200E-1, +-4.022795133478E-1)); +#4727=CARTESIAN_POINT('',(1.148021981066E-1,3.634672524764E-1, +-4.017340095901E-1)); +#4728=CARTESIAN_POINT('',(1.188512870314E-1,3.770359940458E-1, +-4.011888126260E-1)); +#4729=CARTESIAN_POINT('',(1.213025931246E-1,3.909776236399E-1, +-4.006437887336E-1)); +#4730=CARTESIAN_POINT('',(1.221242920427E-1,4.051180486218E-1, +-4.000984275686E-1)); +#4731=CARTESIAN_POINT('',(1.213026009092E-1,4.192585551499E-1, +-3.995530632860E-1)); +#4732=CARTESIAN_POINT('',(1.188512944176E-1,4.332001858727E-1, +-3.990080393539E-1)); +#4733=CARTESIAN_POINT('',(1.148022302474E-1,4.467688778852E-1, +-3.984628444841E-1)); +#4734=CARTESIAN_POINT('',(1.092056609833E-1,4.597846818054E-1, +-3.979173394030E-1)); +#4735=CARTESIAN_POINT('',(1.021454971719E-1,4.720547278911E-1, +-3.973722859257E-1)); +#4736=CARTESIAN_POINT('',(9.371296340124E-2,4.834264977638E-1, +-3.968271988349E-1)); +#4737=CARTESIAN_POINT('',(8.401232475406E-2,4.937536676727E-1, +-3.962816664946E-1)); +#4738=CARTESIAN_POINT('',(7.318878877047E-2,5.028811981476E-1, +-3.957365306787E-1)); +#4739=CARTESIAN_POINT('',(6.138434540583E-2,5.106939383347E-1, +-3.951914990024E-1)); +#4740=CARTESIAN_POINT('',(4.874514801921E-2,5.170924476727E-1, +-3.946460516429E-1)); +#4741=CARTESIAN_POINT('',(3.545417766798E-2,5.219823608964E-1, +-3.941007796898E-1)); +#4742=CARTESIAN_POINT('',(2.169295556285E-2,5.252999188686E-1, +-3.935557564046E-1)); +#4743=CARTESIAN_POINT('',(7.633879259069E-3,5.270035710294E-1, +-3.930104859275E-1)); +#4744=CARTESIAN_POINT('',(-6.532527961124E-3,5.270673609463E-1, +-3.924650373039E-1)); +#4745=CARTESIAN_POINT('',(-2.060028861681E-2,5.254918951557E-1, +-3.919200053727E-1)); +#4746=CARTESIAN_POINT('',(-3.439398859368E-2,5.222991076759E-1, +-3.913748704944E-1)); +#4747=CARTESIAN_POINT('',(-4.773482588209E-2,5.175267829528E-1, +-3.908293378934E-1)); +#4748=CARTESIAN_POINT('',(-6.042287500627E-2,5.112467561580E-1, +-3.902842500483E-1)); +#4749=CARTESIAN_POINT('',(-7.229860905080E-2,5.035417948428E-1, +-3.897391972060E-1)); +#4750=CARTESIAN_POINT('',(-8.321136486326E-2,4.945060641958E-1, +-3.891936921882E-1)); +#4751=CARTESIAN_POINT('',(-9.299855332217E-2,4.842730540212E-1, +-3.886484983998E-1)); +#4752=CARTESIAN_POINT('',(-1.015335474526E-1,4.729797830212E-1, +-3.881034662387E-1)); +#4753=CARTESIAN_POINT('',(-1.063165694119E-1,4.648385089803E-1, +-3.877399116276E-1)); +#4754=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.875581099952E-1)); +#4756=DIRECTION('',(2.114974861912E-14,4.699944137582E-14,-1.E0)); +#4757=VECTOR('',#4756,5.905511811021E-3); +#4758=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.875581099952E-1)); +#4759=LINE('',#4758,#4757); +#4760=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.934636218062E-1)); +#4761=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-3.936454234388E-1)); +#4762=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-3.940089780502E-1)); +#4763=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-3.945540102110E-1)); +#4764=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-3.950992040004E-1)); +#4765=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-3.956447090185E-1)); +#4766=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-3.961897618603E-1)); +#4767=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-3.967348497065E-1)); +#4768=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-3.972803823088E-1)); +#4769=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-3.978255171855E-1)); +#4770=CARTESIAN_POINT('',(-6.532527900751E-3,5.270673609527E-1, +-3.983705491173E-1)); +#4771=CARTESIAN_POINT('',(7.633879399073E-3,5.270035710204E-1, +-3.989159977439E-1)); +#4772=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-3.994612682175E-1)); +#4773=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-4.000062915028E-1)); +#4774=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-4.005515634589E-1)); +#4775=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-4.010970108156E-1)); +#4776=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-4.016420424914E-1)); +#4777=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-4.021871783091E-1)); +#4778=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-4.027327106481E-1)); +#4779=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-4.032777977378E-1)); +#4780=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-4.038228512156E-1)); +#4781=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-4.043683562970E-1)); +#4782=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-4.049135511656E-1)); +#4783=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-4.054585750978E-1)); +#4784=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-4.060039393813E-1)); +#4785=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-4.065493005452E-1)); +#4786=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-4.070943244376E-1)); +#4787=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-4.076395214024E-1)); +#4788=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-4.081850251598E-1)); +#4789=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-4.087300777678E-1)); +#4790=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-4.092751661297E-1)); +#4791=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-4.098206988746E-1)); +#4792=CARTESIAN_POINT('',(7.318875699801E-2,3.073550000913E-1, +-4.103658330194E-1)); +#4793=CARTESIAN_POINT('',(6.138430282298E-2,2.995422547069E-1, +-4.109108651483E-1)); +#4794=CARTESIAN_POINT('',(4.87450512E-2,2.931437309616E-1,-4.114563146207E-1)); +#4795=CARTESIAN_POINT('',(3.545413912408E-2,2.882538504490E-1, +-4.120015840364E-1)); +#4796=CARTESIAN_POINT('',(2.169291723636E-2,2.849362921735E-1, +-4.125466073158E-1)); +#4797=CARTESIAN_POINT('',(7.633774926384E-3,2.832326428327E-1, +-4.130918803007E-1)); +#4798=CARTESIAN_POINT('',(-6.532570891496E-3,2.831688641739E-1, +-4.136373265583E-1)); +#4799=CARTESIAN_POINT('',(-2.060032197825E-2,2.847443299062E-1, +-4.141823581292E-1)); +#4800=CARTESIAN_POINT('',(-3.439405006064E-2,2.879371304957E-1, +-4.147274941709E-1)); +#4801=CARTESIAN_POINT('',(-4.773486527324E-2,2.927094556574E-1, +-4.152730259790E-1)); +#4802=CARTESIAN_POINT('',(-6.042289301380E-2,2.989894747195E-1, +-4.158181129575E-1)); +#4803=CARTESIAN_POINT('',(-7.229863535841E-2,3.066944442854E-1, +-4.163631662391E-1)); +#4804=CARTESIAN_POINT('',(-8.321138750200E-2,3.157301784079E-1, +-4.169086712347E-1)); +#4805=CARTESIAN_POINT('',(-9.299855715220E-2,3.259631716210E-1, +-4.174538640514E-1)); +#4806=CARTESIAN_POINT('',(-1.015335535201E-1,3.372564463665E-1, +-4.179988963819E-1)); +#4807=CARTESIAN_POINT('',(-1.063165710615E-1,3.453977147147E-1, +-4.183624507167E-1)); +#4808=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.185442522095E-1)); +#4810=DIRECTION('',(0.E0,-1.879977655034E-14,-1.E0)); +#4811=VECTOR('',#4810,5.905511811017E-3); +#4812=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.126387403985E-1)); +#4813=LINE('',#4812,#4811); +#4814=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.831111813434E-1)); +#4815=CARTESIAN_POINT('',(-1.062893175189E-1,3.453444760474E-1, +-3.829270731726E-1)); +#4816=CARTESIAN_POINT('',(-1.014393308378E-1,3.371031651094E-1, +-3.825589071732E-1)); +#4817=CARTESIAN_POINT('',(-9.277093854062E-2,3.256857824738E-1, +-3.820069882522E-1)); +#4818=CARTESIAN_POINT('',(-8.282087954431E-2,3.153586912796E-1, +-3.814548622539E-1)); +#4819=CARTESIAN_POINT('',(-7.172239336971E-2,3.062651393131E-1, +-3.809024457636E-1)); +#4820=CARTESIAN_POINT('',(-5.964492644905E-2,2.985423198297E-1, +-3.803505142326E-1)); +#4821=CARTESIAN_POINT('',(-4.674402591380E-2,2.922862110897E-1, +-3.797984957556E-1)); +#4822=CARTESIAN_POINT('',(-3.318737529907E-2,2.875824398099E-1, +-3.792460268359E-1)); +#4823=CARTESIAN_POINT('',(-1.918477700592E-2,2.845036096671E-1, +-3.786940353691E-1)); +#4824=CARTESIAN_POINT('',(-4.919122166947E-3,2.830860556129E-1, +-3.781420888293E-1)); +#4825=CARTESIAN_POINT('',(9.427225299801E-3,2.833512117792E-1, +-3.775896470355E-1)); +#4826=CARTESIAN_POINT('',(2.363436918853E-2,2.852959662304E-1, +-3.770375577845E-1)); +#4827=CARTESIAN_POINT('',(3.751144194967E-2,2.888904016574E-1, +-3.764856449175E-1)); +#4828=CARTESIAN_POINT('',(5.088175856263E-2,2.940906275591E-1, +-3.759333107453E-1)); +#4829=CARTESIAN_POINT('',(6.354735285611E-2,3.008219129192E-1, +-3.753810842156E-1)); +#4830=CARTESIAN_POINT('',(7.533011259979E-2,3.089863037859E-1, +-3.748291744366E-1)); +#4831=CARTESIAN_POINT('',(8.608028329546E-2,3.184795561072E-1, +-3.742770008220E-1)); +#4832=CARTESIAN_POINT('',(9.564634233153E-2,3.291722216256E-1, +-3.737246198900E-1)); +#4833=CARTESIAN_POINT('',(1.038860537339E-1,3.409027725159E-1, +-3.731726996513E-1)); +#4834=CARTESIAN_POINT('',(1.106966707155E-1,3.535206935469E-1, +-3.726206486497E-1)); +#4835=CARTESIAN_POINT('',(1.159843173033E-1,3.668602115687E-1, +-3.720681881589E-1)); +#4836=CARTESIAN_POINT('',(1.196679459245E-1,3.807153436215E-1, +-3.715162209766E-1)); +#4837=CARTESIAN_POINT('',(1.217035415658E-1,3.949065272987E-1, +-3.709642544099E-1)); +#4838=CARTESIAN_POINT('',(1.220613077178E-1,4.092513404983E-1, +-3.704117943011E-1)); +#4839=CARTESIAN_POINT('',(1.207351562096E-1,4.235285419592E-1, +-3.698597423296E-1)); +#4840=CARTESIAN_POINT('',(1.177465618417E-1,4.375487693959E-1, +-3.693078223498E-1)); +#4841=CARTESIAN_POINT('',(1.131311451978E-1,4.511333061161E-1, +-3.687554425878E-1)); +#4842=CARTESIAN_POINT('',(1.069564979852E-1,4.640779097108E-1, +-3.682032676055E-1)); +#4843=CARTESIAN_POINT('',(9.931139310949E-2,4.762040417191E-1, +-3.676513578246E-1)); +#4844=CARTESIAN_POINT('',(9.029283168827E-2,4.873571507210E-1, +-3.670991328918E-1)); +#4845=CARTESIAN_POINT('',(8.002626519011E-2,4.973774499817E-1, +-3.665467972856E-1)); +#4846=CARTESIAN_POINT('',(6.866466269681E-2,5.061185727498E-1, +-3.659948842541E-1)); +#4847=CARTESIAN_POINT('',(5.635343097503E-2,5.134709637367E-1, +-3.654427962455E-1)); +#4848=CARTESIAN_POINT('',(4.325640339081E-2,5.193323999253E-1, +-3.648903536846E-1)); +#4849=CARTESIAN_POINT('',(2.957474744496E-2,5.236138809293E-1, +-3.643384065814E-1)); +#4850=CARTESIAN_POINT('',(1.548468743946E-2,5.262637252492E-1, +-3.637864157682E-1)); +#4851=CARTESIAN_POINT('',(1.168686196203E-3,5.272437659271E-1, +-3.632339466729E-1)); +#4852=CARTESIAN_POINT('',(-1.315177090489E-2,5.265386494596E-1, +-3.626819274600E-1)); +#4853=CARTESIAN_POINT('',(-2.728882034747E-2,5.241615129658E-1, +-3.621299962011E-1)); +#4854=CARTESIAN_POINT('',(-4.106178458023E-2,5.201397662336E-1, +-3.615775798351E-1)); +#4855=CARTESIAN_POINT('',(-5.426104308457E-2,5.145332875163E-1, +-3.610254531093E-1)); +#4856=CARTESIAN_POINT('',(-6.670786418932E-2,5.074217340058E-1, +-3.604735343279E-1)); +#4857=CARTESIAN_POINT('',(-7.439755656870E-2,5.017375180471E-1, +-3.601053681197E-1)); +#4858=CARTESIAN_POINT('',(-7.806295593391E-2,4.986792846404E-1, +-3.599212598425E-1)); +#4860=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.580305509401E-1)); +#4861=CARTESIAN_POINT('',(-1.066026351263E-1,4.642796914502E-1, +-3.581881406200E-1)); +#4862=CARTESIAN_POINT('',(-1.025128438306E-1,4.713660219946E-1, +-3.585032944294E-1)); +#4863=CARTESIAN_POINT('',(-9.534365769522E-2,4.813233618260E-1, +-3.589759078478E-1)); +#4864=CARTESIAN_POINT('',(-8.721100735736E-2,4.905107873426E-1, +-3.594485259034E-1)); +#4865=CARTESIAN_POINT('',(-8.120032226737E-2,4.960616166665E-1, +-3.597636739827E-1)); +#4866=CARTESIAN_POINT('',(-7.806295593391E-2,4.986792846404E-1, +-3.599212598425E-1)); +#4868=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4869=VECTOR('',#4868,5.905511811036E-3); +#4870=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.580305509401E-1)); +#4871=LINE('',#4870,#4869); +#4872=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.639360627511E-1)); +#4873=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-3.641178643837E-1)); +#4874=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-3.644814189951E-1)); +#4875=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-3.650264511559E-1)); +#4876=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-3.655716449452E-1)); +#4877=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-3.661171499634E-1)); +#4878=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-3.666622028052E-1)); +#4879=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-3.672072906514E-1)); +#4880=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-3.677528232537E-1)); +#4881=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-3.682979581304E-1)); +#4882=CARTESIAN_POINT('',(-6.532527900751E-3,5.270673609527E-1, +-3.688429900622E-1)); +#4883=CARTESIAN_POINT('',(7.633879399073E-3,5.270035710204E-1, +-3.693884386888E-1)); +#4884=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-3.699337091624E-1)); +#4885=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-3.704787324477E-1)); +#4886=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-3.710240044038E-1)); +#4887=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-3.715694517605E-1)); +#4888=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-3.721144834363E-1)); +#4889=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-3.726596192539E-1)); +#4890=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-3.732051515930E-1)); +#4891=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-3.737502386827E-1)); +#4892=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-3.742952921604E-1)); +#4893=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-3.748407972419E-1)); +#4894=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-3.753859921105E-1)); +#4895=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-3.759310160427E-1)); +#4896=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-3.764763803261E-1)); +#4897=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-3.770217414900E-1)); +#4898=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-3.775667653825E-1)); +#4899=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-3.781119623473E-1)); +#4900=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-3.786574661047E-1)); +#4901=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-3.792025187127E-1)); +#4902=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-3.797476070745E-1)); +#4903=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-3.802931398195E-1)); +#4904=CARTESIAN_POINT('',(7.318875699800E-2,3.073550000913E-1, +-3.808382739643E-1)); +#4905=CARTESIAN_POINT('',(6.138430282298E-2,2.995422547069E-1, +-3.813833060931E-1)); +#4906=CARTESIAN_POINT('',(4.87450512E-2,2.931437309616E-1,-3.819287555656E-1)); +#4907=CARTESIAN_POINT('',(3.545413912408E-2,2.882538504490E-1, +-3.824740249813E-1)); +#4908=CARTESIAN_POINT('',(2.169291723636E-2,2.849362921735E-1, +-3.830190482607E-1)); +#4909=CARTESIAN_POINT('',(7.633774926384E-3,2.832326428327E-1, +-3.835643212456E-1)); +#4910=CARTESIAN_POINT('',(-6.532570891495E-3,2.831688641739E-1, +-3.841097675032E-1)); +#4911=CARTESIAN_POINT('',(-2.060032197825E-2,2.847443299062E-1, +-3.846547990740E-1)); +#4912=CARTESIAN_POINT('',(-3.439405006064E-2,2.879371304957E-1, +-3.851999351158E-1)); +#4913=CARTESIAN_POINT('',(-4.773486527324E-2,2.927094556574E-1, +-3.857454669239E-1)); +#4914=CARTESIAN_POINT('',(-6.042289301380E-2,2.989894747195E-1, +-3.862905539024E-1)); +#4915=CARTESIAN_POINT('',(-7.229863535841E-2,3.066944442854E-1, +-3.868356071840E-1)); +#4916=CARTESIAN_POINT('',(-8.321138750200E-2,3.157301784079E-1, +-3.873811121796E-1)); +#4917=CARTESIAN_POINT('',(-9.299855715220E-2,3.259631716210E-1, +-3.879263049963E-1)); +#4918=CARTESIAN_POINT('',(-1.015335535201E-1,3.372564463665E-1, +-3.884713373268E-1)); +#4919=CARTESIAN_POINT('',(-1.063165710615E-1,3.453977147147E-1, +-3.888348916616E-1)); +#4920=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.890166931544E-1)); +#4922=DIRECTION('',(-1.409983241274E-14,3.759955310065E-14,-1.E0)); +#4923=VECTOR('',#4922,5.905511811022E-3); +#4924=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.831111813434E-1)); +#4925=LINE('',#4924,#4923); +#4926=CARTESIAN_POINT('',(-6.305054977043E-2,3.173460173919E-1, +-3.433970228127E-1)); +#4927=CARTESIAN_POINT('',(-6.357004208794E-2,3.170542681699E-1, +-3.437197557618E-1)); +#4928=CARTESIAN_POINT('',(-6.457854880204E-2,3.164263530107E-1, +-3.443742460601E-1)); +#4929=CARTESIAN_POINT('',(-6.601225891609E-2,3.153703591582E-1, +-3.453778634283E-1)); +#4930=CARTESIAN_POINT('',(-6.736261538956E-2,3.142254102235E-1, +-3.463898007262E-1)); +#4931=CARTESIAN_POINT('',(-6.863486933496E-2,3.130064171414E-1, +-3.474049643250E-1)); +#4932=CARTESIAN_POINT('',(-6.982882641204E-2,3.117300875539E-1, +-3.484156828571E-1)); +#4933=CARTESIAN_POINT('',(-7.095485683930E-2,3.103988718490E-1, +-3.494247050284E-1)); +#4934=CARTESIAN_POINT('',(-7.201847690476E-2,3.090156991335E-1, +-3.504328558751E-1)); +#4935=CARTESIAN_POINT('',(-7.269093949391E-2,3.080564267507E-1, +-3.511074399348E-1)); +#4936=CARTESIAN_POINT('',(-7.301771474299E-2,3.075684727241E-1, +-3.514448438193E-1)); +#4938=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.580305509401E-1)); +#4939=CARTESIAN_POINT('',(-1.084645669291E-1,4.567940251326E-1, +-3.568859588660E-1)); +#4940=CARTESIAN_POINT('',(-1.084645669291E-1,4.491810972791E-1, +-3.547780687106E-1)); +#4941=CARTESIAN_POINT('',(-1.084645669291E-1,4.380746495516E-1, +-3.522014270679E-1)); +#4942=CARTESIAN_POINT('',(-1.084645669291E-1,4.270677280571E-1, +-3.501884832667E-1)); +#4943=CARTESIAN_POINT('',(-1.084645669291E-1,4.160879835577E-1, +-3.487679419134E-1)); +#4944=CARTESIAN_POINT('',(-1.084645669291E-1,4.051026434870E-1, +-3.479707016047E-1)); +#4945=CARTESIAN_POINT('',(-1.084645669291E-1,3.941170080067E-1, +-3.478178774805E-1)); +#4946=CARTESIAN_POINT('',(-1.084645669291E-1,3.831410228172E-1, +-3.483078352498E-1)); +#4947=CARTESIAN_POINT('',(-1.084645669291E-1,3.721399659067E-1, +-3.494235984447E-1)); +#4948=CARTESIAN_POINT('',(-1.084645669291E-1,3.610474103213E-1, +-3.511426986197E-1)); +#4949=CARTESIAN_POINT('',(-1.084645669291E-1,3.534392544125E-1, +-3.527039430014E-1)); +#4950=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.535836222883E-1)); +#4952=DIRECTION('',(5.548156298886E-1,-6.649658764413E-1,5.E-1)); +#4953=VECTOR('',#4952,1.591122789105E-2); +#4954=CARTESIAN_POINT('',(-7.806295593391E-2,4.986792846404E-1, +-3.599212598425E-1)); +#4955=LINE('',#4954,#4953); +#4956=CARTESIAN_POINT('',(1.176321327633E-1,3.733344700013E-1, +-4.603937007874E-1)); +#4957=CARTESIAN_POINT('',(1.188751777878E-1,3.779350140630E-1, +-4.602099069685E-1)); +#4958=CARTESIAN_POINT('',(1.208204860199E-1,3.872808942406E-1, +-4.598423674806E-1)); +#4959=CARTESIAN_POINT('',(1.220774367496E-1,4.015387348629E-1, +-4.592912942318E-1)); +#4960=CARTESIAN_POINT('',(1.216580998632E-1,4.158467560872E-1, +-4.587401823659E-1)); +#4961=CARTESIAN_POINT('',(1.195652988890E-1,4.300167844574E-1, +-4.581887018565E-1)); +#4962=CARTESIAN_POINT('',(1.158302021935E-1,4.438361063574E-1, +-4.576375507996E-1)); +#4963=CARTESIAN_POINT('',(1.105051483928E-1,4.571215442296E-1, +-4.570864865256E-1)); +#4964=CARTESIAN_POINT('',(1.036597263278E-1,4.696973050013E-1, +-4.565352213718E-1)); +#4965=CARTESIAN_POINT('',(9.538686940190E-2,4.813881679998E-1, +-4.559838123713E-1)); +#4966=CARTESIAN_POINT('',(8.580845341696E-2,4.920238857625E-1, +-4.554327412496E-1)); +#4967=CARTESIAN_POINT('',(7.505157414554E-2,5.014666982009E-1, +-4.548816534664E-1)); +#4968=CARTESIAN_POINT('',(6.325541437014E-2,5.095908874063E-1, +-4.543301968133E-1)); +#4969=CARTESIAN_POINT('',(5.059509314177E-2,5.162750588002E-1, +-4.537789957364E-1)); +#4970=CARTESIAN_POINT('',(3.724311495441E-2,5.214309703026E-1, +-4.532279318156E-1)); +#4971=CARTESIAN_POINT('',(2.337612001877E-2,5.249903995105E-1, +-4.526767291223E-1)); +#4972=CARTESIAN_POINT('',(9.181258836835E-3,5.269027288715E-1, +-4.521252734757E-1)); +#4973=CARTESIAN_POINT('',(-5.130282525949E-3,5.271401763814E-1, +-4.515741864395E-1)); +#4974=CARTESIAN_POINT('',(-1.937097190804E-2,5.257022812903E-1, +-4.510231150131E-1)); +#4975=CARTESIAN_POINT('',(-3.335410116740E-2,5.226056205614E-1, +-4.504717049723E-1)); +#4976=CARTESIAN_POINT('',(-4.687491846223E-2,5.178943783668E-1, +-4.499204415109E-1)); +#4977=CARTESIAN_POINT('',(-5.974695697864E-2,5.116358096577E-1, +-4.493693772328E-1)); +#4978=CARTESIAN_POINT('',(-7.180050654336E-2,5.039133120996E-1, +-4.488182256131E-1)); +#4979=CARTESIAN_POINT('',(-8.287366332201E-2,4.948273785884E-1, +-4.482667458158E-1)); +#4980=CARTESIAN_POINT('',(-9.280042078274E-2,4.845145482713E-1, +-4.477156343941E-1)); +#4981=CARTESIAN_POINT('',(-1.014522191182E-1,4.731122521616E-1, +-4.471645611095E-1)); +#4982=CARTESIAN_POINT('',(-1.062930328759E-1,4.648844866289E-1, +-4.467970218168E-1)); +#4983=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.466132281054E-1)); +#4985=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4986=VECTOR('',#4985,5.905511811029E-3); +#4987=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.466132281054E-1)); +#4988=LINE('',#4987,#4986); +#4989=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.525187399165E-1)); +#4990=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-4.527005415490E-1)); +#4991=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-4.530640961604E-1)); +#4992=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-4.536091283213E-1)); +#4993=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-4.541543221106E-1)); +#4994=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-4.546998271288E-1)); +#4995=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-4.552448799706E-1)); +#4996=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-4.557899678168E-1)); +#4997=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-4.563355004190E-1)); +#4998=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-4.568806352957E-1)); +#4999=CARTESIAN_POINT('',(-6.532527900751E-3,5.270673609527E-1, +-4.574256672275E-1)); +#5000=CARTESIAN_POINT('',(7.633879399073E-3,5.270035710204E-1, +-4.579711158541E-1)); +#5001=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-4.585163863278E-1)); +#5002=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-4.590614096131E-1)); +#5003=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-4.596066815692E-1)); +#5004=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-4.601521289259E-1)); +#5005=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-4.606971606016E-1)); +#5006=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-4.612422964193E-1)); +#5007=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-4.617878287584E-1)); +#5008=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-4.623329158480E-1)); +#5009=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-4.628779693258E-1)); +#5010=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-4.634234744072E-1)); +#5011=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-4.639686692759E-1)); +#5012=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-4.645136932080E-1)); +#5013=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-4.650590574915E-1)); +#5014=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-4.656044186554E-1)); +#5015=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-4.661494425478E-1)); +#5016=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-4.666946395127E-1)); +#5017=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-4.672401432701E-1)); +#5018=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-4.677851958781E-1)); +#5019=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-4.683302842399E-1)); +#5020=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-4.688758169849E-1)); +#5021=CARTESIAN_POINT('',(7.318875699800E-2,3.073550000913E-1, +-4.694209511296E-1)); +#5022=CARTESIAN_POINT('',(6.138430282299E-2,2.995422547069E-1, +-4.699659832585E-1)); +#5023=CARTESIAN_POINT('',(4.87450512E-2,2.931437309616E-1,-4.705114327309E-1)); +#5024=CARTESIAN_POINT('',(3.545413912408E-2,2.882538504490E-1, +-4.710567021467E-1)); +#5025=CARTESIAN_POINT('',(2.169291723636E-2,2.849362921735E-1, +-4.716017254260E-1)); +#5026=CARTESIAN_POINT('',(7.633774926384E-3,2.832326428327E-1, +-4.721469984109E-1)); +#5027=CARTESIAN_POINT('',(-6.532570891496E-3,2.831688641739E-1, +-4.726924446685E-1)); +#5028=CARTESIAN_POINT('',(-2.060032197825E-2,2.847443299062E-1, +-4.732374762394E-1)); +#5029=CARTESIAN_POINT('',(-3.439405006064E-2,2.879371304957E-1, +-4.737826122811E-1)); +#5030=CARTESIAN_POINT('',(-4.773486527324E-2,2.927094556574E-1, +-4.743281440892E-1)); +#5031=CARTESIAN_POINT('',(-6.042289301380E-2,2.989894747195E-1, +-4.748732310677E-1)); +#5032=CARTESIAN_POINT('',(-7.229863535841E-2,3.066944442854E-1, +-4.754182843494E-1)); +#5033=CARTESIAN_POINT('',(-8.321138750200E-2,3.157301784079E-1, +-4.759637893450E-1)); +#5034=CARTESIAN_POINT('',(-9.299855715220E-2,3.259631716210E-1, +-4.765089821616E-1)); +#5035=CARTESIAN_POINT('',(-1.015335535201E-1,3.372564463665E-1, +-4.770540144921E-1)); +#5036=CARTESIAN_POINT('',(-1.063165710615E-1,3.453977147147E-1, +-4.774175688269E-1)); +#5037=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.775993703198E-1)); +#5039=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5040=VECTOR('',#5039,5.905511811013E-3); +#5041=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.716938585087E-1)); +#5042=LINE('',#5041,#5040); +#5043=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.716938585087E-1)); +#5044=CARTESIAN_POINT('',(-1.063447172628E-1,3.454526971537E-1, +-4.715144392465E-1)); +#5045=CARTESIAN_POINT('',(-1.016307005612E-1,3.374147895801E-1, +-4.711556463417E-1)); +#5046=CARTESIAN_POINT('',(-9.323222025975E-2,3.262495288299E-1, +-4.706176904515E-1)); +#5047=CARTESIAN_POINT('',(-8.361266348155E-2,3.161152731833E-1, +-4.700796782039E-1)); +#5048=CARTESIAN_POINT('',(-7.289094905178E-2,3.071411064185E-1, +-4.695413191484E-1)); +#5049=CARTESIAN_POINT('',(-6.122127349230E-2,2.994561346045E-1, +-4.690033053465E-1)); +#5050=CARTESIAN_POINT('',(-4.875193081488E-2,2.931547552154E-1, +-4.684653571539E-1)); +#5051=CARTESIAN_POINT('',(-3.563769155297E-2,2.883167895555E-1, +-4.679271382529E-1)); +#5052=CARTESIAN_POINT('',(-2.205642962249E-2,2.850095875516E-1, +-4.673889206193E-1)); +#5053=CARTESIAN_POINT('',(-8.193227725721E-3,2.832765379862E-1, +-4.668509725155E-1)); +#5054=CARTESIAN_POINT('',(5.778940871290E-3,2.831378360415E-1, +-4.663129578538E-1)); +#5055=CARTESIAN_POINT('',(1.968427376174E-2,2.845979349124E-1, +-4.657745997366E-1)); +#5056=CARTESIAN_POINT('',(3.332286685495E-2,2.876358389180E-1, +-4.652365859680E-1)); +#5057=CARTESIAN_POINT('',(4.652372505108E-2,2.922106853637E-1, +-4.646986377994E-1)); +#5058=CARTESIAN_POINT('',(5.912184022222E-2,2.982668045295E-1, +-4.641604190290E-1)); +#5059=CARTESIAN_POINT('',(7.094509850491E-2,3.057232595314E-1, +-4.636222015412E-1)); +#5060=CARTESIAN_POINT('',(8.183358636564E-2,3.144772712033E-1, +-4.630842533457E-1)); +#5061=CARTESIAN_POINT('',(9.165246069791E-2,3.244186046865E-1, +-4.625462391542E-1)); +#5062=CARTESIAN_POINT('',(1.002762520588E-1,3.354240958868E-1, +-4.620078803935E-1)); +#5063=CARTESIAN_POINT('',(1.075809565725E-1,3.473354331415E-1, +-4.614698686231E-1)); +#5064=CARTESIAN_POINT('',(1.134770694362E-1,3.600016649568E-1, +-4.609319126545E-1)); +#5065=CARTESIAN_POINT('',(1.164186747162E-1,3.688434281620E-1, +-4.605731199429E-1)); +#5066=CARTESIAN_POINT('',(1.176321327633E-1,3.733344700013E-1, +-4.603937007874E-1)); +#5068=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.012214175639E-1)); +#5069=CARTESIAN_POINT('',(-1.063165709747E-1,3.453977145451E-1, +-5.010396160637E-1)); +#5070=CARTESIAN_POINT('',(-1.015335531905E-1,3.372564458955E-1, +-5.006760617140E-1)); +#5071=CARTESIAN_POINT('',(-9.299855702379E-2,3.259631714106E-1, +-5.001310293965E-1)); +#5072=CARTESIAN_POINT('',(-8.321138620234E-2,3.157301772028E-1, +-4.995858365207E-1)); +#5073=CARTESIAN_POINT('',(-7.229863526479E-2,3.066944442701E-1, +-4.990403315904E-1)); +#5074=CARTESIAN_POINT('',(-6.042289286652E-2,2.989894746478E-1, +-4.984952783054E-1)); +#5075=CARTESIAN_POINT('',(-4.773486585743E-2,2.927094559037E-1, +-4.979501913578E-1)); +#5076=CARTESIAN_POINT('',(-3.439404976161E-2,2.879371303850E-1, +-4.974046595129E-1)); +#5077=CARTESIAN_POINT('',(-2.060032186135E-2,2.847443298886E-1, +-4.968595234790E-1)); +#5078=CARTESIAN_POINT('',(-6.532570481478E-3,2.831688641536E-1, +-4.963144918968E-1)); +#5079=CARTESIAN_POINT('',(7.633774869170E-3,2.832326428405E-1, +-4.957690456572E-1)); +#5080=CARTESIAN_POINT('',(2.169291728233E-2,2.849362921766E-1, +-4.952237726683E-1)); +#5081=CARTESIAN_POINT('',(3.545413913447E-2,2.882538504535E-1, +-4.946787493904E-1)); +#5082=CARTESIAN_POINT('',(4.874505124814E-2,2.931437309814E-1, +-4.941334799730E-1)); +#5083=CARTESIAN_POINT('',(6.138430284255E-2,2.995422547199E-1, +-4.935880305017E-1)); +#5084=CARTESIAN_POINT('',(7.318875701281E-2,3.073550001016E-1, +-4.930429983730E-1)); +#5085=CARTESIAN_POINT('',(8.401226439455E-2,3.164824969583E-1, +-4.924978642276E-1)); +#5086=CARTESIAN_POINT('',(9.371291849563E-2,3.268096667801E-1, +-4.919523314829E-1)); +#5087=CARTESIAN_POINT('',(1.021454763503E-1,3.381814600389E-1, +-4.914072431216E-1)); +#5088=CARTESIAN_POINT('',(1.092056318686E-1,3.504514847158E-1, +-4.908621905133E-1)); +#5089=CARTESIAN_POINT('',(1.148021981042E-1,3.634672524697E-1, +-4.903166867557E-1)); +#5090=CARTESIAN_POINT('',(1.188512870309E-1,3.770359940429E-1, +-4.897714897915E-1)); +#5091=CARTESIAN_POINT('',(1.213025931241E-1,3.909776236371E-1, +-4.892264658991E-1)); +#5092=CARTESIAN_POINT('',(1.221242920427E-1,4.051180486130E-1, +-4.886811047343E-1)); +#5093=CARTESIAN_POINT('',(1.213026009098E-1,4.192585551462E-1, +-4.881357404515E-1)); +#5094=CARTESIAN_POINT('',(1.188512944181E-1,4.332001858695E-1, +-4.875907165194E-1)); +#5095=CARTESIAN_POINT('',(1.148022302504E-1,4.467688778766E-1, +-4.870455216498E-1)); +#5096=CARTESIAN_POINT('',(1.092056609859E-1,4.597846818007E-1, +-4.865000165685E-1)); +#5097=CARTESIAN_POINT('',(1.021454971736E-1,4.720547278884E-1, +-4.859549630911E-1)); +#5098=CARTESIAN_POINT('',(9.371296340487E-2,4.834264977594E-1, +-4.854098760005E-1)); +#5099=CARTESIAN_POINT('',(8.401232475842E-2,4.937536676687E-1, +-4.848643436602E-1)); +#5100=CARTESIAN_POINT('',(7.318878877268E-2,5.028811981461E-1, +-4.843192078441E-1)); +#5101=CARTESIAN_POINT('',(6.138434540881E-2,5.106939383328E-1, +-4.837741761678E-1)); +#5102=CARTESIAN_POINT('',(4.874514802549E-2,5.170924476700E-1, +-4.832287288085E-1)); +#5103=CARTESIAN_POINT('',(3.545417766950E-2,5.219823608961E-1, +-4.826834568552E-1)); +#5104=CARTESIAN_POINT('',(2.169295556482E-2,5.252999188682E-1, +-4.821384335700E-1)); +#5105=CARTESIAN_POINT('',(7.633879262191E-3,5.270035710292E-1, +-4.815931630930E-1)); +#5106=CARTESIAN_POINT('',(-6.532527960180E-3,5.270673609464E-1, +-4.810477144693E-1)); +#5107=CARTESIAN_POINT('',(-2.060028861600E-2,5.254918951558E-1, +-4.805026825380E-1)); +#5108=CARTESIAN_POINT('',(-3.439398859204E-2,5.222991076764E-1, +-4.799575476598E-1)); +#5109=CARTESIAN_POINT('',(-4.773482588144E-2,5.175267829532E-1, +-4.794120150587E-1)); +#5110=CARTESIAN_POINT('',(-6.042287500635E-2,5.112467561579E-1, +-4.788669272137E-1)); +#5111=CARTESIAN_POINT('',(-7.229860905103E-2,5.035417948428E-1, +-4.783218743714E-1)); +#5112=CARTESIAN_POINT('',(-8.321136486507E-2,4.945060641941E-1, +-4.777763693534E-1)); +#5113=CARTESIAN_POINT('',(-9.299855332266E-2,4.842730540206E-1, +-4.772311755651E-1)); +#5114=CARTESIAN_POINT('',(-1.015335474534E-1,4.729797830200E-1, +-4.766861434040E-1)); +#5115=CARTESIAN_POINT('',(-1.063165694121E-1,4.648385089798E-1, +-4.763225887930E-1)); +#5116=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.761407871606E-1)); +#5118=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5119=VECTOR('',#5118,5.905511811010E-3); +#5120=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.761407871606E-1)); +#5121=LINE('',#5120,#5119); +#5122=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.820462989716E-1)); +#5123=CARTESIAN_POINT('',(-1.063165694103E-1,4.648385089833E-1, +-4.822281006041E-1)); +#5124=CARTESIAN_POINT('',(-1.015335474468E-1,4.729797830298E-1, +-4.825916552155E-1)); +#5125=CARTESIAN_POINT('',(-9.299855331822E-2,4.842730540264E-1, +-4.831366873764E-1)); +#5126=CARTESIAN_POINT('',(-8.321136484134E-2,4.945060642175E-1, +-4.836818811657E-1)); +#5127=CARTESIAN_POINT('',(-7.229860901947E-2,5.035417948653E-1, +-4.842273861839E-1)); +#5128=CARTESIAN_POINT('',(-6.042287498417E-2,5.112467561707E-1, +-4.847724390257E-1)); +#5129=CARTESIAN_POINT('',(-4.773482583107E-2,5.175267829765E-1, +-4.853175268719E-1)); +#5130=CARTESIAN_POINT('',(-3.439398850945E-2,5.222991077003E-1, +-4.858630594741E-1)); +#5131=CARTESIAN_POINT('',(-2.060028857111E-2,5.254918951620E-1, +-4.864081943508E-1)); +#5132=CARTESIAN_POINT('',(-6.532527900751E-3,5.270673609527E-1, +-4.869532262826E-1)); +#5133=CARTESIAN_POINT('',(7.633879399073E-3,5.270035710204E-1, +-4.874986749092E-1)); +#5134=CARTESIAN_POINT('',(2.169295561188E-2,5.252999188565E-1, +-4.880439453829E-1)); +#5135=CARTESIAN_POINT('',(3.545417771843E-2,5.219823608844E-1, +-4.885889686682E-1)); +#5136=CARTESIAN_POINT('',(4.874514814005E-2,5.170924476202E-1, +-4.891342406243E-1)); +#5137=CARTESIAN_POINT('',(6.138434545497E-2,5.106939383027E-1, +-4.896796879810E-1)); +#5138=CARTESIAN_POINT('',(7.318878880689E-2,5.028811981222E-1, +-4.902247196567E-1)); +#5139=CARTESIAN_POINT('',(8.401232481931E-2,4.937536676116E-1, +-4.907698554744E-1)); +#5140=CARTESIAN_POINT('',(9.371296343716E-2,4.834264977180E-1, +-4.913153878135E-1)); +#5141=CARTESIAN_POINT('',(1.021454971870E-1,4.720547278675E-1, +-4.918604749031E-1)); +#5142=CARTESIAN_POINT('',(1.092056610022E-1,4.597846817695E-1, +-4.924055283809E-1)); +#5143=CARTESIAN_POINT('',(1.148022302632E-1,4.467688778396E-1, +-4.929510334623E-1)); +#5144=CARTESIAN_POINT('',(1.188512944207E-1,4.332001858557E-1, +-4.934962283310E-1)); +#5145=CARTESIAN_POINT('',(1.213026009125E-1,4.192585551305E-1, +-4.940412522631E-1)); +#5146=CARTESIAN_POINT('',(1.221242920425E-1,4.051180485789E-1, +-4.945866165466E-1)); +#5147=CARTESIAN_POINT('',(1.213025931221E-1,3.909776236266E-1, +-4.951319777105E-1)); +#5148=CARTESIAN_POINT('',(1.188512870290E-1,3.770359940323E-1, +-4.956770016029E-1)); +#5149=CARTESIAN_POINT('',(1.148021980952E-1,3.634672524440E-1, +-4.962221985678E-1)); +#5150=CARTESIAN_POINT('',(1.092056318582E-1,3.504514846960E-1, +-4.967677023252E-1)); +#5151=CARTESIAN_POINT('',(1.021454763427E-1,3.381814600271E-1, +-4.973127549332E-1)); +#5152=CARTESIAN_POINT('',(9.371291847820E-2,3.268096667582E-1, +-4.978578432950E-1)); +#5153=CARTESIAN_POINT('',(8.401226436811E-2,3.164824969336E-1, +-4.984033760400E-1)); +#5154=CARTESIAN_POINT('',(7.318875699800E-2,3.073550000913E-1, +-4.989485101847E-1)); +#5155=CARTESIAN_POINT('',(6.138430282298E-2,2.995422547069E-1, +-4.994935423136E-1)); +#5156=CARTESIAN_POINT('',(4.87450512E-2,2.931437309616E-1,-5.000389917860E-1)); +#5157=CARTESIAN_POINT('',(3.545413912408E-2,2.882538504490E-1, +-5.005842612018E-1)); +#5158=CARTESIAN_POINT('',(2.169291723636E-2,2.849362921735E-1, +-5.011292844811E-1)); +#5159=CARTESIAN_POINT('',(7.633774926384E-3,2.832326428327E-1, +-5.016745574660E-1)); +#5160=CARTESIAN_POINT('',(-6.532570891496E-3,2.831688641739E-1, +-5.022200037236E-1)); +#5161=CARTESIAN_POINT('',(-2.060032197825E-2,2.847443299062E-1, +-5.027650352945E-1)); +#5162=CARTESIAN_POINT('',(-3.439405006064E-2,2.879371304957E-1, +-5.033101713362E-1)); +#5163=CARTESIAN_POINT('',(-4.773486527324E-2,2.927094556574E-1, +-5.038557031443E-1)); +#5164=CARTESIAN_POINT('',(-6.042289301380E-2,2.989894747195E-1, +-5.044007901228E-1)); +#5165=CARTESIAN_POINT('',(-7.229863535841E-2,3.066944442854E-1, +-5.049458434045E-1)); +#5166=CARTESIAN_POINT('',(-8.321138750200E-2,3.157301784079E-1, +-5.054913484001E-1)); +#5167=CARTESIAN_POINT('',(-9.299855715221E-2,3.259631716210E-1, +-5.060365412167E-1)); +#5168=CARTESIAN_POINT('',(-1.015335535201E-1,3.372564463665E-1, +-5.065815735472E-1)); +#5169=CARTESIAN_POINT('',(-1.063165710615E-1,3.453977147147E-1, +-5.069451278820E-1)); +#5170=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.071269293749E-1)); +#5172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5173=VECTOR('',#5172,5.905511811008E-3); +#5174=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.012214175639E-1)); +#5175=LINE('',#5174,#5173); +#5176=CARTESIAN_POINT('',(0.E0,5.151574803150E-1,-3.505092580103E-1)); +#5177=CARTESIAN_POINT('',(-4.350388304876E-3,5.151574803150E-1, +-3.503343655678E-1)); +#5178=CARTESIAN_POINT('',(-1.305032699271E-2,5.146408681228E-1, +-3.499846132757E-1)); +#5179=CARTESIAN_POINT('',(-2.591347677997E-2,5.123282751290E-1, +-3.494601262746E-1)); +#5180=CARTESIAN_POINT('',(-3.841255078440E-2,5.085106042175E-1, +-3.489356497366E-1)); +#5181=CARTESIAN_POINT('',(-5.037398695810E-2,5.032406565797E-1, +-3.484111023436E-1)); +#5182=CARTESIAN_POINT('',(-6.163154917335E-2,4.965900651543E-1, +-3.478863784518E-1)); +#5183=CARTESIAN_POINT('',(-7.201893413986E-2,4.886573322504E-1, +-3.473618652433E-1)); +#5184=CARTESIAN_POINT('',(-8.139453329227E-2,4.795523517388E-1, +-3.468373868834E-1)); +#5185=CARTESIAN_POINT('',(-8.962768552732E-2,4.694021392952E-1, +-3.463128956320E-1)); +#5186=CARTESIAN_POINT('',(-9.660486697744E-2,4.583447560571E-1, +-3.457881967958E-1)); +#5187=CARTESIAN_POINT('',(-1.022233737643E-1,4.465417853857E-1, +-3.452636041924E-1)); +#5188=CARTESIAN_POINT('',(-1.064053906508E-1,4.341597938702E-1, +-3.447391255758E-1)); +#5189=CARTESIAN_POINT('',(-1.090935932113E-1,4.213700899777E-1, +-3.442146470106E-1)); +#5190=CARTESIAN_POINT('',(-1.102503293660E-1,4.083493620752E-1, +-3.436900548636E-1)); +#5191=CARTESIAN_POINT('',(-1.098580060358E-1,3.952805788770E-1, +-3.431653559291E-1)); +#5192=CARTESIAN_POINT('',(-1.079229933576E-1,3.823551139911E-1, +-3.426408632732E-1)); +#5193=CARTESIAN_POINT('',(-1.044729403093E-1,3.697495847993E-1, +-3.421163888638E-1)); +#5194=CARTESIAN_POINT('',(-9.955588131766E-2,3.576397516312E-1, +-3.415918633103E-1)); +#5195=CARTESIAN_POINT('',(-9.323783386482E-2,3.461920871492E-1, +-3.410671828077E-1)); +#5196=CARTESIAN_POINT('',(-8.561252388432E-2,3.355765587342E-1, +-3.405424729151E-1)); +#5197=CARTESIAN_POINT('',(-7.678524310241E-2,3.259374549312E-1, +-3.400186315557E-1)); +#5198=CARTESIAN_POINT('',(-6.688122572584E-2,3.174120421861E-1, +-3.394916498639E-1)); +#5199=CARTESIAN_POINT('',(-5.965442403185E-2,3.125490181940E-1, +-3.391484235829E-1)); +#5200=CARTESIAN_POINT('',(-5.591023278991E-2,3.103402886517E-1, +-3.389736592680E-1)); +#5202=CARTESIAN_POINT('',(-5.542933187193E-2,3.100457853765E-1, +-3.389616905585E-1)); +#5203=CARTESIAN_POINT('',(-5.548453479764E-2,3.100779617527E-1, +-3.389616905585E-1)); +#5204=CARTESIAN_POINT('',(-5.557106789670E-2,3.101476817768E-1, +-3.389677316130E-1)); +#5205=CARTESIAN_POINT('',(-5.574625649295E-2,3.102429030696E-1, +-3.389715284324E-1)); +#5206=CARTESIAN_POINT('',(-5.585503279560E-2,3.103077257161E-1, +-3.389710394019E-1)); +#5207=CARTESIAN_POINT('',(-5.591023278991E-2,3.103402886517E-1, +-3.389736592680E-1)); +#5209=CARTESIAN_POINT('',(-5.542933187193E-2,3.100457853765E-1, +-3.389616905585E-1)); +#5210=CARTESIAN_POINT('',(-5.181479986316E-2,3.079389669388E-1, +-3.387924413792E-1)); +#5211=CARTESIAN_POINT('',(-4.432106962655E-2,3.041430449915E-1, +-3.384348734361E-1)); +#5212=CARTESIAN_POINT('',(-3.254197967317E-2,2.997492106692E-1, +-3.379384628026E-1)); +#5213=CARTESIAN_POINT('',(-2.032940909634E-2,2.967299204340E-1, +-3.374313541867E-1)); +#5214=CARTESIAN_POINT('',(-7.855575615771E-3,2.951196822995E-1, +-3.369271320597E-1)); +#5215=CARTESIAN_POINT('',(4.724422572626E-3,2.949407502803E-1, +-3.364220120155E-1)); +#5216=CARTESIAN_POINT('',(1.724253309266E-2,2.961958956591E-1, +-3.359171058045E-1)); +#5217=CARTESIAN_POINT('',(2.953364777511E-2,2.988679240240E-1, +-3.354122535896E-1)); +#5218=CARTESIAN_POINT('',(4.144019206339E-2,3.029219742912E-1, +-3.349074314324E-1)); +#5219=CARTESIAN_POINT('',(5.280810744476E-2,3.083056332643E-1, +-3.344025864245E-1)); +#5220=CARTESIAN_POINT('',(5.992999271750E-2,3.127352661087E-1, +-3.340659616748E-1)); +#5221=CARTESIAN_POINT('',(6.335343632745E-2,3.151458641109E-1, +-3.338976377953E-1)); +#5223=CARTESIAN_POINT('',(5.846106495181E-2,3.118927550370E-1, +-3.338976377953E-1)); +#5224=CARTESIAN_POINT('',(5.474954916824E-2,3.095652855966E-1, +-3.340737576754E-1)); +#5225=CARTESIAN_POINT('',(4.704889977478E-2,3.053546415174E-1, +-3.344259646137E-1)); +#5226=CARTESIAN_POINT('',(3.483108554763E-2,3.004609255486E-1, +-3.349541328684E-1)); +#5227=CARTESIAN_POINT('',(2.211767074920E-2,2.970572818448E-1, +-3.354822900325E-1)); +#5228=CARTESIAN_POINT('',(9.087713032502E-3,2.951918217904E-1, +-3.360105132409E-1)); +#5229=CARTESIAN_POINT('',(-4.076288864667E-3,2.948921838721E-1, +-3.365389211855E-1)); +#5230=CARTESIAN_POINT('',(-1.717705565949E-2,2.961627032035E-1, +-3.370671208828E-1)); +#5231=CARTESIAN_POINT('',(-3.003223488501E-2,2.989842609132E-1, +-3.375952798886E-1)); +#5232=CARTESIAN_POINT('',(-4.246012294307E-2,3.033168481919E-1, +-3.381234484373E-1)); +#5233=CARTESIAN_POINT('',(-5.428782716812E-2,3.091013811089E-1, +-3.386518177030E-1)); +#5234=CARTESIAN_POINT('',(-6.534028890517E-2,3.162530931639E-1, +-3.391801082741E-1)); +#5235=CARTESIAN_POINT('',(-7.545966958584E-2,3.246683254428E-1, +-3.397082685549E-1)); +#5236=CARTESIAN_POINT('',(-8.450474220395E-2,3.342288494669E-1, +-3.402364274660E-1)); +#5237=CARTESIAN_POINT('',(-9.234810205893E-2,3.448005628243E-1, +-3.407646806659E-1)); +#5238=CARTESIAN_POINT('',(-9.887757458147E-2,3.562346681851E-1, +-3.412930764692E-1)); +#5239=CARTESIAN_POINT('',(-1.039961423218E-1,3.683603571255E-1, +-3.418212575907E-1)); +#5240=CARTESIAN_POINT('',(-1.076339683172E-1,3.810087997930E-1, +-3.423494167339E-1)); +#5241=CARTESIAN_POINT('',(-1.097395831104E-1,3.940010337923E-1, +-3.428775973865E-1)); +#5242=CARTESIAN_POINT('',(-1.102824635432E-1,4.071569344085E-1, +-3.434059926751E-1)); +#5243=CARTESIAN_POINT('',(-1.092540489465E-1,4.202802896379E-1, +-3.439342469002E-1)); +#5244=CARTESIAN_POINT('',(-1.066703239714E-1,4.331853793744E-1, +-3.444624058323E-1)); +#5245=CARTESIAN_POINT('',(-1.025679816444E-1,4.456909283461E-1, +-3.449905660709E-1)); +#5246=CARTESIAN_POINT('',(-9.700387196570E-2,4.576217218913E-1, +-3.455188555047E-1)); +#5247=CARTESIAN_POINT('',(-9.005660612925E-2,4.688061537766E-1, +-3.460472257039E-1)); +#5248=CARTESIAN_POINT('',(-8.182948785987E-2,4.790793436205E-1, +-3.465753945371E-1)); +#5249=CARTESIAN_POINT('',(-7.243760133168E-2,4.882993929440E-1, +-3.471035535786E-1)); +#5250=CARTESIAN_POINT('',(-6.201364047982E-2,4.963358677015E-1, +-3.476317526306E-1)); +#5251=CARTESIAN_POINT('',(-5.070190637486E-2,5.030757147003E-1, +-3.481601607841E-1)); +#5252=CARTESIAN_POINT('',(-3.867183783897E-2,5.084177862438E-1, +-3.486883848101E-1)); +#5253=CARTESIAN_POINT('',(-2.609276307775E-2,5.122885283728E-1, +-3.492165420648E-1)); +#5254=CARTESIAN_POINT('',(-1.314192591115E-2,5.146335816713E-1, +-3.497447103471E-1)); +#5255=CARTESIAN_POINT('',(-4.380923990295E-3,5.151574803150E-1, +-3.500969175128E-1)); +#5256=CARTESIAN_POINT('',(0.E0,5.151574803150E-1,-3.502730375379E-1)); +#5258=DIRECTION('',(-4.517343803932E-13,0.E0,-1.E0)); +#5259=VECTOR('',#5258,2.362204724125E-4); +#5260=CARTESIAN_POINT('',(0.E0,5.151574803150E-1,-3.502730375379E-1)); +#5261=LINE('',#5260,#5259); +#5262=CARTESIAN_POINT('',(-5.577863029397E-2,3.094162133501E-1, +-3.671634613848E-1)); +#5263=CARTESIAN_POINT('',(-5.542618954560E-2,3.091767653515E-1, +-3.671634613848E-1)); +#5264=CARTESIAN_POINT('',(-5.467126356248E-2,3.087487457773E-1, +-3.671256462415E-1)); +#5265=CARTESIAN_POINT('',(-5.335660463791E-2,3.082865977558E-1, +-3.669331865262E-1)); +#5266=CARTESIAN_POINT('',(-5.240471775123E-2,3.081308965323E-1, +-3.667134648864E-1)); +#5267=CARTESIAN_POINT('',(-5.190873104574E-2,3.080915849529E-1, +-3.665800671139E-1)); +#5269=CARTESIAN_POINT('',(-5.791274953728E-2,3.115511480735E-1, +-3.668586865953E-1)); +#5270=CARTESIAN_POINT('',(-5.770312939079E-2,3.112511302065E-1, +-3.669287651544E-1)); +#5271=CARTESIAN_POINT('',(-5.726209148756E-2,3.106933252544E-1, +-3.670435928507E-1)); +#5272=CARTESIAN_POINT('',(-5.653885621453E-2,3.099780140363E-1, +-3.671432729085E-1)); +#5273=CARTESIAN_POINT('',(-5.603499262596E-2,3.095903857395E-1, +-3.671634613848E-1)); +#5274=CARTESIAN_POINT('',(-5.577863029397E-2,3.094162133501E-1, +-3.671634613848E-1)); +#5276=CARTESIAN_POINT('',(-5.591023278991E-2,3.103402886517E-1, +-3.389736592680E-1)); +#5277=CARTESIAN_POINT('',(-5.636724179782E-2,3.106091604363E-1, +-3.389953347816E-1)); +#5278=CARTESIAN_POINT('',(-5.735452217261E-2,3.110553540243E-1, +-3.391049736625E-1)); +#5279=CARTESIAN_POINT('',(-5.899787177184E-2,3.114921489851E-1, +-3.394581380166E-1)); +#5280=CARTESIAN_POINT('',(-6.083745931662E-2,3.116173621402E-1, +-3.400339343736E-1)); +#5281=CARTESIAN_POINT('',(-6.285538309256E-2,3.113926431699E-1, +-3.408463550767E-1)); +#5282=CARTESIAN_POINT('',(-6.502235744804E-2,3.107727965465E-1, +-3.419022760863E-1)); +#5283=CARTESIAN_POINT('',(-6.729332148717E-2,3.097334883190E-1, +-3.431934098117E-1)); +#5284=CARTESIAN_POINT('',(-6.964306719710E-2,3.082491296741E-1, +-3.447183289649E-1)); +#5285=CARTESIAN_POINT('',(-7.204144028147E-2,3.062950591001E-1, +-3.464736722776E-1)); +#5286=CARTESIAN_POINT('',(-7.365953569803E-2,3.046493787015E-1, +-3.478041710894E-1)); +#5287=CARTESIAN_POINT('',(-7.446238630542E-2,3.037385096223E-1, +-3.485062045166E-1)); +#5289=CARTESIAN_POINT('',(-5.861980887762E-2,3.119924909122E-1, +-3.666559740713E-1)); +#5290=CARTESIAN_POINT('',(-5.854198691998E-2,3.119435043034E-1, +-3.666813180621E-1)); +#5291=CARTESIAN_POINT('',(-5.838584973347E-2,3.118454929405E-1, +-3.667301241820E-1)); +#5292=CARTESIAN_POINT('',(-5.815010743481E-2,3.116983380864E-1, +-3.667977095644E-1)); +#5293=CARTESIAN_POINT('',(-5.799202816824E-2,3.116002171401E-1, +-3.668389886841E-1)); +#5294=CARTESIAN_POINT('',(-5.791274953728E-2,3.115511480735E-1, +-3.668586865953E-1)); +#5296=CARTESIAN_POINT('',(-5.861980887762E-2,3.119924909122E-1, +-3.666559740713E-1)); +#5297=CARTESIAN_POINT('',(-5.916665412487E-2,3.123367137385E-1, +-3.666819509151E-1)); +#5298=CARTESIAN_POINT('',(-6.025427726916E-2,3.130347911555E-1, +-3.667339044519E-1)); +#5299=CARTESIAN_POINT('',(-6.186698564730E-2,3.141104640241E-1, +-3.668118333990E-1)); +#5300=CARTESIAN_POINT('',(-6.292934417280E-2,3.148464195553E-1, +-3.668637869357E-1)); +#5301=CARTESIAN_POINT('',(-6.345724267142E-2,3.152190483954E-1, +-3.668897637795E-1)); +#5303=CARTESIAN_POINT('',(-5.856862938733E-2,3.119602945737E-1, +-3.668897637795E-1)); +#5304=CARTESIAN_POINT('',(-5.849591382012E-2,3.119145780541E-1, +-3.668863107586E-1)); +#5305=CARTESIAN_POINT('',(-5.835037563918E-2,3.118233152969E-1, +-3.668794047170E-1)); +#5306=CARTESIAN_POINT('',(-5.813174847046E-2,3.116869327858E-1, +-3.668690456578E-1)); +#5307=CARTESIAN_POINT('',(-5.798578446921E-2,3.115963526374E-1, +-3.668621396162E-1)); +#5308=CARTESIAN_POINT('',(-5.791274953728E-2,3.115511480735E-1, +-3.668586865953E-1)); +#5310=CARTESIAN_POINT('',(-7.438950145915E-2,3.036850166839E-1, +-3.578778196558E-1)); +#5311=CARTESIAN_POINT('',(-7.357866688419E-2,3.045865061476E-1, +-3.585701288656E-1)); +#5312=CARTESIAN_POINT('',(-7.194267705158E-2,3.062239903981E-1, +-3.598783110193E-1)); +#5313=CARTESIAN_POINT('',(-6.951202163089E-2,3.081989823393E-1, +-3.615949833061E-1)); +#5314=CARTESIAN_POINT('',(-6.712790042030E-2,3.097365787030E-1, +-3.630768408327E-1)); +#5315=CARTESIAN_POINT('',(-6.481739462938E-2,3.108645483765E-1, +-3.643257106589E-1)); +#5316=CARTESIAN_POINT('',(-6.260456705733E-2,3.116086777305E-1, +-3.653438318223E-1)); +#5317=CARTESIAN_POINT('',(-6.051621152927E-2,3.119920602009E-1, +-3.661321095684E-1)); +#5318=CARTESIAN_POINT('',(-5.923138302049E-2,3.120252276408E-1, +-3.665053794997E-1)); +#5319=CARTESIAN_POINT('',(-5.861980887762E-2,3.119924909122E-1, +-3.666559740713E-1)); +#5321=CARTESIAN_POINT('',(2.775099801427E-2,2.824300810559E-1, +-3.818897637795E-1)); +#5322=CARTESIAN_POINT('',(3.247494856110E-2,2.834985988495E-1, +-3.817194325551E-1)); +#5323=CARTESIAN_POINT('',(4.179851819049E-2,2.861817652974E-1, +-3.813787966762E-1)); +#5324=CARTESIAN_POINT('',(5.522399963077E-2,2.917899908653E-1, +-3.808679554397E-1)); +#5325=CARTESIAN_POINT('',(6.791356452407E-2,2.989074785732E-1, +-3.803571281699E-1)); +#5326=CARTESIAN_POINT('',(7.969927905383E-2,3.074400000261E-1, +-3.798462734896E-1)); +#5327=CARTESIAN_POINT('',(9.042658450751E-2,3.172771582557E-1, +-3.793352525566E-1)); +#5328=CARTESIAN_POINT('',(9.994644311706E-2,3.282827792560E-1, +-3.788243443787E-1)); +#5329=CARTESIAN_POINT('',(1.081336113854E-1,3.403101293238E-1, +-3.783135134977E-1)); +#5330=CARTESIAN_POINT('',(1.148805129563E-1,3.532006358923E-1, +-3.778026837885E-1)); +#5331=CARTESIAN_POINT('',(1.200979480500E-1,3.667843021033E-1, +-3.772917922433E-1)); +#5332=CARTESIAN_POINT('',(1.237159257402E-1,3.808825645795E-1, +-3.767807640525E-1)); +#5333=CARTESIAN_POINT('',(1.256842956863E-1,3.952992497461E-1, +-3.762698994061E-1)); +#5334=CARTESIAN_POINT('',(1.259785774547E-1,4.098456630184E-1, +-3.757590709027E-1)); +#5335=CARTESIAN_POINT('',(1.245949379250E-1,4.243292906845E-1, +-3.752482365071E-1)); +#5336=CARTESIAN_POINT('',(1.215509379807E-1,4.385601800675E-1, +-3.747372887962E-1)); +#5337=CARTESIAN_POINT('',(1.168864968437E-1,4.523465506257E-1, +-3.742262965312E-1)); +#5338=CARTESIAN_POINT('',(1.106662628886E-1,4.654996385934E-1, +-3.737154561701E-1)); +#5339=CARTESIAN_POINT('',(1.029722527047E-1,4.778481995081E-1, +-3.732046275785E-1)); +#5340=CARTESIAN_POINT('',(9.390646559940E-2,4.892285100900E-1, +-3.726937813396E-1)); +#5341=CARTESIAN_POINT('',(8.358628352523E-2,4.994914901953E-1, +-3.721827722890E-1)); +#5342=CARTESIAN_POINT('',(7.215377041934E-2,5.084950749778E-1, +-3.716718454143E-1)); +#5343=CARTESIAN_POINT('',(5.976229349565E-2,5.161200038825E-1, +-3.711610129638E-1)); +#5344=CARTESIAN_POINT('',(4.657493116228E-2,5.222664338852E-1, +-3.706501840511E-1)); +#5345=CARTESIAN_POINT('',(3.276592105995E-2,5.268530258228E-1, +-3.701393070082E-1)); +#5346=CARTESIAN_POINT('',(1.851602474312E-2,5.298183591674E-1, +-3.696282764272E-1)); +#5347=CARTESIAN_POINT('',(4.023670124947E-3,5.311211420461E-1, +-3.691173993843E-1)); +#5348=CARTESIAN_POINT('',(-1.052088507706E-2,5.307455877008E-1, +-3.686065704715E-1)); +#5349=CARTESIAN_POINT('',(-2.492541963712E-2,5.286967770069E-1, +-3.680957380211E-1)); +#5350=CARTESIAN_POINT('',(-3.900056372703E-2,5.250012102438E-1, +-3.675848111463E-1)); +#5351=CARTESIAN_POINT('',(-5.255810660545E-2,5.197070672739E-1, +-3.670738020957E-1)); +#5352=CARTESIAN_POINT('',(-6.541110550610E-2,5.128879482663E-1, +-3.665629558569E-1)); +#5353=CARTESIAN_POINT('',(-7.739245222448E-2,5.046337323625E-1, +-3.660521272653E-1)); +#5354=CARTESIAN_POINT('',(-8.834330287408E-2,4.950538626560E-1, +-3.655412869041E-1)); +#5355=CARTESIAN_POINT('',(-9.812013715560E-2,4.842726504923E-1, +-3.650302946392E-1)); +#5356=CARTESIAN_POINT('',(-1.065883724824E-1,4.724374006537E-1, +-3.645193469283E-1)); +#5357=CARTESIAN_POINT('',(-1.136348991549E-1,4.597080491158E-1, +-3.640085125326E-1)); +#5358=CARTESIAN_POINT('',(-1.191678389568E-1,4.462517748173E-1, +-3.634976840293E-1)); +#5359=CARTESIAN_POINT('',(-1.231139100710E-1,4.322466395378E-1, +-3.629868193829E-1)); +#5360=CARTESIAN_POINT('',(-1.254202875208E-1,4.178754395018E-1, +-3.624757911920E-1)); +#5361=CARTESIAN_POINT('',(-1.260547018293E-1,4.033380692041E-1, +-3.619648996469E-1)); +#5362=CARTESIAN_POINT('',(-1.250101020750E-1,3.888261895274E-1, +-3.614540699377E-1)); +#5363=CARTESIAN_POINT('',(-1.223004689359E-1,3.745312686755E-1, +-3.609432390566E-1)); +#5364=CARTESIAN_POINT('',(-1.179611915118E-1,3.606416296239E-1, +-3.604323308788E-1)); +#5365=CARTESIAN_POINT('',(-1.120485821604E-1,3.473417869561E-1, +-3.599213099457E-1)); +#5366=CARTESIAN_POINT('',(-1.046449847197E-1,3.348160640525E-1, +-3.594104552655E-1)); +#5367=CARTESIAN_POINT('',(-9.584808853060E-2,3.232273494606E-1, +-3.588996279956E-1)); +#5368=CARTESIAN_POINT('',(-8.577429763576E-2,3.127290408859E-1, +-3.583887867591E-1)); +#5369=CARTESIAN_POINT('',(-7.829505704558E-2,3.065492922651E-1, +-3.580481508803E-1)); +#5370=CARTESIAN_POINT('',(-7.438950145915E-2,3.036850166839E-1, +-3.578778196558E-1)); +#5372=CARTESIAN_POINT('',(-7.446238630542E-2,3.037385096223E-1, +-3.485062045166E-1)); +#5373=CARTESIAN_POINT('',(-7.466916080896E-2,3.038903835976E-1, +-3.492525937989E-1)); +#5374=CARTESIAN_POINT('',(-7.498131514512E-2,3.041215057700E-1, +-3.507922978918E-1)); +#5375=CARTESIAN_POINT('',(-7.512962424618E-2,3.042320325062E-1, +-3.531810023290E-1)); +#5376=CARTESIAN_POINT('',(-7.494902553136E-2,3.040974855068E-1, +-3.555761791225E-1)); +#5377=CARTESIAN_POINT('',(-7.461112533790E-2,3.038475522950E-1, +-3.571256534054E-1)); +#5378=CARTESIAN_POINT('',(-7.438950145915E-2,3.036850166839E-1, +-3.578778196558E-1)); +#5380=CARTESIAN_POINT('',(-1.257874015748E-1,4.051181102362E-1, +-3.804478449267E-1)); +#5381=CARTESIAN_POINT('',(-1.257874015748E-1,4.002341054878E-1, +-3.802760817563E-1)); +#5382=CARTESIAN_POINT('',(-1.252178307593E-1,3.904668765989E-1, +-3.799325819277E-1)); +#5383=CARTESIAN_POINT('',(-1.226674762941E-1,3.760176575403E-1, +-3.794174437077E-1)); +#5384=CARTESIAN_POINT('',(-1.184558222874E-1,3.619630242089E-1, +-3.789023210518E-1)); +#5385=CARTESIAN_POINT('',(-1.126396307993E-1,3.484922110259E-1, +-3.783871749479E-1)); +#5386=CARTESIAN_POINT('',(-1.052950829226E-1,3.357845675609E-1, +-3.778718665418E-1)); +#5387=CARTESIAN_POINT('',(-9.652487568564E-2,3.240187636740E-1, +-3.773566490375E-1)); +#5388=CARTESIAN_POINT('',(-8.644880268680E-2,3.133534647667E-1, +-3.768415205720E-1)); +#5389=CARTESIAN_POINT('',(-7.520196275616E-2,3.039310989335E-1, +-3.763263960434E-1)); +#5390=CARTESIAN_POINT('',(-6.293554489161E-2,2.958784434841E-1, +-3.758112268607E-1)); +#5391=CARTESIAN_POINT('',(-4.981239942402E-2,2.893039713803E-1, +-3.752959016286E-1)); +#5392=CARTESIAN_POINT('',(-3.601798536651E-2,2.843006741762E-1, +-3.747807222027E-1)); +#5393=CARTESIAN_POINT('',(-2.173723057568E-2,2.809342198649E-1, +-3.742655970170E-1)); +#5394=CARTESIAN_POINT('',(-7.162009267824E-3,2.792496935118E-1, +-3.737504698590E-1)); +#5395=CARTESIAN_POINT('',(7.512411597399E-3,2.792699592108E-1, +-3.732352662840E-1)); +#5396=CARTESIAN_POINT('',(2.208822515647E-2,2.809960239845E-1, +-3.727199494216E-1)); +#5397=CARTESIAN_POINT('',(3.636012762887E-2,2.844032168789E-1, +-3.722047970612E-1)); +#5398=CARTESIAN_POINT('',(5.013878097302E-2,2.894450899937E-1, +-3.716896731959E-1)); +#5399=CARTESIAN_POINT('',(6.323858555547E-2,2.960537073167E-1, +-3.711745414446E-1)); +#5400=CARTESIAN_POINT('',(7.548458187626E-2,3.041415087454E-1, +-3.706592924769E-1)); +#5401=CARTESIAN_POINT('',(8.670828519820E-2,3.135984710451E-1, +-3.701440091347E-1)); +#5402=CARTESIAN_POINT('',(9.675417148446E-2,3.242925306319E-1, +-3.696288726957E-1)); +#5403=CARTESIAN_POINT('',(1.054894165563E-1,3.360809692341E-1, +-3.691137487618E-1)); +#5404=CARTESIAN_POINT('',(1.127962298699E-1,3.488048298933E-1, +-3.685986083014E-1)); +#5405=CARTESIAN_POINT('',(1.185767099628E-1,3.622956924934E-1, +-3.680833109786E-1)); +#5406=CARTESIAN_POINT('',(1.227494600465E-1,3.763652147272E-1, +-3.675680783675E-1)); +#5407=CARTESIAN_POINT('',(1.252587279063E-1,3.908213815542E-1, +-3.670529483644E-1)); +#5408=CARTESIAN_POINT('',(1.260714903056E-1,4.054710028051E-1, +-3.665378242776E-1)); +#5409=CARTESIAN_POINT('',(1.251767606791E-1,4.201168952888E-1, +-3.660226641164E-1)); +#5410=CARTESIAN_POINT('',(1.225851744880E-1,4.345641089098E-1, +-3.655073416742E-1)); +#5411=CARTESIAN_POINT('',(1.183331121814E-1,4.486086238046E-1, +-3.649921507843E-1)); +#5412=CARTESIAN_POINT('',(1.124788996023E-1,4.620623199649E-1, +-3.644770247217E-1)); +#5413=CARTESIAN_POINT('',(1.051012791624E-1,4.747447669661E-1, +-3.639618986590E-1)); +#5414=CARTESIAN_POINT('',(9.629905739043E-2,4.864856921583E-1, +-3.634467077691E-1)); +#5415=CARTESIAN_POINT('',(8.618932431025E-2,4.971267258226E-1, +-3.629313853269E-1)); +#5416=CARTESIAN_POINT('',(7.491497560928E-2,5.065177731080E-1, +-3.624162251657E-1)); +#5417=CARTESIAN_POINT('',(6.262683736995E-2,5.145348576232E-1, +-3.619011010789E-1)); +#5418=CARTESIAN_POINT('',(4.949033505448E-2,5.210701075371E-1, +-3.613859710758E-1)); +#5419=CARTESIAN_POINT('',(3.568072096083E-2,5.260357754413E-1, +-3.608707384648E-1)); +#5420=CARTESIAN_POINT('',(2.138575515719E-2,5.293631282136E-1, +-3.603554411419E-1)); +#5421=CARTESIAN_POINT('',(6.805418457698E-3,5.310062753779E-1, +-3.598403006815E-1)); +#5422=CARTESIAN_POINT('',(-7.866594536076E-3,5.309444219060E-1, +-3.593251767477E-1)); +#5423=CARTESIAN_POINT('',(-2.243244240598E-2,5.291784044782E-1, +-3.588100403086E-1)); +#5424=CARTESIAN_POINT('',(-3.669847200057E-2,5.257307890991E-1, +-3.582947569664E-1)); +#5425=CARTESIAN_POINT('',(-5.046617978165E-2,5.206487442062E-1, +-3.577795079987E-1)); +#5426=CARTESIAN_POINT('',(-6.354715350045E-2,5.140029312696E-1, +-3.572643762474E-1)); +#5427=CARTESIAN_POINT('',(-7.576725242584E-2,5.058825276627E-1, +-3.567492523821E-1)); +#5428=CARTESIAN_POINT('',(-8.696185341471E-2,4.963969031550E-1, +-3.562341000217E-1)); +#5429=CARTESIAN_POINT('',(-9.698143885464E-2,4.856711608440E-1, +-3.557187831593E-1)); +#5430=CARTESIAN_POINT('',(-1.056845912374E-1,4.738561628740E-1, +-3.552035795844E-1)); +#5431=CARTESIAN_POINT('',(-1.129550264254E-1,4.611119297709E-1, +-3.546884524263E-1)); +#5432=CARTESIAN_POINT('',(-1.186955797508E-1,4.476093737336E-1, +-3.541733272407E-1)); +#5433=CARTESIAN_POINT('',(-1.228290041605E-1,4.335298266971E-1, +-3.536581478147E-1)); +#5434=CARTESIAN_POINT('',(-1.252987536531E-1,4.190612065883E-1, +-3.531428225826E-1)); +#5435=CARTESIAN_POINT('',(-1.260699894928E-1,4.044080341573E-1, +-3.526276534E-1)); +#5436=CARTESIAN_POINT('',(-1.251337340022E-1,3.897657729939E-1, +-3.521125288713E-1)); +#5437=CARTESIAN_POINT('',(-1.225026652351E-1,3.753313237490E-1, +-3.515974004058E-1)); +#5438=CARTESIAN_POINT('',(-1.182116000494E-1,3.612978838409E-1, +-3.510821829015E-1)); +#5439=CARTESIAN_POINT('',(-1.123175021611E-1,3.478559272858E-1, +-3.505668744954E-1)); +#5440=CARTESIAN_POINT('',(-1.049035787368E-1,3.351939909924E-1, +-3.500517283915E-1)); +#5441=CARTESIAN_POINT('',(-9.606928140360E-2,3.234796434570E-1, +-3.495366057356E-1)); +#5442=CARTESIAN_POINT('',(-8.593352407040E-2,3.128706537510E-1, +-3.490214675156E-1)); +#5443=CARTESIAN_POINT('',(-7.839869824596E-2,3.066296946197E-1, +-3.486779676870E-1)); +#5444=CARTESIAN_POINT('',(-7.446238630542E-2,3.037385096223E-1, +-3.485062045166E-1)); +#5446=DIRECTION('',(0.E0,2.309886625959E-13,-1.E0)); +#5447=VECTOR('',#5446,1.441918852832E-3); +#5448=CARTESIAN_POINT('',(-1.257874015748E-1,4.051181102362E-1, +-3.804478449267E-1)); +#5449=LINE('',#5448,#5447); +#5450=DIRECTION('',(-8.660254037844E-1,-3.711224147928E-14,-5.E-1)); +#5451=VECTOR('',#5450,5.983055619235E-3); +#5452=CARTESIAN_POINT('',(-1.206059234163E-1,4.051181102362E-1, +-3.774563171171E-1)); +#5453=LINE('',#5452,#5451); +#5454=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.818897637795E-1)); +#5455=DIRECTION('',(0.E0,0.E0,1.E0)); +#5456=DIRECTION('',(5.000000000540E-1,-8.660254037532E-1,0.E0)); +#5457=AXIS2_PLACEMENT_3D('',#5454,#5455,#5456); +#5459=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.818897637795E-1)); +#5460=DIRECTION('',(0.E0,0.E0,1.E0)); +#5461=DIRECTION('',(1.E0,0.E0,0.E0)); +#5462=AXIS2_PLACEMENT_3D('',#5459,#5460,#5461); +#5464=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.818897637795E-1)); +#5465=DIRECTION('',(0.E0,0.E0,1.E0)); +#5466=DIRECTION('',(-5.000000000540E-1,8.660254037532E-1,0.E0)); +#5467=AXIS2_PLACEMENT_3D('',#5464,#5465,#5466); +#5469=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.818897637795E-1)); +#5470=DIRECTION('',(0.E0,0.E0,1.E0)); +#5471=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5472=AXIS2_PLACEMENT_3D('',#5469,#5470,#5471); +#5474=CARTESIAN_POINT('',(-1.257874015748E-1,4.051181102362E-1, +-3.818897637795E-1)); +#5475=CARTESIAN_POINT('',(-1.257874015748E-1,4.003994188445E-1, +-3.818897637795E-1)); +#5476=CARTESIAN_POINT('',(-1.252602094467E-1,3.910299067584E-1, +-3.818897637795E-1)); +#5477=CARTESIAN_POINT('',(-1.228977725746E-1,3.770979752426E-1, +-3.818897637795E-1)); +#5478=CARTESIAN_POINT('',(-1.189933232363E-1,3.635321963843E-1, +-3.818897637795E-1)); +#5479=CARTESIAN_POINT('',(-1.135958874299E-1,3.504843601424E-1, +-3.818897637795E-1)); +#5480=CARTESIAN_POINT('',(-1.067731651281E-1,3.381230512791E-1, +-3.818897637795E-1)); +#5481=CARTESIAN_POINT('',(-9.861078030957E-2,3.266020634827E-1, +-3.818897637795E-1)); +#5482=CARTESIAN_POINT('',(-8.921106366743E-2,3.160662219532E-1, +-3.818897637795E-1)); +#5483=CARTESIAN_POINT('',(-7.869215237619E-2,3.066478275066E-1, +-3.818897637795E-1)); +#5484=CARTESIAN_POINT('',(-6.718603058956E-2,2.984649916964E-1, +-3.818897637795E-1)); +#5485=CARTESIAN_POINT('',(-5.483683699522E-2,2.916201926624E-1, +-3.818897637795E-1)); +#5486=CARTESIAN_POINT('',(-4.179914592327E-2,2.861992982379E-1, +-3.818897637795E-1)); +#5487=CARTESIAN_POINT('',(-2.823670711627E-2,2.822703510078E-1, +-3.818897637795E-1)); +#5488=CARTESIAN_POINT('',(-1.431838345264E-2,2.798831426173E-1, +-3.818897637795E-1)); +#5489=CARTESIAN_POINT('',(-2.239937863283E-4,2.790667070698E-1, +-3.818897637795E-1)); +#5490=CARTESIAN_POINT('',(1.388830803381E-2,2.798354460081E-1, +-3.818897637795E-1)); +#5491=CARTESIAN_POINT('',(2.314660411536E-2,2.813886059682E-1, +-3.818897637795E-1)); +#5492=CARTESIAN_POINT('',(2.775099801427E-2,2.824300810559E-1, +-3.818897637795E-1)); +#5494=DIRECTION('',(1.E0,-1.484192885551E-13,0.E0)); +#5495=VECTOR('',#5494,7.480314960630E-4); +#5496=CARTESIAN_POINT('',(-1.257874015748E-1,4.051181102362E-1, +-3.818897637795E-1)); +#5497=LINE('',#5496,#5495); +#5498=CARTESIAN_POINT('',(2.775099801427E-2,2.824300810559E-1, +-3.818897637795E-1)); +#5499=CARTESIAN_POINT('',(2.906305776196E-2,2.828120429844E-1, +-3.818897637795E-1)); +#5500=CARTESIAN_POINT('',(3.167105774978E-2,2.836177114E-1,-3.818897637795E-1)); +#5501=CARTESIAN_POINT('',(3.553714965004E-2,2.849517723200E-1, +-3.818897637795E-1)); +#5502=CARTESIAN_POINT('',(3.807937582177E-2,2.859230421314E-1, +-3.818897637795E-1)); +#5503=CARTESIAN_POINT('',(3.934209464042E-2,2.864292579417E-1, +-3.818897637795E-1)); +#5505=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.818897637795E-1)); +#5506=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5507=DIRECTION('',(5.000000000540E-1,-8.660254037532E-1,0.E0)); +#5508=AXIS2_PLACEMENT_3D('',#5505,#5506,#5507); +#5510=CARTESIAN_POINT('',(0.E0,2.232755057670E-1,-3.329632122011E-1)); +#5511=CARTESIAN_POINT('',(-4.389084132532E-3,2.258095446725E-1, +-3.315001841569E-1)); +#5512=CARTESIAN_POINT('',(-1.304644259655E-2,2.308078729121E-1, +-3.287988961872E-1)); +#5513=CARTESIAN_POINT('',(-2.559884241393E-2,2.380550043257E-1, +-3.254544306596E-1)); +#5514=CARTESIAN_POINT('',(-3.794415409338E-2,2.451825733470E-1, +-3.227696151437E-1)); +#5515=CARTESIAN_POINT('',(-4.613155459515E-2,2.499095712307E-1, +-3.214225603634E-1)); +#5516=CARTESIAN_POINT('',(-5.020729916280E-2,2.522627034540E-1, +-3.208661417323E-1)); +#5518=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5519=VECTOR('',#5518,3.486097717259E-2); +#5520=CARTESIAN_POINT('',(0.E0,2.232755057670E-1,-3.329632122011E-1)); +#5521=LINE('',#5520,#5519); +#5522=CARTESIAN_POINT('',(-7.874015748452E-2,2.687361568868E-1, +-3.818897637795E-1)); +#5523=CARTESIAN_POINT('',(-7.440834199860E-2,2.662351820499E-1, +-3.818897637796E-1)); +#5524=CARTESIAN_POINT('',(-6.575090172006E-2,2.612368065746E-1, +-3.816139190614E-1)); +#5525=CARTESIAN_POINT('',(-5.278774957597E-2,2.537525271946E-1, +-3.803880211702E-1)); +#5526=CARTESIAN_POINT('',(-3.983428146659E-2,2.462738388948E-1, +-3.783845412590E-1)); +#5527=CARTESIAN_POINT('',(-2.682944366781E-2,2.387654922909E-1, +-3.756396453657E-1)); +#5528=CARTESIAN_POINT('',(-1.365682766089E-2,2.311602788933E-1, +-3.721745143550E-1)); +#5529=CARTESIAN_POINT('',(-4.590860437454E-3,2.259260402764E-1, +-3.693544761862E-1)); +#5530=CARTESIAN_POINT('',(0.E0,2.232755057670E-1,-3.678241893737E-1)); +#5532=CARTESIAN_POINT('',(-1.574803149606E-1,3.141968080016E-1, +-3.678241893737E-1)); +#5533=CARTESIAN_POINT('',(-1.528519024665E-1,3.115245928023E-1, +-3.693669935384E-1)); +#5534=CARTESIAN_POINT('',(-1.437259046660E-1,3.062556955155E-1, +-3.722036276794E-1)); +#5535=CARTESIAN_POINT('',(-1.305154623366E-1,2.986286430805E-1, +-3.756721401632E-1)); +#5536=CARTESIAN_POINT('',(-1.175094833640E-1,2.911196376196E-1, +-3.784093113191E-1)); +#5537=CARTESIAN_POINT('',(-1.045839735657E-1,2.836570910581E-1, +-3.804007652060E-1)); +#5538=CARTESIAN_POINT('',(-9.167034043141E-2,2.762014014918E-1, +-3.816165274180E-1)); +#5539=CARTESIAN_POINT('',(-8.305092631288E-2,2.712249804302E-1, +-3.818897637795E-1)); +#5540=CARTESIAN_POINT('',(-7.874015748452E-2,2.687361568868E-1, +-3.818897637795E-1)); +#5542=CARTESIAN_POINT('',(-1.072730157978E-1,2.852096103147E-1, +-3.208661417323E-1)); +#5543=CARTESIAN_POINT('',(-1.113697047291E-1,2.875748347720E-1, +-3.214254196775E-1)); +#5544=CARTESIAN_POINT('',(-1.195892565094E-1,2.923203952049E-1, +-3.227791724801E-1)); +#5545=CARTESIAN_POINT('',(-1.319571975375E-1,2.994610292868E-1, +-3.254727100206E-1)); +#5546=CARTESIAN_POINT('',(-1.444977829527E-1,3.067013396521E-1, +-3.288179716404E-1)); +#5547=CARTESIAN_POINT('',(-1.531169951901E-1,3.116776441576E-1, +-3.315087722776E-1)); +#5548=CARTESIAN_POINT('',(-1.574803149606E-1,3.141968080016E-1, +-3.329632122011E-1)); +#5550=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#5551=DIRECTION('',(0.E0,0.E0,1.E0)); +#5552=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5553=AXIS2_PLACEMENT_3D('',#5550,#5551,#5552); +#5555=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#5556=DIRECTION('',(0.E0,0.E0,1.E0)); +#5557=DIRECTION('',(1.E0,0.E0,0.E0)); +#5558=AXIS2_PLACEMENT_3D('',#5555,#5556,#5557); +#5560=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#5561=VECTOR('',#5560,6.589381372147E-2); +#5562=CARTESIAN_POINT('',(-1.072730157978E-1,5.250266101577E-1, +-3.208661417323E-1)); +#5563=LINE('',#5562,#5561); +#5564=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#5565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5566=DIRECTION('',(-3.120600513837E-1,9.500623791786E-1,0.E0)); +#5567=AXIS2_PLACEMENT_3D('',#5564,#5565,#5566); +#5569=DIRECTION('',(8.660254037844E-1,-5.E-1,0.E0)); +#5570=VECTOR('',#5569,6.589381372147E-2); +#5571=CARTESIAN_POINT('',(5.020729916280E-2,5.579735170185E-1, +-3.208661417323E-1)); +#5572=LINE('',#5571,#5570); +#5573=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#5574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5575=DIRECTION('',(6.667481298567E-1,7.452831215938E-1,0.E0)); +#5576=AXIS2_PLACEMENT_3D('',#5573,#5574,#5575); +#5578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5579=VECTOR('',#5578,6.589381372147E-2); +#5580=CARTESIAN_POINT('',(1.574803149606E-1,4.380650170970E-1, +-3.208661417323E-1)); +#5581=LINE('',#5580,#5579); +#5582=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#5583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5584=DIRECTION('',(9.788081812403E-1,-2.047792575848E-1,0.E0)); +#5585=AXIS2_PLACEMENT_3D('',#5582,#5583,#5584); +#5587=DIRECTION('',(-8.660254037844E-1,-5.E-1,0.E0)); +#5588=VECTOR('',#5587,6.589381372147E-2); +#5589=CARTESIAN_POINT('',(1.072730157978E-1,2.852096103147E-1, +-3.208661417323E-1)); +#5590=LINE('',#5589,#5588); +#5591=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#5592=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5593=DIRECTION('',(3.120600513837E-1,-9.500623791786E-1,0.E0)); +#5594=AXIS2_PLACEMENT_3D('',#5591,#5592,#5593); +#5596=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#5597=VECTOR('',#5596,6.589381372147E-2); +#5598=CARTESIAN_POINT('',(-5.020729916280E-2,2.522627034540E-1, +-3.208661417323E-1)); +#5599=LINE('',#5598,#5597); +#5600=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#5601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5602=DIRECTION('',(-6.667481298567E-1,-7.452831215938E-1,0.E0)); +#5603=AXIS2_PLACEMENT_3D('',#5600,#5601,#5602); +#5605=DIRECTION('',(0.E0,1.E0,0.E0)); +#5606=VECTOR('',#5605,6.589381372147E-2); +#5607=CARTESIAN_POINT('',(-1.574803149606E-1,3.721712033755E-1, +-3.208661417323E-1)); +#5608=LINE('',#5607,#5606); +#5609=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#5610=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5611=DIRECTION('',(-9.788081812403E-1,2.047792575848E-1,0.E0)); +#5612=AXIS2_PLACEMENT_3D('',#5609,#5610,#5611); +#5614=DIRECTION('',(0.E0,0.E0,1.E0)); +#5615=VECTOR('',#5614,1.968503937008E-2); +#5616=CARTESIAN_POINT('',(-2.007874015748E-1,4.051181102362E-1, +-3.208661417323E-1)); +#5617=LINE('',#5616,#5615); +#5618=DIRECTION('',(0.E0,0.E0,1.E0)); +#5619=VECTOR('',#5618,1.968503937008E-2); +#5620=CARTESIAN_POINT('',(2.007874015748E-1,4.051181102362E-1, +-3.208661417323E-1)); +#5621=LINE('',#5620,#5619); +#5622=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#5623=DIRECTION('',(0.E0,0.E0,1.E0)); +#5624=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5625=AXIS2_PLACEMENT_3D('',#5622,#5623,#5624); +#5627=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#5628=DIRECTION('',(0.E0,0.E0,1.E0)); +#5629=DIRECTION('',(1.E0,0.E0,0.E0)); +#5630=AXIS2_PLACEMENT_3D('',#5627,#5628,#5629); +#5632=CARTESIAN_POINT('',(-1.968503937008E-2,2.508572189097E-1, +-3.011811023622E-1)); +#5633=CARTESIAN_POINT('',(-1.403360770195E-2,2.501360467842E-1, +-3.011811023622E-1)); +#5634=CARTESIAN_POINT('',(-8.342502606872E-3,2.497271180560E-1, +-3.011811023622E-1)); +#5635=CARTESIAN_POINT('',(-2.646078617345E-3,2.496304327251E-1, +-3.011811023622E-1)); +#5637=CARTESIAN_POINT('',(1.968503937008E-2,2.508572189097E-1, +-3.011811023622E-1)); +#5638=CARTESIAN_POINT('',(1.228365703655E-2,2.499127377689E-1, +-3.011811023622E-1)); +#5639=CARTESIAN_POINT('',(4.814227789287E-3,2.495038090407E-1, +-3.011811023622E-1)); +#5640=CARTESIAN_POINT('',(-2.646078617345E-3,2.496304327251E-1, +-3.011811023622E-1)); +#5642=CARTESIAN_POINT('',(7.474674554187E-2,2.687478517531E-1, +-3.011811023622E-1)); +#5643=CARTESIAN_POINT('',(7.974274414369E-2,2.714862394366E-1, +-3.011811023622E-1)); +#5644=CARTESIAN_POINT('',(8.458730715807E-2,2.745005567901E-1, +-3.011811023622E-1)); +#5645=CARTESIAN_POINT('',(8.925264118438E-2,2.777706107261E-1, +-3.011811023622E-1)); +#5647=CARTESIAN_POINT('',(1.065978083125E-1,2.918890034182E-1, +-3.011811023622E-1)); +#5648=CARTESIAN_POINT('',(1.011651163085E-1,2.867744787421E-1, +-3.011811023622E-1)); +#5649=CARTESIAN_POINT('',(9.536258338488E-2,2.820532280969E-1, +-3.011811023622E-1)); +#5650=CARTESIAN_POINT('',(8.925264118438E-2,2.777706107261E-1, +-3.011811023622E-1)); +#5652=CARTESIAN_POINT('',(1.406278142053E-1,3.387272882825E-1, +-3.011811023622E-1)); +#5653=CARTESIAN_POINT('',(1.430600780826E-1,3.438792647542E-1, +-3.011811023622E-1)); +#5654=CARTESIAN_POINT('',(1.452076406055E-1,3.491654614133E-1, +-3.011811023622E-1)); +#5655=CARTESIAN_POINT('',(1.470598856394E-1,3.545532051577E-1, +-3.011811023622E-1)); +#5657=CARTESIAN_POINT('',(1.527938376059E-1,3.761704582153E-1, +-3.011811023622E-1)); +#5658=CARTESIAN_POINT('',(1.514049396265E-1,3.688394645941E-1, +-3.011811023622E-1)); +#5659=CARTESIAN_POINT('',(1.494856733824E-1,3.616092493089E-1, +-3.011811023622E-1)); +#5660=CARTESIAN_POINT('',(1.470598856394E-1,3.545532051577E-1, +-3.011811023622E-1)); +#5662=CARTESIAN_POINT('',(1.527938376059E-1,4.340657622571E-1, +-3.011811023622E-1)); +#5663=CARTESIAN_POINT('',(1.517333246272E-1,4.396634476141E-1, +-3.011811023622E-1)); +#5664=CARTESIAN_POINT('',(1.503635907677E-1,4.452023761262E-1, +-3.011811023622E-1)); +#5665=CARTESIAN_POINT('',(1.486952521618E-1,4.506498746914E-1, +-3.011811023622E-1)); +#5667=CARTESIAN_POINT('',(1.406278142053E-1,4.715089321900E-1, +-3.011811023622E-1)); +#5668=CARTESIAN_POINT('',(1.438132220717E-1,4.647616600155E-1, +-3.011811023622E-1)); +#5669=CARTESIAN_POINT('',(1.465103169791E-1,4.577841765834E-1, +-3.011811023622E-1)); +#5670=CARTESIAN_POINT('',(1.486952521618E-1,4.506498746914E-1, +-3.011811023622E-1)); +#5672=CARTESIAN_POINT('',(1.065978083125E-1,5.183472170543E-1, +-3.011811023622E-1)); +#5673=CARTESIAN_POINT('',(1.024495983902E-1,5.222524857485E-1, +-3.011811023622E-1)); +#5674=CARTESIAN_POINT('',(9.808575992722E-2,5.259284636833E-1, +-3.011811023622E-1)); +#5675=CARTESIAN_POINT('',(9.353408632414E-2,5.293549577709E-1, +-3.011811023622E-1)); +#5677=CARTESIAN_POINT('',(7.474674554187E-2,5.414883687193E-1, +-3.011811023622E-1)); +#5678=CARTESIAN_POINT('',(8.128974171722E-2,5.379020466309E-1, +-3.011811023622E-1)); +#5679=CARTESIAN_POINT('',(8.757299919222E-2,5.338424565669E-1, +-3.011811023622E-1)); +#5680=CARTESIAN_POINT('',(9.353408632414E-2,5.293549577709E-1, +-3.011811023622E-1)); +#5682=CARTESIAN_POINT('',(1.968503937008E-2,5.593790015627E-1, +-3.011811023622E-1)); +#5683=CARTESIAN_POINT('',(1.403360770195E-2,5.601001736882E-1, +-3.011811023622E-1)); +#5684=CARTESIAN_POINT('',(8.342502606872E-3,5.605091024165E-1, +-3.011811023622E-1)); +#5685=CARTESIAN_POINT('',(2.646078617345E-3,5.606057877474E-1, +-3.011811023622E-1)); +#5687=CARTESIAN_POINT('',(-1.968503937008E-2,5.593790015627E-1, +-3.011811023622E-1)); +#5688=CARTESIAN_POINT('',(-1.228365703655E-2,5.603234827036E-1, +-3.011811023622E-1)); +#5689=CARTESIAN_POINT('',(-4.814227789287E-3,5.607324114318E-1, +-3.011811023622E-1)); +#5690=CARTESIAN_POINT('',(2.646078617345E-3,5.606057877474E-1, +-3.011811023622E-1)); +#5692=CARTESIAN_POINT('',(-7.474674554187E-2,5.414883687193E-1, +-3.011811023622E-1)); +#5693=CARTESIAN_POINT('',(-7.974274414369E-2,5.387499810359E-1, +-3.011811023622E-1)); +#5694=CARTESIAN_POINT('',(-8.458730715807E-2,5.357356636824E-1, +-3.011811023622E-1)); +#5695=CARTESIAN_POINT('',(-8.925264118438E-2,5.324656097463E-1, +-3.011811023622E-1)); +#5697=CARTESIAN_POINT('',(-1.065978083125E-1,5.183472170543E-1, +-3.011811023622E-1)); +#5698=CARTESIAN_POINT('',(-1.011651163085E-1,5.234617417303E-1, +-3.011811023622E-1)); +#5699=CARTESIAN_POINT('',(-9.536258338488E-2,5.281829923755E-1, +-3.011811023622E-1)); +#5700=CARTESIAN_POINT('',(-8.925264118438E-2,5.324656097463E-1, +-3.011811023622E-1)); +#5702=CARTESIAN_POINT('',(-1.406278142053E-1,4.715089321900E-1, +-3.011811023622E-1)); +#5703=CARTESIAN_POINT('',(-1.430600780826E-1,4.663569557183E-1, +-3.011811023622E-1)); +#5704=CARTESIAN_POINT('',(-1.452076406055E-1,4.610707590592E-1, +-3.011811023622E-1)); +#5705=CARTESIAN_POINT('',(-1.470598856394E-1,4.556830153147E-1, +-3.011811023622E-1)); +#5707=CARTESIAN_POINT('',(-1.527938376059E-1,4.340657622571E-1, +-3.011811023622E-1)); +#5708=CARTESIAN_POINT('',(-1.514049396265E-1,4.413967558784E-1, +-3.011811023622E-1)); +#5709=CARTESIAN_POINT('',(-1.494856733824E-1,4.486269711635E-1, +-3.011811023622E-1)); +#5710=CARTESIAN_POINT('',(-1.470598856394E-1,4.556830153147E-1, +-3.011811023622E-1)); +#5712=CARTESIAN_POINT('',(-1.527938376059E-1,3.761704582153E-1, +-3.011811023622E-1)); +#5713=CARTESIAN_POINT('',(-1.517333246272E-1,3.705727728583E-1, +-3.011811023622E-1)); +#5714=CARTESIAN_POINT('',(-1.503635907677E-1,3.650338443462E-1, +-3.011811023622E-1)); +#5715=CARTESIAN_POINT('',(-1.486952521618E-1,3.595863457810E-1, +-3.011811023622E-1)); +#5717=CARTESIAN_POINT('',(-1.406278142053E-1,3.387272882825E-1, +-3.011811023622E-1)); +#5718=CARTESIAN_POINT('',(-1.438132220717E-1,3.454745604570E-1, +-3.011811023622E-1)); +#5719=CARTESIAN_POINT('',(-1.465103169791E-1,3.524520438891E-1, +-3.011811023622E-1)); +#5720=CARTESIAN_POINT('',(-1.486952521618E-1,3.595863457810E-1, +-3.011811023622E-1)); +#5722=CARTESIAN_POINT('',(-1.065978083125E-1,2.918890034182E-1, +-3.011811023622E-1)); +#5723=CARTESIAN_POINT('',(-1.024495983902E-1,2.879837347239E-1, +-3.011811023622E-1)); +#5724=CARTESIAN_POINT('',(-9.808575992722E-2,2.843077567891E-1, +-3.011811023622E-1)); +#5725=CARTESIAN_POINT('',(-9.353408632414E-2,2.808812627015E-1, +-3.011811023622E-1)); +#5727=CARTESIAN_POINT('',(-7.474674554187E-2,2.687478517531E-1, +-3.011811023622E-1)); +#5728=CARTESIAN_POINT('',(-8.128974171722E-2,2.723341738415E-1, +-3.011811023622E-1)); +#5729=CARTESIAN_POINT('',(-8.757299919222E-2,2.763937639055E-1, +-3.011811023622E-1)); +#5730=CARTESIAN_POINT('',(-9.353408632414E-2,2.808812627015E-1, +-3.011811023622E-1)); +#5732=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#5733=DIRECTION('',(0.E0,0.E0,1.E0)); +#5734=DIRECTION('',(-4.806499586743E-1,-8.769125482204E-1,0.E0)); +#5735=AXIS2_PLACEMENT_3D('',#5732,#5733,#5734); +#5737=DIRECTION('',(0.E0,0.E0,1.E0)); +#5738=VECTOR('',#5737,1.771653543307E-2); +#5739=CARTESIAN_POINT('',(-1.968503937008E-2,2.508572189097E-1, +-3.188976377953E-1)); +#5740=LINE('',#5739,#5738); +#5741=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#5742=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5743=DIRECTION('',(-1.265822784810E-1,-9.919561113147E-1,0.E0)); +#5744=AXIS2_PLACEMENT_3D('',#5741,#5742,#5743); +#5746=DIRECTION('',(4.427456991817E-13,0.E0,-1.E0)); +#5747=VECTOR('',#5746,9.403448413026E-5); +#5748=CARTESIAN_POINT('',(-7.474674554187E-2,2.687478517531E-1, +-3.011811023622E-1)); +#5749=LINE('',#5748,#5747); +#5750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5751=VECTOR('',#5750,1.762250094894E-2); +#5752=CARTESIAN_POINT('',(-7.474674554187E-2,2.687478517531E-1, +-3.012751368463E-1)); +#5753=LINE('',#5752,#5751); +#5754=CARTESIAN_POINT('',(-2.789258956942E-2,2.824621937786E-1, +-3.188976377953E-1)); +#5755=CARTESIAN_POINT('',(-2.920420663506E-2,2.828456695959E-1, +-3.188976377953E-1)); +#5756=CARTESIAN_POINT('',(-3.181127373015E-2,2.836543472767E-1, +-3.188976377953E-1)); +#5757=CARTESIAN_POINT('',(-3.567582332710E-2,2.849928695910E-1, +-3.188976377953E-1)); +#5758=CARTESIAN_POINT('',(-3.821692793833E-2,2.859670734821E-1, +-3.188976377953E-1)); +#5759=CARTESIAN_POINT('',(-3.947906250984E-2,2.864747467765E-1, +-3.188976377953E-1)); +#5761=CARTESIAN_POINT('',(1.192107614498E-1,4.452586726327E-1, +-3.188976377953E-1)); +#5762=CARTESIAN_POINT('',(1.207092569934E-1,4.408083913181E-1, +-3.188976377953E-1)); +#5763=CARTESIAN_POINT('',(1.231900041145E-1,4.318047984988E-1, +-3.188976377953E-1)); +#5764=CARTESIAN_POINT('',(1.253973852986E-1,4.179178085949E-1, +-3.188976377953E-1)); +#5765=CARTESIAN_POINT('',(1.260421717830E-1,4.038856347473E-1, +-3.188976377953E-1)); +#5766=CARTESIAN_POINT('',(1.251222245590E-1,3.898653195380E-1, +-3.188976377953E-1)); +#5767=CARTESIAN_POINT('',(1.226474532501E-1,3.760355502487E-1, +-3.188976377953E-1)); +#5768=CARTESIAN_POINT('',(1.186490546162E-1,3.625669382803E-1, +-3.188976377953E-1)); +#5769=CARTESIAN_POINT('',(1.131765996489E-1,3.496270774717E-1, +-3.188976377953E-1)); +#5770=CARTESIAN_POINT('',(1.062980692238E-1,3.373765280721E-1, +-3.188976377953E-1)); +#5771=CARTESIAN_POINT('',(9.809861495219E-2,3.259672043771E-1, +-3.188976377953E-1)); +#5772=CARTESIAN_POINT('',(8.867970302043E-2,3.155405423486E-1, +-3.188976377953E-1)); +#5773=CARTESIAN_POINT('',(7.815612028255E-2,3.062249026838E-1, +-3.188976377953E-1)); +#5774=CARTESIAN_POINT('',(6.666168693605E-2,2.981394027188E-1, +-3.188976377953E-1)); +#5775=CARTESIAN_POINT('',(5.434029463099E-2,2.913844474816E-1, +-3.188976377953E-1)); +#5776=CARTESIAN_POINT('',(4.134280568397E-2,2.860423981853E-1, +-3.188976377953E-1)); +#5777=CARTESIAN_POINT('',(2.782608105112E-2,2.821791155932E-1, +-3.188976377953E-1)); +#5778=CARTESIAN_POINT('',(1.396656225532E-2,2.798459958098E-1, +-3.188976377953E-1)); +#5779=CARTESIAN_POINT('',(-6.052679106926E-5,2.790691293479E-1, +-3.188976377953E-1)); +#5780=CARTESIAN_POINT('',(-1.410132317838E-2,2.798618328608E-1, +-3.188976377953E-1)); +#5781=CARTESIAN_POINT('',(-2.331213424772E-2,2.814205745562E-1, +-3.188976377953E-1)); +#5782=CARTESIAN_POINT('',(-2.789258956942E-2,2.824621937786E-1, +-3.188976377953E-1)); +#5784=CARTESIAN_POINT('',(1.140482733590E-1,4.563805274004E-1, +-3.188976377953E-1)); +#5785=CARTESIAN_POINT('',(1.146808509583E-1,4.551761325735E-1, +-3.188976377953E-1)); +#5786=CARTESIAN_POINT('',(1.159067739048E-1,4.527464384768E-1, +-3.188976377953E-1)); +#5787=CARTESIAN_POINT('',(1.176288443538E-1,4.490368749704E-1, +-3.188976377953E-1)); +#5788=CARTESIAN_POINT('',(1.186967490218E-1,4.465248394464E-1, +-3.188976377953E-1)); +#5789=CARTESIAN_POINT('',(1.192107614498E-1,4.452586726327E-1, +-3.188976377953E-1)); +#5791=CARTESIAN_POINT('',(-2.545034131336E-2,2.673019651026E-1, +-3.188976377953E-1)); +#5792=DIRECTION('',(0.E0,0.E0,1.E0)); +#5793=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5794=AXIS2_PLACEMENT_3D('',#5791,#5792,#5793); +#5796=CARTESIAN_POINT('',(-2.545034131336E-2,2.673019651026E-1, +-3.188976377953E-1)); +#5797=DIRECTION('',(0.E0,0.E0,1.E0)); +#5798=DIRECTION('',(0.E0,1.E0,0.E0)); +#5799=AXIS2_PLACEMENT_3D('',#5796,#5797,#5798); +#5801=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#5802=DIRECTION('',(0.E0,0.E0,1.E0)); +#5803=DIRECTION('',(1.265822784810E-1,-9.919561113147E-1,0.E0)); +#5804=AXIS2_PLACEMENT_3D('',#5801,#5802,#5803); +#5806=DIRECTION('',(0.E0,0.E0,1.E0)); +#5807=VECTOR('',#5806,1.771653543307E-2); +#5808=CARTESIAN_POINT('',(7.474674554187E-2,2.687478517531E-1, +-3.188976377953E-1)); +#5809=LINE('',#5808,#5807); +#5810=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#5811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5812=DIRECTION('',(4.806499586743E-1,-8.769125482204E-1,0.E0)); +#5813=AXIS2_PLACEMENT_3D('',#5810,#5811,#5812); +#5815=DIRECTION('',(-1.106864247954E-13,-5.903275989090E-13,-1.E0)); +#5816=VECTOR('',#5815,9.403448413026E-5); +#5817=CARTESIAN_POINT('',(1.968503937008E-2,2.508572189097E-1, +-3.011811023622E-1)); +#5818=LINE('',#5817,#5816); +#5819=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5820=VECTOR('',#5819,1.762250094894E-2); +#5821=CARTESIAN_POINT('',(1.968503937008E-2,2.508572189097E-1, +-3.012751368463E-1)); +#5822=LINE('',#5821,#5820); +#5823=CARTESIAN_POINT('',(7.474674554187E-2,2.687478517531E-1, +-3.188976377953E-1)); +#5824=CARTESIAN_POINT('',(7.422361829734E-2,2.694016651518E-1, +-3.188976377953E-1)); +#5825=CARTESIAN_POINT('',(7.315866831436E-2,2.706867448546E-1, +-3.188976377953E-1)); +#5826=CARTESIAN_POINT('',(7.205670113034E-2,2.719270069951E-1, +-3.188976377953E-1)); +#5827=CARTESIAN_POINT('',(7.149655732156E-2,2.725360258167E-1, +-3.188976377953E-1)); +#5829=CARTESIAN_POINT('',(6.505968864250E-2,2.949403236476E-1, +-2.926572302777E-1)); +#5830=CARTESIAN_POINT('',(6.220844889371E-2,2.932566741930E-1, +-2.983666339226E-1)); +#5831=CARTESIAN_POINT('',(5.929182249117E-2,2.916837601782E-1, +-3.040549243109E-1)); +#5832=CARTESIAN_POINT('',(5.631859116811E-2,2.902263175239E-1, +-3.097049744467E-1)); +#5834=DIRECTION('',(3.317677754318E-1,-8.970545155017E-1,-2.919303673875E-1)); +#5835=VECTOR('',#5834,2.919830561231E-2); +#5836=CARTESIAN_POINT('',(6.505968864250E-2,2.949403236476E-1, +-2.926572302777E-1)); +#5837=LINE('',#5836,#5835); +#5838=CARTESIAN_POINT('',(7.149655732156E-2,2.725360258167E-1, +-3.188976377953E-1)); +#5839=CARTESIAN_POINT('',(7.211424716758E-2,2.730808358372E-1, +-3.188976377953E-1)); +#5840=CARTESIAN_POINT('',(7.330912503865E-2,2.742102679830E-1, +-3.188976377953E-1)); +#5841=CARTESIAN_POINT('',(7.496362331573E-2,2.760955567374E-1, +-3.188976377953E-1)); +#5842=CARTESIAN_POINT('',(7.634420641647E-2,2.781686781482E-1, +-3.188976377953E-1)); +#5843=CARTESIAN_POINT('',(7.728429497943E-2,2.803553649158E-1, +-3.188976377953E-1)); +#5844=CARTESIAN_POINT('',(7.771312283640E-2,2.826310510800E-1, +-3.188976377953E-1)); +#5845=CARTESIAN_POINT('',(7.758993531885E-2,2.850491295270E-1, +-3.188976377953E-1)); +#5846=CARTESIAN_POINT('',(7.692559732806E-2,2.875410744096E-1, +-3.188976377953E-1)); +#5847=CARTESIAN_POINT('',(7.574495933565E-2,2.901786026155E-1, +-3.188976377953E-1)); +#5848=CARTESIAN_POINT('',(7.416463711002E-2,2.928495856746E-1, +-3.188976377953E-1)); +#5849=CARTESIAN_POINT('',(7.230450556448E-2,2.955188367950E-1, +-3.188976377953E-1)); +#5850=CARTESIAN_POINT('',(7.094183405638E-2,2.972797172023E-1, +-3.188976377953E-1)); +#5851=CARTESIAN_POINT('',(7.023278019321E-2,2.981636136961E-1, +-3.188976377953E-1)); +#5853=CARTESIAN_POINT('',(5.631859116811E-2,2.902263175239E-1, +-3.097049744467E-1)); +#5854=CARTESIAN_POINT('',(6.111620427183E-2,2.925780504422E-1, +-3.127439525397E-1)); +#5855=CARTESIAN_POINT('',(6.576668789242E-2,2.952307655486E-1, +-3.158163024010E-1)); +#5856=CARTESIAN_POINT('',(7.023278019321E-2,2.981636136961E-1, +-3.188976377953E-1)); +#5858=DIRECTION('',(6.057525732541E-1,-7.060194768449E-1,-3.668791603671E-1)); +#5859=VECTOR('',#5858,2.505637916141E-2); +#5860=CARTESIAN_POINT('',(5.631859116811E-2,2.902263175239E-1, +-3.097049744467E-1)); +#5861=LINE('',#5860,#5859); +#5862=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#5863=DIRECTION('',(0.E0,0.E0,1.E0)); +#5864=DIRECTION('',(5.488961898177E-1,-8.358905268058E-1,0.E0)); +#5865=AXIS2_PLACEMENT_3D('',#5862,#5863,#5864); +#5867=CARTESIAN_POINT('',(8.915673878893E-2,3.133412957767E-1, +-3.188976377953E-1)); +#5868=CARTESIAN_POINT('',(9.012522800657E-2,3.114768301840E-1, +-3.188976377953E-1)); +#5869=CARTESIAN_POINT('',(9.227571985929E-2,3.077888232787E-1, +-3.188976377953E-1)); +#5870=CARTESIAN_POINT('',(9.614044562314E-2,3.024458491427E-1, +-3.188976377953E-1)); +#5871=CARTESIAN_POINT('',(1.007899877950E-1,2.971662638065E-1, +-3.188976377953E-1)); +#5872=CARTESIAN_POINT('',(1.045500462497E-1,2.936359659268E-1, +-3.188976377953E-1)); +#5873=CARTESIAN_POINT('',(1.065978083125E-1,2.918890034182E-1, +-3.188976377953E-1)); +#5875=CARTESIAN_POINT('',(8.915673878893E-2,3.133412957767E-1, +-3.188976377953E-1)); +#5876=CARTESIAN_POINT('',(9.007302242708E-2,3.142314174672E-1, +-3.167074778441E-1)); +#5877=CARTESIAN_POINT('',(9.097597192033E-2,3.151352664859E-1, +-3.145220298923E-1)); +#5878=CARTESIAN_POINT('',(9.186518635770E-2,3.160524306549E-1, +-3.123422696478E-1)); +#5880=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#5881=DIRECTION('',(0.E0,0.E0,1.E0)); +#5882=DIRECTION('',(6.854643876300E-1,-7.281061552350E-1,0.E0)); +#5883=AXIS2_PLACEMENT_3D('',#5880,#5881,#5882); +#5885=DIRECTION('',(0.E0,0.E0,1.E0)); +#5886=VECTOR('',#5885,1.771653543307E-2); +#5887=CARTESIAN_POINT('',(1.406278142053E-1,3.387272882825E-1, +-3.188976377953E-1)); +#5888=LINE('',#5887,#5886); +#5889=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#5890=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5891=DIRECTION('',(9.042902483073E-1,-4.269181968672E-1,0.E0)); +#5892=AXIS2_PLACEMENT_3D('',#5889,#5890,#5891); +#5894=DIRECTION('',(2.951637994545E-13,0.E0,-1.E0)); +#5895=VECTOR('',#5894,9.403448413026E-5); +#5896=CARTESIAN_POINT('',(1.065978083125E-1,2.918890034182E-1, +-3.011811023622E-1)); +#5897=LINE('',#5896,#5895); +#5898=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5899=VECTOR('',#5898,1.762250094894E-2); +#5900=CARTESIAN_POINT('',(1.065978083125E-1,2.918890034182E-1, +-3.012751368463E-1)); +#5901=LINE('',#5900,#5899); +#5902=CARTESIAN_POINT('',(1.406278142053E-1,3.387272882825E-1, +-3.188976377953E-1)); +#5903=CARTESIAN_POINT('',(1.398202935007E-1,3.389487479537E-1, +-3.188976377953E-1)); +#5904=CARTESIAN_POINT('',(1.382033799690E-1,3.393624373780E-1, +-3.188976377953E-1)); +#5905=CARTESIAN_POINT('',(1.365828619947E-1,3.397181104679E-1, +-3.188976377953E-1)); +#5906=CARTESIAN_POINT('',(1.357717238524E-1,3.398815727745E-1, +-3.188976377953E-1)); +#5908=CARTESIAN_POINT('',(1.173952718475E-1,3.542235339863E-1, +-2.926572302777E-1)); +#5909=CARTESIAN_POINT('',(1.160781947552E-1,3.511855162898E-1, +-2.983666339226E-1)); +#5910=CARTESIAN_POINT('',(1.146431300903E-1,3.481986521353E-1, +-3.040549243109E-1)); +#5911=CARTESIAN_POINT('',(1.130943987200E-1,3.452719347362E-1, +-3.097049744467E-1)); +#5913=DIRECTION('',(7.956811832246E-1,-5.307241423370E-1,-2.919303673875E-1)); +#5914=VECTOR('',#5913,2.919830561231E-2); +#5915=CARTESIAN_POINT('',(1.173952718475E-1,3.542235339863E-1, +-2.926572302777E-1)); +#5916=LINE('',#5915,#5914); +#5917=CARTESIAN_POINT('',(1.357717238524E-1,3.398815727745E-1, +-3.188976377953E-1)); +#5918=CARTESIAN_POINT('',(1.359512141398E-1,3.406854023217E-1, +-3.188976377953E-1)); +#5919=CARTESIAN_POINT('',(1.362540270849E-1,3.423014637126E-1, +-3.188976377953E-1)); +#5920=CARTESIAN_POINT('',(1.364843993821E-1,3.447991840414E-1, +-3.188976377953E-1)); +#5921=CARTESIAN_POINT('',(1.363827643812E-1,3.472878608804E-1, +-3.188976377953E-1)); +#5922=CARTESIAN_POINT('',(1.358580097715E-1,3.496094978299E-1, +-3.188976377953E-1)); +#5923=CARTESIAN_POINT('',(1.348673240293E-1,3.517026253007E-1, +-3.188976377953E-1)); +#5924=CARTESIAN_POINT('',(1.333463523841E-1,3.535864840519E-1, +-3.188976377953E-1)); +#5925=CARTESIAN_POINT('',(1.313441632080E-1,3.552120217374E-1, +-3.188976377953E-1)); +#5926=CARTESIAN_POINT('',(1.288387068260E-1,3.566518652790E-1, +-3.188976377953E-1)); +#5927=CARTESIAN_POINT('',(1.259902348376E-1,3.578838458674E-1, +-3.188976377953E-1)); +#5928=CARTESIAN_POINT('',(1.229164103622E-1,3.589499574962E-1, +-3.188976377953E-1)); +#5929=CARTESIAN_POINT('',(1.207789664200E-1,3.595735814546E-1, +-3.188976377953E-1)); +#5930=CARTESIAN_POINT('',(1.196857884711E-1,3.598718973355E-1, +-3.188976377953E-1)); +#5932=CARTESIAN_POINT('',(1.130943987200E-1,3.452719347362E-1, +-3.097049744467E-1)); +#5933=CARTESIAN_POINT('',(1.155934353266E-1,3.499944928620E-1, +-3.127439525397E-1)); +#5934=CARTESIAN_POINT('',(1.177965287897E-1,3.548740701525E-1, +-3.158163024010E-1)); +#5935=CARTESIAN_POINT('',(1.196857884711E-1,3.598718973355E-1, +-3.188976377953E-1)); +#5937=DIRECTION('',(9.050519624696E-1,-2.151293260302E-1,-3.668791603671E-1)); +#5938=VECTOR('',#5937,2.505637916141E-2); +#5939=CARTESIAN_POINT('',(1.130943987200E-1,3.452719347362E-1, +-3.097049744467E-1)); +#5940=LINE('',#5939,#5938); +#5941=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#5942=DIRECTION('',(0.E0,0.E0,1.E0)); +#5943=DIRECTION('',(9.353904698976E-1,-3.536165562085E-1,0.E0)); +#5944=AXIS2_PLACEMENT_3D('',#5941,#5942,#5943); +#5946=CARTESIAN_POINT('',(1.260743748850E-1,3.832741238515E-1, +-3.188976377953E-1)); +#5947=CARTESIAN_POINT('',(1.279538044997E-1,3.823350031807E-1, +-3.188976377953E-1)); +#5948=CARTESIAN_POINT('',(1.318613450241E-1,3.806153703151E-1, +-3.188976377953E-1)); +#5949=CARTESIAN_POINT('',(1.381284952462E-1,3.785644422467E-1, +-3.188976377953E-1)); +#5950=CARTESIAN_POINT('',(1.449933162781E-1,3.770261003050E-1, +-3.188976377953E-1)); +#5951=CARTESIAN_POINT('',(1.501103244977E-1,3.763801362326E-1, +-3.188976377953E-1)); +#5952=CARTESIAN_POINT('',(1.527938376059E-1,3.761704582153E-1, +-3.188976377953E-1)); +#5954=CARTESIAN_POINT('',(1.260743748850E-1,3.832741238515E-1, +-3.188976377953E-1)); +#5955=CARTESIAN_POINT('',(1.262924635175E-1,3.845328254356E-1, +-3.167074778441E-1)); +#5956=CARTESIAN_POINT('',(1.264916958791E-1,3.857947950478E-1, +-3.145220298923E-1)); +#5957=CARTESIAN_POINT('',(1.266719898981E-1,3.870594635795E-1, +-3.123422696478E-1)); +#5959=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#5960=DIRECTION('',(0.E0,0.E0,1.E0)); +#5961=DIRECTION('',(9.825223987820E-1,-1.861443952735E-1,0.E0)); +#5962=AXIS2_PLACEMENT_3D('',#5959,#5960,#5961); +#5964=DIRECTION('',(0.E0,0.E0,1.E0)); +#5965=VECTOR('',#5964,1.771653543307E-2); +#5966=CARTESIAN_POINT('',(1.527938376059E-1,4.340657622571E-1, +-3.188976377953E-1)); +#5967=LINE('',#5966,#5965); +#5968=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#5969=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5970=DIRECTION('',(9.825223987820E-1,1.861443952735E-1,0.E0)); +#5971=AXIS2_PLACEMENT_3D('',#5968,#5969,#5970); +#5973=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5974=VECTOR('',#5973,9.403448413026E-5); +#5975=CARTESIAN_POINT('',(1.527938376059E-1,3.761704582153E-1, +-3.011811023622E-1)); +#5976=LINE('',#5975,#5974); +#5977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5978=VECTOR('',#5977,1.762250094894E-2); +#5979=CARTESIAN_POINT('',(1.527938376059E-1,3.761704582153E-1, +-3.012751368463E-1)); +#5980=LINE('',#5979,#5978); +#5981=CARTESIAN_POINT('',(1.527938376059E-1,4.340657622571E-1, +-3.188976377953E-1)); +#5982=CARTESIAN_POINT('',(1.520103689038E-1,4.337702781337E-1, +-3.188976377953E-1)); +#5983=CARTESIAN_POINT('',(1.504590978357E-1,4.331545619801E-1, +-3.188976377953E-1)); +#5984=CARTESIAN_POINT('',(1.489390118579E-1,4.324897909879E-1, +-3.188976377953E-1)); +#5985=CARTESIAN_POINT('',(1.481867065828E-1,4.321452597343E-1, +-3.188976377953E-1)); +#5987=CARTESIAN_POINT('',(1.248898513253E-1,4.329467426093E-1, +-2.926572302777E-1)); +#5988=CARTESIAN_POINT('',(1.256100155729E-1,4.297147761727E-1, +-2.983666339226E-1)); +#5989=CARTESIAN_POINT('',(1.262046585716E-1,4.264548424657E-1, +-3.040549243109E-1)); +#5990=CARTESIAN_POINT('',(1.266719898981E-1,4.231767568929E-1, +-3.097049744467E-1)); +#5992=DIRECTION('',(9.556714232343E-1,3.832481455038E-2,-2.919303673875E-1)); +#5993=VECTOR('',#5992,2.919830561231E-2); +#5994=CARTESIAN_POINT('',(1.248898513253E-1,4.329467426093E-1, +-2.926572302777E-1)); +#5995=LINE('',#5994,#5993); +#5996=CARTESIAN_POINT('',(1.481867065828E-1,4.321452597343E-1, +-3.188976377953E-1)); +#5997=CARTESIAN_POINT('',(1.478594381224E-1,4.329010732424E-1, +-3.188976377953E-1)); +#5998=CARTESIAN_POINT('',(1.471545218887E-1,4.343864833549E-1, +-3.188976377953E-1)); +#5999=CARTESIAN_POINT('',(1.458727738186E-1,4.365425909870E-1, +-3.188976377953E-1)); +#6000=CARTESIAN_POINT('',(1.443277418320E-1,4.384962332886E-1, +-3.188976377953E-1)); +#6001=CARTESIAN_POINT('',(1.425385824748E-1,4.400660340148E-1, +-3.188976377953E-1)); +#6002=CARTESIAN_POINT('',(1.405067914148E-1,4.411770992411E-1, +-3.188976377953E-1)); +#6003=CARTESIAN_POINT('',(1.381689951144E-1,4.418071682837E-1, +-3.188976377953E-1)); +#6004=CARTESIAN_POINT('',(1.355937229664E-1,4.419453986263E-1, +-3.188976377953E-1)); +#6005=CARTESIAN_POINT('',(1.327204473754E-1,4.416375862090E-1, +-3.188976377953E-1)); +#6006=CARTESIAN_POINT('',(1.296918451078E-1,4.409599896154E-1, +-3.188976377953E-1)); +#6007=CARTESIAN_POINT('',(1.265784241768E-1,4.400157433463E-1, +-3.188976377953E-1)); +#6008=CARTESIAN_POINT('',(1.244826387373E-1,4.392639076999E-1, +-3.188976377953E-1)); +#6009=CARTESIAN_POINT('',(1.234228935234E-1,4.388626964408E-1, +-3.188976377953E-1)); +#6011=CARTESIAN_POINT('',(1.266719898981E-1,4.231767568929E-1, +-3.097049744467E-1)); +#6012=CARTESIAN_POINT('',(1.259179029630E-1,4.284662835359E-1, +-3.127439525397E-1)); +#6013=CARTESIAN_POINT('',(1.248320994461E-1,4.337088903362E-1, +-3.158163024010E-1)); +#6014=CARTESIAN_POINT('',(1.234228935234E-1,4.388626964408E-1, +-3.188976377953E-1)); +#6016=DIRECTION('',(8.586522636065E-1,3.579329153511E-1,-3.668791603671E-1)); +#6017=VECTOR('',#6016,2.505637916141E-2); +#6018=CARTESIAN_POINT('',(1.266719898981E-1,4.231767568929E-1, +-3.097049744467E-1)); +#6019=LINE('',#6018,#6017); +#6020=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6021=DIRECTION('',(0.E0,0.E0,1.E0)); +#6022=DIRECTION('',(9.645973832293E-1,2.637269198757E-1,0.E0)); +#6023=AXIS2_PLACEMENT_3D('',#6020,#6021,#6022); +#6025=CARTESIAN_POINT('',(1.148358848854E-1,4.615506122755E-1, +-3.188976377953E-1)); +#6026=CARTESIAN_POINT('',(1.169083766638E-1,4.618955487033E-1, +-3.188976377953E-1)); +#6027=CARTESIAN_POINT('',(1.210804181920E-1,4.628011311839E-1, +-3.188976377953E-1)); +#6028=CARTESIAN_POINT('',(1.273561545001E-1,4.648256339968E-1, +-3.188976377953E-1)); +#6029=CARTESIAN_POINT('',(1.338141260846E-1,4.676161297850E-1, +-3.188976377953E-1)); +#6030=CARTESIAN_POINT('',(1.383335608499E-1,4.701012358400E-1, +-3.188976377953E-1)); +#6031=CARTESIAN_POINT('',(1.406278142053E-1,4.715089321900E-1, +-3.188976377953E-1)); +#6033=CARTESIAN_POINT('',(1.148358848854E-1,4.615506122755E-1, +-3.188976377953E-1)); +#6034=CARTESIAN_POINT('',(1.142724760671E-1,4.626971125297E-1, +-3.167074778441E-1)); +#6035=CARTESIAN_POINT('',(1.136918913067E-1,4.638351732363E-1, +-3.145220298923E-1)); +#6036=CARTESIAN_POINT('',(1.130943987200E-1,4.649642857362E-1, +-3.123422696478E-1)); +#6038=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6039=DIRECTION('',(0.E0,0.E0,1.E0)); +#6040=DIRECTION('',(9.042902483073E-1,4.269181968672E-1,0.E0)); +#6041=AXIS2_PLACEMENT_3D('',#6038,#6039,#6040); +#6043=DIRECTION('',(0.E0,0.E0,1.E0)); +#6044=VECTOR('',#6043,1.771653543307E-2); +#6045=CARTESIAN_POINT('',(1.065978083125E-1,5.183472170543E-1, +-3.188976377953E-1)); +#6046=LINE('',#6045,#6044); +#6047=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#6048=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6049=DIRECTION('',(6.854643876300E-1,7.281061552350E-1,0.E0)); +#6050=AXIS2_PLACEMENT_3D('',#6047,#6048,#6049); +#6052=DIRECTION('',(0.E0,1.180655197818E-12,-1.E0)); +#6053=VECTOR('',#6052,9.403448413026E-5); +#6054=CARTESIAN_POINT('',(1.406278142053E-1,4.715089321900E-1, +-3.011811023622E-1)); +#6055=LINE('',#6054,#6053); +#6056=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6057=VECTOR('',#6056,1.762250094894E-2); +#6058=CARTESIAN_POINT('',(1.406278142053E-1,4.715089321900E-1, +-3.012751368463E-1)); +#6059=LINE('',#6058,#6057); +#6060=CARTESIAN_POINT('',(1.065978083125E-1,5.183472170543E-1, +-3.188976377953E-1)); +#6061=CARTESIAN_POINT('',(1.061376500281E-1,5.176476540282E-1, +-3.188976377953E-1)); +#6062=CARTESIAN_POINT('',(1.052445542457E-1,5.162377149400E-1, +-3.188976377953E-1)); +#6063=CARTESIAN_POINT('',(1.044055214421E-1,5.148064197900E-1, +-3.188976377953E-1)); +#6064=CARTESIAN_POINT('',(1.039994040795E-1,5.140854942048E-1, +-3.188976377953E-1)); +#6066=CARTESIAN_POINT('',(8.468075244676E-2,5.010403595263E-1, +-2.926572302777E-1)); +#6067=CARTESIAN_POINT('',(8.716307976915E-2,4.988489456777E-1, +-2.983666339226E-1)); +#6068=CARTESIAN_POINT('',(8.956029701714E-2,4.965611262933E-1, +-3.040549243109E-1)); +#6069=CARTESIAN_POINT('',(9.186518635770E-2,4.941837898175E-1, +-3.097049744467E-1)); +#6071=DIRECTION('',(7.506276616455E-1,5.927349948920E-1,-2.919303673875E-1)); +#6072=VECTOR('',#6071,2.919830561231E-2); +#6073=CARTESIAN_POINT('',(8.468075244676E-2,5.010403595263E-1, +-2.926572302777E-1)); +#6074=LINE('',#6073,#6072); +#6075=CARTESIAN_POINT('',(1.039994040795E-1,5.140854942048E-1, +-3.188976377953E-1)); +#6076=CARTESIAN_POINT('',(1.032903822997E-1,5.145045966029E-1, +-3.188976377953E-1)); +#6077=CARTESIAN_POINT('',(1.018469909293E-1,5.152919792613E-1, +-3.188976377953E-1)); +#6078=CARTESIAN_POINT('',(9.954270668959E-2,5.162829143645E-1, +-3.188976377953E-1)); +#6079=CARTESIAN_POINT('',(9.714442742248E-2,5.169552971714E-1, +-3.188976377953E-1)); +#6080=CARTESIAN_POINT('',(9.477426138090E-2,5.171736511526E-1, +-3.188976377953E-1)); +#6081=CARTESIAN_POINT('',(9.247744012996E-2,5.168782649817E-1, +-3.188976377953E-1)); +#6082=CARTESIAN_POINT('',(9.021577790245E-2,5.160138793566E-1, +-3.188976377953E-1)); +#6083=CARTESIAN_POINT('',(8.805108921280E-2,5.146120030636E-1, +-3.188976377953E-1)); +#6084=CARTESIAN_POINT('',(8.590748802945E-2,5.126741085688E-1, +-3.188976377953E-1)); +#6085=CARTESIAN_POINT('',(8.385557861052E-2,5.103457536613E-1, +-3.188976377953E-1)); +#6086=CARTESIAN_POINT('',(8.189178219816E-2,5.077518194753E-1, +-3.188976377953E-1)); +#6087=CARTESIAN_POINT('',(8.063817406616E-2,5.059116998870E-1, +-3.188976377953E-1)); +#6088=CARTESIAN_POINT('',(8.001664823963E-2,5.049642105522E-1, +-3.188976377953E-1)); +#6090=CARTESIAN_POINT('',(9.186518635770E-2,4.941837898175E-1, +-3.097049744467E-1)); +#6091=CARTESIAN_POINT('',(8.814601145962E-2,4.980198655845E-1, +-3.127439525397E-1)); +#6092=CARTESIAN_POINT('',(8.418605100103E-2,5.016230042867E-1, +-3.158163024010E-1)); +#6093=CARTESIAN_POINT('',(8.001664823963E-2,5.049642105522E-1, +-3.188976377953E-1)); +#6095=DIRECTION('',(4.842765845627E-1,7.942769487607E-1,-3.668791603671E-1)); +#6096=VECTOR('',#6095,2.505637916141E-2); +#6097=CARTESIAN_POINT('',(9.186518635770E-2,4.941837898175E-1, +-3.097049744467E-1)); +#6098=LINE('',#6097,#6096); +#6099=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6100=DIRECTION('',(0.E0,0.E0,1.E0)); +#6101=DIRECTION('',(6.253608816267E-1,7.803356763157E-1,0.E0)); +#6102=AXIS2_PLACEMENT_3D('',#6099,#6100,#6101); +#6104=CARTESIAN_POINT('',(5.973398998772E-2,5.182718029906E-1, +-3.188976377953E-1)); +#6105=CARTESIAN_POINT('',(6.120792251193E-2,5.197690425256E-1, +-3.188976377953E-1)); +#6106=CARTESIAN_POINT('',(6.405088698264E-2,5.229539386245E-1, +-3.188976377953E-1)); +#6107=CARTESIAN_POINT('',(6.793809141145E-2,5.282805810544E-1, +-3.188976377953E-1)); +#6108=CARTESIAN_POINT('',(7.152248790159E-2,5.343340400269E-1, +-3.188976377953E-1)); +#6109=CARTESIAN_POINT('',(7.371807874220E-2,5.390009901619E-1, +-3.188976377953E-1)); +#6110=CARTESIAN_POINT('',(7.474674554187E-2,5.414883687193E-1, +-3.188976377953E-1)); +#6112=CARTESIAN_POINT('',(5.973398998772E-2,5.182718029906E-1, +-3.188976377953E-1)); +#6113=CARTESIAN_POINT('',(5.860428673776E-2,5.188681777860E-1, +-3.167074778441E-1)); +#6114=CARTESIAN_POINT('',(5.746564850036E-2,5.194476290784E-1, +-3.145220298923E-1)); +#6115=CARTESIAN_POINT('',(5.631859116811E-2,5.200099029486E-1, +-3.123422696478E-1)); +#6117=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6118=DIRECTION('',(0.E0,0.E0,1.E0)); +#6119=DIRECTION('',(4.806499586743E-1,8.769125482204E-1,0.E0)); +#6120=AXIS2_PLACEMENT_3D('',#6117,#6118,#6119); +#6122=DIRECTION('',(0.E0,0.E0,1.E0)); +#6123=VECTOR('',#6122,1.771653543307E-2); +#6124=CARTESIAN_POINT('',(1.968503937008E-2,5.593790015627E-1, +-3.188976377953E-1)); +#6125=LINE('',#6124,#6123); +#6126=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#6127=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6128=DIRECTION('',(1.265822784810E-1,9.919561113147E-1,0.E0)); +#6129=AXIS2_PLACEMENT_3D('',#6126,#6127,#6128); +#6131=DIRECTION('',(-5.903275989090E-13,0.E0,-1.E0)); +#6132=VECTOR('',#6131,9.403448413026E-5); +#6133=CARTESIAN_POINT('',(7.474674554187E-2,5.414883687193E-1, +-3.011811023622E-1)); +#6134=LINE('',#6133,#6132); +#6135=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6136=VECTOR('',#6135,1.762250094894E-2); +#6137=CARTESIAN_POINT('',(7.474674554187E-2,5.414883687193E-1, +-3.012751368463E-1)); +#6138=LINE('',#6137,#6136); +#6139=CARTESIAN_POINT('',(1.968503937008E-2,5.593790015627E-1, +-3.188976377953E-1)); +#6140=CARTESIAN_POINT('',(1.972395632765E-2,5.585425689327E-1, +-3.188976377953E-1)); +#6141=CARTESIAN_POINT('',(1.983016806473E-2,5.568769557196E-1, +-3.188976377953E-1)); +#6142=CARTESIAN_POINT('',(1.999267044864E-2,5.552258425111E-1, +-3.188976377953E-1)); +#6143=CARTESIAN_POINT('',(2.008786402754E-2,5.544038916646E-1, +-3.188976377953E-1)); +#6145=CARTESIAN_POINT('',(1.212648432647E-2,5.324949374918E-1, +-2.926572302777E-1)); +#6146=CARTESIAN_POINT('',(1.542281005773E-2,5.321811218381E-1, +-2.983666339226E-1)); +#6147=CARTESIAN_POINT('',(1.870694604466E-2,5.317392860209E-1, +-3.040549243109E-1)); +#6148=CARTESIAN_POINT('',(2.196900401150E-2,5.311707603732E-1, +-3.097049744467E-1)); +#6150=DIRECTION('',(2.588696462040E-1,9.207405535064E-1,-2.919303673875E-1)); +#6151=VECTOR('',#6150,2.919830561231E-2); +#6152=CARTESIAN_POINT('',(1.212648432647E-2,5.324949374918E-1, +-2.926572302777E-1)); +#6153=LINE('',#6152,#6151); +#6154=CARTESIAN_POINT('',(2.008786402754E-2,5.544038916646E-1, +-3.188976377953E-1)); +#6155=CARTESIAN_POINT('',(1.926789950279E-2,5.543261989777E-1, +-3.188976377953E-1)); +#6156=CARTESIAN_POINT('',(1.763733272902E-2,5.541147969215E-1, +-3.188976377953E-1)); +#6157=CARTESIAN_POINT('',(1.519060885749E-2,5.535620351562E-1, +-3.188976377953E-1)); +#6158=CARTESIAN_POINT('',(1.285509723130E-2,5.526962914810E-1, +-3.188976377953E-1)); +#6159=CARTESIAN_POINT('',(1.080925159516E-2,5.514797542164E-1, +-3.188976377953E-1)); +#6160=CARTESIAN_POINT('',(9.124737270296E-3,5.498907170031E-1, +-3.188976377953E-1)); +#6161=CARTESIAN_POINT('',(7.803093490907E-3,5.478619749920E-1, +-3.188976377953E-1)); +#6162=CARTESIAN_POINT('',(6.875800930319E-3,5.454552754501E-1, +-3.188976377953E-1)); +#6163=CARTESIAN_POINT('',(6.280664730062E-3,5.426270548974E-1, +-3.188976377953E-1)); +#6164=CARTESIAN_POINT('',(5.989305196427E-3,5.395375256154E-1, +-3.188976377953E-1)); +#6165=CARTESIAN_POINT('',(5.925270380911E-3,5.362846633653E-1, +-3.188976377953E-1)); +#6166=CARTESIAN_POINT('',(5.992679673397E-3,5.340595159948E-1, +-3.188976377953E-1)); +#6167=CARTESIAN_POINT('',(6.046762994135E-3,5.329279079871E-1, +-3.188976377953E-1)); +#6169=CARTESIAN_POINT('',(2.196900401150E-2,5.311707603732E-1, +-3.097049744467E-1)); +#6170=CARTESIAN_POINT('',(1.670533955141E-2,5.320881347046E-1, +-3.127439525397E-1)); +#6171=CARTESIAN_POINT('',(1.138379245225E-2,5.326755287905E-1, +-3.158163024010E-1)); +#6172=CARTESIAN_POINT('',(6.046762994135E-3,5.329279079871E-1, +-3.188976377953E-1)); +#6174=DIRECTION('',(-7.507628982828E-2,9.272341842242E-1,-3.668791603671E-1)); +#6175=VECTOR('',#6174,2.505637916141E-2); +#6176=CARTESIAN_POINT('',(2.196900401150E-2,5.311707603732E-1, +-3.097049744467E-1)); +#6177=LINE('',#6176,#6175); +#6178=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6179=DIRECTION('',(0.E0,0.E0,1.E0)); +#6180=DIRECTION('',(4.725777847724E-2,9.988827270373E-1,0.E0)); +#6181=AXIS2_PLACEMENT_3D('',#6178,#6179,#6180); +#6183=CARTESIAN_POINT('',(-1.818425880160E-2,5.317721290262E-1, +-3.188976377953E-1)); +#6184=CARTESIAN_POINT('',(-1.787187765875E-2,5.338497770552E-1, +-3.188976377953E-1)); +#6185=CARTESIAN_POINT('',(-1.744390604455E-2,5.380974647132E-1, +-3.188976377953E-1)); +#6186=CARTESIAN_POINT('',(-1.743001346559E-2,5.446916503979E-1, +-3.188976377953E-1)); +#6187=CARTESIAN_POINT('',(-1.808830969985E-2,5.516958569766E-1, +-3.188976377953E-1)); +#6188=CARTESIAN_POINT('',(-1.905520385965E-2,5.567620348640E-1, +-3.188976377953E-1)); +#6189=CARTESIAN_POINT('',(-1.968503937008E-2,5.593790015627E-1, +-3.188976377953E-1)); +#6191=CARTESIAN_POINT('',(-1.818425880160E-2,5.317721290262E-1, +-3.188976377953E-1)); +#6192=CARTESIAN_POINT('',(-1.944874823898E-2,5.315905834609E-1, +-3.167074778441E-1)); +#6193=CARTESIAN_POINT('',(-2.071051884754E-2,5.313900946402E-1, +-3.145220298923E-1)); +#6194=CARTESIAN_POINT('',(-2.196900401150E-2,5.311707603732E-1, +-3.123422696478E-1)); +#6196=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6197=DIRECTION('',(0.E0,0.E0,1.E0)); +#6198=DIRECTION('',(-1.265822784810E-1,9.919561113147E-1,0.E0)); +#6199=AXIS2_PLACEMENT_3D('',#6196,#6197,#6198); +#6201=DIRECTION('',(0.E0,0.E0,1.E0)); +#6202=VECTOR('',#6201,1.771653543307E-2); +#6203=CARTESIAN_POINT('',(-7.474674554187E-2,5.414883687193E-1, +-3.188976377953E-1)); +#6204=LINE('',#6203,#6202); +#6205=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#6206=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6207=DIRECTION('',(-4.806499586743E-1,8.769125482204E-1,0.E0)); +#6208=AXIS2_PLACEMENT_3D('',#6205,#6206,#6207); +#6210=DIRECTION('',(3.689547493181E-14,0.E0,-1.E0)); +#6211=VECTOR('',#6210,9.403448413026E-5); +#6212=CARTESIAN_POINT('',(-1.968503937008E-2,5.593790015627E-1, +-3.011811023622E-1)); +#6213=LINE('',#6212,#6211); +#6214=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6215=VECTOR('',#6214,1.762250094894E-2); +#6216=CARTESIAN_POINT('',(-1.968503937008E-2,5.593790015627E-1, +-3.012751368463E-1)); +#6217=LINE('',#6216,#6215); +#6218=CARTESIAN_POINT('',(-7.474674554187E-2,5.414883687193E-1, +-3.188976377953E-1)); +#6219=CARTESIAN_POINT('',(-7.422361829734E-2,5.408345553207E-1, +-3.188976377953E-1)); +#6220=CARTESIAN_POINT('',(-7.315866831436E-2,5.395494756179E-1, +-3.188976377953E-1)); +#6221=CARTESIAN_POINT('',(-7.205670113034E-2,5.383092134773E-1, +-3.188976377953E-1)); +#6222=CARTESIAN_POINT('',(-7.149655732156E-2,5.377001946557E-1, +-3.188976377953E-1)); +#6224=CARTESIAN_POINT('',(-6.505968864250E-2,5.152958968248E-1, +-2.926572302777E-1)); +#6225=CARTESIAN_POINT('',(-6.220844889371E-2,5.169795462794E-1, +-2.983666339226E-1)); +#6226=CARTESIAN_POINT('',(-5.929182249117E-2,5.185524602942E-1, +-3.040549243109E-1)); +#6227=CARTESIAN_POINT('',(-5.631859116811E-2,5.200099029486E-1, +-3.097049744467E-1)); +#6229=DIRECTION('',(-3.317677754318E-1,8.970545155017E-1,-2.919303673875E-1)); +#6230=VECTOR('',#6229,2.919830561231E-2); +#6231=CARTESIAN_POINT('',(-6.505968864250E-2,5.152958968248E-1, +-2.926572302777E-1)); +#6232=LINE('',#6231,#6230); +#6233=CARTESIAN_POINT('',(-7.149655732156E-2,5.377001946557E-1, +-3.188976377953E-1)); +#6234=CARTESIAN_POINT('',(-7.211424716712E-2,5.371553846357E-1, +-3.188976377953E-1)); +#6235=CARTESIAN_POINT('',(-7.330912503725E-2,5.360259524908E-1, +-3.188976377953E-1)); +#6236=CARTESIAN_POINT('',(-7.496362331276E-2,5.341406637386E-1, +-3.188976377953E-1)); +#6237=CARTESIAN_POINT('',(-7.634420641292E-2,5.320675423304E-1, +-3.188976377953E-1)); +#6238=CARTESIAN_POINT('',(-7.728429497690E-2,5.298808555645E-1, +-3.188976377953E-1)); +#6239=CARTESIAN_POINT('',(-7.771312283590E-2,5.276051694010E-1, +-3.188976377953E-1)); +#6240=CARTESIAN_POINT('',(-7.758993532015E-2,5.251870909520E-1, +-3.188976377953E-1)); +#6241=CARTESIAN_POINT('',(-7.692559732959E-2,5.226951460665E-1, +-3.188976377953E-1)); +#6242=CARTESIAN_POINT('',(-7.574495933559E-2,5.200576178564E-1, +-3.188976377953E-1)); +#6243=CARTESIAN_POINT('',(-7.416463710734E-2,5.173866347936E-1, +-3.188976377953E-1)); +#6244=CARTESIAN_POINT('',(-7.230450556046E-2,5.147173836720E-1, +-3.188976377953E-1)); +#6245=CARTESIAN_POINT('',(-7.094183405457E-2,5.129565032679E-1, +-3.188976377953E-1)); +#6246=CARTESIAN_POINT('',(-7.023278019321E-2,5.120726067763E-1, +-3.188976377953E-1)); +#6248=CARTESIAN_POINT('',(-5.631859116811E-2,5.200099029486E-1, +-3.097049744467E-1)); +#6249=CARTESIAN_POINT('',(-6.111620427183E-2,5.176581700302E-1, +-3.127439525397E-1)); +#6250=CARTESIAN_POINT('',(-6.576668789242E-2,5.150054549238E-1, +-3.158163024010E-1)); +#6251=CARTESIAN_POINT('',(-7.023278019321E-2,5.120726067763E-1, +-3.188976377953E-1)); +#6253=DIRECTION('',(-6.057525732541E-1,7.060194768449E-1,-3.668791603671E-1)); +#6254=VECTOR('',#6253,2.505637916141E-2); +#6255=CARTESIAN_POINT('',(-5.631859116811E-2,5.200099029486E-1, +-3.097049744467E-1)); +#6256=LINE('',#6255,#6254); +#6257=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6258=DIRECTION('',(0.E0,0.E0,1.E0)); +#6259=DIRECTION('',(-5.488961898177E-1,8.358905268058E-1,0.E0)); +#6260=AXIS2_PLACEMENT_3D('',#6257,#6258,#6259); +#6262=CARTESIAN_POINT('',(-8.915673878893E-2,4.968949246957E-1, +-3.188976377953E-1)); +#6263=CARTESIAN_POINT('',(-9.012522800657E-2,4.987593902884E-1, +-3.188976377953E-1)); +#6264=CARTESIAN_POINT('',(-9.227571985929E-2,5.024473971938E-1, +-3.188976377953E-1)); +#6265=CARTESIAN_POINT('',(-9.614044562314E-2,5.077903713298E-1, +-3.188976377953E-1)); +#6266=CARTESIAN_POINT('',(-1.007899877950E-1,5.130699566659E-1, +-3.188976377953E-1)); +#6267=CARTESIAN_POINT('',(-1.045500462497E-1,5.166002545456E-1, +-3.188976377953E-1)); +#6268=CARTESIAN_POINT('',(-1.065978083125E-1,5.183472170543E-1, +-3.188976377953E-1)); +#6270=CARTESIAN_POINT('',(-8.915673878893E-2,4.968949246957E-1, +-3.188976377953E-1)); +#6271=CARTESIAN_POINT('',(-9.007302242708E-2,4.960048030052E-1, +-3.167074778441E-1)); +#6272=CARTESIAN_POINT('',(-9.097597192033E-2,4.951009539865E-1, +-3.145220298923E-1)); +#6273=CARTESIAN_POINT('',(-9.186518635770E-2,4.941837898175E-1, +-3.123422696478E-1)); +#6275=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6276=DIRECTION('',(0.E0,0.E0,1.E0)); +#6277=DIRECTION('',(-6.854643876300E-1,7.281061552350E-1,0.E0)); +#6278=AXIS2_PLACEMENT_3D('',#6275,#6276,#6277); +#6280=DIRECTION('',(0.E0,0.E0,1.E0)); +#6281=VECTOR('',#6280,1.771653543307E-2); +#6282=CARTESIAN_POINT('',(-1.406278142053E-1,4.715089321900E-1, +-3.188976377953E-1)); +#6283=LINE('',#6282,#6281); +#6284=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#6285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6286=DIRECTION('',(-9.042902483073E-1,4.269181968672E-1,0.E0)); +#6287=AXIS2_PLACEMENT_3D('',#6284,#6285,#6286); +#6289=DIRECTION('',(-2.951637994545E-13,0.E0,-1.E0)); +#6290=VECTOR('',#6289,9.403448413026E-5); +#6291=CARTESIAN_POINT('',(-1.065978083125E-1,5.183472170543E-1, +-3.011811023622E-1)); +#6292=LINE('',#6291,#6290); +#6293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6294=VECTOR('',#6293,1.762250094894E-2); +#6295=CARTESIAN_POINT('',(-1.065978083125E-1,5.183472170543E-1, +-3.012751368463E-1)); +#6296=LINE('',#6295,#6294); +#6297=CARTESIAN_POINT('',(-1.406278142053E-1,4.715089321900E-1, +-3.188976377953E-1)); +#6298=CARTESIAN_POINT('',(-1.398202935007E-1,4.712874725187E-1, +-3.188976377953E-1)); +#6299=CARTESIAN_POINT('',(-1.382033799690E-1,4.708737830945E-1, +-3.188976377953E-1)); +#6300=CARTESIAN_POINT('',(-1.365828619947E-1,4.705181100046E-1, +-3.188976377953E-1)); +#6301=CARTESIAN_POINT('',(-1.357717238524E-1,4.703546476980E-1, +-3.188976377953E-1)); +#6303=CARTESIAN_POINT('',(-1.173952718475E-1,4.560126864862E-1, +-2.926572302777E-1)); +#6304=CARTESIAN_POINT('',(-1.160781947552E-1,4.590507041826E-1, +-2.983666339226E-1)); +#6305=CARTESIAN_POINT('',(-1.146431300903E-1,4.620375683372E-1, +-3.040549243109E-1)); +#6306=CARTESIAN_POINT('',(-1.130943987200E-1,4.649642857362E-1, +-3.097049744467E-1)); +#6308=DIRECTION('',(-7.956811832246E-1,5.307241423370E-1,-2.919303673875E-1)); +#6309=VECTOR('',#6308,2.919830561231E-2); +#6310=CARTESIAN_POINT('',(-1.173952718475E-1,4.560126864862E-1, +-2.926572302777E-1)); +#6311=LINE('',#6310,#6309); +#6312=CARTESIAN_POINT('',(-1.357717238524E-1,4.703546476980E-1, +-3.188976377953E-1)); +#6313=CARTESIAN_POINT('',(-1.359512141397E-1,4.695508181513E-1, +-3.188976377953E-1)); +#6314=CARTESIAN_POINT('',(-1.362540270845E-1,4.679347567617E-1, +-3.188976377953E-1)); +#6315=CARTESIAN_POINT('',(-1.364843993818E-1,4.654370364357E-1, +-3.188976377953E-1)); +#6316=CARTESIAN_POINT('',(-1.363827643820E-1,4.629483595991E-1, +-3.188976377953E-1)); +#6317=CARTESIAN_POINT('',(-1.358580097741E-1,4.606267226504E-1, +-3.188976377953E-1)); +#6318=CARTESIAN_POINT('',(-1.348673240340E-1,4.585335951790E-1, +-3.188976377953E-1)); +#6319=CARTESIAN_POINT('',(-1.333463523890E-1,4.566497364251E-1, +-3.188976377953E-1)); +#6320=CARTESIAN_POINT('',(-1.313441632114E-1,4.550241987370E-1, +-3.188976377953E-1)); +#6321=CARTESIAN_POINT('',(-1.288387068256E-1,4.535843551931E-1, +-3.188976377953E-1)); +#6322=CARTESIAN_POINT('',(-1.259902348329E-1,4.523523746032E-1, +-3.188976377953E-1)); +#6323=CARTESIAN_POINT('',(-1.229164103558E-1,4.512862629742E-1, +-3.188976377953E-1)); +#6324=CARTESIAN_POINT('',(-1.207789664172E-1,4.506626390171E-1, +-3.188976377953E-1)); +#6325=CARTESIAN_POINT('',(-1.196857884711E-1,4.503643231369E-1, +-3.188976377953E-1)); +#6327=CARTESIAN_POINT('',(-1.130943987200E-1,4.649642857362E-1, +-3.097049744467E-1)); +#6328=CARTESIAN_POINT('',(-1.155934353266E-1,4.602417276104E-1, +-3.127439525397E-1)); +#6329=CARTESIAN_POINT('',(-1.177965287897E-1,4.553621503200E-1, +-3.158163024010E-1)); +#6330=CARTESIAN_POINT('',(-1.196857884711E-1,4.503643231369E-1, +-3.188976377953E-1)); +#6332=DIRECTION('',(-9.050519624696E-1,2.151293260302E-1,-3.668791603671E-1)); +#6333=VECTOR('',#6332,2.505637916141E-2); +#6334=CARTESIAN_POINT('',(-1.130943987200E-1,4.649642857362E-1, +-3.097049744467E-1)); +#6335=LINE('',#6334,#6333); +#6336=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6337=DIRECTION('',(0.E0,0.E0,1.E0)); +#6338=DIRECTION('',(-9.353904698976E-1,3.536165562085E-1,0.E0)); +#6339=AXIS2_PLACEMENT_3D('',#6336,#6337,#6338); +#6341=CARTESIAN_POINT('',(-1.260743748850E-1,4.269620966209E-1, +-3.188976377953E-1)); +#6342=CARTESIAN_POINT('',(-1.279538044997E-1,4.279012172917E-1, +-3.188976377953E-1)); +#6343=CARTESIAN_POINT('',(-1.318613450241E-1,4.296208501573E-1, +-3.188976377953E-1)); +#6344=CARTESIAN_POINT('',(-1.381284952462E-1,4.316717782257E-1, +-3.188976377953E-1)); +#6345=CARTESIAN_POINT('',(-1.449933162781E-1,4.332101201674E-1, +-3.188976377953E-1)); +#6346=CARTESIAN_POINT('',(-1.501103244977E-1,4.338560842399E-1, +-3.188976377953E-1)); +#6347=CARTESIAN_POINT('',(-1.527938376059E-1,4.340657622571E-1, +-3.188976377953E-1)); +#6349=CARTESIAN_POINT('',(-1.260743748850E-1,4.269620966209E-1, +-3.188976377953E-1)); +#6350=CARTESIAN_POINT('',(-1.262924635175E-1,4.257033950368E-1, +-3.167074778441E-1)); +#6351=CARTESIAN_POINT('',(-1.264916958791E-1,4.244414254247E-1, +-3.145220298923E-1)); +#6352=CARTESIAN_POINT('',(-1.266719898981E-1,4.231767568929E-1, +-3.123422696478E-1)); +#6354=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6355=DIRECTION('',(0.E0,0.E0,1.E0)); +#6356=DIRECTION('',(-9.825223987820E-1,1.861443952735E-1,0.E0)); +#6357=AXIS2_PLACEMENT_3D('',#6354,#6355,#6356); +#6359=DIRECTION('',(0.E0,0.E0,1.E0)); +#6360=VECTOR('',#6359,1.771653543307E-2); +#6361=CARTESIAN_POINT('',(-1.527938376059E-1,3.761704582153E-1, +-3.188976377953E-1)); +#6362=LINE('',#6361,#6360); +#6363=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#6364=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6365=DIRECTION('',(-9.825223987820E-1,-1.861443952735E-1,0.E0)); +#6366=AXIS2_PLACEMENT_3D('',#6363,#6364,#6365); +#6368=DIRECTION('',(2.951637994545E-13,0.E0,-1.E0)); +#6369=VECTOR('',#6368,9.403448413026E-5); +#6370=CARTESIAN_POINT('',(-1.527938376059E-1,4.340657622571E-1, +-3.011811023622E-1)); +#6371=LINE('',#6370,#6369); +#6372=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6373=VECTOR('',#6372,1.762250094894E-2); +#6374=CARTESIAN_POINT('',(-1.527938376059E-1,4.340657622571E-1, +-3.012751368463E-1)); +#6375=LINE('',#6374,#6373); +#6376=CARTESIAN_POINT('',(-1.527938376059E-1,3.761704582153E-1, +-3.188976377953E-1)); +#6377=CARTESIAN_POINT('',(-1.520103689038E-1,3.764659423388E-1, +-3.188976377953E-1)); +#6378=CARTESIAN_POINT('',(-1.504590978357E-1,3.770816584923E-1, +-3.188976377953E-1)); +#6379=CARTESIAN_POINT('',(-1.489390118579E-1,3.777464294845E-1, +-3.188976377953E-1)); +#6380=CARTESIAN_POINT('',(-1.481867065828E-1,3.780909607382E-1, +-3.188976377953E-1)); +#6382=CARTESIAN_POINT('',(-1.248898513253E-1,3.772894778631E-1, +-2.926572302777E-1)); +#6383=CARTESIAN_POINT('',(-1.256100155729E-1,3.805214442998E-1, +-2.983666339226E-1)); +#6384=CARTESIAN_POINT('',(-1.262046585716E-1,3.837813780068E-1, +-3.040549243109E-1)); +#6385=CARTESIAN_POINT('',(-1.266719898981E-1,3.870594635795E-1, +-3.097049744467E-1)); +#6387=DIRECTION('',(-9.556714232343E-1,-3.832481455037E-2,-2.919303673875E-1)); +#6388=VECTOR('',#6387,2.919830561231E-2); +#6389=CARTESIAN_POINT('',(-1.248898513253E-1,3.772894778631E-1, +-2.926572302777E-1)); +#6390=LINE('',#6389,#6388); +#6391=CARTESIAN_POINT('',(-1.481867065828E-1,3.780909607382E-1, +-3.188976377953E-1)); +#6392=CARTESIAN_POINT('',(-1.478594381226E-1,3.773351472306E-1, +-3.188976377953E-1)); +#6393=CARTESIAN_POINT('',(-1.471545218896E-1,3.758497371192E-1, +-3.188976377953E-1)); +#6394=CARTESIAN_POINT('',(-1.458727738211E-1,3.736936294894E-1, +-3.188976377953E-1)); +#6395=CARTESIAN_POINT('',(-1.443277418368E-1,3.717399871892E-1, +-3.188976377953E-1)); +#6396=CARTESIAN_POINT('',(-1.425385824814E-1,3.701701864625E-1, +-3.188976377953E-1)); +#6397=CARTESIAN_POINT('',(-1.405067914228E-1,3.690591212344E-1, +-3.188976377953E-1)); +#6398=CARTESIAN_POINT('',(-1.381689951211E-1,3.684290521895E-1, +-3.188976377953E-1)); +#6399=CARTESIAN_POINT('',(-1.355937229703E-1,3.682908218458E-1, +-3.188976377953E-1)); +#6400=CARTESIAN_POINT('',(-1.327204473749E-1,3.685986342633E-1, +-3.188976377953E-1)); +#6401=CARTESIAN_POINT('',(-1.296918451029E-1,3.692762308582E-1, +-3.188976377953E-1)); +#6402=CARTESIAN_POINT('',(-1.265784241704E-1,3.702204771283E-1, +-3.188976377953E-1)); +#6403=CARTESIAN_POINT('',(-1.244826387346E-1,3.709723127736E-1, +-3.188976377953E-1)); +#6404=CARTESIAN_POINT('',(-1.234228935234E-1,3.713735240317E-1, +-3.188976377953E-1)); +#6406=CARTESIAN_POINT('',(-1.266719898981E-1,3.870594635795E-1, +-3.097049744467E-1)); +#6407=CARTESIAN_POINT('',(-1.259179029630E-1,3.817699369366E-1, +-3.127439525397E-1)); +#6408=CARTESIAN_POINT('',(-1.248320994461E-1,3.765273301362E-1, +-3.158163024010E-1)); +#6409=CARTESIAN_POINT('',(-1.234228935234E-1,3.713735240317E-1, +-3.188976377953E-1)); +#6411=DIRECTION('',(-8.586522636065E-1,-3.579329153511E-1,-3.668791603671E-1)); +#6412=VECTOR('',#6411,2.505637916141E-2); +#6413=CARTESIAN_POINT('',(-1.266719898981E-1,3.870594635795E-1, +-3.097049744467E-1)); +#6414=LINE('',#6413,#6412); +#6415=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6416=DIRECTION('',(0.E0,0.E0,1.E0)); +#6417=DIRECTION('',(-9.645973832293E-1,-2.637269198757E-1,0.E0)); +#6418=AXIS2_PLACEMENT_3D('',#6415,#6416,#6417); +#6420=CARTESIAN_POINT('',(-1.148358848854E-1,3.486856081970E-1, +-3.188976377953E-1)); +#6421=CARTESIAN_POINT('',(-1.169083766638E-1,3.483406717691E-1, +-3.188976377953E-1)); +#6422=CARTESIAN_POINT('',(-1.210804181920E-1,3.474350892885E-1, +-3.188976377953E-1)); +#6423=CARTESIAN_POINT('',(-1.273561545001E-1,3.454105864756E-1, +-3.188976377953E-1)); +#6424=CARTESIAN_POINT('',(-1.338141260846E-1,3.426200906874E-1, +-3.188976377953E-1)); +#6425=CARTESIAN_POINT('',(-1.383335608499E-1,3.401349846325E-1, +-3.188976377953E-1)); +#6426=CARTESIAN_POINT('',(-1.406278142053E-1,3.387272882825E-1, +-3.188976377953E-1)); +#6428=CARTESIAN_POINT('',(-1.148358848854E-1,3.486856081970E-1, +-3.188976377953E-1)); +#6429=CARTESIAN_POINT('',(-1.142724760671E-1,3.475391079427E-1, +-3.167074778441E-1)); +#6430=CARTESIAN_POINT('',(-1.136918913067E-1,3.464010472361E-1, +-3.145220298923E-1)); +#6431=CARTESIAN_POINT('',(-1.130943987200E-1,3.452719347362E-1, +-3.123422696478E-1)); +#6433=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6434=DIRECTION('',(0.E0,0.E0,1.E0)); +#6435=DIRECTION('',(-9.042902483073E-1,-4.269181968672E-1,0.E0)); +#6436=AXIS2_PLACEMENT_3D('',#6433,#6434,#6435); +#6438=DIRECTION('',(0.E0,0.E0,1.E0)); +#6439=VECTOR('',#6438,1.771653543307E-2); +#6440=CARTESIAN_POINT('',(-1.065978083125E-1,2.918890034182E-1, +-3.188976377953E-1)); +#6441=LINE('',#6440,#6439); +#6442=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#6443=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6444=DIRECTION('',(-6.854643876300E-1,-7.281061552350E-1,0.E0)); +#6445=AXIS2_PLACEMENT_3D('',#6442,#6443,#6444); +#6447=DIRECTION('',(0.E0,-5.903275989090E-13,-1.E0)); +#6448=VECTOR('',#6447,9.403448413026E-5); +#6449=CARTESIAN_POINT('',(-1.406278142053E-1,3.387272882825E-1, +-3.011811023622E-1)); +#6450=LINE('',#6449,#6448); +#6451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6452=VECTOR('',#6451,1.762250094894E-2); +#6453=CARTESIAN_POINT('',(-1.406278142053E-1,3.387272882825E-1, +-3.012751368463E-1)); +#6454=LINE('',#6453,#6452); +#6455=CARTESIAN_POINT('',(-1.065978083125E-1,2.918890034182E-1, +-3.188976377953E-1)); +#6456=CARTESIAN_POINT('',(-1.061376500281E-1,2.925885664443E-1, +-3.188976377953E-1)); +#6457=CARTESIAN_POINT('',(-1.052445542457E-1,2.939985055324E-1, +-3.188976377953E-1)); +#6458=CARTESIAN_POINT('',(-1.044055214421E-1,2.954298006824E-1, +-3.188976377953E-1)); +#6459=CARTESIAN_POINT('',(-1.039994040795E-1,2.961507262676E-1, +-3.188976377953E-1)); +#6461=CARTESIAN_POINT('',(-8.468075244676E-2,3.091958609462E-1, +-2.926572302777E-1)); +#6462=CARTESIAN_POINT('',(-8.716307976915E-2,3.113872747947E-1, +-2.983666339226E-1)); +#6463=CARTESIAN_POINT('',(-8.956029701714E-2,3.136750941792E-1, +-3.040549243109E-1)); +#6464=CARTESIAN_POINT('',(-9.186518635770E-2,3.160524306549E-1, +-3.097049744467E-1)); +#6466=DIRECTION('',(-7.506276616455E-1,-5.927349948920E-1,-2.919303673875E-1)); +#6467=VECTOR('',#6466,2.919830561231E-2); +#6468=CARTESIAN_POINT('',(-8.468075244676E-2,3.091958609462E-1, +-2.926572302777E-1)); +#6469=LINE('',#6468,#6467); +#6470=CARTESIAN_POINT('',(-1.039994040795E-1,2.961507262676E-1, +-3.188976377953E-1)); +#6471=CARTESIAN_POINT('',(-1.032903823003E-1,2.957316238698E-1, +-3.188976377953E-1)); +#6472=CARTESIAN_POINT('',(-1.018469909310E-1,2.949442412120E-1, +-3.188976377953E-1)); +#6473=CARTESIAN_POINT('',(-9.954270669394E-2,2.939533061096E-1, +-3.188976377953E-1)); +#6474=CARTESIAN_POINT('',(-9.714442742945E-2,2.932809233025E-1, +-3.188976377953E-1)); +#6475=CARTESIAN_POINT('',(-9.477426138914E-2,2.930625693199E-1, +-3.188976377953E-1)); +#6476=CARTESIAN_POINT('',(-9.247744013830E-2,2.933579554885E-1, +-3.188976377953E-1)); +#6477=CARTESIAN_POINT('',(-9.021577790831E-2,2.942223411126E-1, +-3.188976377953E-1)); +#6478=CARTESIAN_POINT('',(-8.805108921575E-2,2.956242174062E-1, +-3.188976377953E-1)); +#6479=CARTESIAN_POINT('',(-8.590748802901E-2,2.975621119039E-1, +-3.188976377953E-1)); +#6480=CARTESIAN_POINT('',(-8.385557860728E-2,2.998904668150E-1, +-3.188976377953E-1)); +#6481=CARTESIAN_POINT('',(-8.189178219429E-2,3.024844010026E-1, +-3.188976377953E-1)); +#6482=CARTESIAN_POINT('',(-8.063817406458E-2,3.043245205878E-1, +-3.188976377953E-1)); +#6483=CARTESIAN_POINT('',(-8.001664823963E-2,3.052720099202E-1, +-3.188976377953E-1)); +#6485=CARTESIAN_POINT('',(-9.186518635770E-2,3.160524306549E-1, +-3.097049744467E-1)); +#6486=CARTESIAN_POINT('',(-8.814601145962E-2,3.122163548880E-1, +-3.127439525397E-1)); +#6487=CARTESIAN_POINT('',(-8.418605100103E-2,3.086132161858E-1, +-3.158163024010E-1)); +#6488=CARTESIAN_POINT('',(-8.001664823963E-2,3.052720099202E-1, +-3.188976377953E-1)); +#6490=DIRECTION('',(-4.842765845627E-1,-7.942769487607E-1,-3.668791603671E-1)); +#6491=VECTOR('',#6490,2.505637916141E-2); +#6492=CARTESIAN_POINT('',(-9.186518635770E-2,3.160524306549E-1, +-3.097049744467E-1)); +#6493=LINE('',#6492,#6491); +#6494=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6495=DIRECTION('',(0.E0,0.E0,1.E0)); +#6496=DIRECTION('',(-6.253608816267E-1,-7.803356763157E-1,0.E0)); +#6497=AXIS2_PLACEMENT_3D('',#6494,#6495,#6496); +#6499=CARTESIAN_POINT('',(-5.973398998772E-2,2.919644174818E-1, +-3.188976377953E-1)); +#6500=CARTESIAN_POINT('',(-6.120792251193E-2,2.904671779468E-1, +-3.188976377953E-1)); +#6501=CARTESIAN_POINT('',(-6.405088698264E-2,2.872822818479E-1, +-3.188976377953E-1)); +#6502=CARTESIAN_POINT('',(-6.793809141145E-2,2.819556394180E-1, +-3.188976377953E-1)); +#6503=CARTESIAN_POINT('',(-7.152248790159E-2,2.759021804456E-1, +-3.188976377953E-1)); +#6504=CARTESIAN_POINT('',(-7.371807874220E-2,2.712352303105E-1, +-3.188976377953E-1)); +#6505=CARTESIAN_POINT('',(-7.474674554187E-2,2.687478517531E-1, +-3.188976377953E-1)); +#6507=CARTESIAN_POINT('',(-5.973398998772E-2,2.919644174818E-1, +-3.188976377953E-1)); +#6508=CARTESIAN_POINT('',(-5.860428673776E-2,2.913680426864E-1, +-3.167074778441E-1)); +#6509=CARTESIAN_POINT('',(-5.746564850036E-2,2.907885913941E-1, +-3.145220298923E-1)); +#6510=CARTESIAN_POINT('',(-5.631859116811E-2,2.902263175239E-1, +-3.123422696478E-1)); +#6512=CARTESIAN_POINT('',(-5.631859116811E-2,2.902263175239E-1, +-3.123422696478E-1)); +#6513=CARTESIAN_POINT('',(-5.634293329498E-2,2.902382497451E-1, +-3.123268504823E-1)); +#6514=CARTESIAN_POINT('',(-5.636727134739E-2,2.902501895638E-1, +-3.123114306417E-1)); +#6515=CARTESIAN_POINT('',(-5.639160588510E-2,2.902621372547E-1, +-3.122960097713E-1)); +#6517=DIRECTION('',(-6.064377804656E-1,-7.068181026508E-1,3.641996542957E-1)); +#6518=VECTOR('',#6517,3.038754340077E-2); +#6519=CARTESIAN_POINT('',(-5.631859116811E-2,2.902263175239E-1, +-3.123422696478E-1)); +#6520=LINE('',#6519,#6518); +#6521=CARTESIAN_POINT('',(-5.639160588510E-2,2.902621372547E-1, +-3.122960097713E-1)); +#6522=CARTESIAN_POINT('',(-6.660732399987E-2,2.952778167913E-1, +-3.058222781824E-1)); +#6523=CARTESIAN_POINT('',(-7.614907024140E-2,3.016640393497E-1, +-2.991982448383E-1)); +#6524=CARTESIAN_POINT('',(-8.468075244676E-2,3.091958609462E-1, +-2.926572302777E-1)); +#6526=DIRECTION('',(-6.040631242913E-1,-7.080298357850E-1,3.657888646607E-1)); +#6527=VECTOR('',#6526,3.038612840058E-2); +#6528=CARTESIAN_POINT('',(-5.639160588510E-2,2.902621372547E-1, +-3.122960097713E-1)); +#6529=LINE('',#6528,#6527); +#6530=CARTESIAN_POINT('',(-1.130943987200E-1,3.452719347362E-1, +-3.123422696478E-1)); +#6531=CARTESIAN_POINT('',(-1.131070783306E-1,3.452958960492E-1, +-3.123268504823E-1)); +#6532=CARTESIAN_POINT('',(-1.131197501793E-1,3.453198611137E-1, +-3.123114306417E-1)); +#6533=CARTESIAN_POINT('',(-1.131324145574E-1,3.453438304811E-1, +-3.122960097713E-1)); +#6535=DIRECTION('',(-9.060757272192E-1,-2.153726731857E-1,3.641996542957E-1)); +#6536=VECTOR('',#6535,3.038754340077E-2); +#6537=CARTESIAN_POINT('',(-1.130943987200E-1,3.452719347362E-1, +-3.123422696478E-1)); +#6538=LINE('',#6537,#6536); +#6539=CARTESIAN_POINT('',(-1.131324145574E-1,3.453438304811E-1, +-3.122960097713E-1)); +#6540=CARTESIAN_POINT('',(-1.184489616601E-1,3.554062489140E-1, +-3.058222781824E-1)); +#6541=CARTESIAN_POINT('',(-1.224146690879E-1,3.661813092154E-1, +-2.991982448383E-1)); +#6542=CARTESIAN_POINT('',(-1.248898513253E-1,3.772894778631E-1, +-2.926572302777E-1)); +#6544=DIRECTION('',(-9.048668288843E-1,-2.177487737625E-1,3.657888646606E-1)); +#6545=VECTOR('',#6544,3.038612840058E-2); +#6546=CARTESIAN_POINT('',(-1.131324145574E-1,3.453438304811E-1, +-3.122960097713E-1)); +#6547=LINE('',#6546,#6545); +#6548=CARTESIAN_POINT('',(-1.266719898981E-1,4.231767568929E-1, +-3.123422696478E-1)); +#6549=CARTESIAN_POINT('',(-1.266681638122E-1,4.232035948904E-1, +-3.123268504823E-1)); +#6550=CARTESIAN_POINT('',(-1.266643292417E-1,4.232304313606E-1, +-3.123114306417E-1)); +#6551=CARTESIAN_POINT('',(-1.266604860981E-1,4.232572669209E-1, +-3.122960097713E-1)); +#6553=DIRECTION('',(-8.596235425563E-1,3.583377971885E-1,3.641996542957E-1)); +#6554=VECTOR('',#6553,3.038754340077E-2); +#6555=CARTESIAN_POINT('',(-1.266719898981E-1,4.231767568929E-1, +-3.123422696478E-1)); +#6556=LINE('',#6555,#6554); +#6557=CARTESIAN_POINT('',(-1.266604860981E-1,4.232572669209E-1, +-3.122960097713E-1)); +#6558=CARTESIAN_POINT('',(-1.250471218983E-1,4.345229224177E-1, +-3.058222781824E-1)); +#6559=CARTESIAN_POINT('',(-1.219220250644E-1,4.455711136579E-1, +-2.991982448383E-1)); +#6560=CARTESIAN_POINT('',(-1.173952718475E-1,4.560126864862E-1, +-2.926572302777E-1)); +#6562=DIRECTION('',(-8.600421601360E-1,3.557049188286E-1,3.657888646606E-1)); +#6563=VECTOR('',#6562,3.038612840058E-2); +#6564=CARTESIAN_POINT('',(-1.266604860981E-1,4.232572669209E-1, +-3.122960097713E-1)); +#6565=LINE('',#6564,#6563); +#6566=CARTESIAN_POINT('',(-9.186518635770E-2,4.941837898175E-1, +-3.123422696478E-1)); +#6567=CARTESIAN_POINT('',(-9.184631601004E-2,4.942032532968E-1, +-3.123268504823E-1)); +#6568=CARTESIAN_POINT('',(-9.182743969591E-2,4.942227105532E-1, +-3.123114306417E-1)); +#6569=CARTESIAN_POINT('',(-9.180855698087E-2,4.942421620343E-1, +-3.122960097713E-1)); +#6571=DIRECTION('',(-4.848243821665E-1,7.951754084903E-1,3.641996542957E-1)); +#6572=VECTOR('',#6571,3.038754340077E-2); +#6573=CARTESIAN_POINT('',(-9.186518635770E-2,4.941837898175E-1, +-3.123422696478E-1)); +#6574=LINE('',#6573,#6572); +#6575=CARTESIAN_POINT('',(-9.180855698087E-2,4.942421620343E-1, +-3.122960097713E-1)); +#6576=CARTESIAN_POINT('',(-8.388153176671E-2,5.024079571009E-1, +-3.058222781824E-1)); +#6577=CARTESIAN_POINT('',(-7.485931144347E-2,5.095092457403E-1, +-2.991982448383E-1)); +#6578=CARTESIAN_POINT('',(-6.505968864250E-2,5.152958968248E-1, +-2.926572302777E-1)); +#6580=DIRECTION('',(-4.867106179736E-1,7.932914223928E-1,3.657888646606E-1)); +#6581=VECTOR('',#6580,3.038612840058E-2); +#6582=CARTESIAN_POINT('',(-9.180855698087E-2,4.942421620343E-1, +-3.122960097713E-1)); +#6583=LINE('',#6582,#6581); +#6584=CARTESIAN_POINT('',(-2.196900401150E-2,5.311707603732E-1, +-3.123422696478E-1)); +#6585=CARTESIAN_POINT('',(-2.194229723350E-2,5.311754149466E-1, +-3.123268504823E-1)); +#6586=CARTESIAN_POINT('',(-2.191558928618E-2,5.311800609787E-1, +-3.123114306417E-1)); +#6587=CARTESIAN_POINT('',(-2.188887955507E-2,5.311846985761E-1, +-3.122960097713E-1)); +#6589=DIRECTION('',(7.516121363624E-2,9.282830407670E-1,3.641996542957E-1)); +#6590=VECTOR('',#6589,3.038754340077E-2); +#6591=CARTESIAN_POINT('',(-2.196900401150E-2,5.311707603732E-1, +-3.123422696478E-1)); +#6592=LINE('',#6591,#6590); +#6593=CARTESIAN_POINT('',(-2.188887955507E-2,5.311846985761E-1, +-3.122960097713E-1)); +#6594=CARTESIAN_POINT('',(-1.067604752863E-2,5.331315770420E-1, +-3.058222781824E-1)); +#6595=CARTESIAN_POINT('',(7.971147744127E-4,5.335735121844E-1, +-2.991982448383E-1)); +#6596=CARTESIAN_POINT('',(1.212648432647E-2,5.324949374918E-1, +-2.926572302777E-1)); +#6598=DIRECTION('',(7.252783756921E-2,9.278675655867E-1,3.657888646606E-1)); +#6599=VECTOR('',#6598,3.038612840058E-2); +#6600=CARTESIAN_POINT('',(-2.188887955507E-2,5.311846985761E-1, +-3.122960097713E-1)); +#6601=LINE('',#6600,#6599); +#6602=CARTESIAN_POINT('',(5.631859116811E-2,5.200099029486E-1, +-3.123422696478E-1)); +#6603=CARTESIAN_POINT('',(5.634293329498E-2,5.199979707273E-1, +-3.123268504823E-1)); +#6604=CARTESIAN_POINT('',(5.636727134739E-2,5.199860309087E-1, +-3.123114306417E-1)); +#6605=CARTESIAN_POINT('',(5.639160588510E-2,5.199740832177E-1, +-3.122960097713E-1)); +#6607=DIRECTION('',(6.064377804656E-1,7.068181026508E-1,3.641996542957E-1)); +#6608=VECTOR('',#6607,3.038754340077E-2); +#6609=CARTESIAN_POINT('',(5.631859116811E-2,5.200099029486E-1, +-3.123422696478E-1)); +#6610=LINE('',#6609,#6608); +#6611=CARTESIAN_POINT('',(5.639160588510E-2,5.199740832177E-1, +-3.122960097713E-1)); +#6612=CARTESIAN_POINT('',(6.660732399988E-2,5.149584036811E-1, +-3.058222781824E-1)); +#6613=CARTESIAN_POINT('',(7.614907024140E-2,5.085721811228E-1, +-2.991982448383E-1)); +#6614=CARTESIAN_POINT('',(8.468075244676E-2,5.010403595263E-1, +-2.926572302777E-1)); +#6616=DIRECTION('',(6.040631242912E-1,7.080298357850E-1,3.657888646607E-1)); +#6617=VECTOR('',#6616,3.038612840058E-2); +#6618=CARTESIAN_POINT('',(5.639160588510E-2,5.199740832177E-1, +-3.122960097713E-1)); +#6619=LINE('',#6618,#6617); +#6620=CARTESIAN_POINT('',(1.130943987200E-1,4.649642857362E-1, +-3.123422696478E-1)); +#6621=CARTESIAN_POINT('',(1.131070783306E-1,4.649403244232E-1, +-3.123268504823E-1)); +#6622=CARTESIAN_POINT('',(1.131197501793E-1,4.649163593588E-1, +-3.123114306417E-1)); +#6623=CARTESIAN_POINT('',(1.131324145574E-1,4.648923899914E-1, +-3.122960097713E-1)); +#6625=DIRECTION('',(9.060757272192E-1,2.153726731857E-1,3.641996542957E-1)); +#6626=VECTOR('',#6625,3.038754340077E-2); +#6627=CARTESIAN_POINT('',(1.130943987200E-1,4.649642857362E-1, +-3.123422696478E-1)); +#6628=LINE('',#6627,#6626); +#6629=CARTESIAN_POINT('',(1.131324145574E-1,4.648923899914E-1, +-3.122960097713E-1)); +#6630=CARTESIAN_POINT('',(1.184489616601E-1,4.548299715585E-1, +-3.058222781824E-1)); +#6631=CARTESIAN_POINT('',(1.224146690879E-1,4.440549112570E-1, +-2.991982448383E-1)); +#6632=CARTESIAN_POINT('',(1.248898513253E-1,4.329467426093E-1, +-2.926572302777E-1)); +#6634=DIRECTION('',(9.048668288843E-1,2.177487737625E-1,3.657888646606E-1)); +#6635=VECTOR('',#6634,3.038612840058E-2); +#6636=CARTESIAN_POINT('',(1.131324145574E-1,4.648923899914E-1, +-3.122960097713E-1)); +#6637=LINE('',#6636,#6635); +#6638=CARTESIAN_POINT('',(1.266719898981E-1,3.870594635795E-1, +-3.123422696478E-1)); +#6639=CARTESIAN_POINT('',(1.266681638122E-1,3.870326255820E-1, +-3.123268504823E-1)); +#6640=CARTESIAN_POINT('',(1.266643292417E-1,3.870057891118E-1, +-3.123114306417E-1)); +#6641=CARTESIAN_POINT('',(1.266604860981E-1,3.869789535516E-1, +-3.122960097713E-1)); +#6643=DIRECTION('',(8.596235425563E-1,-3.583377971885E-1,3.641996542957E-1)); +#6644=VECTOR('',#6643,3.038754340077E-2); +#6645=CARTESIAN_POINT('',(1.266719898981E-1,3.870594635795E-1, +-3.123422696478E-1)); +#6646=LINE('',#6645,#6644); +#6647=CARTESIAN_POINT('',(1.266604860981E-1,3.869789535516E-1, +-3.122960097713E-1)); +#6648=CARTESIAN_POINT('',(1.250471218983E-1,3.757132980547E-1, +-3.058222781824E-1)); +#6649=CARTESIAN_POINT('',(1.219220250644E-1,3.646651068145E-1, +-2.991982448383E-1)); +#6650=CARTESIAN_POINT('',(1.173952718475E-1,3.542235339863E-1, +-2.926572302777E-1)); +#6652=DIRECTION('',(8.600421601361E-1,-3.557049188286E-1,3.657888646606E-1)); +#6653=VECTOR('',#6652,3.038612840058E-2); +#6654=CARTESIAN_POINT('',(1.266604860981E-1,3.869789535516E-1, +-3.122960097713E-1)); +#6655=LINE('',#6654,#6653); +#6656=CARTESIAN_POINT('',(9.186518635770E-2,3.160524306549E-1, +-3.123422696478E-1)); +#6657=CARTESIAN_POINT('',(9.184631601004E-2,3.160329671757E-1, +-3.123268504823E-1)); +#6658=CARTESIAN_POINT('',(9.182743969340E-2,3.160135099166E-1, +-3.123114306397E-1)); +#6659=CARTESIAN_POINT('',(9.180855697837E-2,3.159940584355E-1, +-3.122960097692E-1)); +#6661=DIRECTION('',(4.848243821665E-1,-7.951754084903E-1,3.641996542957E-1)); +#6662=VECTOR('',#6661,3.038754340077E-2); +#6663=CARTESIAN_POINT('',(9.186518635770E-2,3.160524306549E-1, +-3.123422696478E-1)); +#6664=LINE('',#6663,#6662); +#6665=CARTESIAN_POINT('',(9.180855697837E-2,3.159940584355E-1, +-3.122960097692E-1)); +#6666=CARTESIAN_POINT('',(8.388153176421E-2,3.078282633690E-1, +-3.058222781804E-1)); +#6667=CARTESIAN_POINT('',(7.485931144347E-2,3.007269747321E-1, +-2.991982448383E-1)); +#6668=CARTESIAN_POINT('',(6.505968864250E-2,2.949403236476E-1, +-2.926572302777E-1)); +#6670=DIRECTION('',(4.867106180812E-1,-7.932914223491E-1,3.657888646123E-1)); +#6671=VECTOR('',#6670,3.038612839900E-2); +#6672=CARTESIAN_POINT('',(9.180855697837E-2,3.159940584355E-1, +-3.122960097692E-1)); +#6673=LINE('',#6672,#6671); +#6674=DIRECTION('',(-7.516121363624E-2,-9.282830407670E-1,3.641996542957E-1)); +#6675=VECTOR('',#6674,3.038754340077E-2); +#6676=CARTESIAN_POINT('',(2.196900401150E-2,2.790654600992E-1, +-3.123422696478E-1)); +#6677=LINE('',#6676,#6675); +#6678=DIRECTION('',(-7.252784061204E-2,-9.278675648349E-1,3.657888659643E-1)); +#6679=VECTOR('',#6678,3.038612844306E-2); +#6680=CARTESIAN_POINT('',(2.188887965061E-2,2.790515219130E-1, +-3.122960098264E-1)); +#6681=LINE('',#6680,#6679); +#6682=CARTESIAN_POINT('',(-1.968503937008E-2,2.508572189097E-1, +-3.188976377953E-1)); +#6683=CARTESIAN_POINT('',(-1.972395632765E-2,2.516936515398E-1, +-3.188976377953E-1)); +#6684=CARTESIAN_POINT('',(-1.983016806473E-2,2.533592647529E-1, +-3.188976377953E-1)); +#6685=CARTESIAN_POINT('',(-1.999267044864E-2,2.550103779614E-1, +-3.188976377953E-1)); +#6686=CARTESIAN_POINT('',(-2.008786402754E-2,2.558323288079E-1, +-3.188976377953E-1)); +#6688=CARTESIAN_POINT('',(-2.041340495640E-2,2.598529414180E-1, +-3.173068002230E-1)); +#6689=CARTESIAN_POINT('',(-2.026793007191E-2,2.599513097097E-1, +-3.163189543822E-1)); +#6690=CARTESIAN_POINT('',(-1.997295993641E-2,2.601630865016E-1, +-3.143898149428E-1)); +#6691=CARTESIAN_POINT('',(-1.951563439226E-2,2.605370029292E-1, +-3.116352833239E-1)); +#6692=CARTESIAN_POINT('',(-1.919937790330E-2,2.608337823465E-1, +-3.098981150345E-1)); +#6693=CARTESIAN_POINT('',(-1.903761225190E-2,2.609983480694E-1, +-3.090551181102E-1)); +#6695=CARTESIAN_POINT('',(-1.903761225190E-2,2.609983480694E-1, +-3.090551181102E-1)); +#6696=CARTESIAN_POINT('',(-1.904801370853E-2,2.625758475327E-1, +-3.090551181102E-1)); +#6697=CARTESIAN_POINT('',(-1.917517738325E-2,2.657050830686E-1, +-3.090551181102E-1)); +#6698=CARTESIAN_POINT('',(-1.968695636087E-2,2.703429639375E-1, +-3.090551181102E-1)); +#6699=CARTESIAN_POINT('',(-2.023293743823E-2,2.733549695711E-1, +-3.090551181102E-1)); +#6700=CARTESIAN_POINT('',(-2.055543248986E-2,2.748450778107E-1, +-3.090551181102E-1)); +#6702=CARTESIAN_POINT('',(-2.055543248986E-2,2.748450778107E-1, +-3.090551181102E-1)); +#6703=CARTESIAN_POINT('',(-2.073427521862E-2,2.749611331959E-1, +-3.093846211336E-1)); +#6704=CARTESIAN_POINT('',(-2.110083263204E-2,2.751809097970E-1, +-3.100598086352E-1)); +#6705=CARTESIAN_POINT('',(-2.148301562867E-2,2.753744417531E-1, +-3.107676703744E-1)); +#6706=CARTESIAN_POINT('',(-2.167742569951E-2,2.754643048093E-1, +-3.111298451553E-1)); +#6708=DIRECTION('',(-2.588696462040E-1,-9.207405535064E-1,-2.919303673875E-1)); +#6709=VECTOR('',#6708,2.919830561231E-2); +#6710=CARTESIAN_POINT('',(-1.212648432647E-2,2.777412829806E-1, +-2.926572302777E-1)); +#6711=LINE('',#6710,#6709); +#6712=CARTESIAN_POINT('',(-2.008786402754E-2,2.558323288079E-1, +-3.188976377953E-1)); +#6713=CARTESIAN_POINT('',(-1.926789950279E-2,2.559100214947E-1, +-3.188976377953E-1)); +#6714=CARTESIAN_POINT('',(-1.763733272902E-2,2.561214235509E-1, +-3.188976377953E-1)); +#6715=CARTESIAN_POINT('',(-1.519060885749E-2,2.566741853162E-1, +-3.188976377953E-1)); +#6716=CARTESIAN_POINT('',(-1.285509723130E-2,2.575399289915E-1, +-3.188976377953E-1)); +#6717=CARTESIAN_POINT('',(-1.080925159516E-2,2.587564662560E-1, +-3.188976377953E-1)); +#6718=CARTESIAN_POINT('',(-9.124737270296E-3,2.603455034693E-1, +-3.188976377953E-1)); +#6719=CARTESIAN_POINT('',(-7.803093490907E-3,2.623742454804E-1, +-3.188976377953E-1)); +#6720=CARTESIAN_POINT('',(-6.875800930319E-3,2.647809450223E-1, +-3.188976377953E-1)); +#6721=CARTESIAN_POINT('',(-6.280664730062E-3,2.676091655750E-1, +-3.188976377953E-1)); +#6722=CARTESIAN_POINT('',(-5.989305196427E-3,2.706986948570E-1, +-3.188976377953E-1)); +#6723=CARTESIAN_POINT('',(-5.925270380911E-3,2.739515571072E-1, +-3.188976377953E-1)); +#6724=CARTESIAN_POINT('',(-5.992679673397E-3,2.761767044776E-1, +-3.188976377953E-1)); +#6725=CARTESIAN_POINT('',(-6.046762994135E-3,2.773083124854E-1, +-3.188976377953E-1)); +#6727=DIRECTION('',(7.507628982829E-2,-9.272341842242E-1,-3.668791603672E-1)); +#6728=VECTOR('',#6727,3.883760274561E-3); +#6729=CARTESIAN_POINT('',(-2.196900401150E-2,2.790654600992E-1, +-3.097049744467E-1)); +#6730=LINE('',#6729,#6728); +#6731=CARTESIAN_POINT('',(-2.167742569951E-2,2.754643048093E-1, +-3.111298451553E-1)); +#6732=CARTESIAN_POINT('',(-2.118731018722E-2,2.752377564745E-1, +-3.114239243190E-1)); +#6733=CARTESIAN_POINT('',(-2.026395370500E-2,2.747033360137E-1, +-3.120089999270E-1)); +#6734=CARTESIAN_POINT('',(-1.907327838586E-2,2.737045740906E-1, +-3.128334218245E-1)); +#6735=CARTESIAN_POINT('',(-1.806325650243E-2,2.725091957621E-1, +-3.136028174972E-1)); +#6736=CARTESIAN_POINT('',(-1.726199783970E-2,2.711331118381E-1, +-3.143016345809E-1)); +#6737=CARTESIAN_POINT('',(-1.669763941066E-2,2.695817977604E-1, +-3.149280828989E-1)); +#6738=CARTESIAN_POINT('',(-1.641846085634E-2,2.678730218919E-1, +-3.154812735960E-1)); +#6739=CARTESIAN_POINT('',(-1.648063217713E-2,2.660691621136E-1, +-3.159603021282E-1)); +#6740=CARTESIAN_POINT('',(-1.691845612370E-2,2.642593631605E-1, +-3.163738003904E-1)); +#6741=CARTESIAN_POINT('',(-1.774824501182E-2,2.625299463475E-1, +-3.167376144397E-1)); +#6742=CARTESIAN_POINT('',(-1.892906119485E-2,2.610137975030E-1, +-3.170534475102E-1)); +#6743=CARTESIAN_POINT('',(-1.989586503813E-2,2.602028954175E-1, +-3.172293664939E-1)); +#6744=CARTESIAN_POINT('',(-2.041340495640E-2,2.598529414180E-1, +-3.173068002230E-1)); +#6746=DIRECTION('',(7.507628982829E-2,-9.272341842242E-1,-3.668791603671E-1)); +#6747=VECTOR('',#6746,4.336135011584E-3); +#6748=CARTESIAN_POINT('',(-2.041340495640E-2,2.598529414180E-1, +-3.173068002230E-1)); +#6749=LINE('',#6748,#6747); +#6750=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#6751=DIRECTION('',(0.E0,0.E0,1.E0)); +#6752=DIRECTION('',(-4.725777847724E-2,-9.988827270373E-1,0.E0)); +#6753=AXIS2_PLACEMENT_3D('',#6750,#6751,#6752); +#6755=CARTESIAN_POINT('',(2.196900401150E-2,2.790654600992E-1, +-3.123422696478E-1)); +#6756=CARTESIAN_POINT('',(2.071051884754E-2,2.788461258323E-1, +-3.145220298923E-1)); +#6757=CARTESIAN_POINT('',(1.944874823898E-2,2.786456370115E-1, +-3.167074778441E-1)); +#6758=CARTESIAN_POINT('',(1.818425880160E-2,2.784640914462E-1, +-3.188976377953E-1)); +#6760=CARTESIAN_POINT('',(2.188887965061E-2,2.790515219130E-1, +-3.122960098264E-1)); +#6761=CARTESIAN_POINT('',(2.191558938172E-2,2.790561595103E-1, +-3.123114306969E-1)); +#6762=CARTESIAN_POINT('',(2.194229723350E-2,2.790608055258E-1, +-3.123268504823E-1)); +#6763=CARTESIAN_POINT('',(2.196900401150E-2,2.790654600992E-1, +-3.123422696478E-1)); +#6765=CARTESIAN_POINT('',(-1.212648432647E-2,2.777412829806E-1, +-2.926572302777E-1)); +#6766=CARTESIAN_POINT('',(-7.971147744128E-4,2.766627082880E-1, +-2.991982448383E-1)); +#6767=CARTESIAN_POINT('',(1.067604762417E-2,2.771046434470E-1, +-3.058222782376E-1)); +#6768=CARTESIAN_POINT('',(2.188887965061E-2,2.790515219130E-1, +-3.122960098264E-1)); +#6770=CARTESIAN_POINT('',(-2.196900401150E-2,2.790654600992E-1, +-3.097049744467E-1)); +#6771=CARTESIAN_POINT('',(-1.870694604466E-2,2.784969344516E-1, +-3.040549243109E-1)); +#6772=CARTESIAN_POINT('',(-1.542281005773E-2,2.780550986344E-1, +-2.983666339226E-1)); +#6773=CARTESIAN_POINT('',(-1.212648432647E-2,2.777412829806E-1, +-2.926572302777E-1)); +#6775=CARTESIAN_POINT('',(-6.046762994135E-3,2.773083124854E-1, +-3.188976377953E-1)); +#6776=CARTESIAN_POINT('',(-1.138379245225E-2,2.775606916819E-1, +-3.158163024010E-1)); +#6777=CARTESIAN_POINT('',(-1.670533955141E-2,2.781480857678E-1, +-3.127439525397E-1)); +#6778=CARTESIAN_POINT('',(-2.196900401150E-2,2.790654600992E-1, +-3.097049744467E-1)); +#6780=CARTESIAN_POINT('',(1.818425880160E-2,2.784640914462E-1, +-3.188976377953E-1)); +#6781=CARTESIAN_POINT('',(1.787187765875E-2,2.763864434172E-1, +-3.188976377953E-1)); +#6782=CARTESIAN_POINT('',(1.744390604455E-2,2.721387557592E-1, +-3.188976377953E-1)); +#6783=CARTESIAN_POINT('',(1.743001346559E-2,2.655445700745E-1, +-3.188976377953E-1)); +#6784=CARTESIAN_POINT('',(1.808830969985E-2,2.585403634958E-1, +-3.188976377953E-1)); +#6785=CARTESIAN_POINT('',(1.905520385965E-2,2.534741856085E-1, +-3.188976377953E-1)); +#6786=CARTESIAN_POINT('',(1.968503937008E-2,2.508572189097E-1, +-3.188976377953E-1)); +#6788=DIRECTION('',(0.E0,0.E0,1.E0)); +#6789=VECTOR('',#6788,9.842519685039E-3); +#6790=CARTESIAN_POINT('',(-2.545034131336E-2,2.583098195457E-1, +-3.188976377953E-1)); +#6791=LINE('',#6790,#6789); +#6792=CARTESIAN_POINT('',(-2.545034131336E-2,2.673019651026E-1, +-3.090551181102E-1)); +#6793=DIRECTION('',(0.E0,0.E0,1.E0)); +#6794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6795=AXIS2_PLACEMENT_3D('',#6792,#6793,#6794); +#6797=CARTESIAN_POINT('',(-2.545034131336E-2,2.673019651026E-1, +-3.090551181102E-1)); +#6798=DIRECTION('',(0.E0,0.E0,1.E0)); +#6799=DIRECTION('',(0.E0,1.E0,0.E0)); +#6800=AXIS2_PLACEMENT_3D('',#6797,#6798,#6799); +#6802=CARTESIAN_POINT('',(-2.545034131336E-2,2.673019651026E-1, +-3.090551181102E-1)); +#6803=DIRECTION('',(0.E0,0.E0,1.E0)); +#6804=DIRECTION('',(5.443538244015E-1,8.388557169498E-1,0.E0)); +#6805=AXIS2_PLACEMENT_3D('',#6802,#6803,#6804); +#6807=DIRECTION('',(0.E0,0.E0,1.E0)); +#6808=VECTOR('',#6807,9.842519685039E-3); +#6809=CARTESIAN_POINT('',(-2.545034131336E-2,2.762941106595E-1, +-3.188976377953E-1)); +#6810=LINE('',#6809,#6808); +#6811=CARTESIAN_POINT('',(-5.172675039207E-2,2.904585546431E-1, +-3.475785204508E-1)); +#6812=CARTESIAN_POINT('',(-5.135815051135E-2,2.917311245803E-1, +-3.468278738392E-1)); +#6813=CARTESIAN_POINT('',(-5.080352298890E-2,2.941858599039E-1, +-3.454229314156E-1)); +#6814=CARTESIAN_POINT('',(-5.045719121086E-2,2.975314539016E-1, +-3.436258604302E-1)); +#6815=CARTESIAN_POINT('',(-5.053295862391E-2,3.005460797521E-1, +-3.421238999872E-1)); +#6816=CARTESIAN_POINT('',(-5.098211540897E-2,3.032135556083E-1, +-3.409166279038E-1)); +#6817=CARTESIAN_POINT('',(-5.175854686431E-2,3.055194007796E-1, +-3.400015776599E-1)); +#6818=CARTESIAN_POINT('',(-5.280399288938E-2,3.074374302988E-1, +-3.393793589659E-1)); +#6819=CARTESIAN_POINT('',(-5.403924792370E-2,3.089283938851E-1, +-3.390368959257E-1)); +#6820=CARTESIAN_POINT('',(-5.495662630507E-2,3.097239915625E-1, +-3.389616905585E-1)); +#6821=CARTESIAN_POINT('',(-5.542933187193E-2,3.100457853765E-1, +-3.389616905585E-1)); +#6823=CARTESIAN_POINT('',(-5.120397435410E-2,2.902241443142E-1, +-3.569331661880E-1)); +#6824=CARTESIAN_POINT('',(-5.100509101984E-2,2.901355093971E-1, +-3.561742429056E-1)); +#6825=CARTESIAN_POINT('',(-5.072294841015E-2,2.900109104905E-1, +-3.546160426794E-1)); +#6826=CARTESIAN_POINT('',(-5.066716020459E-2,2.899864169562E-1, +-3.522184944290E-1)); +#6827=CARTESIAN_POINT('',(-5.098599706303E-2,2.901268452332E-1, +-3.498404489843E-1)); +#6828=CARTESIAN_POINT('',(-5.144168770635E-2,2.903299533563E-1, +-3.483152086567E-1)); +#6829=CARTESIAN_POINT('',(-5.172675039207E-2,2.904585546431E-1, +-3.475785204508E-1)); +#6831=CARTESIAN_POINT('',(-5.172675039207E-2,2.904585546431E-1, +-3.475785204508E-1)); +#6832=CARTESIAN_POINT('',(-4.730097164247E-2,2.884619382121E-1, +-3.474077665786E-1)); +#6833=CARTESIAN_POINT('',(-3.821866735273E-2,2.849821280822E-1, +-3.470662859624E-1)); +#6834=CARTESIAN_POINT('',(-2.408663370887E-2,2.813718500192E-1, +-3.465541803624E-1)); +#6835=CARTESIAN_POINT('',(-9.632593125825E-3,2.794180144687E-1, +-3.460420882693E-1)); +#6836=CARTESIAN_POINT('',(4.951263980387E-3,2.791465833832E-1, +-3.455299658712E-1)); +#6837=CARTESIAN_POINT('',(1.947364605268E-2,2.805623888102E-1, +-3.450176730918E-1)); +#6838=CARTESIAN_POINT('',(3.373169915686E-2,2.836459688485E-1, +-3.445055050552E-1)); +#6839=CARTESIAN_POINT('',(4.753618710801E-2,2.883550459249E-1, +-3.439934101202E-1)); +#6840=CARTESIAN_POINT('',(6.070440015240E-2,2.946270045228E-1, +-3.434813151772E-1)); +#6841=CARTESIAN_POINT('',(7.306184787244E-2,3.023790373797E-1, +-3.429691471648E-1)); +#6842=CARTESIAN_POINT('',(8.444357692214E-2,3.115092288315E-1, +-3.424568542965E-1)); +#6843=CARTESIAN_POINT('',(9.469081716445E-2,3.218898053777E-1, +-3.419447322294E-1)); +#6844=CARTESIAN_POINT('',(1.036700685603E-1,3.333837525793E-1, +-3.414326389015E-1)); +#6845=CARTESIAN_POINT('',(1.112618454585E-1,3.458380494951E-1, +-3.409205379098E-1)); +#6846=CARTESIAN_POINT('',(1.173657588392E-1,3.590895010614E-1, +-3.404082997869E-1)); +#6847=CARTESIAN_POINT('',(1.218975755907E-1,3.729574989018E-1, +-3.398960616640E-1)); +#6848=CARTESIAN_POINT('',(1.247962934692E-1,3.872523239375E-1, +-3.393839606723E-1)); +#6849=CARTESIAN_POINT('',(1.260245965142E-1,4.017860450553E-1, +-3.388718673445E-1)); +#6850=CARTESIAN_POINT('',(1.255660905245E-1,4.163652108161E-1, +-3.383597452773E-1)); +#6851=CARTESIAN_POINT('',(1.234255406558E-1,4.307985818125E-1, +-3.378474524092E-1)); +#6852=CARTESIAN_POINT('',(1.196325269993E-1,4.448845171804E-1, +-3.373352843963E-1)); +#6853=CARTESIAN_POINT('',(1.142387427306E-1,4.584361366317E-1, +-3.368231894550E-1)); +#6854=CARTESIAN_POINT('',(1.073158650602E-1,4.712740906452E-1, +-3.363110945137E-1)); +#6855=CARTESIAN_POINT('',(9.895532698918E-2,4.832282502119E-1, +-3.357989265008E-1)); +#6856=CARTESIAN_POINT('',(8.926716873806E-2,4.941389670427E-1, +-3.352866336327E-1)); +#6857=CARTESIAN_POINT('',(7.838694669276E-2,5.038540620123E-1, +-3.347745115655E-1)); +#6858=CARTESIAN_POINT('',(6.645818239706E-2,5.122470567269E-1, +-3.342624182376E-1)); +#6859=CARTESIAN_POINT('',(5.363968275260E-2,5.192062704041E-1, +-3.337503172459E-1)); +#6860=CARTESIAN_POINT('',(4.009946068683E-2,5.246396039647E-1, +-3.332380791230E-1)); +#6861=CARTESIAN_POINT('',(2.602211239616E-2,5.284719651526E-1, +-3.327258410002E-1)); +#6862=CARTESIAN_POINT('',(1.160017118985E-2,5.306519192763E-1, +-3.322137400085E-1)); +#6863=CARTESIAN_POINT('',(-2.976800315049E-3,5.311515988209E-1, +-3.317016466806E-1)); +#6864=CARTESIAN_POINT('',(-1.751477275056E-2,5.299643080020E-1, +-3.311895246134E-1)); +#6865=CARTESIAN_POINT('',(-3.182298456002E-2,5.271043732344E-1, +-3.306772317453E-1)); +#6866=CARTESIAN_POINT('',(-4.570152718315E-2,5.226114251551E-1, +-3.301650637324E-1)); +#6867=CARTESIAN_POINT('',(-5.896634041507E-2,5.165464413618E-1, +-3.296529687911E-1)); +#6868=CARTESIAN_POINT('',(-7.144188513889E-2,5.089899817188E-1, +-3.291408738498E-1)); +#6869=CARTESIAN_POINT('',(-8.296281953694E-2,5.000418758644E-1, +-3.286287058369E-1)); +#6870=CARTESIAN_POINT('',(-9.337520026004E-2,4.898200130334E-1, +-3.281164129688E-1)); +#6871=CARTESIAN_POINT('',(-1.025338202801E-1,4.784673930119E-1, +-3.276042909016E-1)); +#6872=CARTESIAN_POINT('',(-1.103195395957E-1,4.661336850277E-1, +-3.270921975737E-1)); +#6873=CARTESIAN_POINT('',(-1.166287619757E-1,4.529830842392E-1, +-3.265800965820E-1)); +#6874=CARTESIAN_POINT('',(-1.213779089275E-1,4.391880006801E-1, +-3.260678584592E-1)); +#6875=CARTESIAN_POINT('',(-1.245012171051E-1,4.249365560797E-1, +-3.255556203363E-1)); +#6876=CARTESIAN_POINT('',(-1.259569481780E-1,4.104236158130E-1, +-3.250435193446E-1)); +#6877=CARTESIAN_POINT('',(-1.257267528662E-1,3.958398992764E-1, +-3.245314260167E-1)); +#6878=CARTESIAN_POINT('',(-1.238136505714E-1,3.813795278557E-1, +-3.240193039496E-1)); +#6879=CARTESIAN_POINT('',(-1.202414930842E-1,3.672323075282E-1, +-3.235070110813E-1)); +#6880=CARTESIAN_POINT('',(-1.150598623608E-1,3.535959139209E-1, +-3.229948430689E-1)); +#6881=CARTESIAN_POINT('',(-1.083388676350E-1,3.406511261925E-1, +-3.224827481259E-1)); +#6882=CARTESIAN_POINT('',(-1.001677499712E-1,3.285692335167E-1, +-3.219706531909E-1)); +#6883=CARTESIAN_POINT('',(-9.065448454451E-2,3.175103762025E-1, +-3.214584851543E-1)); +#6884=CARTESIAN_POINT('',(-7.992451845944E-2,3.076224080657E-1, +-3.209461923748E-1)); +#6885=CARTESIAN_POINT('',(-6.812792153024E-2,2.990431969238E-1, +-3.204340699768E-1)); +#6886=CARTESIAN_POINT('',(-5.542019278397E-2,2.918842589686E-1, +-3.199219778837E-1)); +#6887=CARTESIAN_POINT('',(-4.197029195614E-2,2.862407981222E-1, +-3.194098722836E-1)); +#6888=CARTESIAN_POINT('',(-3.262702226247E-2,2.835388282514E-1, +-3.190683916675E-1)); +#6889=CARTESIAN_POINT('',(-2.789258956942E-2,2.824621937786E-1, +-3.188976377953E-1)); +#6891=CARTESIAN_POINT('',(-5.118899085264E-2,3.077099474221E-1, +-3.663110959001E-1)); +#6892=CARTESIAN_POINT('',(-5.087200430100E-2,3.072095670712E-1, +-3.661198596171E-1)); +#6893=CARTESIAN_POINT('',(-5.029600356092E-2,3.061077340353E-1, +-3.656664776483E-1)); +#6894=CARTESIAN_POINT('',(-4.965411901100E-2,3.041510624666E-1, +-3.647640040759E-1)); +#6895=CARTESIAN_POINT('',(-4.927804456267E-2,3.019078405285E-1, +-3.636414545740E-1)); +#6896=CARTESIAN_POINT('',(-4.920149845264E-2,2.993885903181E-1, +-3.623004629034E-1)); +#6897=CARTESIAN_POINT('',(-4.945832896594E-2,2.966021794150E-1, +-3.607419074805E-1)); +#6898=CARTESIAN_POINT('',(-5.008431686099E-2,2.935593537430E-1, +-3.589670325483E-1)); +#6899=CARTESIAN_POINT('',(-5.077962494345E-2,2.913591258196E-1, +-3.576340472545E-1)); +#6900=CARTESIAN_POINT('',(-5.120397435410E-2,2.902241443142E-1, +-3.569331661880E-1)); +#6902=CARTESIAN_POINT('',(-5.120397435410E-2,2.902241443142E-1, +-3.569331661880E-1)); +#6903=CARTESIAN_POINT('',(-4.675324048567E-2,2.882406174943E-1, +-3.567617998478E-1)); +#6904=CARTESIAN_POINT('',(-3.762173826973E-2,2.847917413194E-1, +-3.564190950564E-1)); +#6905=CARTESIAN_POINT('',(-2.342039471033E-2,2.812419750961E-1, +-3.559051568878E-1)); +#6906=CARTESIAN_POINT('',(-8.903703137318E-3,2.793622576346E-1, +-3.553912316836E-1)); +#6907=CARTESIAN_POINT('',(5.734024927522E-3,2.791777536922E-1, +-3.548772719616E-1)); +#6908=CARTESIAN_POINT('',(2.029936711938E-2,2.806922451232E-1, +-3.543631373581E-1)); +#6909=CARTESIAN_POINT('',(3.458696020412E-2,2.838846221340E-1, +-3.538491423608E-1)); +#6910=CARTESIAN_POINT('',(4.840638005869E-2,2.887109225033E-1, +-3.533352150813E-1)); +#6911=CARTESIAN_POINT('',(6.157328000951E-2,2.951065104305E-1, +-3.528212863998E-1)); +#6912=CARTESIAN_POINT('',(7.391223832544E-2,3.029866073856E-1, +-3.523072683367E-1)); +#6913=CARTESIAN_POINT('',(8.525647741395E-2,3.122462639018E-1, +-3.517931455835E-1)); +#6914=CARTESIAN_POINT('',(9.544713320432E-2,3.227551651181E-1, +-3.512791985988E-1)); +#6915=CARTESIAN_POINT('',(1.043506989744E-1,3.343739144069E-1, +-3.507652719562E-1)); +#6916=CARTESIAN_POINT('',(1.118477544097E-1,3.469466364196E-1, +-3.502513336218E-1)); +#6917=CARTESIAN_POINT('',(1.178385390194E-1,3.603080320742E-1, +-3.497372308108E-1)); +#6918=CARTESIAN_POINT('',(1.222389865624E-1,3.742724177976E-1, +-3.492231861156E-1)); +#6919=CARTESIAN_POINT('',(1.249903905131E-1,3.886495658849E-1, +-3.487092553874E-1)); +#6920=CARTESIAN_POINT('',(1.260568049066E-1,4.032485936383E-1, +-3.481953288374E-1)); +#6921=CARTESIAN_POINT('',(1.254238328012E-1,4.178742422325E-1, +-3.476813534224E-1)); +#6922=CARTESIAN_POINT('',(1.230984884178E-1,4.323324242511E-1, +-3.471672147572E-1)); +#6923=CARTESIAN_POINT('',(1.191136076921E-1,4.464189772748E-1, +-3.466532393423E-1)); +#6924=CARTESIAN_POINT('',(1.135233870356E-1,4.599474023130E-1, +-3.461393127923E-1)); +#6925=CARTESIAN_POINT('',(1.064027215645E-1,4.727368015981E-1, +-3.456253820640E-1)); +#6926=CARTESIAN_POINT('',(9.784559655557E-2,4.846171895881E-1, +-3.451113373688E-1)); +#6927=CARTESIAN_POINT('',(8.796740466317E-2,4.954263393703E-1, +-3.445972345579E-1)); +#6928=CARTESIAN_POINT('',(7.690619454353E-2,5.050142906477E-1, +-3.440832962234E-1)); +#6929=CARTESIAN_POINT('',(6.480852600653E-2,5.132553606283E-1, +-3.435693695809E-1)); +#6930=CARTESIAN_POINT('',(5.183667239540E-2,5.200389794350E-1, +-3.430554225962E-1)); +#6931=CARTESIAN_POINT('',(3.816111612306E-2,5.252746417511E-1, +-3.425412998430E-1)); +#6932=CARTESIAN_POINT('',(2.397357054228E-2,5.288884169183E-1, +-3.420272817795E-1)); +#6933=CARTESIAN_POINT('',(9.465310105429E-3,5.308329418924E-1, +-3.415133530997E-1)); +#6934=CARTESIAN_POINT('',(-5.170507487815E-3,5.310827174929E-1, +-3.409994258136E-1)); +#6935=CARTESIAN_POINT('',(-1.973856442994E-2,5.296341709159E-1, +-3.404854308408E-1)); +#6936=CARTESIAN_POINT('',(-3.404425827521E-2,5.265052623072E-1, +-3.399712961462E-1)); +#6937=CARTESIAN_POINT('',(-4.788595122993E-2,5.217403497420E-1, +-3.394573367643E-1)); +#6938=CARTESIAN_POINT('',(-6.108120536818E-2,5.154036323444E-1, +-3.389434102907E-1)); +#6939=CARTESIAN_POINT('',(-7.345323305228E-2,5.075800837378E-1, +-3.384294768596E-1)); +#6940=CARTESIAN_POINT('',(-8.483800913963E-2,4.983724779597E-1, +-3.379154019916E-1)); +#6941=CARTESIAN_POINT('',(-9.507823332880E-2,4.879067749600E-1, +-3.374013271237E-1)); +#6942=CARTESIAN_POINT('',(-1.040336726561E-1,4.763276951921E-1, +-3.368873936926E-1)); +#6943=CARTESIAN_POINT('',(-1.115865425422E-1,4.637888405540E-1, +-3.363734672190E-1)); +#6944=CARTESIAN_POINT('',(-1.176355759078E-1,4.504581972482E-1, +-3.358595078371E-1)); +#6945=CARTESIAN_POINT('',(-1.220996547293E-1,4.365113357463E-1, +-3.353453731425E-1)); +#6946=CARTESIAN_POINT('',(-1.249156029393E-1,4.221448119520E-1, +-3.348313781696E-1)); +#6947=CARTESIAN_POINT('',(-1.260471882274E-1,4.075506672628E-1, +-3.343174508836E-1)); +#6948=CARTESIAN_POINT('',(-1.254795478029E-1,3.929236859397E-1, +-3.338035222038E-1)); +#6949=CARTESIAN_POINT('',(-1.232198512421E-1,3.784585695551E-1, +-3.332895041402E-1)); +#6950=CARTESIAN_POINT('',(-1.192972121454E-1,3.643502067244E-1, +-3.327753813871E-1)); +#6951=CARTESIAN_POINT('',(-1.137671519310E-1,3.507964284966E-1, +-3.322614344024E-1)); +#6952=CARTESIAN_POINT('',(-1.067036950140E-1,3.379754926946E-1, +-3.317475077598E-1)); +#6953=CARTESIAN_POINT('',(-9.820161511329E-2,3.260593768689E-1, +-3.312335694254E-1)); +#6954=CARTESIAN_POINT('',(-8.837221339105E-2,3.152058403089E-1, +-3.307194666145E-1)); +#6955=CARTESIAN_POINT('',(-7.735176296939E-2,3.055664586007E-1, +-3.302054219193E-1)); +#6956=CARTESIAN_POINT('',(-6.529088551752E-2,2.972714091183E-1, +-3.296914911910E-1)); +#6957=CARTESIAN_POINT('',(-5.234994560301E-2,2.904302889520E-1, +-3.291775646410E-1)); +#6958=CARTESIAN_POINT('',(-3.870197170548E-2,2.851347192017E-1, +-3.286635892261E-1)); +#6959=CARTESIAN_POINT('',(-2.452752057974E-2,2.814562427416E-1, +-3.281494505609E-1)); +#6960=CARTESIAN_POINT('',(-1.002674811181E-2,2.794468029387E-1, +-3.276354751459E-1)); +#6961=CARTESIAN_POINT('',(4.607784766383E-3,2.791316863914E-1, +-3.271215485959E-1)); +#6962=CARTESIAN_POINT('',(1.918033173059E-2,2.805149744308E-1, +-3.266076178677E-1)); +#6963=CARTESIAN_POINT('',(3.349747087500E-2,2.835788827083E-1, +-3.260935731724E-1)); +#6964=CARTESIAN_POINT('',(4.736428590639E-2,2.882829059822E-1, +-3.255794703615E-1)); +#6965=CARTESIAN_POINT('',(6.058799283434E-2,2.945608637786E-1, +-3.250655320271E-1)); +#6966=CARTESIAN_POINT('',(7.299462124614E-2,3.023290289358E-1, +-3.245516053845E-1)); +#6967=CARTESIAN_POINT('',(8.441772842099E-2,3.114832133716E-1, +-3.240376583998E-1)); +#6968=CARTESIAN_POINT('',(9.470594848228E-2,3.219036513310E-1, +-3.235235356466E-1)); +#6969=CARTESIAN_POINT('',(1.037145654907E-1,3.334444762555E-1, +-3.230095175835E-1)); +#6970=CARTESIAN_POINT('',(1.113233695604E-1,3.459495512205E-1, +-3.224955889020E-1)); +#6971=CARTESIAN_POINT('',(1.174314166491E-1,3.592522314441E-1, +-3.219816616224E-1)); +#6972=CARTESIAN_POINT('',(1.219569739963E-1,3.731750873951E-1, +-3.214676666252E-1)); +#6973=CARTESIAN_POINT('',(1.248383171425E-1,3.875326895325E-1, +-3.209535320217E-1)); +#6974=CARTESIAN_POINT('',(1.260350633581E-1,4.021225806596E-1, +-3.204395722996E-1)); +#6975=CARTESIAN_POINT('',(1.255327367228E-1,4.167518437959E-1, +-3.199256470955E-1)); +#6976=CARTESIAN_POINT('',(1.233380650679E-1,4.312246602274E-1, +-3.194117089268E-1)); +#6977=CARTESIAN_POINT('',(1.207657165697E-1,4.406407158131E-1, +-3.190690041355E-1)); +#6978=CARTESIAN_POINT('',(1.192107614498E-1,4.452586726327E-1, +-3.188976377953E-1)); +#6980=CARTESIAN_POINT('',(-5.190873104574E-2,3.080915849529E-1, +-3.665800671139E-1)); +#6981=CARTESIAN_POINT('',(-5.182817091583E-2,3.080484856654E-1, +-3.665529485789E-1)); +#6982=CARTESIAN_POINT('',(-5.166743997905E-2,3.079627534275E-1, +-3.664968672867E-1)); +#6983=CARTESIAN_POINT('',(-5.142746398507E-2,3.078355149433E-1, +-3.664071904617E-1)); +#6984=CARTESIAN_POINT('',(-5.126834310022E-2,3.077516478439E-1, +-3.663437412158E-1)); +#6985=CARTESIAN_POINT('',(-5.118899085264E-2,3.077099474221E-1, +-3.663110959001E-1)); +#6987=CARTESIAN_POINT('',(-5.190873104574E-2,3.080915849529E-1, +-3.665800671139E-1)); +#6988=CARTESIAN_POINT('',(-4.811776455647E-2,3.060634358533E-1, +-3.664072245966E-1)); +#6989=CARTESIAN_POINT('',(-4.029852029275E-2,3.024524045189E-1, +-3.660615705929E-1)); +#6990=CARTESIAN_POINT('',(-2.801998733986E-2,2.984453319083E-1, +-3.655432239956E-1)); +#6991=CARTESIAN_POINT('',(-1.535749054508E-2,2.959011163974E-1, +-3.650248878601E-1)); +#6992=CARTESIAN_POINT('',(-2.482820317650E-3,2.948544339454E-1, +-3.645064892950E-1)); +#6993=CARTESIAN_POINT('',(1.043025316533E-2,2.953208043252E-1, +-3.639879160137E-1)); +#6994=CARTESIAN_POINT('',(2.319533711892E-2,2.972933510177E-1, +-3.634695397617E-1)); +#6995=CARTESIAN_POINT('',(3.564137418227E-2,3.007443003973E-1, +-3.629512018920E-1)); +#6996=CARTESIAN_POINT('',(4.759891988057E-2,3.056265513687E-1, +-3.624328549856E-1)); +#6997=CARTESIAN_POINT('',(5.890769804818E-2,3.118757890564E-1, +-3.619143183353E-1)); +#6998=CARTESIAN_POINT('',(6.940645669414E-2,3.194037131497E-1, +-3.613958561910E-1)); +#6999=CARTESIAN_POINT('',(7.895084575445E-2,3.281053570573E-1, +-3.608775171205E-1)); +#7000=CARTESIAN_POINT('',(8.741258995704E-2,3.378630152911E-1, +-3.603591793440E-1)); +#7001=CARTESIAN_POINT('',(9.467686986151E-2,3.485448316925E-1, +-3.598407523751E-1)); +#7002=CARTESIAN_POINT('',(1.006435440172E-1,3.600059093188E-1, +-3.593221907120E-1)); +#7003=CARTESIAN_POINT('',(1.052272495431E-1,3.720813649557E-1, +-3.588038319724E-1)); +#7004=CARTESIAN_POINT('',(1.083678456753E-1,3.846093176792E-1, +-3.582854939773E-1)); +#7005=CARTESIAN_POINT('',(1.100225325138E-1,3.974190307241E-1, +-3.577671356206E-1)); +#7006=CARTESIAN_POINT('',(1.101679793848E-1,4.103394051159E-1, +-3.572485743806E-1)); +#7007=CARTESIAN_POINT('',(1.088016672183E-1,4.231848201436E-1, +-3.567301465845E-1)); +#7008=CARTESIAN_POINT('',(1.059435465423E-1,4.357802228174E-1, +-3.562118087921E-1)); +#7009=CARTESIAN_POINT('',(1.016326585762E-1,4.479552046247E-1, +-3.556934697664E-1)); +#7010=CARTESIAN_POINT('',(9.592659626788E-2,4.595454512210E-1, +-3.551750085730E-1)); +#7011=CARTESIAN_POINT('',(8.890309042095E-2,4.703903718804E-1, +-3.546564711393E-1)); +#7012=CARTESIAN_POINT('',(8.066269508831E-2,4.803359895687E-1, +-3.541381240001E-1)); +#7013=CARTESIAN_POINT('',(7.131639268517E-2,4.892499973716E-1, +-3.536197861071E-1)); +#7014=CARTESIAN_POINT('',(6.099137949315E-2,4.970109287868E-1, +-3.531014104056E-1)); +#7015=CARTESIAN_POINT('',(4.982535882106E-2,5.035136205905E-1, +-3.525828370467E-1)); +#7016=CARTESIAN_POINT('',(3.797943193640E-2,5.086640764403E-1, +-3.520644377042E-1)); +#7017=CARTESIAN_POINT('',(2.561417346866E-2,5.123939948910E-1, +-3.515461014909E-1)); +#7018=CARTESIAN_POINT('',(1.289740172584E-2,5.146529217561E-1, +-3.510277548436E-1)); +#7019=CARTESIAN_POINT('',(4.299400132450E-3,5.151574803150E-1, +-3.506821006483E-1)); +#7020=CARTESIAN_POINT('',(0.E0,5.151574803150E-1,-3.505092580103E-1)); +#7022=CARTESIAN_POINT('',(0.E0,5.151574803150E-1,-3.502730375379E-1)); +#7023=CARTESIAN_POINT('',(4.290634888454E-3,5.151574803150E-1, +-3.504455277993E-1)); +#7024=CARTESIAN_POINT('',(1.287111081923E-2,5.146549794145E-1, +-3.507904774251E-1)); +#7025=CARTESIAN_POINT('',(2.556269207960E-2,5.124052180864E-1, +-3.513077680100E-1)); +#7026=CARTESIAN_POINT('',(3.790489300201E-2,5.086902921610E-1, +-3.518250482295E-1)); +#7027=CARTESIAN_POINT('',(4.973089088830E-2,5.035602477509E-1, +-3.523423912727E-1)); +#7028=CARTESIAN_POINT('',(6.088103229545E-2,4.970828398531E-1, +-3.528599072015E-1)); +#7029=CARTESIAN_POINT('',(7.119509890759E-2,4.893513198552E-1, +-3.533772265789E-1)); +#7030=CARTESIAN_POINT('',(8.053609297659E-2,4.804700240475E-1, +-3.538945084684E-1)); +#7031=CARTESIAN_POINT('',(8.877740502699E-2,4.705594827228E-1, +-3.544117995473E-1)); +#7032=CARTESIAN_POINT('',(9.580849856851E-2,4.597509939580E-1, +-3.549292799750E-1)); +#7033=CARTESIAN_POINT('',(1.015291169251E-1,4.481974052261E-1, +-3.554466842501E-1)); +#7034=CARTESIAN_POINT('',(1.058616466867E-1,4.360581392987E-1, +-3.559639672383E-1)); +#7035=CARTESIAN_POINT('',(1.087484341397E-1,4.234963654818E-1, +-3.564812490274E-1)); +#7036=CARTESIAN_POINT('',(1.101502116910E-1,4.106813416448E-1, +-3.569986204550E-1)); +#7037=CARTESIAN_POINT('',(1.100466333693E-1,3.977870053885E-1, +-3.575161241756E-1)); +#7038=CARTESIAN_POINT('',(1.084396088214E-1,3.849978414498E-1, +-3.580334263087E-1)); +#7039=CARTESIAN_POINT('',(1.053517336375E-1,3.724839832656E-1, +-3.585507082909E-1)); +#7040=CARTESIAN_POINT('',(1.008249176830E-1,3.604152824954E-1, +-3.590680109782E-1)); +#7041=CARTESIAN_POINT('',(9.491830107759E-2,3.489528654798E-1, +-3.595855154593E-1)); +#7042=CARTESIAN_POINT('',(8.771604774996E-2,3.382609716013E-1, +-3.601028856890E-1)); +#7043=CARTESIAN_POINT('',(7.931704132319E-2,3.284840408795E-1, +-3.606201674543E-1)); +#7044=CARTESIAN_POINT('',(6.983479010906E-2,3.197536587144E-1, +-3.611374505422E-1)); +#7045=CARTESIAN_POINT('',(5.939620527909E-2,3.121874306075E-1, +-3.616548563364E-1)); +#7046=CARTESIAN_POINT('',(4.814424317302E-2,3.058904303281E-1, +-3.621723354505E-1)); +#7047=CARTESIAN_POINT('',(3.623867696088E-2,3.009512658675E-1, +-3.626896262234E-1)); +#7048=CARTESIAN_POINT('',(2.383844532199E-2,2.974347580397E-1, +-3.632069080883E-1)); +#7049=CARTESIAN_POINT('',(1.111167594843E-2,2.953887046668E-1, +-3.637242284308E-1)); +#7050=CARTESIAN_POINT('',(-1.771776697747E-3,2.948417459984E-1, +-3.642417444378E-1)); +#7051=CARTESIAN_POINT('',(-1.462668495761E-2,2.958018825023E-1, +-3.647590858440E-1)); +#7052=CARTESIAN_POINT('',(-2.728028546727E-2,2.982548293309E-1, +-3.652763659982E-1)); +#7053=CARTESIAN_POINT('',(-3.956158321809E-2,3.021672857220E-1, +-3.657936564700E-1)); +#7054=CARTESIAN_POINT('',(-4.739087357088E-2,3.057139977483E-1, +-3.661386058156E-1)); +#7055=CARTESIAN_POINT('',(-5.118899085264E-2,3.077099474221E-1, +-3.663110959001E-1)); +#7057=CARTESIAN_POINT('',(6.335343632745E-2,3.151458641109E-1, +-3.338976377953E-1)); +#7058=CARTESIAN_POINT('',(6.696240878131E-2,3.175519397958E-1, +-3.337871248685E-1)); +#7059=CARTESIAN_POINT('',(7.390281082811E-2,3.227964813958E-1, +-3.335662042399E-1)); +#7060=CARTESIAN_POINT('',(8.333170220497E-2,3.318533899466E-1, +-3.332351710468E-1)); +#7061=CARTESIAN_POINT('',(9.164672403644E-2,3.419802740400E-1, +-3.329043893787E-1)); +#7062=CARTESIAN_POINT('',(9.872944698313E-2,3.530428836422E-1, +-3.325737723393E-1)); +#7063=CARTESIAN_POINT('',(1.044751012441E-1,3.648908946740E-1, +-3.322433306935E-1)); +#7064=CARTESIAN_POINT('',(1.087957085725E-1,3.773543264865E-1, +-3.319132776637E-1)); +#7065=CARTESIAN_POINT('',(1.116290702808E-1,3.902671273221E-1, +-3.315834717939E-1)); +#7066=CARTESIAN_POINT('',(1.129305466330E-1,4.034541441426E-1, +-3.312538584061E-1)); +#7067=CARTESIAN_POINT('',(1.126752014862E-1,4.167365758378E-1, +-3.309243676719E-1)); +#7068=CARTESIAN_POINT('',(1.108614082984E-1,4.299202333340E-1, +-3.305952668024E-1)); +#7069=CARTESIAN_POINT('',(1.075093448007E-1,4.428281776912E-1, +-3.302664207986E-1)); +#7070=CARTESIAN_POINT('',(1.026591156101E-1,4.552832065352E-1, +-3.299377861770E-1)); +#7071=CARTESIAN_POINT('',(9.636891089914E-2,4.671160074176E-1, +-3.296092446258E-1)); +#7072=CARTESIAN_POINT('',(8.872527150613E-2,4.781516009111E-1, +-3.292810723397E-1)); +#7073=CARTESIAN_POINT('',(7.982737451310E-2,4.882397452369E-1, +-3.289531698348E-1)); +#7074=CARTESIAN_POINT('',(6.979154933767E-2,4.972404108386E-1, +-3.286254901560E-1)); +#7075=CARTESIAN_POINT('',(5.874601413E-2,5.050291491284E-1,-3.282978961276E-1)); +#7076=CARTESIAN_POINT('',(4.684609715533E-2,5.114889907610E-1, +-3.279706295398E-1)); +#7077=CARTESIAN_POINT('',(3.425078400772E-2,5.165290271680E-1, +-3.276436546613E-1)); +#7078=CARTESIAN_POINT('',(2.112695570139E-2,5.200770466304E-1, +-3.273169068097E-1)); +#7079=CARTESIAN_POINT('',(7.646002385283E-3,5.220797462412E-1, +-3.269902587348E-1)); +#7080=CARTESIAN_POINT('',(-6.003324306574E-3,5.225025729904E-1, +-3.266638756512E-1)); +#7081=CARTESIAN_POINT('',(-1.963385593096E-2,5.213355064338E-1, +-3.263378128103E-1)); +#7082=CARTESIAN_POINT('',(-3.306482345884E-2,5.185893150798E-1, +-3.260119750486E-1)); +#7083=CARTESIAN_POINT('',(-4.611746509586E-2,5.142941083778E-1, +-3.256862687149E-1)); +#7084=CARTESIAN_POINT('',(-5.861128405864E-2,5.085021531561E-1, +-3.253607505493E-1)); +#7085=CARTESIAN_POINT('',(-7.036978652766E-2,5.012902570045E-1, +-3.250355828423E-1)); +#7086=CARTESIAN_POINT('',(-8.123712736026E-2,4.927489892245E-1, +-3.247106383575E-1)); +#7087=CARTESIAN_POINT('',(-9.106582294495E-2,4.829866837548E-1, +-3.243858544199E-1)); +#7088=CARTESIAN_POINT('',(-9.972006653597E-2,4.721284344998E-1, +-3.240611985106E-1)); +#7089=CARTESIAN_POINT('',(-1.070738101859E-1,4.603245150587E-1, +-3.237369052142E-1)); +#7090=CARTESIAN_POINT('',(-1.130283185550E-1,4.477259138310E-1, +-3.234128394599E-1)); +#7091=CARTESIAN_POINT('',(-1.174998346752E-1,4.344966780087E-1, +-3.230889563211E-1)); +#7092=CARTESIAN_POINT('',(-1.204233456256E-1,4.208073349968E-1, +-3.227651627175E-1)); +#7093=CARTESIAN_POINT('',(-1.217523518512E-1,4.068503699605E-1, +-3.224417229663E-1)); +#7094=CARTESIAN_POINT('',(-1.214658558047E-1,3.928063041016E-1, +-3.221185220069E-1)); +#7095=CARTESIAN_POINT('',(-1.195623340185E-1,3.788599437684E-1, +-3.217955185585E-1)); +#7096=CARTESIAN_POINT('',(-1.160594953894E-1,3.651919432167E-1, +-3.214725874349E-1)); +#7097=CARTESIAN_POINT('',(-1.110010392256E-1,3.519955329014E-1, +-3.211499809207E-1)); +#7098=CARTESIAN_POINT('',(-1.044493965805E-1,3.394440758115E-1, +-3.208276312181E-1)); +#7099=CARTESIAN_POINT('',(-9.648567786768E-2,3.277036796668E-1, +-3.205054869180E-1)); +#7100=CARTESIAN_POINT('',(-8.720632172133E-2,3.169283521856E-1, +-3.201834185332E-1)); +#7101=CARTESIAN_POINT('',(-7.673485552468E-2,3.072707547972E-1, +-3.198616252629E-1)); +#7102=CARTESIAN_POINT('',(-6.520688264647E-2,2.988611659401E-1, +-3.195401146015E-1)); +#7103=CARTESIAN_POINT('',(-5.276828741241E-2,2.918118239968E-1, +-3.192188059891E-1)); +#7104=CARTESIAN_POINT('',(-4.397347531714E-2,2.880830913886E-1, +-3.190046777637E-1)); +#7105=CARTESIAN_POINT('',(-3.947906250984E-2,2.864747467765E-1, +-3.188976377953E-1)); +#7107=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#7108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7109=DIRECTION('',(-3.157330565963E-1,-9.488480578956E-1,0.E0)); +#7110=AXIS2_PLACEMENT_3D('',#7107,#7108,#7109); +#7112=CARTESIAN_POINT('',(5.846106495181E-2,3.118927550370E-1, +-3.338976377953E-1)); +#7113=CARTESIAN_POINT('',(6.214923081479E-2,3.137443286869E-1, +-3.335068640854E-1)); +#7114=CARTESIAN_POINT('',(6.934522411643E-2,3.178793875162E-1, +-3.327263590099E-1)); +#7115=CARTESIAN_POINT('',(7.945032502003E-2,3.253130018686E-1, +-3.315588312357E-1)); +#7116=CARTESIAN_POINT('',(8.873005832862E-2,3.338992330073E-1, +-3.303942248808E-1)); +#7117=CARTESIAN_POINT('',(9.705658052531E-2,3.435449739670E-1, +-3.292322769858E-1)); +#7118=CARTESIAN_POINT('',(1.043115888526E-1,3.541428632027E-1, +-3.280728230192E-1)); +#7119=CARTESIAN_POINT('',(1.103848819308E-1,3.655628713408E-1, +-3.269166442233E-1)); +#7120=CARTESIAN_POINT('',(1.151888005605E-1,3.776755197390E-1, +-3.257632198456E-1)); +#7121=CARTESIAN_POINT('',(1.186477904904E-1,3.903384824735E-1, +-3.246124414838E-1)); +#7122=CARTESIAN_POINT('',(1.207023793006E-1,4.034050997522E-1, +-3.234638981325E-1)); +#7123=CARTESIAN_POINT('',(1.213086053708E-1,4.167090312903E-1, +-3.223183599036E-1)); +#7124=CARTESIAN_POINT('',(1.204432159955E-1,4.300917341159E-1, +-3.211755403111E-1)); +#7125=CARTESIAN_POINT('',(1.180995836831E-1,4.433916673328E-1, +-3.200352619674E-1)); +#7126=CARTESIAN_POINT('',(1.155585870967E-1,4.520960651013E-1, +-3.192765841996E-1)); +#7127=CARTESIAN_POINT('',(1.140482733590E-1,4.563805274004E-1, +-3.188976377953E-1)); +#7129=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.338976377953E-1)); +#7130=DIRECTION('',(0.E0,0.E0,1.E0)); +#7131=DIRECTION('',(5.312740786318E-1,-8.472000078930E-1,0.E0)); +#7132=AXIS2_PLACEMENT_3D('',#7129,#7130,#7131); +#7134=CARTESIAN_POINT('',(-1.574803149606E-1,4.960394124708E-1, +-3.329632122011E-1)); +#7135=CARTESIAN_POINT('',(-1.530912308281E-1,4.985734513762E-1, +-3.315001841569E-1)); +#7136=CARTESIAN_POINT('',(-1.444338723641E-1,5.035717796159E-1, +-3.287988961872E-1)); +#7137=CARTESIAN_POINT('',(-1.318814725467E-1,5.108189110294E-1, +-3.254544306596E-1)); +#7138=CARTESIAN_POINT('',(-1.195361608673E-1,5.179464800508E-1, +-3.227696151437E-1)); +#7139=CARTESIAN_POINT('',(-1.113487603655E-1,5.226734779345E-1, +-3.214225603634E-1)); +#7140=CARTESIAN_POINT('',(-1.072730157978E-1,5.250266101577E-1, +-3.208661417323E-1)); +#7142=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7143=VECTOR('',#7142,3.486097717259E-2); +#7144=CARTESIAN_POINT('',(-1.574803149606E-1,4.960394124708E-1, +-3.329632122011E-1)); +#7145=LINE('',#7144,#7143); +#7146=CARTESIAN_POINT('',(-7.874015748037E-2,5.415000635881E-1, +-3.818897637795E-1)); +#7147=CARTESIAN_POINT('',(-8.307197296628E-2,5.389990887512E-1, +-3.818897637796E-1)); +#7148=CARTESIAN_POINT('',(-9.172941323859E-2,5.340007132795E-1, +-3.816139190614E-1)); +#7149=CARTESIAN_POINT('',(-1.046925653852E-1,5.265164338981E-1, +-3.803880211702E-1)); +#7150=CARTESIAN_POINT('',(-1.176460334939E-1,5.190377455986E-1, +-3.783845412590E-1)); +#7151=CARTESIAN_POINT('',(-1.306508712929E-1,5.115293989946E-1, +-3.756396453657E-1)); +#7152=CARTESIAN_POINT('',(-1.438234872997E-1,5.039241855971E-1, +-3.721745143550E-1)); +#7153=CARTESIAN_POINT('',(-1.528894545232E-1,4.986899469802E-1, +-3.693544761862E-1)); +#7154=CARTESIAN_POINT('',(-1.574803149606E-1,4.960394124708E-1, +-3.678241893737E-1)); +#7156=CARTESIAN_POINT('',(0.E0,5.869607147054E-1,-3.678241893737E-1)); +#7157=CARTESIAN_POINT('',(-4.628412494082E-3,5.842884995060E-1, +-3.693669935384E-1)); +#7158=CARTESIAN_POINT('',(-1.375441029461E-2,5.790196022193E-1, +-3.722036276794E-1)); +#7159=CARTESIAN_POINT('',(-2.696485262403E-2,5.713925497843E-1, +-3.756721401632E-1)); +#7160=CARTESIAN_POINT('',(-3.997083159646E-2,5.638835443234E-1, +-3.784093113191E-1)); +#7161=CARTESIAN_POINT('',(-5.289634139548E-2,5.564209977616E-1, +-3.804007652060E-1)); +#7162=CARTESIAN_POINT('',(-6.580997452724E-2,5.489653081967E-1, +-3.816165274180E-1)); +#7163=CARTESIAN_POINT('',(-7.442938865200E-2,5.439888871315E-1, +-3.818897637795E-1)); +#7164=CARTESIAN_POINT('',(-7.874015748037E-2,5.415000635881E-1, +-3.818897637795E-1)); +#7166=CARTESIAN_POINT('',(-5.020729916280E-2,5.579735170185E-1, +-3.208661417323E-1)); +#7167=CARTESIAN_POINT('',(-4.611061023154E-2,5.603387414757E-1, +-3.214254196775E-1)); +#7168=CARTESIAN_POINT('',(-3.789105845123E-2,5.650843019087E-1, +-3.227791724801E-1)); +#7169=CARTESIAN_POINT('',(-2.552311742315E-2,5.722249359906E-1, +-3.254727100206E-1)); +#7170=CARTESIAN_POINT('',(-1.298253200794E-2,5.794652463558E-1, +-3.288179716404E-1)); +#7171=CARTESIAN_POINT('',(-4.363319770533E-3,5.844415508613E-1, +-3.315087722776E-1)); +#7172=CARTESIAN_POINT('',(0.E0,5.869607147054E-1,-3.329632122011E-1)); +#7174=CARTESIAN_POINT('',(-1.574803149606E-1,3.141968080016E-1, +-3.329632122011E-1)); +#7175=CARTESIAN_POINT('',(-1.574803149606E-1,3.192648858125E-1, +-3.315001841569E-1)); +#7176=CARTESIAN_POINT('',(-1.574803149606E-1,3.292615422918E-1, +-3.287988961872E-1)); +#7177=CARTESIAN_POINT('',(-1.574803149606E-1,3.437558051189E-1, +-3.254544306596E-1)); +#7178=CARTESIAN_POINT('',(-1.574803149606E-1,3.580109431616E-1, +-3.227696151437E-1)); +#7179=CARTESIAN_POINT('',(-1.574803149606E-1,3.674649389289E-1, +-3.214225603634E-1)); +#7180=CARTESIAN_POINT('',(-1.574803149606E-1,3.721712033755E-1, +-3.208661417323E-1)); +#7182=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7183=VECTOR('',#7182,3.486097717259E-2); +#7184=CARTESIAN_POINT('',(-1.574803149606E-1,3.141968080016E-1, +-3.329632122011E-1)); +#7185=LINE('',#7184,#7183); +#7186=CARTESIAN_POINT('',(-1.574803149606E-1,4.051181102386E-1, +-3.818897637795E-1)); +#7187=CARTESIAN_POINT('',(-1.574803149606E-1,4.001161605649E-1, +-3.818897637796E-1)); +#7188=CARTESIAN_POINT('',(-1.574803149606E-1,3.901194096179E-1, +-3.816139190614E-1)); +#7189=CARTESIAN_POINT('',(-1.574803149606E-1,3.751508508565E-1, +-3.803880211702E-1)); +#7190=CARTESIAN_POINT('',(-1.574803149606E-1,3.601934742571E-1, +-3.783845412590E-1)); +#7191=CARTESIAN_POINT('',(-1.574803149606E-1,3.451767810492E-1, +-3.756396453657E-1)); +#7192=CARTESIAN_POINT('',(-1.574803149606E-1,3.299663542542E-1, +-3.721745143550E-1)); +#7193=CARTESIAN_POINT('',(-1.574803149606E-1,3.194978770204E-1, +-3.693544761862E-1)); +#7194=CARTESIAN_POINT('',(-1.574803149606E-1,3.141968080016E-1, +-3.678241893737E-1)); +#7196=CARTESIAN_POINT('',(-1.574803149606E-1,4.960394124708E-1, +-3.678241893737E-1)); +#7197=CARTESIAN_POINT('',(-1.574803149606E-1,4.906949820720E-1, +-3.693669935384E-1)); +#7198=CARTESIAN_POINT('',(-1.574803149606E-1,4.801571874985E-1, +-3.722036276794E-1)); +#7199=CARTESIAN_POINT('',(-1.574803149606E-1,4.649030826285E-1, +-3.756721401632E-1)); +#7200=CARTESIAN_POINT('',(-1.574803149606E-1,4.498850717068E-1, +-3.784093113191E-1)); +#7201=CARTESIAN_POINT('',(-1.574803149606E-1,4.349599785835E-1, +-3.804007652060E-1)); +#7202=CARTESIAN_POINT('',(-1.574803149606E-1,4.200485994523E-1, +-3.816165274180E-1)); +#7203=CARTESIAN_POINT('',(-1.574803149606E-1,4.100957573256E-1, +-3.818897637795E-1)); +#7204=CARTESIAN_POINT('',(-1.574803149606E-1,4.051181102386E-1, +-3.818897637795E-1)); +#7206=CARTESIAN_POINT('',(-1.574803149606E-1,4.380650170970E-1, +-3.208661417323E-1)); +#7207=CARTESIAN_POINT('',(-1.574803149606E-1,4.427954660115E-1, +-3.214254196775E-1)); +#7208=CARTESIAN_POINT('',(-1.574803149606E-1,4.522865868774E-1, +-3.227791724801E-1)); +#7209=CARTESIAN_POINT('',(-1.574803149606E-1,4.665678550412E-1, +-3.254727100206E-1)); +#7210=CARTESIAN_POINT('',(-1.574803149606E-1,4.810484757717E-1, +-3.288179716404E-1)); +#7211=CARTESIAN_POINT('',(-1.574803149606E-1,4.910010847827E-1, +-3.315087722776E-1)); +#7212=CARTESIAN_POINT('',(-1.574803149606E-1,4.960394124708E-1, +-3.329632122011E-1)); +#7214=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.818897637795E-1)); +#7215=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7216=DIRECTION('',(-5.000000000540E-1,8.660254037532E-1,0.E0)); +#7217=AXIS2_PLACEMENT_3D('',#7214,#7215,#7216); +#7219=CARTESIAN_POINT('',(0.E0,5.869607147054E-1,-3.329632122011E-1)); +#7220=CARTESIAN_POINT('',(4.389084132532E-3,5.844266758E-1,-3.315001841569E-1)); +#7221=CARTESIAN_POINT('',(1.304644259655E-2,5.794283475603E-1, +-3.287988961872E-1)); +#7222=CARTESIAN_POINT('',(2.559884241393E-2,5.721812161468E-1, +-3.254544306596E-1)); +#7223=CARTESIAN_POINT('',(3.794415409337E-2,5.650536471254E-1, +-3.227696151437E-1)); +#7224=CARTESIAN_POINT('',(4.613155459515E-2,5.603266492417E-1, +-3.214225603634E-1)); +#7225=CARTESIAN_POINT('',(5.020729916280E-2,5.579735170185E-1, +-3.208661417323E-1)); +#7227=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7228=VECTOR('',#7227,3.486097717259E-2); +#7229=CARTESIAN_POINT('',(0.E0,5.869607147054E-1,-3.329632122011E-1)); +#7230=LINE('',#7229,#7228); +#7231=CARTESIAN_POINT('',(7.874015748452E-2,5.415000635857E-1, +-3.818897637795E-1)); +#7232=CARTESIAN_POINT('',(7.440834199860E-2,5.440010384225E-1, +-3.818897637796E-1)); +#7233=CARTESIAN_POINT('',(6.575090172006E-2,5.489994138979E-1, +-3.816139190614E-1)); +#7234=CARTESIAN_POINT('',(5.278774957597E-2,5.564836932778E-1, +-3.803880211702E-1)); +#7235=CARTESIAN_POINT('',(3.983428146659E-2,5.639623815777E-1, +-3.783845412590E-1)); +#7236=CARTESIAN_POINT('',(2.682944366781E-2,5.714707281816E-1, +-3.756396453657E-1)); +#7237=CARTESIAN_POINT('',(1.365682766089E-2,5.790759415791E-1, +-3.721745143550E-1)); +#7238=CARTESIAN_POINT('',(4.590860437454E-3,5.843101801960E-1, +-3.693544761862E-1)); +#7239=CARTESIAN_POINT('',(0.E0,5.869607147054E-1,-3.678241893737E-1)); +#7241=CARTESIAN_POINT('',(1.574803149606E-1,4.960394124708E-1, +-3.678241893737E-1)); +#7242=CARTESIAN_POINT('',(1.528519024665E-1,4.987116276702E-1, +-3.693669935384E-1)); +#7243=CARTESIAN_POINT('',(1.437259046660E-1,5.039805249569E-1, +-3.722036276794E-1)); +#7244=CARTESIAN_POINT('',(1.305154623366E-1,5.116075773919E-1, +-3.756721401632E-1)); +#7245=CARTESIAN_POINT('',(1.175094833640E-1,5.191165828528E-1, +-3.784093113191E-1)); +#7246=CARTESIAN_POINT('',(1.045839735657E-1,5.265791294143E-1, +-3.804007652060E-1)); +#7247=CARTESIAN_POINT('',(9.167034043141E-2,5.340348189806E-1, +-3.816165274180E-1)); +#7248=CARTESIAN_POINT('',(8.305092631288E-2,5.390112400422E-1, +-3.818897637795E-1)); +#7249=CARTESIAN_POINT('',(7.874015748452E-2,5.415000635857E-1, +-3.818897637795E-1)); +#7251=CARTESIAN_POINT('',(1.072730157978E-1,5.250266101577E-1, +-3.208661417323E-1)); +#7252=CARTESIAN_POINT('',(1.113697047291E-1,5.226613857005E-1, +-3.214254196775E-1)); +#7253=CARTESIAN_POINT('',(1.195892565094E-1,5.179158252675E-1, +-3.227791724801E-1)); +#7254=CARTESIAN_POINT('',(1.319571975375E-1,5.107751911856E-1, +-3.254727100206E-1)); +#7255=CARTESIAN_POINT('',(1.444977829527E-1,5.035348808204E-1, +-3.288179716404E-1)); +#7256=CARTESIAN_POINT('',(1.531169951901E-1,4.985585763149E-1, +-3.315087722776E-1)); +#7257=CARTESIAN_POINT('',(1.574803149606E-1,4.960394124708E-1, +-3.329632122011E-1)); +#7259=CARTESIAN_POINT('',(1.574803149606E-1,4.960394124708E-1, +-3.329632122011E-1)); +#7260=CARTESIAN_POINT('',(1.574803149606E-1,4.909713346600E-1, +-3.315001841569E-1)); +#7261=CARTESIAN_POINT('',(1.574803149606E-1,4.809746781806E-1, +-3.287988961872E-1)); +#7262=CARTESIAN_POINT('',(1.574803149606E-1,4.664804153536E-1, +-3.254544306596E-1)); +#7263=CARTESIAN_POINT('',(1.574803149606E-1,4.522252773108E-1, +-3.227696151437E-1)); +#7264=CARTESIAN_POINT('',(1.574803149606E-1,4.427712815435E-1, +-3.214225603634E-1)); +#7265=CARTESIAN_POINT('',(1.574803149606E-1,4.380650170970E-1, +-3.208661417323E-1)); +#7267=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7268=VECTOR('',#7267,3.486097717259E-2); +#7269=CARTESIAN_POINT('',(1.574803149606E-1,4.960394124708E-1, +-3.329632122011E-1)); +#7270=LINE('',#7269,#7268); +#7271=CARTESIAN_POINT('',(1.574803149606E-1,4.051181102338E-1, +-3.818897637795E-1)); +#7272=CARTESIAN_POINT('',(1.574803149606E-1,4.101200599076E-1, +-3.818897637796E-1)); +#7273=CARTESIAN_POINT('',(1.574803149606E-1,4.201168108546E-1, +-3.816139190614E-1)); +#7274=CARTESIAN_POINT('',(1.574803149606E-1,4.350853696159E-1, +-3.803880211702E-1)); +#7275=CARTESIAN_POINT('',(1.574803149606E-1,4.500427462153E-1, +-3.783845412590E-1)); +#7276=CARTESIAN_POINT('',(1.574803149606E-1,4.650594394232E-1, +-3.756396453657E-1)); +#7277=CARTESIAN_POINT('',(1.574803149606E-1,4.802698662182E-1, +-3.721745143550E-1)); +#7278=CARTESIAN_POINT('',(1.574803149606E-1,4.907383434521E-1, +-3.693544761862E-1)); +#7279=CARTESIAN_POINT('',(1.574803149606E-1,4.960394124708E-1, +-3.678241893737E-1)); +#7281=CARTESIAN_POINT('',(1.574803149606E-1,3.141968080016E-1, +-3.678241893737E-1)); +#7282=CARTESIAN_POINT('',(1.574803149606E-1,3.195412384004E-1, +-3.693669935384E-1)); +#7283=CARTESIAN_POINT('',(1.574803149606E-1,3.300790329739E-1, +-3.722036276794E-1)); +#7284=CARTESIAN_POINT('',(1.574803149606E-1,3.453331378439E-1, +-3.756721401632E-1)); +#7285=CARTESIAN_POINT('',(1.574803149606E-1,3.603511487656E-1, +-3.784093113191E-1)); +#7286=CARTESIAN_POINT('',(1.574803149606E-1,3.752762418890E-1, +-3.804007652060E-1)); +#7287=CARTESIAN_POINT('',(1.574803149606E-1,3.901876210201E-1, +-3.816165274180E-1)); +#7288=CARTESIAN_POINT('',(1.574803149606E-1,4.001404631469E-1, +-3.818897637795E-1)); +#7289=CARTESIAN_POINT('',(1.574803149606E-1,4.051181102338E-1, +-3.818897637795E-1)); +#7291=CARTESIAN_POINT('',(1.574803149606E-1,3.721712033755E-1, +-3.208661417323E-1)); +#7292=CARTESIAN_POINT('',(1.574803149606E-1,3.674407544610E-1, +-3.214254196775E-1)); +#7293=CARTESIAN_POINT('',(1.574803149606E-1,3.579496335950E-1, +-3.227791724801E-1)); +#7294=CARTESIAN_POINT('',(1.574803149606E-1,3.436683654313E-1, +-3.254727100206E-1)); +#7295=CARTESIAN_POINT('',(1.574803149606E-1,3.291877447007E-1, +-3.288179716404E-1)); +#7296=CARTESIAN_POINT('',(1.574803149606E-1,3.192351356898E-1, +-3.315087722776E-1)); +#7297=CARTESIAN_POINT('',(1.574803149606E-1,3.141968080016E-1, +-3.329632122011E-1)); +#7299=CARTESIAN_POINT('',(1.574803149606E-1,3.141968080016E-1, +-3.329632122011E-1)); +#7300=CARTESIAN_POINT('',(1.530912308281E-1,3.116627690962E-1, +-3.315001841569E-1)); +#7301=CARTESIAN_POINT('',(1.444338723641E-1,3.066644408566E-1, +-3.287988961872E-1)); +#7302=CARTESIAN_POINT('',(1.318814725467E-1,2.994173094430E-1, +-3.254544306596E-1)); +#7303=CARTESIAN_POINT('',(1.195361608673E-1,2.922897404217E-1, +-3.227696151437E-1)); +#7304=CARTESIAN_POINT('',(1.113487603655E-1,2.875627425380E-1, +-3.214225603634E-1)); +#7305=CARTESIAN_POINT('',(1.072730157978E-1,2.852096103147E-1, +-3.208661417323E-1)); +#7307=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7308=VECTOR('',#7307,3.486097717259E-2); +#7309=CARTESIAN_POINT('',(1.574803149606E-1,3.141968080016E-1, +-3.329632122011E-1)); +#7310=LINE('',#7309,#7308); +#7311=CARTESIAN_POINT('',(7.874015748037E-2,2.687361568844E-1, +-3.818897637795E-1)); +#7312=CARTESIAN_POINT('',(8.307197296628E-2,2.712371317212E-1, +-3.818897637796E-1)); +#7313=CARTESIAN_POINT('',(9.172941323859E-2,2.762355071930E-1, +-3.816139190614E-1)); +#7314=CARTESIAN_POINT('',(1.046925653852E-1,2.837197865743E-1, +-3.803880211702E-1)); +#7315=CARTESIAN_POINT('',(1.176460334939E-1,2.911984748738E-1, +-3.783845412590E-1)); +#7316=CARTESIAN_POINT('',(1.306508712929E-1,2.987068214778E-1, +-3.756396453657E-1)); +#7317=CARTESIAN_POINT('',(1.438234872997E-1,3.063120348753E-1, +-3.721745143550E-1)); +#7318=CARTESIAN_POINT('',(1.528894545232E-1,3.115462734923E-1, +-3.693544761862E-1)); +#7319=CARTESIAN_POINT('',(1.574803149606E-1,3.141968080016E-1, +-3.678241893737E-1)); +#7321=CARTESIAN_POINT('',(0.E0,2.232755057670E-1,-3.678241893737E-1)); +#7322=CARTESIAN_POINT('',(4.628412494082E-3,2.259477209664E-1, +-3.693669935384E-1)); +#7323=CARTESIAN_POINT('',(1.375441029461E-2,2.312166182532E-1, +-3.722036276794E-1)); +#7324=CARTESIAN_POINT('',(2.696485262403E-2,2.388436706882E-1, +-3.756721401632E-1)); +#7325=CARTESIAN_POINT('',(3.997083159646E-2,2.463526761490E-1, +-3.784093113191E-1)); +#7326=CARTESIAN_POINT('',(5.289634139548E-2,2.538152227109E-1, +-3.804007652060E-1)); +#7327=CARTESIAN_POINT('',(6.580997452724E-2,2.612709122757E-1, +-3.816165274180E-1)); +#7328=CARTESIAN_POINT('',(7.442938865200E-2,2.662473333409E-1, +-3.818897637795E-1)); +#7329=CARTESIAN_POINT('',(7.874015748037E-2,2.687361568844E-1, +-3.818897637795E-1)); +#7331=CARTESIAN_POINT('',(5.020729916280E-2,2.522627034540E-1, +-3.208661417323E-1)); +#7332=CARTESIAN_POINT('',(4.611061023154E-2,2.498974789967E-1, +-3.214254196775E-1)); +#7333=CARTESIAN_POINT('',(3.789105845123E-2,2.451519185637E-1, +-3.227791724801E-1)); +#7334=CARTESIAN_POINT('',(2.552311742315E-2,2.380112844819E-1, +-3.254727100206E-1)); +#7335=CARTESIAN_POINT('',(1.298253200794E-2,2.307709741166E-1, +-3.288179716404E-1)); +#7336=CARTESIAN_POINT('',(4.363319770533E-3,2.257946696111E-1, +-3.315087722776E-1)); +#7337=CARTESIAN_POINT('',(0.E0,2.232755057670E-1,-3.329632122011E-1)); +#7339=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.818897637795E-1)); +#7340=DIRECTION('',(0.E0,0.E0,1.E0)); +#7341=DIRECTION('',(3.146376586482E-1,-9.492118539928E-1,0.E0)); +#7342=AXIS2_PLACEMENT_3D('',#7339,#7340,#7341); +#7344=DIRECTION('',(7.071067811865E-1,1.770735703197E-14,7.071067811866E-1)); +#7345=VECTOR('',#7344,6.269840398094E-3); +#7346=CARTESIAN_POINT('',(-1.250393700787E-1,4.051181102362E-1, +-3.818897637795E-1)); +#7347=LINE('',#7346,#7345); +#7348=CARTESIAN_POINT('',(-5.856862938733E-2,3.119602945737E-1, +-3.668897637795E-1)); +#7349=CARTESIAN_POINT('',(-6.230226666616E-2,3.138400950260E-1, +-3.672855849661E-1)); +#7350=CARTESIAN_POINT('',(-6.958405923712E-2,3.180426635812E-1, +-3.680761642468E-1)); +#7351=CARTESIAN_POINT('',(-7.979684005732E-2,3.256080576838E-1, +-3.692587524322E-1)); +#7352=CARTESIAN_POINT('',(-8.915769546955E-2,3.343527800032E-1, +-3.704383342565E-1)); +#7353=CARTESIAN_POINT('',(-9.753391990076E-2,3.441789096588E-1, +-3.716151325297E-1)); +#7354=CARTESIAN_POINT('',(-1.048039981746E-1,3.549748804823E-1, +-3.727894168642E-1)); +#7355=CARTESIAN_POINT('',(-1.108543294067E-1,3.666049543030E-1, +-3.739603914214E-1)); +#7356=CARTESIAN_POINT('',(-1.155945419853E-1,3.789326905591E-1, +-3.751284966571E-1)); +#7357=CARTESIAN_POINT('',(-1.189477520089E-1,3.918093761110E-1, +-3.762938552976E-1)); +#7358=CARTESIAN_POINT('',(-1.202187208177E-1,4.006564511588E-1, +-3.770691145185E-1)); +#7359=CARTESIAN_POINT('',(-1.206059234163E-1,4.051181102362E-1, +-3.774563171171E-1)); +#7361=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.668897637795E-1)); +#7362=DIRECTION('',(0.E0,0.E0,1.E0)); +#7363=DIRECTION('',(-5.766776257081E-1,-8.169717963355E-1,0.E0)); +#7364=AXIS2_PLACEMENT_3D('',#7361,#7362,#7363); +#7366=CARTESIAN_POINT('',(-6.345724267142E-2,3.152190483954E-1, +-3.668897637795E-1)); +#7367=CARTESIAN_POINT('',(-6.706343554991E-2,3.176292880504E-1, +-3.670002767060E-1)); +#7368=CARTESIAN_POINT('',(-7.399777955720E-2,3.228818369540E-1, +-3.672211973340E-1)); +#7369=CARTESIAN_POINT('',(-8.341621089839E-2,3.319496225478E-1, +-3.675522305266E-1)); +#7370=CARTESIAN_POINT('',(-9.171953844287E-2,3.420860973179E-1, +-3.678830121943E-1)); +#7371=CARTESIAN_POINT('',(-9.878948780673E-2,3.531568744669E-1, +-3.682136292291E-1)); +#7372=CARTESIAN_POINT('',(-1.045214629623E-1,3.650115093880E-1, +-3.685440708749E-1)); +#7373=CARTESIAN_POINT('',(-1.088276818166E-1,3.774799199610E-1, +-3.688741239073E-1)); +#7374=CARTESIAN_POINT('',(-1.116461373240E-1,3.903959825031E-1, +-3.692039297763E-1)); +#7375=CARTESIAN_POINT('',(-1.129323920253E-1,4.035844929611E-1, +-3.695335431697E-1)); +#7376=CARTESIAN_POINT('',(-1.126617160294E-1,4.168666215565E-1, +-3.698630339156E-1)); +#7377=CARTESIAN_POINT('',(-1.108327070628E-1,4.300481765158E-1, +-3.701921347796E-1)); +#7378=CARTESIAN_POINT('',(-1.074657470571E-1,4.429522432421E-1, +-3.705209807840E-1)); +#7379=CARTESIAN_POINT('',(-1.026011450189E-1,4.554016656734E-1, +-3.708496154103E-1)); +#7380=CARTESIAN_POINT('',(-9.629728686987E-2,4.672271979868E-1, +-3.711781569524E-1)); +#7381=CARTESIAN_POINT('',(-8.864091512864E-2,4.782539614163E-1, +-3.715063292322E-1)); +#7382=CARTESIAN_POINT('',(-7.973138004687E-2,4.883318288258E-1, +-3.718342317371E-1)); +#7383=CARTESIAN_POINT('',(-6.968517286613E-2,4.973209046973E-1, +-3.721619114119E-1)); +#7384=CARTESIAN_POINT('',(-5.863065513900E-2,5.050968887103E-1, +-3.724895054380E-1)); +#7385=CARTESIAN_POINT('',(-4.672328983323E-2,5.115429909223E-1, +-3.728167720297E-1)); +#7386=CARTESIAN_POINT('',(-3.412216773500E-2,5.165684861202E-1, +-3.731437469080E-1)); +#7387=CARTESIAN_POINT('',(-2.099425299708E-2,5.201013553228E-1, +-3.734704947585E-1)); +#7388=CARTESIAN_POINT('',(-7.510997492665E-3,5.220884935046E-1, +-3.737971428238E-1)); +#7389=CARTESIAN_POINT('',(6.138808519032E-3,5.224955656138E-1, +-3.741235259164E-1)); +#7390=CARTESIAN_POINT('',(1.976798415699E-2,5.213127670788E-1, +-3.744495887610E-1)); +#7391=CARTESIAN_POINT('',(3.319577303464E-2,5.185510751705E-1, +-3.747754265232E-1)); +#7392=CARTESIAN_POINT('',(4.624344876253E-2,5.142408054377E-1, +-3.751011328680E-1)); +#7393=CARTESIAN_POINT('',(5.873057408708E-2,5.084344333257E-1, +-3.754266510321E-1)); +#7394=CARTESIAN_POINT('',(7.048074443972E-2,5.012089700192E-1, +-3.757518187365E-1)); +#7395=CARTESIAN_POINT('',(8.133821946687E-2,4.926551645026E-1, +-3.760767632219E-1)); +#7396=CARTESIAN_POINT('',(9.115564046366E-2,4.828815210290E-1, +-3.764015471568E-1)); +#7397=CARTESIAN_POINT('',(9.979734506602E-2,4.720132904918E-1, +-3.767262030530E-1)); +#7398=CARTESIAN_POINT('',(1.071374595867E-1,4.602008908102E-1, +-3.770504963558E-1)); +#7399=CARTESIAN_POINT('',(1.130774223098E-1,4.475954251559E-1, +-3.773745621090E-1)); +#7400=CARTESIAN_POINT('',(1.175336658574E-1,4.343610372234E-1, +-3.776984452426E-1)); +#7401=CARTESIAN_POINT('',(1.204413742860E-1,4.206683289958E-1, +-3.780222388450E-1)); +#7402=CARTESIAN_POINT('',(1.217542701640E-1,4.067098391046E-1, +-3.783456786004E-1)); +#7403=CARTESIAN_POINT('',(1.214515642824E-1,3.926661133108E-1, +-3.786688795591E-1)); +#7404=CARTESIAN_POINT('',(1.195319465378E-1,3.787219595694E-1, +-3.789918830028E-1)); +#7405=CARTESIAN_POINT('',(1.160133345028E-1,3.650580116665E-1, +-3.793148141146E-1)); +#7406=CARTESIAN_POINT('',(1.109396497527E-1,3.518674477916E-1, +-3.796374206517E-1)); +#7407=CARTESIAN_POINT('',(1.043735241873E-1,3.393235611407E-1, +-3.799597703549E-1)); +#7408=CARTESIAN_POINT('',(9.639625952269E-2,3.275923644913E-1, +-3.802819146622E-1)); +#7409=CARTESIAN_POINT('',(8.710447175961E-2,3.168277541390E-1, +-3.806039830652E-1)); +#7410=CARTESIAN_POINT('',(7.662186578306E-2,3.071822501023E-1, +-3.809257763232E-1)); +#7411=CARTESIAN_POINT('',(6.508419406857E-2,2.987859728451E-1, +-3.812472869822E-1)); +#7412=CARTESIAN_POINT('',(5.263747041271E-2,2.917509925687E-1, +-3.815685955978E-1)); +#7413=CARTESIAN_POINT('',(4.383836064736E-2,2.880324138296E-1, +-3.817827238160E-1)); +#7414=CARTESIAN_POINT('',(3.934209464042E-2,2.864292579417E-1, +-3.818897637795E-1)); +#7416=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.039370078740E-1)); +#7417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7418=DIRECTION('',(9.984317835608E-1,-5.598190400121E-2,0.E0)); +#7419=AXIS2_PLACEMENT_3D('',#7416,#7417,#7418); +#7421=DIRECTION('',(0.E0,-1.398596468449E-14,-1.E0)); +#7422=VECTOR('',#7421,7.938122608423E-3); +#7423=CARTESIAN_POINT('',(-1.082677165354E-1,4.051181102362E-1, +-2.809718835776E-1)); +#7424=LINE('',#7423,#7422); +#7425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7426=VECTOR('',#7425,7.938122608439E-3); +#7427=CARTESIAN_POINT('',(1.082677165354E-1,4.051181102362E-1, +-2.662081040500E-1)); +#7428=LINE('',#7427,#7426); +#7429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7430=VECTOR('',#7429,7.938122608536E-3); +#7431=CARTESIAN_POINT('',(-1.082677165354E-1,4.051181102362E-1, +-2.514443245225E-1)); +#7432=LINE('',#7431,#7430); +#7433=CARTESIAN_POINT('',(5.851883407399E-2,3.140278006396E-1, +-2.414925425595E-1)); +#7434=CARTESIAN_POINT('',(5.555961772483E-2,3.121267214656E-1, +-2.416298762673E-1)); +#7435=CARTESIAN_POINT('',(4.945600868726E-2,3.086133671501E-1, +-2.419077082765E-1)); +#7436=CARTESIAN_POINT('',(3.983243204161E-2,3.042596235E-1,-2.423338016403E-1)); +#7437=CARTESIAN_POINT('',(2.983104818152E-2,3.008628133091E-1, +-2.427691547314E-1)); +#7438=CARTESIAN_POINT('',(1.954615418925E-2,2.984550233188E-1, +-2.432136625141E-1)); +#7439=CARTESIAN_POINT('',(1.256483660826E-2,2.975244460057E-1, +-2.435160509549E-1)); +#7440=CARTESIAN_POINT('',(9.059609022245E-3,2.972301038214E-1, +-2.436687339713E-1)); +#7442=DIRECTION('',(7.246713806316E-2,-8.629881307995E-1,5.000000000001E-1)); +#7443=VECTOR('',#7442,1.568392463547E-2); +#7444=CARTESIAN_POINT('',(9.059609022245E-3,2.972301038214E-1, +-2.436687339713E-1)); +#7445=LINE('',#7444,#7443); +#7446=CARTESIAN_POINT('',(5.851883407399E-2,3.140278006396E-1, +-2.414925425595E-1)); +#7447=CARTESIAN_POINT('',(6.212064756672E-2,3.163034597281E-1, +-2.413076179174E-1)); +#7448=CARTESIAN_POINT('',(6.906251881061E-2,3.212754991051E-1, +-2.409377865097E-1)); +#7449=CARTESIAN_POINT('',(7.854971190320E-2,3.298972765696E-1, +-2.403831739068E-1)); +#7450=CARTESIAN_POINT('',(8.698773343160E-2,3.395747913923E-1, +-2.398284531746E-1)); +#7451=CARTESIAN_POINT('',(9.426128032887E-2,3.501821342504E-1, +-2.392736710871E-1)); +#7452=CARTESIAN_POINT('',(1.002704330202E-1,3.615810895606E-1, +-2.387188693808E-1)); +#7453=CARTESIAN_POINT('',(1.049328847365E-1,3.736273616523E-1, +-2.381638984663E-1)); +#7454=CARTESIAN_POINT('',(1.081813400906E-1,3.861644866692E-1, +-2.376087926944E-1)); +#7455=CARTESIAN_POINT('',(1.099667185789E-1,3.990294285973E-1, +-2.370535897257E-1)); +#7456=CARTESIAN_POINT('',(1.102583956877E-1,4.120633624787E-1, +-2.364979596265E-1)); +#7457=CARTESIAN_POINT('',(1.090427791416E-1,4.251020899768E-1, +-2.359417189460E-1)); +#7458=CARTESIAN_POINT('',(1.063235590927E-1,4.379741811013E-1, +-2.353849656777E-1)); +#7459=CARTESIAN_POINT('',(1.021239511541E-1,4.505201218634E-1, +-2.348274129574E-1)); +#7460=CARTESIAN_POINT('',(9.646292625555E-2,4.626044132922E-1, +-2.342676234431E-1)); +#7461=CARTESIAN_POINT('',(8.943661211963E-2,4.740254604895E-1, +-2.337080712494E-1)); +#7462=CARTESIAN_POINT('',(8.090262050832E-2,4.848646540783E-1, +-2.331358652581E-1)); +#7463=CARTESIAN_POINT('',(7.169881635609E-2,4.941311002158E-1, +-2.325978330384E-1)); +#7464=CARTESIAN_POINT('',(6.302397015559E-2,5.013066132544E-1, +-2.321372288597E-1)); +#7465=CARTESIAN_POINT('',(5.482513820926E-2,5.070448983190E-1, +-2.317306108513E-1)); +#7466=CARTESIAN_POINT('',(4.720330542312E-2,5.116458786296E-1, +-2.313717077808E-1)); +#7467=CARTESIAN_POINT('',(4.014494968672E-2,5.153916635715E-1, +-2.310522582833E-1)); +#7468=CARTESIAN_POINT('',(3.366355825140E-2,5.185731603111E-1, +-2.307670296823E-1)); +#7469=CARTESIAN_POINT('',(2.974099636123E-2,5.204310828967E-1, +-2.305981408919E-1)); +#7470=CARTESIAN_POINT('',(2.792046204245E-2,5.214641442725E-1, +-2.305191415926E-1)); +#7472=CARTESIAN_POINT('',(2.792046204245E-2,5.214641442725E-1, +-2.305191415926E-1)); +#7473=CARTESIAN_POINT('',(2.715147398054E-2,5.219005080956E-1, +-2.304857725157E-1)); +#7474=CARTESIAN_POINT('',(2.559976649926E-2,5.227577094604E-1, +-2.304190620168E-1)); +#7475=CARTESIAN_POINT('',(2.323208822631E-2,5.239957849646E-1, +-2.303190818607E-1)); +#7476=CARTESIAN_POINT('',(2.162745980449E-2,5.247886858958E-1, +-2.302524802292E-1)); +#7477=CARTESIAN_POINT('',(2.081878915609E-2,5.251768311319E-1, +-2.302191928029E-1)); +#7479=DIRECTION('',(2.020678063751E-1,8.421227281544E-1,4.999979123481E-1)); +#7480=VECTOR('',#7479,2.541615763248E-3); +#7481=CARTESIAN_POINT('',(2.792046204245E-2,5.214641442725E-1, +-2.305191415926E-1)); +#7482=LINE('',#7481,#7480); +#7483=CARTESIAN_POINT('',(2.792046204245E-2,5.214641442725E-1, +-2.382299609456E-1)); +#7484=CARTESIAN_POINT('',(2.715147398054E-2,5.219005080956E-1, +-2.381965918687E-1)); +#7485=CARTESIAN_POINT('',(2.559976649926E-2,5.227577094604E-1, +-2.381298813698E-1)); +#7486=CARTESIAN_POINT('',(2.323208822631E-2,5.239957849646E-1, +-2.380299012137E-1)); +#7487=CARTESIAN_POINT('',(2.162745980449E-2,5.247886858958E-1, +-2.379632995823E-1)); +#7488=CARTESIAN_POINT('',(2.081878915609E-2,5.251768311319E-1, +-2.379300121560E-1)); +#7490=DIRECTION('',(0.E0,0.E0,1.E0)); +#7491=VECTOR('',#7490,7.710819353042E-3); +#7492=CARTESIAN_POINT('',(2.792046204245E-2,5.214641442725E-1, +-2.382299609456E-1)); +#7493=LINE('',#7492,#7491); +#7494=DIRECTION('',(-2.020679806803E-1,-8.421234521346E-1,4.999966225373E-1)); +#7495=VECTOR('',#7494,2.541613577799E-3); +#7496=CARTESIAN_POINT('',(2.843404076578E-2,5.236044966727E-1, +-2.395007591503E-1)); +#7497=LINE('',#7496,#7495); +#7498=CARTESIAN_POINT('',(9.059609022102E-3,2.972301038214E-1, +-2.516068565799E-1)); +#7499=CARTESIAN_POINT('',(1.256497462250E-2,2.975244575950E-1, +-2.514541675518E-1)); +#7500=CARTESIAN_POINT('',(1.954669832528E-2,2.984553329890E-1, +-2.511458376847E-1)); +#7501=CARTESIAN_POINT('',(2.982508944759E-2,3.008610070902E-1, +-2.506747527439E-1)); +#7502=CARTESIAN_POINT('',(3.983584625047E-2,3.042608516835E-1, +-2.501937976543E-1)); +#7503=CARTESIAN_POINT('',(4.945385623856E-2,3.086124508317E-1, +-2.497042958184E-1)); +#7504=CARTESIAN_POINT('',(5.555893999163E-2,3.121262860718E-1, +-2.493714056363E-1)); +#7505=CARTESIAN_POINT('',(5.851883407399E-2,3.140278006395E-1, +-2.492033619126E-1)); +#7507=DIRECTION('',(-7.246713806288E-2,8.629881307997E-1,4.999999999999E-1)); +#7508=VECTOR('',#7507,1.568392463547E-2); +#7509=CARTESIAN_POINT('',(1.019617815403E-2,2.836950630166E-1, +-2.594488188976E-1)); +#7510=LINE('',#7509,#7508); +#7511=DIRECTION('',(5.579321760048E-14,2.879649940670E-14,1.E0)); +#7512=VECTOR('',#7511,7.710819353042E-3); +#7513=CARTESIAN_POINT('',(5.851883407399E-2,3.140278006395E-1, +-2.492033619126E-1)); +#7514=LINE('',#7513,#7512); +#7515=CARTESIAN_POINT('',(5.851883407399E-2,3.140278006395E-1, +-2.492033619126E-1)); +#7516=CARTESIAN_POINT('',(6.212064756672E-2,3.163034597281E-1, +-2.490184372705E-1)); +#7517=CARTESIAN_POINT('',(6.906251881061E-2,3.212754991051E-1, +-2.486486058627E-1)); +#7518=CARTESIAN_POINT('',(7.854971190320E-2,3.298972765696E-1, +-2.480939932599E-1)); +#7519=CARTESIAN_POINT('',(8.698773343160E-2,3.395747913923E-1, +-2.475392725276E-1)); +#7520=CARTESIAN_POINT('',(9.426128032887E-2,3.501821342504E-1, +-2.469844904402E-1)); +#7521=CARTESIAN_POINT('',(1.002704330202E-1,3.615810895606E-1, +-2.464296887339E-1)); +#7522=CARTESIAN_POINT('',(1.049328847365E-1,3.736273616523E-1, +-2.458747178194E-1)); +#7523=CARTESIAN_POINT('',(1.081813400906E-1,3.861644866692E-1, +-2.453196120475E-1)); +#7524=CARTESIAN_POINT('',(1.099667185789E-1,3.990294285973E-1, +-2.447644090788E-1)); +#7525=CARTESIAN_POINT('',(1.102583956877E-1,4.120633624787E-1, +-2.442087789796E-1)); +#7526=CARTESIAN_POINT('',(1.090427791416E-1,4.251020899768E-1, +-2.436525382990E-1)); +#7527=CARTESIAN_POINT('',(1.063235590927E-1,4.379741811013E-1, +-2.430957850308E-1)); +#7528=CARTESIAN_POINT('',(1.021239511541E-1,4.505201218634E-1, +-2.425382323105E-1)); +#7529=CARTESIAN_POINT('',(9.646292625555E-2,4.626044132922E-1, +-2.419784427961E-1)); +#7530=CARTESIAN_POINT('',(8.943661211963E-2,4.740254604895E-1, +-2.414188906024E-1)); +#7531=CARTESIAN_POINT('',(8.090262050832E-2,4.848646540783E-1, +-2.408466846111E-1)); +#7532=CARTESIAN_POINT('',(7.169881635609E-2,4.941311002158E-1, +-2.403086523914E-1)); +#7533=CARTESIAN_POINT('',(6.302397015560E-2,5.013066132544E-1, +-2.398480482128E-1)); +#7534=CARTESIAN_POINT('',(5.482513820926E-2,5.070448983190E-1, +-2.394414302044E-1)); +#7535=CARTESIAN_POINT('',(4.720330542311E-2,5.116458786296E-1, +-2.390825271339E-1)); +#7536=CARTESIAN_POINT('',(4.014494968673E-2,5.153916635715E-1, +-2.387630776363E-1)); +#7537=CARTESIAN_POINT('',(3.366355825140E-2,5.185731603111E-1, +-2.384778490353E-1)); +#7538=CARTESIAN_POINT('',(2.974099636123E-2,5.204310828967E-1, +-2.383089602449E-1)); +#7539=CARTESIAN_POINT('',(2.792046204245E-2,5.214641442725E-1, +-2.382299609456E-1)); +#7541=CARTESIAN_POINT('',(-1.574803149606E-1,1.748031496063E-1, +-7.992125984252E-2)); +#7542=CARTESIAN_POINT('',(-1.574803149606E-1,1.748031496063E-1, +7.992125984252E-2)); +#7543=VERTEX_POINT('',#7541); +#7544=VERTEX_POINT('',#7542); +#7545=CARTESIAN_POINT('',(-7.992125984252E-2,1.748031496063E-1, +1.574803149606E-1)); +#7546=CARTESIAN_POINT('',(7.992125984252E-2,1.748031496063E-1, +1.574803149606E-1)); +#7547=VERTEX_POINT('',#7545); +#7548=VERTEX_POINT('',#7546); +#7549=CARTESIAN_POINT('',(1.574803149606E-1,1.748031496063E-1, +7.992125984252E-2)); +#7550=CARTESIAN_POINT('',(1.574803149606E-1,1.748031496063E-1, +-7.992125984252E-2)); +#7551=VERTEX_POINT('',#7549); +#7552=VERTEX_POINT('',#7550); +#7553=CARTESIAN_POINT('',(7.992125984252E-2,1.748031496063E-1, +-1.574803149606E-1)); +#7554=CARTESIAN_POINT('',(-7.992125984252E-2,1.748031496063E-1, +-1.574803149606E-1)); +#7555=VERTEX_POINT('',#7553); +#7556=VERTEX_POINT('',#7554); +#7557=CARTESIAN_POINT('',(7.992125984252E-2,1.748031496063E-1, +7.992125984252E-2)); +#7558=VERTEX_POINT('',#7557); +#7559=CARTESIAN_POINT('',(-7.992125984252E-2,1.748031496063E-1, +7.992125984252E-2)); +#7560=VERTEX_POINT('',#7559); +#7561=CARTESIAN_POINT('',(-7.992125984252E-2,1.748031496063E-1, +-7.992125984252E-2)); +#7562=VERTEX_POINT('',#7561); +#7563=CARTESIAN_POINT('',(7.992125984252E-2,1.748031496063E-1, +-7.992125984252E-2)); +#7564=VERTEX_POINT('',#7563); +#7565=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,7.992125984252E-2)); +#7566=VERTEX_POINT('',#7565); +#7567=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,7.992125984252E-2)); +#7568=VERTEX_POINT('',#7567); +#7569=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,-7.992125984252E-2)); +#7570=VERTEX_POINT('',#7569); +#7571=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,-7.992125984252E-2)); +#7572=VERTEX_POINT('',#7571); +#7573=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,1.200787401575E-1)); +#7574=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,1.200787401575E-1)); +#7575=VERTEX_POINT('',#7573); +#7576=VERTEX_POINT('',#7574); +#7577=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,7.992125984252E-2)); +#7578=VERTEX_POINT('',#7577); +#7579=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,-7.992125984252E-2)); +#7580=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,-1.200787401575E-1)); +#7581=VERTEX_POINT('',#7579); +#7582=VERTEX_POINT('',#7580); +#7583=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,-1.200787401575E-1)); +#7584=VERTEX_POINT('',#7583); +#7585=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,-1.200787401575E-1)); +#7586=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,-1.200787401575E-1)); +#7587=VERTEX_POINT('',#7585); +#7588=VERTEX_POINT('',#7586); +#7589=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,-7.992125984252E-2)); +#7590=VERTEX_POINT('',#7589); +#7591=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,1.200787401575E-1)); +#7592=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,1.200787401575E-1)); +#7593=VERTEX_POINT('',#7591); +#7594=VERTEX_POINT('',#7592); +#7595=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,7.992125984252E-2)); +#7596=VERTEX_POINT('',#7595); +#7597=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +-1.574803149606E-1)); +#7598=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +-7.992125984252E-2)); +#7599=VERTEX_POINT('',#7597); +#7600=VERTEX_POINT('',#7598); +#7601=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +7.992125984252E-2)); +#7602=CARTESIAN_POINT('',(-1.574803149606E-1,1.551181102362E-1, +1.574803149606E-1)); +#7603=VERTEX_POINT('',#7601); +#7604=VERTEX_POINT('',#7602); +#7605=CARTESIAN_POINT('',(-7.992125984252E-2,1.551181102362E-1, +1.574803149606E-1)); +#7606=VERTEX_POINT('',#7605); +#7607=CARTESIAN_POINT('',(7.992125984252E-2,1.551181102362E-1, +1.574803149606E-1)); +#7608=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +1.574803149606E-1)); +#7609=VERTEX_POINT('',#7607); +#7610=VERTEX_POINT('',#7608); +#7611=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +7.992125984252E-2)); +#7612=VERTEX_POINT('',#7611); +#7613=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +-7.992125984252E-2)); +#7614=CARTESIAN_POINT('',(1.574803149606E-1,1.551181102362E-1, +-1.574803149606E-1)); +#7615=VERTEX_POINT('',#7613); +#7616=VERTEX_POINT('',#7614); +#7617=CARTESIAN_POINT('',(7.992125984252E-2,1.551181102362E-1, +-1.574803149606E-1)); +#7618=VERTEX_POINT('',#7617); +#7619=CARTESIAN_POINT('',(-7.992125984252E-2,1.551181102362E-1, +-1.574803149606E-1)); +#7620=VERTEX_POINT('',#7619); +#7621=CARTESIAN_POINT('',(1.200787401575E-1,1.551181102362E-1, +7.992125984252E-2)); +#7622=VERTEX_POINT('',#7621); +#7623=CARTESIAN_POINT('',(7.992125984252E-2,1.551181102362E-1, +1.200787401575E-1)); +#7624=VERTEX_POINT('',#7623); +#7625=CARTESIAN_POINT('',(-7.992125984252E-2,1.551181102362E-1, +1.200787401575E-1)); +#7626=VERTEX_POINT('',#7625); +#7627=CARTESIAN_POINT('',(-1.200787401575E-1,1.551181102362E-1, +7.992125984252E-2)); +#7628=VERTEX_POINT('',#7627); +#7629=CARTESIAN_POINT('',(-1.200787401575E-1,1.551181102362E-1, +-7.992125984252E-2)); +#7630=VERTEX_POINT('',#7629); +#7631=CARTESIAN_POINT('',(-7.992125984252E-2,1.551181102362E-1, +-1.200787401575E-1)); +#7632=VERTEX_POINT('',#7631); +#7633=CARTESIAN_POINT('',(7.992125984252E-2,1.551181102362E-1, +-1.200787401575E-1)); +#7634=VERTEX_POINT('',#7633); +#7635=CARTESIAN_POINT('',(1.200787401575E-1,1.551181102362E-1, +-7.992125984252E-2)); +#7636=VERTEX_POINT('',#7635); +#7637=CARTESIAN_POINT('',(1.200787401575E-1,1.551181102362E-1, +1.200787401575E-1)); +#7638=VERTEX_POINT('',#7637); +#7639=CARTESIAN_POINT('',(1.200787401575E-1,1.551181102362E-1, +-1.200787401575E-1)); +#7640=VERTEX_POINT('',#7639); +#7641=CARTESIAN_POINT('',(-1.200787401575E-1,1.551181102362E-1, +-1.200787401575E-1)); +#7642=VERTEX_POINT('',#7641); +#7643=CARTESIAN_POINT('',(-1.200787401575E-1,1.551181102362E-1, +1.200787401575E-1)); +#7644=VERTEX_POINT('',#7643); +#7645=VERTEX_POINT('',#41); +#7646=VERTEX_POINT('',#51); +#7647=VERTEX_POINT('',#77); +#7648=VERTEX_POINT('',#87); +#7649=VERTEX_POINT('',#276); +#7650=VERTEX_POINT('',#94); +#7651=VERTEX_POINT('',#149); +#7652=VERTEX_POINT('',#175); +#7653=CARTESIAN_POINT('',(-8.858235473044E-2,1.748031496063E-1,0.E0)); +#7654=CARTESIAN_POINT('',(8.858235473044E-2,1.748031496063E-1,0.E0)); +#7655=VERTEX_POINT('',#7653); +#7656=VERTEX_POINT('',#7654); +#7657=CARTESIAN_POINT('',(8.070844897817E-2,1.826770840192E-1,0.E0)); +#7658=CARTESIAN_POINT('',(-8.070844897817E-2,1.826770840192E-1,0.E0)); +#7659=VERTEX_POINT('',#7657); +#7660=VERTEX_POINT('',#7658); +#7661=CARTESIAN_POINT('',(0.E0,3.135826771654E-1,-6.740157480315E-1)); +#7662=CARTESIAN_POINT('',(0.E0,4.966535433071E-1,-6.740157480315E-1)); +#7663=VERTEX_POINT('',#7661); +#7664=VERTEX_POINT('',#7662); +#7665=CARTESIAN_POINT('',(0.E0,3.001968503937E-1,-7.185039370079E-1)); +#7666=CARTESIAN_POINT('',(0.E0,5.100393700787E-1,-7.185039370079E-1)); +#7667=VERTEX_POINT('',#7665); +#7668=VERTEX_POINT('',#7666); +#7669=CARTESIAN_POINT('',(0.E0,2.870078740157E-1,-1.811023622047E-1)); +#7670=CARTESIAN_POINT('',(0.E0,5.232283464567E-1,-1.811023622047E-1)); +#7671=VERTEX_POINT('',#7669); +#7672=VERTEX_POINT('',#7670); +#7673=CARTESIAN_POINT('',(6.204869029981E-2,4.936012845729E-1, +-6.533829687316E-1)); +#7674=CARTESIAN_POINT('',(6.204869029981E-2,4.936012845729E-1, +-6.456721493786E-1)); +#7675=VERTEX_POINT('',#7673); +#7676=VERTEX_POINT('',#7674); +#7677=CARTESIAN_POINT('',(-1.016049056915E-1,3.682975283824E-1, +-5.529105277868E-1)); +#7678=CARTESIAN_POINT('',(-1.016049056915E-1,3.682975283824E-1, +-5.451997084337E-1)); +#7679=VERTEX_POINT('',#7677); +#7680=VERTEX_POINT('',#7678); +#7681=CARTESIAN_POINT('',(1.043296298660E-1,3.769287427258E-1, +-4.524380868419E-1)); +#7682=CARTESIAN_POINT('',(1.043296298660E-1,3.769287427258E-1, +-4.447272674888E-1)); +#7683=VERTEX_POINT('',#7681); +#7684=VERTEX_POINT('',#7682); +#7685=CARTESIAN_POINT('',(-6.923515800924E-2,4.880988610406E-1, +-3.519656458970E-1)); +#7686=CARTESIAN_POINT('',(-6.923515800924E-2,4.880988610406E-1, +-3.442548265440E-1)); +#7687=VERTEX_POINT('',#7685); +#7688=VERTEX_POINT('',#7686); +#7689=CARTESIAN_POINT('',(2.792046204245E-2,5.214641442725E-1, +-2.382299609456E-1)); +#7690=CARTESIAN_POINT('',(2.792046204245E-2,5.214641442725E-1, +-2.305191415926E-1)); +#7691=VERTEX_POINT('',#7689); +#7692=VERTEX_POINT('',#7690); +#7693=VERTEX_POINT('',#3541); +#7694=VERTEX_POINT('',#3554); +#7695=CARTESIAN_POINT('',(2.868950570680E-2,3.009249033035E-1, +-7.153543307087E-1)); +#7696=VERTEX_POINT('',#7695); +#7697=VERTEX_POINT('',#3589); +#7698=VERTEX_POINT('',#713); +#7699=VERTEX_POINT('',#743); +#7700=VERTEX_POINT('',#763); +#7701=VERTEX_POINT('',#793); +#7702=VERTEX_POINT('',#745); +#7703=CARTESIAN_POINT('',(2.081878915609E-2,5.251768311319E-1, +-2.302191928029E-1)); +#7704=VERTEX_POINT('',#7703); +#7705=CARTESIAN_POINT('',(3.030296065963E-2,2.870958707205E-1, +-7.015748031496E-1)); +#7706=VERTEX_POINT('',#7705); +#7707=CARTESIAN_POINT('',(6.996018086627E-2,5.048832922297E-1, +-6.613385826772E-1)); +#7708=VERTEX_POINT('',#7707); +#7709=CARTESIAN_POINT('',(6.996018086627E-2,5.048832922297E-1, +-6.377165354331E-1)); +#7710=VERTEX_POINT('',#7709); +#7711=CARTESIAN_POINT('',(1.176321327633E-1,3.733344700013E-1, +-4.603937007874E-1)); +#7712=VERTEX_POINT('',#7711); +#7713=CARTESIAN_POINT('',(1.176321327633E-1,3.733344700013E-1, +-4.367716535433E-1)); +#7714=VERTEX_POINT('',#7713); +#7715=CARTESIAN_POINT('',(-7.806295593391E-2,4.986792846404E-1, +-3.599212598425E-1)); +#7716=VERTEX_POINT('',#7715); +#7717=CARTESIAN_POINT('',(-7.806295593391E-2,4.986792846404E-1, +-3.362992125984E-1)); +#7718=VERTEX_POINT('',#7717); +#7719=VERTEX_POINT('',#3574); +#7720=VERTEX_POINT('',#3494); +#7721=VERTEX_POINT('',#3505); +#7722=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.007874015748E-1)); +#7723=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.413788978788E-1)); +#7724=VERTEX_POINT('',#7722); +#7725=VERTEX_POINT('',#7723); +#7726=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.650009451229E-1)); +#7727=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.709064569339E-1)); +#7728=VERTEX_POINT('',#7726); +#7729=VERTEX_POINT('',#7727); +#7730=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-2.945285041780E-1)); +#7731=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.004340159890E-1)); +#7732=VERTEX_POINT('',#7730); +#7733=VERTEX_POINT('',#7731); +#7734=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.240560632331E-1)); +#7735=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.299615750442E-1)); +#7736=VERTEX_POINT('',#7734); +#7737=VERTEX_POINT('',#7735); +#7738=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.535836222883E-1)); +#7739=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.594891340993E-1)); +#7740=VERTEX_POINT('',#7738); +#7741=VERTEX_POINT('',#7739); +#7742=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.831111813434E-1)); +#7743=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-3.890166931544E-1)); +#7744=VERTEX_POINT('',#7742); +#7745=VERTEX_POINT('',#7743); +#7746=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.126387403985E-1)); +#7747=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.185442522095E-1)); +#7748=VERTEX_POINT('',#7746); +#7749=VERTEX_POINT('',#7747); +#7750=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.421662994536E-1)); +#7751=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.480718112647E-1)); +#7752=VERTEX_POINT('',#7750); +#7753=VERTEX_POINT('',#7751); +#7754=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.716938585087E-1)); +#7755=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-4.775993703198E-1)); +#7756=VERTEX_POINT('',#7754); +#7757=VERTEX_POINT('',#7755); +#7758=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.012214175639E-1)); +#7759=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.071269293749E-1)); +#7760=VERTEX_POINT('',#7758); +#7761=VERTEX_POINT('',#7759); +#7762=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.307489766190E-1)); +#7763=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.366544884300E-1)); +#7764=VERTEX_POINT('',#7762); +#7765=VERTEX_POINT('',#7763); +#7766=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.602765356741E-1)); +#7767=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.661820474852E-1)); +#7768=VERTEX_POINT('',#7766); +#7769=VERTEX_POINT('',#7767); +#7770=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.898040947292E-1)); +#7771=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-5.957096065403E-1)); +#7772=VERTEX_POINT('',#7770); +#7773=VERTEX_POINT('',#7771); +#7774=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.193316537844E-1)); +#7775=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.252371655954E-1)); +#7776=VERTEX_POINT('',#7774); +#7777=VERTEX_POINT('',#7775); +#7778=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.488592128395E-1)); +#7779=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.547647246504E-1)); +#7780=VERTEX_POINT('',#7778); +#7781=VERTEX_POINT('',#7779); +#7782=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.783867718945E-1)); +#7783=CARTESIAN_POINT('',(-1.084645669291E-1,3.495937357155E-1, +-6.842922837056E-1)); +#7784=VERTEX_POINT('',#7782); +#7785=VERTEX_POINT('',#7783); +#7786=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.007874015748E-1)); +#7787=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.458258265306E-1)); +#7788=VERTEX_POINT('',#7786); +#7789=VERTEX_POINT('',#7787); +#7790=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.694478737747E-1)); +#7791=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.753533855857E-1)); +#7792=VERTEX_POINT('',#7790); +#7793=VERTEX_POINT('',#7791); +#7794=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-2.989754328298E-1)); +#7795=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.048809446408E-1)); +#7796=VERTEX_POINT('',#7794); +#7797=VERTEX_POINT('',#7795); +#7798=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.285029918849E-1)); +#7799=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.344085036960E-1)); +#7800=VERTEX_POINT('',#7798); +#7801=VERTEX_POINT('',#7799); +#7802=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.580305509401E-1)); +#7803=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.639360627511E-1)); +#7804=VERTEX_POINT('',#7802); +#7805=VERTEX_POINT('',#7803); +#7806=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.875581099952E-1)); +#7807=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-3.934636218062E-1)); +#7808=VERTEX_POINT('',#7806); +#7809=VERTEX_POINT('',#7807); +#7810=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.170856690503E-1)); +#7811=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.229911808613E-1)); +#7812=VERTEX_POINT('',#7810); +#7813=VERTEX_POINT('',#7811); +#7814=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.466132281054E-1)); +#7815=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.525187399165E-1)); +#7816=VERTEX_POINT('',#7814); +#7817=VERTEX_POINT('',#7815); +#7818=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.761407871606E-1)); +#7819=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-4.820462989716E-1)); +#7820=VERTEX_POINT('',#7818); +#7821=VERTEX_POINT('',#7819); +#7822=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.056683462157E-1)); +#7823=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.115738580267E-1)); +#7824=VERTEX_POINT('',#7822); +#7825=VERTEX_POINT('',#7823); +#7826=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.351959052708E-1)); +#7827=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.411014170818E-1)); +#7828=VERTEX_POINT('',#7826); +#7829=VERTEX_POINT('',#7827); +#7830=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.647234643259E-1)); +#7831=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.706289761370E-1)); +#7832=VERTEX_POINT('',#7830); +#7833=VERTEX_POINT('',#7831); +#7834=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-5.942510233811E-1)); +#7835=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.001565351921E-1)); +#7836=VERTEX_POINT('',#7834); +#7837=VERTEX_POINT('',#7835); +#7838=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.237785824362E-1)); +#7839=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.296840942472E-1)); +#7840=VERTEX_POINT('',#7838); +#7841=VERTEX_POINT('',#7839); +#7842=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.533061414913E-1)); +#7843=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.592116533023E-1)); +#7844=VERTEX_POINT('',#7842); +#7845=VERTEX_POINT('',#7843); +#7846=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.828337005463E-1)); +#7847=CARTESIAN_POINT('',(-1.084645669291E-1,4.606424847569E-1, +-6.887392123574E-1)); +#7848=VERTEX_POINT('',#7846); +#7849=VERTEX_POINT('',#7847); +#7850=VERTEX_POINT('',#3060); +#7851=VERTEX_POINT('',#2728); +#7852=CARTESIAN_POINT('',(0.E0,2.870078740157E-1,-1.673228346457E-1)); +#7853=CARTESIAN_POINT('',(0.E0,5.232283464567E-1,-1.673228346457E-1)); +#7854=VERTEX_POINT('',#7852); +#7855=VERTEX_POINT('',#7853); +#7856=CARTESIAN_POINT('',(0.E0,2.515748031496E-1,-1.811023622047E-1)); +#7857=CARTESIAN_POINT('',(0.E0,5.586614173228E-1,-1.811023622047E-1)); +#7858=VERTEX_POINT('',#7856); +#7859=VERTEX_POINT('',#7857); +#7860=CARTESIAN_POINT('',(0.E0,2.476377952756E-1,-1.850393700787E-1)); +#7861=CARTESIAN_POINT('',(0.E0,5.625984251969E-1,-1.850393700787E-1)); +#7862=VERTEX_POINT('',#7860); +#7863=VERTEX_POINT('',#7861); +#7864=VERTEX_POINT('',#618); +#7865=VERTEX_POINT('',#623); +#7866=CARTESIAN_POINT('',(0.E0,5.625984251969E-1,-1.968503937008E-1)); +#7867=VERTEX_POINT('',#7866); +#7868=CARTESIAN_POINT('',(0.E0,2.476377952756E-1,-1.968503937008E-1)); +#7869=VERTEX_POINT('',#7868); +#7870=VERTEX_POINT('',#625); +#7871=VERTEX_POINT('',#630); +#7872=CARTESIAN_POINT('',(0.E0,3.001968503937E-1,-7.460629921260E-1)); +#7873=CARTESIAN_POINT('',(0.E0,5.100393700787E-1,-7.460629921260E-1)); +#7874=VERTEX_POINT('',#7872); +#7875=VERTEX_POINT('',#7873); +#7876=CARTESIAN_POINT('',(0.E0,3.041338582677E-1,-7.5E-1)); +#7877=CARTESIAN_POINT('',(0.E0,5.061023622047E-1,-7.5E-1)); +#7878=VERTEX_POINT('',#7876); +#7879=VERTEX_POINT('',#7877); +#7880=CARTESIAN_POINT('',(0.E0,5.005905511811E-1,-7.5E-1)); +#7881=CARTESIAN_POINT('',(0.E0,3.096456692913E-1,-7.5E-1)); +#7882=VERTEX_POINT('',#7880); +#7883=VERTEX_POINT('',#7881); +#7884=CARTESIAN_POINT('',(0.E0,4.966535433071E-1,-7.460629921260E-1)); +#7885=CARTESIAN_POINT('',(0.E0,3.135826771654E-1,-7.460629921260E-1)); +#7886=VERTEX_POINT('',#7884); +#7887=VERTEX_POINT('',#7885); +#7888=CARTESIAN_POINT('',(0.E0,4.897637795276E-1,-6.740157480315E-1)); +#7889=CARTESIAN_POINT('',(0.E0,3.204724409449E-1,-6.740157480315E-1)); +#7890=VERTEX_POINT('',#7888); +#7891=VERTEX_POINT('',#7889); +#7892=CARTESIAN_POINT('',(0.E0,3.244094488189E-1,-6.700787401575E-1)); +#7893=CARTESIAN_POINT('',(0.E0,4.858267716535E-1,-6.700787401575E-1)); +#7894=VERTEX_POINT('',#7892); +#7895=VERTEX_POINT('',#7893); +#7896=VERTEX_POINT('',#1154); +#7897=VERTEX_POINT('',#1182); +#7898=VERTEX_POINT('',#1117); +#7899=VERTEX_POINT('',#1122); +#7900=VERTEX_POINT('',#1124); +#7901=VERTEX_POINT('',#1200); +#7902=VERTEX_POINT('',#1863); +#7903=VERTEX_POINT('',#973); +#7904=VERTEX_POINT('',#1001); +#7905=VERTEX_POINT('',#813); +#7906=VERTEX_POINT('',#1031); +#7907=VERTEX_POINT('',#955); +#7908=VERTEX_POINT('',#7505); +#7909=CARTESIAN_POINT('',(5.851883407399E-2,3.140278006396E-1, +-2.414925425595E-1)); +#7910=VERTEX_POINT('',#7909); +#7911=CARTESIAN_POINT('',(-5.974212793575E-11,2.968503937008E-1, +-1.574803149606E-1)); +#7912=CARTESIAN_POINT('',(5.974211467678E-11,5.133858267717E-1, +-1.574803149606E-1)); +#7913=VERTEX_POINT('',#7911); +#7914=VERTEX_POINT('',#7912); +#7915=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.332975835486E-1)); +#7916=CARTESIAN_POINT('',(-1.870078740157E-2,4.051181102362E-1, +-5.440944881890E-1)); +#7917=VERTEX_POINT('',#7915); +#7918=VERTEX_POINT('',#7916); +#7919=CARTESIAN_POINT('',(1.870078740157E-2,4.051181102362E-1, +-5.440944881890E-1)); +#7920=VERTEX_POINT('',#7919); +#7921=CARTESIAN_POINT('',(-1.870078740157E-2,4.051181102362E-1, +-5.834645669291E-1)); +#7922=CARTESIAN_POINT('',(-1.804163659431E-2,4.100393700787E-1, +-5.834645669291E-1)); +#7923=VERTEX_POINT('',#7921); +#7924=VERTEX_POINT('',#7922); +#7925=CARTESIAN_POINT('',(1.804163659431E-2,4.100393700787E-1, +-5.834645669291E-1)); +#7926=CARTESIAN_POINT('',(1.870078740157E-2,4.051181102362E-1, +-5.834645669291E-1)); +#7927=VERTEX_POINT('',#7925); +#7928=VERTEX_POINT('',#7926); +#7929=CARTESIAN_POINT('',(-1.804163659431E-2,4.100393700787E-1, +-6.622047244094E-1)); +#7930=VERTEX_POINT('',#7929); +#7931=CARTESIAN_POINT('',(1.804163659431E-2,4.100393700787E-1, +-6.622047244094E-1)); +#7932=VERTEX_POINT('',#7931); +#7933=CARTESIAN_POINT('',(1.804163659431E-2,4.001968503937E-1, +-5.834645669291E-1)); +#7934=VERTEX_POINT('',#7933); +#7935=CARTESIAN_POINT('',(-1.804163659431E-2,4.001968503937E-1, +-5.834645669291E-1)); +#7936=VERTEX_POINT('',#7935); +#7937=CARTESIAN_POINT('',(1.804163659431E-2,4.001968503937E-1, +-6.622047244094E-1)); +#7938=VERTEX_POINT('',#7937); +#7939=CARTESIAN_POINT('',(-1.804163659431E-2,4.001968503937E-1, +-6.622047244094E-1)); +#7940=VERTEX_POINT('',#7939); +#7941=VERTEX_POINT('',#3758); +#7942=VERTEX_POINT('',#3770); +#7943=VERTEX_POINT('',#3790); +#7944=VERTEX_POINT('',#3802); +#7945=CARTESIAN_POINT('',(2.451083845082E-2,4.100393700787E-1, +-6.700787401575E-1)); +#7946=CARTESIAN_POINT('',(2.451083845082E-2,4.100393700787E-1, +-5.834645669291E-1)); +#7947=VERTEX_POINT('',#7945); +#7948=VERTEX_POINT('',#7946); +#7949=CARTESIAN_POINT('',(-2.451083845082E-2,4.100393700787E-1, +-6.700787401575E-1)); +#7950=CARTESIAN_POINT('',(-2.451083845082E-2,4.100393700787E-1, +-5.834645669291E-1)); +#7951=VERTEX_POINT('',#7949); +#7952=VERTEX_POINT('',#7950); +#7953=CARTESIAN_POINT('',(-2.451083845082E-2,4.001968503937E-1, +-6.700787401575E-1)); +#7954=CARTESIAN_POINT('',(-2.451083845082E-2,4.001968503937E-1, +-5.834645669291E-1)); +#7955=VERTEX_POINT('',#7953); +#7956=VERTEX_POINT('',#7954); +#7957=CARTESIAN_POINT('',(2.451083845082E-2,4.001968503937E-1, +-6.700787401575E-1)); +#7958=CARTESIAN_POINT('',(2.451083845082E-2,4.001968503937E-1, +-5.834645669291E-1)); +#7959=VERTEX_POINT('',#7957); +#7960=VERTEX_POINT('',#7958); +#7961=CARTESIAN_POINT('',(0.E0,0.E0,-1.712573567166E-2)); +#7962=CARTESIAN_POINT('',(0.E0,0.E0,1.712573567166E-2)); +#7963=VERTEX_POINT('',#7961); +#7964=VERTEX_POINT('',#7962); +#7965=CARTESIAN_POINT('',(0.E0,7.874378623404E-3,-2.5E-2)); +#7966=CARTESIAN_POINT('',(0.E0,7.874378623404E-3,2.5E-2)); +#7967=VERTEX_POINT('',#7965); +#7968=VERTEX_POINT('',#7966); +#7969=CARTESIAN_POINT('',(0.E0,1.826770840192E-1,-2.5E-2)); +#7970=CARTESIAN_POINT('',(0.E0,1.826770840192E-1,2.5E-2)); +#7971=VERTEX_POINT('',#7969); +#7972=VERTEX_POINT('',#7970); +#7973=CARTESIAN_POINT('',(-2.007874015748E-1,4.051181102362E-1, +-3.208661417323E-1)); +#7974=CARTESIAN_POINT('',(2.007874015748E-1,4.051181102362E-1, +-3.208661417323E-1)); +#7975=VERTEX_POINT('',#7973); +#7976=VERTEX_POINT('',#7974); +#7977=CARTESIAN_POINT('',(-2.007874015748E-1,4.051181102362E-1, +-3.011811023622E-1)); +#7978=CARTESIAN_POINT('',(2.007874015748E-1,4.051181102362E-1, +-3.011811023622E-1)); +#7979=VERTEX_POINT('',#7977); +#7980=VERTEX_POINT('',#7978); +#7981=VERTEX_POINT('',#6765); +#7982=VERTEX_POINT('',#6768); +#7983=VERTEX_POINT('',#6763); +#7984=VERTEX_POINT('',#5632); +#7985=VERTEX_POINT('',#5635); +#7986=VERTEX_POINT('',#5637); +#7987=CARTESIAN_POINT('',(1.968503937008E-2,2.508572189097E-1, +-3.012751368463E-1)); +#7988=VERTEX_POINT('',#7987); +#7989=VERTEX_POINT('',#6665); +#7990=VERTEX_POINT('',#6668); +#7991=VERTEX_POINT('',#6656); +#7992=VERTEX_POINT('',#6647); +#7993=VERTEX_POINT('',#6650); +#7994=VERTEX_POINT('',#6638); +#7995=VERTEX_POINT('',#6629); +#7996=VERTEX_POINT('',#6632); +#7997=VERTEX_POINT('',#6620); +#7998=VERTEX_POINT('',#6611); +#7999=VERTEX_POINT('',#6614); +#8000=VERTEX_POINT('',#6602); +#8001=VERTEX_POINT('',#6593); +#8002=VERTEX_POINT('',#6596); +#8003=VERTEX_POINT('',#6584); +#8004=VERTEX_POINT('',#6575); +#8005=VERTEX_POINT('',#6578); +#8006=VERTEX_POINT('',#6566); +#8007=VERTEX_POINT('',#6539); +#8008=VERTEX_POINT('',#6542); +#8009=VERTEX_POINT('',#6530); +#8010=VERTEX_POINT('',#6521); +#8011=VERTEX_POINT('',#6524); +#8012=VERTEX_POINT('',#6512); +#8013=VERTEX_POINT('',#6557); +#8014=VERTEX_POINT('',#6560); +#8015=VERTEX_POINT('',#6548); +#8016=VERTEX_POINT('',#5642); +#8017=VERTEX_POINT('',#5645); +#8018=VERTEX_POINT('',#5647); +#8019=CARTESIAN_POINT('',(1.065978083125E-1,2.918890034182E-1, +-3.012751368463E-1)); +#8020=VERTEX_POINT('',#8019); +#8021=VERTEX_POINT('',#5652); +#8022=VERTEX_POINT('',#5655); +#8023=VERTEX_POINT('',#5657); +#8024=CARTESIAN_POINT('',(1.527938376059E-1,3.761704582153E-1, +-3.012751368463E-1)); +#8025=VERTEX_POINT('',#8024); +#8026=VERTEX_POINT('',#5662); +#8027=VERTEX_POINT('',#5665); +#8028=VERTEX_POINT('',#5667); +#8029=CARTESIAN_POINT('',(1.406278142053E-1,4.715089321900E-1, +-3.012751368463E-1)); +#8030=VERTEX_POINT('',#8029); +#8031=VERTEX_POINT('',#5672); +#8032=VERTEX_POINT('',#5675); +#8033=VERTEX_POINT('',#5677); +#8034=CARTESIAN_POINT('',(7.474674554187E-2,5.414883687193E-1, +-3.012751368463E-1)); +#8035=VERTEX_POINT('',#8034); +#8036=VERTEX_POINT('',#5682); +#8037=VERTEX_POINT('',#5685); +#8038=VERTEX_POINT('',#5687); +#8039=CARTESIAN_POINT('',(-1.968503937008E-2,5.593790015627E-1, +-3.012751368463E-1)); +#8040=VERTEX_POINT('',#8039); +#8041=VERTEX_POINT('',#5692); +#8042=VERTEX_POINT('',#5695); +#8043=VERTEX_POINT('',#5697); +#8044=CARTESIAN_POINT('',(-1.065978083125E-1,5.183472170543E-1, +-3.012751368463E-1)); +#8045=VERTEX_POINT('',#8044); +#8046=VERTEX_POINT('',#5702); +#8047=VERTEX_POINT('',#5705); +#8048=VERTEX_POINT('',#5707); +#8049=CARTESIAN_POINT('',(-1.527938376059E-1,4.340657622571E-1, +-3.012751368463E-1)); +#8050=VERTEX_POINT('',#8049); +#8051=VERTEX_POINT('',#5712); +#8052=VERTEX_POINT('',#5715); +#8053=VERTEX_POINT('',#5717); +#8054=CARTESIAN_POINT('',(-1.406278142053E-1,3.387272882825E-1, +-3.012751368463E-1)); +#8055=VERTEX_POINT('',#8054); +#8056=VERTEX_POINT('',#5722); +#8057=VERTEX_POINT('',#5725); +#8058=VERTEX_POINT('',#5727); +#8059=CARTESIAN_POINT('',(-7.474674554187E-2,2.687478517531E-1, +-3.012751368463E-1)); +#8060=VERTEX_POINT('',#8059); +#8061=VERTEX_POINT('',#6770); +#8062=VERTEX_POINT('',#5832); +#8063=VERTEX_POINT('',#5911); +#8064=VERTEX_POINT('',#5990); +#8065=VERTEX_POINT('',#6069); +#8066=VERTEX_POINT('',#6148); +#8067=VERTEX_POINT('',#6227); +#8068=VERTEX_POINT('',#6385); +#8069=VERTEX_POINT('',#6464); +#8070=VERTEX_POINT('',#6306); +#8071=CARTESIAN_POINT('',(7.874015748883E-2,2.687361568893E-1, +-3.818897637795E-1)); +#8072=CARTESIAN_POINT('',(1.574803149606E-1,4.051181102362E-1, +-3.818897637795E-1)); +#8073=VERTEX_POINT('',#8071); +#8074=VERTEX_POINT('',#8072); +#8075=CARTESIAN_POINT('',(7.874015748883E-2,5.415000635832E-1, +-3.818897637795E-1)); +#8076=VERTEX_POINT('',#8075); +#8077=CARTESIAN_POINT('',(-7.874015748883E-2,5.415000635832E-1, +-3.818897637795E-1)); +#8078=CARTESIAN_POINT('',(-1.574803149606E-1,4.051181102362E-1, +-3.818897637795E-1)); +#8079=VERTEX_POINT('',#8077); +#8080=VERTEX_POINT('',#8078); +#8081=CARTESIAN_POINT('',(-7.874015748883E-2,2.687361568893E-1, +-3.818897637795E-1)); +#8082=VERTEX_POINT('',#8081); +#8083=VERTEX_POINT('',#7156); +#8084=VERTEX_POINT('',#7154); +#8085=VERTEX_POINT('',#7241); +#8086=VERTEX_POINT('',#7281); +#8087=VERTEX_POINT('',#7321); +#8088=VERTEX_POINT('',#5532); +#8089=CARTESIAN_POINT('',(-1.574803149606E-1,4.960394124708E-1, +-3.329632122011E-1)); +#8090=VERTEX_POINT('',#8089); +#8091=CARTESIAN_POINT('',(0.E0,5.869607147054E-1,-3.329632122011E-1)); +#8092=VERTEX_POINT('',#8091); +#8093=CARTESIAN_POINT('',(1.574803149606E-1,4.960394124708E-1, +-3.329632122011E-1)); +#8094=VERTEX_POINT('',#8093); +#8095=CARTESIAN_POINT('',(1.574803149606E-1,3.141968080016E-1, +-3.329632122011E-1)); +#8096=VERTEX_POINT('',#8095); +#8097=CARTESIAN_POINT('',(0.E0,2.232755057670E-1,-3.329632122011E-1)); +#8098=VERTEX_POINT('',#8097); +#8099=CARTESIAN_POINT('',(-1.574803149606E-1,3.141968080016E-1, +-3.329632122011E-1)); +#8100=VERTEX_POINT('',#8099); +#8101=VERTEX_POINT('',#5474); +#8102=VERTEX_POINT('',#5492); +#8103=VERTEX_POINT('',#5503); +#8104=VERTEX_POINT('',#7348); +#8105=VERTEX_POINT('',#7359); +#8106=CARTESIAN_POINT('',(5.846106495181E-2,3.118927550370E-1, +-3.338976377953E-1)); +#8107=CARTESIAN_POINT('',(6.335343632745E-2,3.151458641109E-1, +-3.338976377953E-1)); +#8108=VERTEX_POINT('',#8106); +#8109=VERTEX_POINT('',#8107); +#8110=CARTESIAN_POINT('',(0.E0,5.151574803150E-1,-3.502730375379E-1)); +#8111=CARTESIAN_POINT('',(0.E0,5.151574803150E-1,-3.505092580103E-1)); +#8112=VERTEX_POINT('',#8110); +#8113=VERTEX_POINT('',#8111); +#8114=CARTESIAN_POINT('',(-1.250393700787E-1,4.051181102362E-1, +-3.818897637795E-1)); +#8115=VERTEX_POINT('',#8114); +#8116=VERTEX_POINT('',#5754); +#8117=VERTEX_POINT('',#5759); +#8118=VERTEX_POINT('',#5761); +#8119=VERTEX_POINT('',#5784); +#8120=CARTESIAN_POINT('',(-6.345724267142E-2,3.152190483954E-1, +-3.668897637795E-1)); +#8121=VERTEX_POINT('',#8120); +#8122=CARTESIAN_POINT('',(-1.257874015748E-1,4.051181102362E-1, +-3.804478449267E-1)); +#8123=VERTEX_POINT('',#8122); +#8124=VERTEX_POINT('',#6980); +#8125=VERTEX_POINT('',#6985); +#8126=VERTEX_POINT('',#5289); +#8127=VERTEX_POINT('',#5294); +#8128=VERTEX_POINT('',#5262); +#8129=VERTEX_POINT('',#6811); +#8130=VERTEX_POINT('',#6821); +#8131=VERTEX_POINT('',#6823); +#8132=VERTEX_POINT('',#5372); +#8133=VERTEX_POINT('',#5378); +#8134=VERTEX_POINT('',#5276); +#8135=CARTESIAN_POINT('',(-2.545034131336E-2,2.762941106595E-1, +-3.090551181102E-1)); +#8136=CARTESIAN_POINT('',(-2.545034131336E-2,2.583098195457E-1, +-3.090551181102E-1)); +#8137=VERTEX_POINT('',#8135); +#8138=VERTEX_POINT('',#8136); +#8139=CARTESIAN_POINT('',(-2.545034131336E-2,2.583098195457E-1, +-3.188976377953E-1)); +#8140=CARTESIAN_POINT('',(-2.545034131336E-2,2.762941106595E-1, +-3.188976377953E-1)); +#8141=VERTEX_POINT('',#8139); +#8142=VERTEX_POINT('',#8140); +#8143=VERTEX_POINT('',#1248); +#8144=VERTEX_POINT('',#1253); +#8145=VERTEX_POINT('',#1957); +#8146=VERTEX_POINT('',#1962); +#8147=VERTEX_POINT('',#1619); +#8148=VERTEX_POINT('',#1628); +#8149=VERTEX_POINT('',#1814); +#8150=VERTEX_POINT('',#1115); +#8151=VERTEX_POINT('',#4926); +#8152=VERTEX_POINT('',#1829); +#8153=CARTESIAN_POINT('',(-1.072730157978E-1,5.250266101577E-1, +-3.208661417323E-1)); +#8154=CARTESIAN_POINT('',(-5.020729916280E-2,5.579735170185E-1, +-3.208661417323E-1)); +#8155=VERTEX_POINT('',#8153); +#8156=VERTEX_POINT('',#8154); +#8157=CARTESIAN_POINT('',(5.020729916280E-2,5.579735170185E-1, +-3.208661417323E-1)); +#8158=CARTESIAN_POINT('',(1.072730157978E-1,5.250266101577E-1, +-3.208661417323E-1)); +#8159=VERTEX_POINT('',#8157); +#8160=VERTEX_POINT('',#8158); +#8161=CARTESIAN_POINT('',(1.574803149606E-1,4.380650170970E-1, +-3.208661417323E-1)); +#8162=CARTESIAN_POINT('',(1.574803149606E-1,3.721712033755E-1, +-3.208661417323E-1)); +#8163=VERTEX_POINT('',#8161); +#8164=VERTEX_POINT('',#8162); +#8165=CARTESIAN_POINT('',(1.072730157978E-1,2.852096103147E-1, +-3.208661417323E-1)); +#8166=CARTESIAN_POINT('',(5.020729916280E-2,2.522627034540E-1, +-3.208661417323E-1)); +#8167=VERTEX_POINT('',#8165); +#8168=VERTEX_POINT('',#8166); +#8169=CARTESIAN_POINT('',(-5.020729916280E-2,2.522627034540E-1, +-3.208661417323E-1)); +#8170=CARTESIAN_POINT('',(-1.072730157978E-1,2.852096103147E-1, +-3.208661417323E-1)); +#8171=VERTEX_POINT('',#8169); +#8172=VERTEX_POINT('',#8170); +#8173=CARTESIAN_POINT('',(-1.574803149606E-1,3.721712033755E-1, +-3.208661417323E-1)); +#8174=CARTESIAN_POINT('',(-1.574803149606E-1,4.380650170970E-1, +-3.208661417323E-1)); +#8175=VERTEX_POINT('',#8173); +#8176=VERTEX_POINT('',#8174); +#8177=CARTESIAN_POINT('',(1.968503937008E-2,2.508572189097E-1, +-3.188976377953E-1)); +#8178=CARTESIAN_POINT('',(7.474674554187E-2,2.687478517531E-1, +-3.188976377953E-1)); +#8179=VERTEX_POINT('',#8177); +#8180=VERTEX_POINT('',#8178); +#8181=CARTESIAN_POINT('',(1.065978083125E-1,2.918890034182E-1, +-3.188976377953E-1)); +#8182=CARTESIAN_POINT('',(1.406278142053E-1,3.387272882825E-1, +-3.188976377953E-1)); +#8183=VERTEX_POINT('',#8181); +#8184=VERTEX_POINT('',#8182); +#8185=CARTESIAN_POINT('',(1.527938376059E-1,3.761704582153E-1, +-3.188976377953E-1)); +#8186=CARTESIAN_POINT('',(1.527938376059E-1,4.340657622571E-1, +-3.188976377953E-1)); +#8187=VERTEX_POINT('',#8185); +#8188=VERTEX_POINT('',#8186); +#8189=CARTESIAN_POINT('',(1.406278142053E-1,4.715089321900E-1, +-3.188976377953E-1)); +#8190=CARTESIAN_POINT('',(1.065978083125E-1,5.183472170543E-1, +-3.188976377953E-1)); +#8191=VERTEX_POINT('',#8189); +#8192=VERTEX_POINT('',#8190); +#8193=CARTESIAN_POINT('',(7.474674554187E-2,5.414883687193E-1, +-3.188976377953E-1)); +#8194=CARTESIAN_POINT('',(1.968503937008E-2,5.593790015627E-1, +-3.188976377953E-1)); +#8195=VERTEX_POINT('',#8193); +#8196=VERTEX_POINT('',#8194); +#8197=CARTESIAN_POINT('',(-1.968503937008E-2,5.593790015627E-1, +-3.188976377953E-1)); +#8198=CARTESIAN_POINT('',(-7.474674554187E-2,5.414883687193E-1, +-3.188976377953E-1)); +#8199=VERTEX_POINT('',#8197); +#8200=VERTEX_POINT('',#8198); +#8201=CARTESIAN_POINT('',(-1.065978083125E-1,5.183472170543E-1, +-3.188976377953E-1)); +#8202=CARTESIAN_POINT('',(-1.406278142053E-1,4.715089321900E-1, +-3.188976377953E-1)); +#8203=VERTEX_POINT('',#8201); +#8204=VERTEX_POINT('',#8202); +#8205=CARTESIAN_POINT('',(-1.527938376059E-1,4.340657622571E-1, +-3.188976377953E-1)); +#8206=CARTESIAN_POINT('',(-1.527938376059E-1,3.761704582153E-1, +-3.188976377953E-1)); +#8207=VERTEX_POINT('',#8205); +#8208=VERTEX_POINT('',#8206); +#8209=CARTESIAN_POINT('',(-1.406278142053E-1,3.387272882825E-1, +-3.188976377953E-1)); +#8210=CARTESIAN_POINT('',(-1.065978083125E-1,2.918890034182E-1, +-3.188976377953E-1)); +#8211=VERTEX_POINT('',#8209); +#8212=VERTEX_POINT('',#8210); +#8213=CARTESIAN_POINT('',(-7.474674554187E-2,2.687478517531E-1, +-3.188976377953E-1)); +#8214=CARTESIAN_POINT('',(-1.968503937008E-2,2.508572189097E-1, +-3.188976377953E-1)); +#8215=VERTEX_POINT('',#8213); +#8216=VERTEX_POINT('',#8214); +#8217=CARTESIAN_POINT('',(-6.046762994135E-3,2.773083124854E-1, +-3.188976377953E-1)); +#8218=CARTESIAN_POINT('',(1.818425880160E-2,2.784640914462E-1, +-3.188976377953E-1)); +#8219=VERTEX_POINT('',#8217); +#8220=VERTEX_POINT('',#8218); +#8221=VERTEX_POINT('',#6499); +#8222=VERTEX_POINT('',#6420); +#8223=VERTEX_POINT('',#6341); +#8224=VERTEX_POINT('',#6262); +#8225=VERTEX_POINT('',#6183); +#8226=VERTEX_POINT('',#6104); +#8227=VERTEX_POINT('',#6025); +#8228=VERTEX_POINT('',#5946); +#8229=VERTEX_POINT('',#5867); +#8230=CARTESIAN_POINT('',(7.023278019321E-2,2.981636136961E-1, +-3.188976377953E-1)); +#8231=VERTEX_POINT('',#8230); +#8232=CARTESIAN_POINT('',(1.196857884711E-1,3.598718973355E-1, +-3.188976377953E-1)); +#8233=VERTEX_POINT('',#8232); +#8234=CARTESIAN_POINT('',(1.234228935234E-1,4.388626964408E-1, +-3.188976377953E-1)); +#8235=VERTEX_POINT('',#8234); +#8236=CARTESIAN_POINT('',(8.001664823963E-2,5.049642105522E-1, +-3.188976377953E-1)); +#8237=VERTEX_POINT('',#8236); +#8238=CARTESIAN_POINT('',(6.046762994135E-3,5.329279079871E-1, +-3.188976377953E-1)); +#8239=VERTEX_POINT('',#8238); +#8240=CARTESIAN_POINT('',(-7.023278019321E-2,5.120726067763E-1, +-3.188976377953E-1)); +#8241=VERTEX_POINT('',#8240); +#8242=CARTESIAN_POINT('',(-1.234228935234E-1,3.713735240317E-1, +-3.188976377953E-1)); +#8243=VERTEX_POINT('',#8242); +#8244=CARTESIAN_POINT('',(-8.001664823963E-2,3.052720099202E-1, +-3.188976377953E-1)); +#8245=VERTEX_POINT('',#8244); +#8246=CARTESIAN_POINT('',(-1.196857884711E-1,4.503643231369E-1, +-3.188976377953E-1)); +#8247=VERTEX_POINT('',#8246); +#8248=VERTEX_POINT('',#6712); +#8249=VERTEX_POINT('',#6731); +#8250=VERTEX_POINT('',#6744); +#8251=VERTEX_POINT('',#6470); +#8252=VERTEX_POINT('',#6391); +#8253=VERTEX_POINT('',#6312); +#8254=VERTEX_POINT('',#6233); +#8255=VERTEX_POINT('',#6154); +#8256=VERTEX_POINT('',#6075); +#8257=VERTEX_POINT('',#5996); +#8258=VERTEX_POINT('',#5917); +#8259=VERTEX_POINT('',#5838); +#8260=VERTEX_POINT('',#6695); +#8261=VERTEX_POINT('',#6700); +#8262=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#8263=DIRECTION('',(0.E0,1.E0,0.E0)); +#8264=DIRECTION('',(1.E0,0.E0,0.E0)); +#8265=AXIS2_PLACEMENT_3D('',#8262,#8263,#8264); +#8266=PLANE('',#8265); +#8268=ORIENTED_EDGE('',*,*,#8267,.T.); +#8270=ORIENTED_EDGE('',*,*,#8269,.T.); +#8272=ORIENTED_EDGE('',*,*,#8271,.F.); +#8274=ORIENTED_EDGE('',*,*,#8273,.T.); +#8275=EDGE_LOOP('',(#8268,#8270,#8272,#8274)); +#8276=FACE_OUTER_BOUND('',#8275,.F.); +#8277=ADVANCED_FACE('',(#8276),#8266,.F.); +#8278=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,1.200787401575E-1)); +#8279=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8280=DIRECTION('',(1.E0,0.E0,0.E0)); +#8281=AXIS2_PLACEMENT_3D('',#8278,#8279,#8280); +#8282=PLANE('',#8281); +#8283=ORIENTED_EDGE('',*,*,#8267,.F.); +#8285=ORIENTED_EDGE('',*,*,#8284,.T.); +#8287=ORIENTED_EDGE('',*,*,#8286,.T.); +#8289=ORIENTED_EDGE('',*,*,#8288,.F.); +#8290=EDGE_LOOP('',(#8283,#8285,#8287,#8289)); +#8291=FACE_OUTER_BOUND('',#8290,.F.); +#8292=ADVANCED_FACE('',(#8291),#8282,.F.); +#8293=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,7.992125984252E-2)); +#8294=DIRECTION('',(1.E0,0.E0,0.E0)); +#8295=DIRECTION('',(0.E0,0.E0,1.E0)); +#8296=AXIS2_PLACEMENT_3D('',#8293,#8294,#8295); +#8297=PLANE('',#8296); +#8298=ORIENTED_EDGE('',*,*,#8273,.F.); +#8300=ORIENTED_EDGE('',*,*,#8299,.T.); +#8302=ORIENTED_EDGE('',*,*,#8301,.T.); +#8303=ORIENTED_EDGE('',*,*,#8284,.F.); +#8304=EDGE_LOOP('',(#8298,#8300,#8302,#8303)); +#8305=FACE_OUTER_BOUND('',#8304,.F.); +#8306=ADVANCED_FACE('',(#8305),#8297,.F.); +#8307=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,7.992125984252E-2)); +#8308=DIRECTION('',(0.E0,0.E0,1.E0)); +#8309=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8310=AXIS2_PLACEMENT_3D('',#8307,#8308,#8309); +#8311=PLANE('',#8310); +#8313=ORIENTED_EDGE('',*,*,#8312,.F.); +#8314=ORIENTED_EDGE('',*,*,#8299,.F.); +#8315=ORIENTED_EDGE('',*,*,#8271,.T.); +#8317=ORIENTED_EDGE('',*,*,#8316,.T.); +#8319=ORIENTED_EDGE('',*,*,#8318,.T.); +#8321=ORIENTED_EDGE('',*,*,#8320,.F.); +#8322=EDGE_LOOP('',(#8313,#8314,#8315,#8317,#8319,#8321)); +#8323=FACE_OUTER_BOUND('',#8322,.F.); +#8324=ADVANCED_FACE('',(#8323),#8311,.F.); +#8325=CARTESIAN_POINT('',(0.E0,1.551181102362E-1,0.E0)); +#8326=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8327=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8328=AXIS2_PLACEMENT_3D('',#8325,#8326,#8327); +#8329=PLANE('',#8328); +#8331=ORIENTED_EDGE('',*,*,#8330,.T.); +#8333=ORIENTED_EDGE('',*,*,#8332,.T.); +#8335=ORIENTED_EDGE('',*,*,#8334,.T.); +#8336=ORIENTED_EDGE('',*,*,#8286,.F.); +#8337=ORIENTED_EDGE('',*,*,#8301,.F.); +#8338=ORIENTED_EDGE('',*,*,#8312,.T.); +#8339=EDGE_LOOP('',(#8331,#8333,#8335,#8336,#8337,#8338)); +#8340=FACE_OUTER_BOUND('',#8339,.F.); +#8341=ADVANCED_FACE('',(#8340),#8329,.T.); +#8342=CARTESIAN_POINT('',(-1.574803149606E-1,0.E0,-1.574803149606E-1)); +#8343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8344=DIRECTION('',(0.E0,0.E0,1.E0)); +#8345=AXIS2_PLACEMENT_3D('',#8342,#8343,#8344); +#8346=PLANE('',#8345); +#8348=ORIENTED_EDGE('',*,*,#8347,.F.); +#8350=ORIENTED_EDGE('',*,*,#8349,.F.); +#8351=ORIENTED_EDGE('',*,*,#8330,.F.); +#8352=ORIENTED_EDGE('',*,*,#8320,.T.); +#8354=ORIENTED_EDGE('',*,*,#8353,.F.); +#8356=ORIENTED_EDGE('',*,*,#8355,.F.); +#8358=ORIENTED_EDGE('',*,*,#8357,.F.); +#8360=ORIENTED_EDGE('',*,*,#8359,.T.); +#8362=ORIENTED_EDGE('',*,*,#8361,.F.); +#8364=ORIENTED_EDGE('',*,*,#8363,.T.); +#8365=EDGE_LOOP('',(#8348,#8350,#8351,#8352,#8354,#8356,#8358,#8360,#8362, +#8364)); +#8366=FACE_OUTER_BOUND('',#8365,.F.); +#8367=ADVANCED_FACE('',(#8366),#8346,.T.); +#8368=CARTESIAN_POINT('',(-1.908144211026E-4,5.472334143752E-1, +-1.908144211026E-4)); +#8369=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8370=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#8371=AXIS2_PLACEMENT_3D('',#8368,#8369,#8370); +#8372=CONICAL_SURFACE('',#8371,1.902304822635E-1,6.E1); +#8374=ORIENTED_EDGE('',*,*,#8373,.T.); +#8376=ORIENTED_EDGE('',*,*,#8375,.T.); +#8377=ORIENTED_EDGE('',*,*,#8347,.T.); +#8379=ORIENTED_EDGE('',*,*,#8378,.T.); +#8381=ORIENTED_EDGE('',*,*,#8380,.T.); +#8382=EDGE_LOOP('',(#8374,#8376,#8377,#8379,#8381)); +#8383=FACE_OUTER_BOUND('',#8382,.F.); +#8384=ADVANCED_FACE('',(#8383),#8372,.T.); +#8385=CARTESIAN_POINT('',(1.574803149606E-1,0.E0,1.574803149606E-1)); +#8386=DIRECTION('',(1.E0,0.E0,0.E0)); +#8387=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8388=AXIS2_PLACEMENT_3D('',#8385,#8386,#8387); +#8389=PLANE('',#8388); +#8390=ORIENTED_EDGE('',*,*,#8373,.F.); +#8392=ORIENTED_EDGE('',*,*,#8391,.F.); +#8394=ORIENTED_EDGE('',*,*,#8393,.F.); +#8396=ORIENTED_EDGE('',*,*,#8395,.T.); +#8398=ORIENTED_EDGE('',*,*,#8397,.F.); +#8400=ORIENTED_EDGE('',*,*,#8399,.F.); +#8402=ORIENTED_EDGE('',*,*,#8401,.F.); +#8404=ORIENTED_EDGE('',*,*,#8403,.T.); +#8405=EDGE_LOOP('',(#8390,#8392,#8394,#8396,#8398,#8400,#8402,#8404)); +#8406=FACE_OUTER_BOUND('',#8405,.F.); +#8407=ADVANCED_FACE('',(#8406),#8389,.T.); +#8408=CARTESIAN_POINT('',(1.574803149606E-1,0.E0,-1.574803149606E-1)); +#8409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8410=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8411=AXIS2_PLACEMENT_3D('',#8408,#8409,#8410); +#8412=PLANE('',#8411); +#8414=ORIENTED_EDGE('',*,*,#8413,.F.); +#8415=ORIENTED_EDGE('',*,*,#8359,.F.); +#8417=ORIENTED_EDGE('',*,*,#8416,.F.); +#8419=ORIENTED_EDGE('',*,*,#8418,.T.); +#8421=ORIENTED_EDGE('',*,*,#8420,.F.); +#8423=ORIENTED_EDGE('',*,*,#8422,.F.); +#8425=ORIENTED_EDGE('',*,*,#8424,.F.); +#8426=ORIENTED_EDGE('',*,*,#8391,.T.); +#8427=ORIENTED_EDGE('',*,*,#8380,.F.); +#8429=ORIENTED_EDGE('',*,*,#8428,.T.); +#8430=EDGE_LOOP('',(#8414,#8415,#8417,#8419,#8421,#8423,#8425,#8426,#8427, +#8429)); +#8431=FACE_OUTER_BOUND('',#8430,.F.); +#8433=ORIENTED_EDGE('',*,*,#8432,.T.); +#8435=ORIENTED_EDGE('',*,*,#8434,.T.); +#8436=EDGE_LOOP('',(#8433,#8435)); +#8437=FACE_BOUND('',#8436,.F.); +#8438=ADVANCED_FACE('',(#8431,#8437),#8412,.T.); +#8439=CARTESIAN_POINT('',(-1.908144211026E-4,5.473892136976E-1, +-1.908144211026E-4)); +#8440=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8441=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#8442=AXIS2_PLACEMENT_3D('',#8439,#8440,#8441); +#8443=CONICAL_SURFACE('',#8442,1.899606299213E-1,6.E1); +#8445=ORIENTED_EDGE('',*,*,#8444,.T.); +#8446=ORIENTED_EDGE('',*,*,#8361,.T.); +#8447=ORIENTED_EDGE('',*,*,#8413,.T.); +#8448=EDGE_LOOP('',(#8445,#8446,#8447)); +#8449=FACE_OUTER_BOUND('',#8448,.F.); +#8450=ADVANCED_FACE('',(#8449),#8443,.T.); +#8451=CARTESIAN_POINT('',(0.E0,5.661417322835E-1,0.E0)); +#8452=DIRECTION('',(0.E0,1.E0,0.E0)); +#8453=DIRECTION('',(1.E0,0.E0,0.E0)); +#8454=AXIS2_PLACEMENT_3D('',#8451,#8452,#8453); +#8455=PLANE('',#8454); +#8456=ORIENTED_EDGE('',*,*,#8444,.F.); +#8457=ORIENTED_EDGE('',*,*,#8428,.F.); +#8458=ORIENTED_EDGE('',*,*,#8378,.F.); +#8459=ORIENTED_EDGE('',*,*,#8363,.F.); +#8460=EDGE_LOOP('',(#8456,#8457,#8458,#8459)); +#8461=FACE_OUTER_BOUND('',#8460,.F.); +#8462=ADVANCED_FACE('',(#8461),#8455,.T.); +#8463=CARTESIAN_POINT('',(0.E0,1.551181102362E-1,0.E0)); +#8464=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8466=AXIS2_PLACEMENT_3D('',#8463,#8464,#8465); +#8467=PLANE('',#8466); +#8468=ORIENTED_EDGE('',*,*,#8357,.T.); +#8470=ORIENTED_EDGE('',*,*,#8469,.T.); +#8472=ORIENTED_EDGE('',*,*,#8471,.F.); +#8474=ORIENTED_EDGE('',*,*,#8473,.F.); +#8476=ORIENTED_EDGE('',*,*,#8475,.T.); +#8477=ORIENTED_EDGE('',*,*,#8416,.T.); +#8478=EDGE_LOOP('',(#8468,#8470,#8472,#8474,#8476,#8477)); +#8479=FACE_OUTER_BOUND('',#8478,.F.); +#8480=ADVANCED_FACE('',(#8479),#8467,.T.); +#8481=CARTESIAN_POINT('',(-1.574803149606E-1,0.E0,-7.992125984252E-2)); +#8482=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8483=DIRECTION('',(1.E0,0.E0,0.E0)); +#8484=AXIS2_PLACEMENT_3D('',#8481,#8482,#8483); +#8485=PLANE('',#8484); +#8486=ORIENTED_EDGE('',*,*,#8469,.F.); +#8487=ORIENTED_EDGE('',*,*,#8355,.T.); +#8489=ORIENTED_EDGE('',*,*,#8488,.T.); +#8491=ORIENTED_EDGE('',*,*,#8490,.F.); +#8493=ORIENTED_EDGE('',*,*,#8492,.F.); +#8495=ORIENTED_EDGE('',*,*,#8494,.T.); +#8496=EDGE_LOOP('',(#8486,#8487,#8489,#8491,#8493,#8495)); +#8497=FACE_OUTER_BOUND('',#8496,.F.); +#8498=ADVANCED_FACE('',(#8497),#8485,.F.); +#8499=CARTESIAN_POINT('',(0.E0,1.748031496063E-1,0.E0)); +#8500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8502=AXIS2_PLACEMENT_3D('',#8499,#8500,#8501); +#8503=PLANE('',#8502); +#8504=ORIENTED_EDGE('',*,*,#8353,.T.); +#8505=ORIENTED_EDGE('',*,*,#8318,.F.); +#8507=ORIENTED_EDGE('',*,*,#8506,.F.); +#8509=ORIENTED_EDGE('',*,*,#8508,.T.); +#8511=ORIENTED_EDGE('',*,*,#8510,.F.); +#8513=ORIENTED_EDGE('',*,*,#8512,.F.); +#8514=ORIENTED_EDGE('',*,*,#8397,.T.); +#8516=ORIENTED_EDGE('',*,*,#8515,.F.); +#8518=ORIENTED_EDGE('',*,*,#8517,.F.); +#8519=ORIENTED_EDGE('',*,*,#8420,.T.); +#8521=ORIENTED_EDGE('',*,*,#8520,.F.); +#8522=ORIENTED_EDGE('',*,*,#8488,.F.); +#8523=EDGE_LOOP('',(#8504,#8505,#8507,#8509,#8511,#8513,#8514,#8516,#8518,#8519, +#8521,#8522)); +#8524=FACE_OUTER_BOUND('',#8523,.F.); +#8526=ORIENTED_EDGE('',*,*,#8525,.F.); +#8528=ORIENTED_EDGE('',*,*,#8527,.F.); +#8529=EDGE_LOOP('',(#8526,#8528)); +#8530=FACE_BOUND('',#8529,.F.); +#8531=ADVANCED_FACE('',(#8524,#8530),#8503,.T.); +#8532=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,1.574803149606E-1)); +#8533=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8535=AXIS2_PLACEMENT_3D('',#8532,#8533,#8534); +#8536=PLANE('',#8535); +#8537=ORIENTED_EDGE('',*,*,#8334,.F.); +#8539=ORIENTED_EDGE('',*,*,#8538,.T.); +#8540=ORIENTED_EDGE('',*,*,#8506,.T.); +#8541=ORIENTED_EDGE('',*,*,#8316,.F.); +#8542=ORIENTED_EDGE('',*,*,#8269,.F.); +#8543=ORIENTED_EDGE('',*,*,#8288,.T.); +#8544=EDGE_LOOP('',(#8537,#8539,#8540,#8541,#8542,#8543)); +#8545=FACE_OUTER_BOUND('',#8544,.F.); +#8546=ADVANCED_FACE('',(#8545),#8536,.F.); +#8547=CARTESIAN_POINT('',(-1.574803149606E-1,0.E0,1.574803149606E-1)); +#8548=DIRECTION('',(0.E0,0.E0,1.E0)); +#8549=DIRECTION('',(1.E0,0.E0,0.E0)); +#8550=AXIS2_PLACEMENT_3D('',#8547,#8548,#8549); +#8551=PLANE('',#8550); +#8552=ORIENTED_EDGE('',*,*,#8375,.F.); +#8553=ORIENTED_EDGE('',*,*,#8403,.F.); +#8555=ORIENTED_EDGE('',*,*,#8554,.F.); +#8557=ORIENTED_EDGE('',*,*,#8556,.T.); +#8558=ORIENTED_EDGE('',*,*,#8508,.F.); +#8559=ORIENTED_EDGE('',*,*,#8538,.F.); +#8560=ORIENTED_EDGE('',*,*,#8332,.F.); +#8561=ORIENTED_EDGE('',*,*,#8349,.T.); +#8562=EDGE_LOOP('',(#8552,#8553,#8555,#8557,#8558,#8559,#8560,#8561)); +#8563=FACE_OUTER_BOUND('',#8562,.F.); +#8564=ADVANCED_FACE('',(#8563),#8551,.T.); +#8565=CARTESIAN_POINT('',(0.E0,1.551181102362E-1,0.E0)); +#8566=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8567=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8568=AXIS2_PLACEMENT_3D('',#8565,#8566,#8567); +#8569=PLANE('',#8568); +#8570=ORIENTED_EDGE('',*,*,#8554,.T.); +#8571=ORIENTED_EDGE('',*,*,#8401,.T.); +#8573=ORIENTED_EDGE('',*,*,#8572,.T.); +#8575=ORIENTED_EDGE('',*,*,#8574,.F.); +#8577=ORIENTED_EDGE('',*,*,#8576,.F.); +#8579=ORIENTED_EDGE('',*,*,#8578,.T.); +#8580=EDGE_LOOP('',(#8570,#8571,#8573,#8575,#8577,#8579)); +#8581=FACE_OUTER_BOUND('',#8580,.F.); +#8582=ADVANCED_FACE('',(#8581),#8569,.T.); +#8583=CARTESIAN_POINT('',(1.574803149606E-1,0.E0,7.992125984252E-2)); +#8584=DIRECTION('',(0.E0,0.E0,1.E0)); +#8585=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8586=AXIS2_PLACEMENT_3D('',#8583,#8584,#8585); +#8587=PLANE('',#8586); +#8588=ORIENTED_EDGE('',*,*,#8572,.F.); +#8589=ORIENTED_EDGE('',*,*,#8399,.T.); +#8590=ORIENTED_EDGE('',*,*,#8512,.T.); +#8592=ORIENTED_EDGE('',*,*,#8591,.F.); +#8594=ORIENTED_EDGE('',*,*,#8593,.F.); +#8596=ORIENTED_EDGE('',*,*,#8595,.T.); +#8597=EDGE_LOOP('',(#8588,#8589,#8590,#8592,#8594,#8596)); +#8598=FACE_OUTER_BOUND('',#8597,.F.); +#8599=ADVANCED_FACE('',(#8598),#8587,.F.); +#8600=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,7.992125984252E-2)); +#8601=DIRECTION('',(1.E0,0.E0,0.E0)); +#8602=DIRECTION('',(0.E0,0.E0,1.E0)); +#8603=AXIS2_PLACEMENT_3D('',#8600,#8601,#8602); +#8604=PLANE('',#8603); +#8605=ORIENTED_EDGE('',*,*,#8578,.F.); +#8607=ORIENTED_EDGE('',*,*,#8606,.F.); +#8609=ORIENTED_EDGE('',*,*,#8608,.T.); +#8610=ORIENTED_EDGE('',*,*,#8591,.T.); +#8611=ORIENTED_EDGE('',*,*,#8510,.T.); +#8612=ORIENTED_EDGE('',*,*,#8556,.F.); +#8613=EDGE_LOOP('',(#8605,#8607,#8609,#8610,#8611,#8612)); +#8614=FACE_OUTER_BOUND('',#8613,.F.); +#8615=ADVANCED_FACE('',(#8614),#8604,.F.); +#8616=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,1.200787401575E-1)); +#8617=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8618=DIRECTION('',(1.E0,0.E0,0.E0)); +#8619=AXIS2_PLACEMENT_3D('',#8616,#8617,#8618); +#8620=PLANE('',#8619); +#8622=ORIENTED_EDGE('',*,*,#8621,.F.); +#8623=ORIENTED_EDGE('',*,*,#8606,.T.); +#8624=ORIENTED_EDGE('',*,*,#8576,.T.); +#8626=ORIENTED_EDGE('',*,*,#8625,.F.); +#8627=EDGE_LOOP('',(#8622,#8623,#8624,#8626)); +#8628=FACE_OUTER_BOUND('',#8627,.F.); +#8629=ADVANCED_FACE('',(#8628),#8620,.F.); +#8630=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#8631=DIRECTION('',(0.E0,1.E0,0.E0)); +#8632=DIRECTION('',(1.E0,0.E0,0.E0)); +#8633=AXIS2_PLACEMENT_3D('',#8630,#8631,#8632); +#8634=PLANE('',#8633); +#8635=ORIENTED_EDGE('',*,*,#8621,.T.); +#8637=ORIENTED_EDGE('',*,*,#8636,.T.); +#8638=ORIENTED_EDGE('',*,*,#8593,.T.); +#8639=ORIENTED_EDGE('',*,*,#8608,.F.); +#8640=EDGE_LOOP('',(#8635,#8637,#8638,#8639)); +#8641=FACE_OUTER_BOUND('',#8640,.F.); +#8642=ADVANCED_FACE('',(#8641),#8634,.F.); +#8643=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,1.200787401575E-1)); +#8644=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8645=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8646=AXIS2_PLACEMENT_3D('',#8643,#8644,#8645); +#8647=PLANE('',#8646); +#8648=ORIENTED_EDGE('',*,*,#8636,.F.); +#8649=ORIENTED_EDGE('',*,*,#8625,.T.); +#8650=ORIENTED_EDGE('',*,*,#8574,.T.); +#8651=ORIENTED_EDGE('',*,*,#8595,.F.); +#8652=EDGE_LOOP('',(#8648,#8649,#8650,#8651)); +#8653=FACE_OUTER_BOUND('',#8652,.F.); +#8654=ADVANCED_FACE('',(#8653),#8647,.F.); +#8655=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,-7.992125984252E-2)); +#8656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8657=DIRECTION('',(1.E0,0.E0,0.E0)); +#8658=AXIS2_PLACEMENT_3D('',#8655,#8656,#8657); +#8659=PLANE('',#8658); +#8661=ORIENTED_EDGE('',*,*,#8660,.F.); +#8663=ORIENTED_EDGE('',*,*,#8662,.F.); +#8665=ORIENTED_EDGE('',*,*,#8664,.T.); +#8667=ORIENTED_EDGE('',*,*,#8666,.T.); +#8668=ORIENTED_EDGE('',*,*,#8515,.T.); +#8669=ORIENTED_EDGE('',*,*,#8395,.F.); +#8670=EDGE_LOOP('',(#8661,#8663,#8665,#8667,#8668,#8669)); +#8671=FACE_OUTER_BOUND('',#8670,.F.); +#8672=ADVANCED_FACE('',(#8671),#8659,.F.); +#8673=CARTESIAN_POINT('',(0.E0,1.551181102362E-1,0.E0)); +#8674=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8675=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8676=AXIS2_PLACEMENT_3D('',#8673,#8674,#8675); +#8677=PLANE('',#8676); +#8678=ORIENTED_EDGE('',*,*,#8393,.T.); +#8679=ORIENTED_EDGE('',*,*,#8424,.T.); +#8681=ORIENTED_EDGE('',*,*,#8680,.T.); +#8683=ORIENTED_EDGE('',*,*,#8682,.F.); +#8685=ORIENTED_EDGE('',*,*,#8684,.F.); +#8686=ORIENTED_EDGE('',*,*,#8660,.T.); +#8687=EDGE_LOOP('',(#8678,#8679,#8681,#8683,#8685,#8686)); +#8688=FACE_OUTER_BOUND('',#8687,.F.); +#8689=ADVANCED_FACE('',(#8688),#8677,.T.); +#8690=CARTESIAN_POINT('',(7.992125984252E-2,0.E0,-1.574803149606E-1)); +#8691=DIRECTION('',(1.E0,0.E0,0.E0)); +#8692=DIRECTION('',(0.E0,0.E0,1.E0)); +#8693=AXIS2_PLACEMENT_3D('',#8690,#8691,#8692); +#8694=PLANE('',#8693); +#8695=ORIENTED_EDGE('',*,*,#8680,.F.); +#8696=ORIENTED_EDGE('',*,*,#8422,.T.); +#8697=ORIENTED_EDGE('',*,*,#8517,.T.); +#8698=ORIENTED_EDGE('',*,*,#8666,.F.); +#8700=ORIENTED_EDGE('',*,*,#8699,.F.); +#8702=ORIENTED_EDGE('',*,*,#8701,.T.); +#8703=EDGE_LOOP('',(#8695,#8696,#8697,#8698,#8700,#8702)); +#8704=FACE_OUTER_BOUND('',#8703,.F.); +#8705=ADVANCED_FACE('',(#8704),#8694,.F.); +#8706=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#8707=DIRECTION('',(0.E0,1.E0,0.E0)); +#8708=DIRECTION('',(1.E0,0.E0,0.E0)); +#8709=AXIS2_PLACEMENT_3D('',#8706,#8707,#8708); +#8710=PLANE('',#8709); +#8712=ORIENTED_EDGE('',*,*,#8711,.T.); +#8714=ORIENTED_EDGE('',*,*,#8713,.T.); +#8715=ORIENTED_EDGE('',*,*,#8699,.T.); +#8716=ORIENTED_EDGE('',*,*,#8664,.F.); +#8717=EDGE_LOOP('',(#8712,#8714,#8715,#8716)); +#8718=FACE_OUTER_BOUND('',#8717,.F.); +#8719=ADVANCED_FACE('',(#8718),#8710,.F.); +#8720=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,-7.992125984252E-2)); +#8721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8722=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8723=AXIS2_PLACEMENT_3D('',#8720,#8721,#8722); +#8724=PLANE('',#8723); +#8725=ORIENTED_EDGE('',*,*,#8711,.F.); +#8726=ORIENTED_EDGE('',*,*,#8662,.T.); +#8727=ORIENTED_EDGE('',*,*,#8684,.T.); +#8729=ORIENTED_EDGE('',*,*,#8728,.F.); +#8730=EDGE_LOOP('',(#8725,#8726,#8727,#8729)); +#8731=FACE_OUTER_BOUND('',#8730,.F.); +#8732=ADVANCED_FACE('',(#8731),#8724,.F.); +#8733=CARTESIAN_POINT('',(1.200787401575E-1,0.E0,-1.200787401575E-1)); +#8734=DIRECTION('',(0.E0,0.E0,1.E0)); +#8735=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8736=AXIS2_PLACEMENT_3D('',#8733,#8734,#8735); +#8737=PLANE('',#8736); +#8738=ORIENTED_EDGE('',*,*,#8713,.F.); +#8739=ORIENTED_EDGE('',*,*,#8728,.T.); +#8740=ORIENTED_EDGE('',*,*,#8682,.T.); +#8741=ORIENTED_EDGE('',*,*,#8701,.F.); +#8742=EDGE_LOOP('',(#8738,#8739,#8740,#8741)); +#8743=FACE_OUTER_BOUND('',#8742,.F.); +#8744=ADVANCED_FACE('',(#8743),#8737,.F.); +#8745=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,-7.992125984252E-2)); +#8746=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8747=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8748=AXIS2_PLACEMENT_3D('',#8745,#8746,#8747); +#8749=PLANE('',#8748); +#8750=ORIENTED_EDGE('',*,*,#8475,.F.); +#8752=ORIENTED_EDGE('',*,*,#8751,.F.); +#8754=ORIENTED_EDGE('',*,*,#8753,.T.); +#8755=ORIENTED_EDGE('',*,*,#8490,.T.); +#8756=ORIENTED_EDGE('',*,*,#8520,.T.); +#8757=ORIENTED_EDGE('',*,*,#8418,.F.); +#8758=EDGE_LOOP('',(#8750,#8752,#8754,#8755,#8756,#8757)); +#8759=FACE_OUTER_BOUND('',#8758,.F.); +#8760=ADVANCED_FACE('',(#8759),#8749,.F.); +#8761=CARTESIAN_POINT('',(-7.992125984252E-2,0.E0,-1.200787401575E-1)); +#8762=DIRECTION('',(0.E0,0.E0,1.E0)); +#8763=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8764=AXIS2_PLACEMENT_3D('',#8761,#8762,#8763); +#8765=PLANE('',#8764); +#8767=ORIENTED_EDGE('',*,*,#8766,.F.); +#8768=ORIENTED_EDGE('',*,*,#8751,.T.); +#8769=ORIENTED_EDGE('',*,*,#8473,.T.); +#8771=ORIENTED_EDGE('',*,*,#8770,.F.); +#8772=EDGE_LOOP('',(#8767,#8768,#8769,#8771)); +#8773=FACE_OUTER_BOUND('',#8772,.F.); +#8774=ADVANCED_FACE('',(#8773),#8765,.F.); +#8775=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#8776=DIRECTION('',(0.E0,1.E0,0.E0)); +#8777=DIRECTION('',(1.E0,0.E0,0.E0)); +#8778=AXIS2_PLACEMENT_3D('',#8775,#8776,#8777); +#8779=PLANE('',#8778); +#8780=ORIENTED_EDGE('',*,*,#8766,.T.); +#8782=ORIENTED_EDGE('',*,*,#8781,.T.); +#8783=ORIENTED_EDGE('',*,*,#8492,.T.); +#8784=ORIENTED_EDGE('',*,*,#8753,.F.); +#8785=EDGE_LOOP('',(#8780,#8782,#8783,#8784)); +#8786=FACE_OUTER_BOUND('',#8785,.F.); +#8787=ADVANCED_FACE('',(#8786),#8779,.F.); +#8788=CARTESIAN_POINT('',(-1.200787401575E-1,0.E0,-1.200787401575E-1)); +#8789=DIRECTION('',(1.E0,0.E0,0.E0)); +#8790=DIRECTION('',(0.E0,0.E0,1.E0)); +#8791=AXIS2_PLACEMENT_3D('',#8788,#8789,#8790); +#8792=PLANE('',#8791); +#8793=ORIENTED_EDGE('',*,*,#8781,.F.); +#8794=ORIENTED_EDGE('',*,*,#8770,.T.); +#8795=ORIENTED_EDGE('',*,*,#8471,.T.); +#8796=ORIENTED_EDGE('',*,*,#8494,.F.); +#8797=EDGE_LOOP('',(#8793,#8794,#8795,#8796)); +#8798=FACE_OUTER_BOUND('',#8797,.F.); +#8799=ADVANCED_FACE('',(#8798),#8792,.F.); +#8800=CARTESIAN_POINT('',(0.E0,1.787400362150E-1,0.E0)); +#8801=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8802=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8803=AXIS2_PLACEMENT_3D('',#8800,#8801,#8802); +#8804=CONICAL_SURFACE('',#8803,8.464548245173E-2,4.499989572344E1); +#8806=ORIENTED_EDGE('',*,*,#8805,.T.); +#8808=ORIENTED_EDGE('',*,*,#8807,.F.); +#8809=ORIENTED_EDGE('',*,*,#8525,.T.); +#8811=ORIENTED_EDGE('',*,*,#8810,.T.); +#8812=EDGE_LOOP('',(#8806,#8808,#8809,#8811)); +#8813=FACE_OUTER_BOUND('',#8812,.F.); +#8814=ADVANCED_FACE('',(#8813),#8804,.F.); +#8815=CARTESIAN_POINT('',(0.E0,1.826770840192E-1,0.E0)); +#8816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8817=DIRECTION('',(0.E0,0.E0,1.E0)); +#8818=AXIS2_PLACEMENT_3D('',#8815,#8816,#8817); +#8819=PLANE('',#8818); +#8820=ORIENTED_EDGE('',*,*,#8805,.F.); +#8822=ORIENTED_EDGE('',*,*,#8821,.F.); +#8823=EDGE_LOOP('',(#8820,#8822)); +#8824=FACE_OUTER_BOUND('',#8823,.F.); +#8826=ORIENTED_EDGE('',*,*,#8825,.F.); +#8828=ORIENTED_EDGE('',*,*,#8827,.F.); +#8829=EDGE_LOOP('',(#8826,#8828)); +#8830=FACE_BOUND('',#8829,.F.); +#8831=ADVANCED_FACE('',(#8824,#8830),#8819,.T.); +#8832=CARTESIAN_POINT('',(0.E0,1.787400362150E-1,0.E0)); +#8833=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8834=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8835=AXIS2_PLACEMENT_3D('',#8832,#8833,#8834); +#8836=CONICAL_SURFACE('',#8835,8.464548245173E-2,4.499989572344E1); +#8837=ORIENTED_EDGE('',*,*,#8821,.T.); +#8838=ORIENTED_EDGE('',*,*,#8810,.F.); +#8839=ORIENTED_EDGE('',*,*,#8527,.T.); +#8840=ORIENTED_EDGE('',*,*,#8807,.T.); +#8841=EDGE_LOOP('',(#8837,#8838,#8839,#8840)); +#8842=FACE_OUTER_BOUND('',#8841,.F.); +#8843=ADVANCED_FACE('',(#8842),#8836,.F.); +#8844=CARTESIAN_POINT('',(0.E0,-2.145669291339E-2,0.E0)); +#8845=DIRECTION('',(0.E0,1.E0,0.E0)); +#8846=DIRECTION('',(0.E0,0.E0,1.E0)); +#8847=AXIS2_PLACEMENT_3D('',#8844,#8845,#8846); +#8848=CYLINDRICAL_SURFACE('',#8847,2.5E-2); +#8849=ORIENTED_EDGE('',*,*,#8825,.T.); +#8851=ORIENTED_EDGE('',*,*,#8850,.T.); +#8853=ORIENTED_EDGE('',*,*,#8852,.T.); +#8855=ORIENTED_EDGE('',*,*,#8854,.F.); +#8856=EDGE_LOOP('',(#8849,#8851,#8853,#8855)); +#8857=FACE_OUTER_BOUND('',#8856,.F.); +#8858=ADVANCED_FACE('',(#8857),#8848,.T.); +#8859=CARTESIAN_POINT('',(0.E0,-2.145669291339E-2,0.E0)); +#8860=DIRECTION('',(0.E0,1.E0,0.E0)); +#8861=DIRECTION('',(0.E0,0.E0,1.E0)); +#8862=AXIS2_PLACEMENT_3D('',#8859,#8860,#8861); +#8863=CYLINDRICAL_SURFACE('',#8862,2.5E-2); +#8864=ORIENTED_EDGE('',*,*,#8827,.T.); +#8865=ORIENTED_EDGE('',*,*,#8854,.T.); +#8867=ORIENTED_EDGE('',*,*,#8866,.T.); +#8868=ORIENTED_EDGE('',*,*,#8850,.F.); +#8869=EDGE_LOOP('',(#8864,#8865,#8867,#8868)); +#8870=FACE_OUTER_BOUND('',#8869,.F.); +#8871=ADVANCED_FACE('',(#8870),#8863,.T.); +#8872=CARTESIAN_POINT('',(0.E0,3.937192064441E-3,0.E0)); +#8873=DIRECTION('',(0.E0,1.E0,0.E0)); +#8874=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8875=AXIS2_PLACEMENT_3D('',#8872,#8873,#8874); +#8876=CONICAL_SURFACE('',#8875,2.106287058853E-2,4.499958417847E1); +#8878=ORIENTED_EDGE('',*,*,#8877,.T.); +#8880=ORIENTED_EDGE('',*,*,#8879,.T.); +#8881=ORIENTED_EDGE('',*,*,#8866,.F.); +#8883=ORIENTED_EDGE('',*,*,#8882,.F.); +#8884=EDGE_LOOP('',(#8878,#8880,#8881,#8883)); +#8885=FACE_OUTER_BOUND('',#8884,.F.); +#8886=ADVANCED_FACE('',(#8885),#8876,.T.); +#8887=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#8888=DIRECTION('',(0.E0,1.E0,0.E0)); +#8889=DIRECTION('',(0.E0,0.E0,1.E0)); +#8890=AXIS2_PLACEMENT_3D('',#8887,#8888,#8889); +#8891=PLANE('',#8890); +#8892=ORIENTED_EDGE('',*,*,#8877,.F.); +#8894=ORIENTED_EDGE('',*,*,#8893,.F.); +#8895=EDGE_LOOP('',(#8892,#8894)); +#8896=FACE_OUTER_BOUND('',#8895,.F.); +#8897=ADVANCED_FACE('',(#8896),#8891,.F.); +#8898=CARTESIAN_POINT('',(0.E0,3.937192064441E-3,0.E0)); +#8899=DIRECTION('',(0.E0,1.E0,0.E0)); +#8900=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8901=AXIS2_PLACEMENT_3D('',#8898,#8899,#8900); +#8902=CONICAL_SURFACE('',#8901,2.106287058853E-2,4.499958417847E1); +#8903=ORIENTED_EDGE('',*,*,#8893,.T.); +#8904=ORIENTED_EDGE('',*,*,#8882,.T.); +#8905=ORIENTED_EDGE('',*,*,#8852,.F.); +#8906=ORIENTED_EDGE('',*,*,#8879,.F.); +#8907=EDGE_LOOP('',(#8903,#8904,#8905,#8906)); +#8908=FACE_OUTER_BOUND('',#8907,.F.); +#8909=ADVANCED_FACE('',(#8908),#8902,.T.); +#8910=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.624015748031E-1)); +#8911=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8913=AXIS2_PLACEMENT_3D('',#8910,#8911,#8912); +#8914=CONICAL_SURFACE('',#8913,1.131889763780E-1,4.5E1); +#8915=ORIENTED_EDGE('',*,*,#8432,.F.); +#8917=ORIENTED_EDGE('',*,*,#8916,.T.); +#8919=ORIENTED_EDGE('',*,*,#8918,.F.); +#8921=ORIENTED_EDGE('',*,*,#8920,.F.); +#8922=EDGE_LOOP('',(#8915,#8917,#8919,#8921)); +#8923=FACE_OUTER_BOUND('',#8922,.F.); +#8924=ADVANCED_FACE('',(#8923),#8914,.T.); +#8925=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.624015748031E-1)); +#8926=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8927=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8928=AXIS2_PLACEMENT_3D('',#8925,#8926,#8927); +#8929=CONICAL_SURFACE('',#8928,1.131889763780E-1,4.5E1); +#8930=ORIENTED_EDGE('',*,*,#8434,.F.); +#8931=ORIENTED_EDGE('',*,*,#8920,.T.); +#8933=ORIENTED_EDGE('',*,*,#8932,.F.); +#8934=ORIENTED_EDGE('',*,*,#8916,.F.); +#8935=EDGE_LOOP('',(#8930,#8931,#8933,#8934)); +#8936=FACE_OUTER_BOUND('',#8935,.F.); +#8937=ADVANCED_FACE('',(#8936),#8929,.T.); +#8938=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#8939=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8940=DIRECTION('',(0.E0,1.E0,0.E0)); +#8941=AXIS2_PLACEMENT_3D('',#8938,#8939,#8940); +#8942=CYLINDRICAL_SURFACE('',#8941,1.181102362205E-1); +#8943=ORIENTED_EDGE('',*,*,#8932,.T.); +#8945=ORIENTED_EDGE('',*,*,#8944,.F.); +#8947=ORIENTED_EDGE('',*,*,#8946,.F.); +#8949=ORIENTED_EDGE('',*,*,#8948,.T.); +#8950=EDGE_LOOP('',(#8943,#8945,#8947,#8949)); +#8951=FACE_OUTER_BOUND('',#8950,.F.); +#8952=ADVANCED_FACE('',(#8951),#8942,.T.); +#8953=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#8954=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8955=DIRECTION('',(0.E0,1.E0,0.E0)); +#8956=AXIS2_PLACEMENT_3D('',#8953,#8954,#8955); +#8957=CYLINDRICAL_SURFACE('',#8956,1.181102362205E-1); +#8958=ORIENTED_EDGE('',*,*,#8918,.T.); +#8959=ORIENTED_EDGE('',*,*,#8948,.F.); +#8961=ORIENTED_EDGE('',*,*,#8960,.T.); +#8962=ORIENTED_EDGE('',*,*,#8944,.T.); +#8963=EDGE_LOOP('',(#8958,#8959,#8961,#8962)); +#8964=FACE_OUTER_BOUND('',#8963,.F.); +#8965=ADVANCED_FACE('',(#8964),#8957,.T.); +#8966=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.811023622047E-1)); +#8967=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8968=DIRECTION('',(0.E0,1.E0,0.E0)); +#8969=AXIS2_PLACEMENT_3D('',#8966,#8967,#8968); +#8970=PLANE('',#8969); +#8972=ORIENTED_EDGE('',*,*,#8971,.F.); +#8974=ORIENTED_EDGE('',*,*,#8973,.F.); +#8975=EDGE_LOOP('',(#8972,#8974)); +#8976=FACE_OUTER_BOUND('',#8975,.F.); +#8977=ORIENTED_EDGE('',*,*,#8960,.F.); +#8978=ORIENTED_EDGE('',*,*,#8946,.T.); +#8979=EDGE_LOOP('',(#8977,#8978)); +#8980=FACE_BOUND('',#8979,.F.); +#8981=ADVANCED_FACE('',(#8976,#8980),#8970,.F.); +#8982=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.830708661385E-1)); +#8983=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8984=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8985=AXIS2_PLACEMENT_3D('',#8982,#8983,#8984); +#8986=CONICAL_SURFACE('',#8985,1.555118110204E-1,4.5E1); +#8987=ORIENTED_EDGE('',*,*,#8971,.T.); +#8989=ORIENTED_EDGE('',*,*,#8988,.T.); +#8991=ORIENTED_EDGE('',*,*,#8990,.F.); +#8993=ORIENTED_EDGE('',*,*,#8992,.F.); +#8994=EDGE_LOOP('',(#8987,#8989,#8991,#8993)); +#8995=FACE_OUTER_BOUND('',#8994,.F.); +#8996=ADVANCED_FACE('',(#8995),#8986,.T.); +#8997=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.830708661385E-1)); +#8998=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8999=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9000=AXIS2_PLACEMENT_3D('',#8997,#8998,#8999); +#9001=CONICAL_SURFACE('',#9000,1.555118110204E-1,4.5E1); +#9002=ORIENTED_EDGE('',*,*,#8973,.T.); +#9003=ORIENTED_EDGE('',*,*,#8992,.T.); +#9005=ORIENTED_EDGE('',*,*,#9004,.F.); +#9006=ORIENTED_EDGE('',*,*,#8988,.F.); +#9007=EDGE_LOOP('',(#9002,#9003,#9005,#9006)); +#9008=FACE_OUTER_BOUND('',#9007,.F.); +#9009=ADVANCED_FACE('',(#9008),#9001,.T.); +#9010=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#9011=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9012=DIRECTION('',(0.E0,1.E0,0.E0)); +#9013=AXIS2_PLACEMENT_3D('',#9010,#9011,#9012); +#9014=CYLINDRICAL_SURFACE('',#9013,1.574803149606E-1); +#9015=ORIENTED_EDGE('',*,*,#9004,.T.); +#9017=ORIENTED_EDGE('',*,*,#9016,.F.); +#9019=ORIENTED_EDGE('',*,*,#9018,.F.); +#9021=ORIENTED_EDGE('',*,*,#9020,.T.); +#9023=ORIENTED_EDGE('',*,*,#9022,.F.); +#9025=ORIENTED_EDGE('',*,*,#9024,.T.); +#9026=EDGE_LOOP('',(#9015,#9017,#9019,#9021,#9023,#9025)); +#9027=FACE_OUTER_BOUND('',#9026,.F.); +#9028=ADVANCED_FACE('',(#9027),#9014,.T.); +#9029=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#9030=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9031=DIRECTION('',(0.E0,1.E0,0.E0)); +#9032=AXIS2_PLACEMENT_3D('',#9029,#9030,#9031); +#9033=CYLINDRICAL_SURFACE('',#9032,1.574803149606E-1); +#9034=ORIENTED_EDGE('',*,*,#8990,.T.); +#9035=ORIENTED_EDGE('',*,*,#9024,.F.); +#9037=ORIENTED_EDGE('',*,*,#9036,.F.); +#9038=ORIENTED_EDGE('',*,*,#9016,.T.); +#9039=EDGE_LOOP('',(#9034,#9035,#9037,#9038)); +#9040=FACE_OUTER_BOUND('',#9039,.F.); +#9041=ADVANCED_FACE('',(#9040),#9033,.T.); +#9042=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.988188976378E-1)); +#9043=DIRECTION('',(0.E0,0.E0,1.E0)); +#9044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9045=AXIS2_PLACEMENT_3D('',#9042,#9043,#9044); +#9046=CONICAL_SURFACE('',#9045,1.555118110236E-1,4.5E1); +#9048=ORIENTED_EDGE('',*,*,#9047,.T.); +#9050=ORIENTED_EDGE('',*,*,#9049,.T.); +#9051=ORIENTED_EDGE('',*,*,#9018,.T.); +#9052=ORIENTED_EDGE('',*,*,#9036,.T.); +#9053=ORIENTED_EDGE('',*,*,#9022,.T.); +#9055=ORIENTED_EDGE('',*,*,#9054,.T.); +#9056=EDGE_LOOP('',(#9048,#9050,#9051,#9052,#9053,#9055)); +#9057=FACE_OUTER_BOUND('',#9056,.F.); +#9058=ADVANCED_FACE('',(#9057),#9046,.T.); +#9059=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-2.007874015748E-1)); +#9060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9061=DIRECTION('',(0.E0,1.E0,0.E0)); +#9062=AXIS2_PLACEMENT_3D('',#9059,#9060,#9061); +#9063=PLANE('',#9062); +#9064=ORIENTED_EDGE('',*,*,#9047,.F.); +#9066=ORIENTED_EDGE('',*,*,#9065,.F.); +#9068=ORIENTED_EDGE('',*,*,#9067,.F.); +#9070=ORIENTED_EDGE('',*,*,#9069,.F.); +#9071=EDGE_LOOP('',(#9064,#9066,#9068,#9070)); +#9072=FACE_OUTER_BOUND('',#9071,.F.); +#9073=ADVANCED_FACE('',(#9072),#9063,.T.); +#9074=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#9075=DIRECTION('',(1.E0,0.E0,0.E0)); +#9076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9077=AXIS2_PLACEMENT_3D('',#9074,#9075,#9076); +#9078=PLANE('',#9077); +#9079=ORIENTED_EDGE('',*,*,#9054,.F.); +#9081=ORIENTED_EDGE('',*,*,#9080,.T.); +#9082=ORIENTED_EDGE('',*,*,#9049,.F.); +#9083=ORIENTED_EDGE('',*,*,#9069,.T.); +#9085=ORIENTED_EDGE('',*,*,#9084,.T.); +#9087=ORIENTED_EDGE('',*,*,#9086,.T.); +#9089=ORIENTED_EDGE('',*,*,#9088,.F.); +#9090=ORIENTED_EDGE('',*,*,#9065,.T.); +#9091=EDGE_LOOP('',(#9079,#9081,#9082,#9083,#9085,#9087,#9089,#9090)); +#9092=FACE_OUTER_BOUND('',#9091,.F.); +#9093=ADVANCED_FACE('',(#9092),#9078,.F.); +#9094=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-1.968503937008E-1)); +#9095=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9096=DIRECTION('',(1.E0,0.E0,0.E0)); +#9097=AXIS2_PLACEMENT_3D('',#9094,#9095,#9096); +#9098=PLANE('',#9097); +#9099=ORIENTED_EDGE('',*,*,#9080,.F.); +#9100=ORIENTED_EDGE('',*,*,#9020,.F.); +#9101=EDGE_LOOP('',(#9099,#9100)); +#9102=FACE_OUTER_BOUND('',#9101,.F.); +#9103=ADVANCED_FACE('',(#9102),#9098,.T.); +#9104=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#9105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9106=DIRECTION('',(0.E0,1.E0,0.E0)); +#9107=AXIS2_PLACEMENT_3D('',#9104,#9105,#9106); +#9108=CYLINDRICAL_SURFACE('',#9107,1.218503937008E-1); +#9109=ORIENTED_EDGE('',*,*,#9067,.T.); +#9110=ORIENTED_EDGE('',*,*,#9088,.T.); +#9112=ORIENTED_EDGE('',*,*,#9111,.T.); +#9114=ORIENTED_EDGE('',*,*,#9113,.F.); +#9116=ORIENTED_EDGE('',*,*,#9115,.T.); +#9118=ORIENTED_EDGE('',*,*,#9117,.F.); +#9120=ORIENTED_EDGE('',*,*,#9119,.F.); +#9122=ORIENTED_EDGE('',*,*,#9121,.F.); +#9124=ORIENTED_EDGE('',*,*,#9123,.F.); +#9126=ORIENTED_EDGE('',*,*,#9125,.F.); +#9128=ORIENTED_EDGE('',*,*,#9127,.T.); +#9129=ORIENTED_EDGE('',*,*,#9084,.F.); +#9130=EDGE_LOOP('',(#9109,#9110,#9112,#9114,#9116,#9118,#9120,#9122,#9124,#9126, +#9128,#9129)); +#9131=FACE_OUTER_BOUND('',#9130,.F.); +#9132=ADVANCED_FACE('',(#9131),#9108,.T.); +#9133=CARTESIAN_POINT('',(-2.846113833271E-2,5.088641941238E-1, +-3.465481334558E-1)); +#9134=CARTESIAN_POINT('',(-4.047556630035E-2,5.055682210753E-1, +-3.460039077340E-1)); +#9135=CARTESIAN_POINT('',(-6.334436049544E-2,4.947610303706E-1, +-3.449154562905E-1)); +#9136=CARTESIAN_POINT('',(-9.008120790768E-2,4.678380471090E-1, +-3.432827791251E-1)); +#9137=CARTESIAN_POINT('',(-1.060535041724E-1,4.334208382185E-1, +-3.416501019598E-1)); +#9138=CARTESIAN_POINT('',(-1.093536296227E-1,3.956216750905E-1, +-3.400174247944E-1)); +#9139=CARTESIAN_POINT('',(-9.958700622314E-2,3.589572579727E-1, +-3.383847476291E-1)); +#9140=CARTESIAN_POINT('',(-7.792071631874E-2,3.278086107311E-1, +-3.367520704637E-1)); +#9141=CARTESIAN_POINT('',(-4.694365360515E-2,3.058976991251E-1, +-3.351193932984E-1)); +#9142=CARTESIAN_POINT('',(-1.035728104432E-2,2.958426630716E-1, +-3.334867161330E-1)); +#9143=CARTESIAN_POINT('',(2.746668631247E-2,2.988449821042E-1, +-3.318540389676E-1)); +#9144=CARTESIAN_POINT('',(6.200865124941E-2,3.145459081543E-1, +-3.302213618023E-1)); +#9145=CARTESIAN_POINT('',(8.914118440507E-2,3.410693330193E-1, +-3.285886846369E-1)); +#9146=CARTESIAN_POINT('',(1.056222114649E-1,3.752459649296E-1, +-3.269560074716E-1)); +#9147=CARTESIAN_POINT('',(1.094824097111E-1,4.129920281845E-1, +-3.253233303062E-1)); +#9148=CARTESIAN_POINT('',(1.002605229292E-1,4.497972346900E-1, +-3.236906531409E-1)); +#9149=CARTESIAN_POINT('',(7.905847705737E-2,4.812637195999E-1, +-3.220579759755E-1)); +#9150=CARTESIAN_POINT('',(4.840971073279E-2,5.036315434662E-1, +-3.204252988102E-1)); +#9151=CARTESIAN_POINT('',(1.197645397136E-2,5.142279684996E-1, +-3.187926216448E-1)); +#9152=CARTESIAN_POINT('',(-2.588787276376E-2,5.117868247863E-1, +-3.171599444795E-1)); +#9153=CARTESIAN_POINT('',(-6.065885004140E-2,4.965998052995E-1, +-3.155272673141E-1)); +#9154=CARTESIAN_POINT('',(-8.818168365810E-2,4.704816114216E-1, +-3.138945901488E-1)); +#9155=CARTESIAN_POINT('',(-1.051676622078E-1,4.365531137472E-1, +-3.122619129834E-1)); +#9156=CARTESIAN_POINT('',(-1.095871261657E-1,3.988684383465E-1, +-3.106292358180E-1)); +#9157=CARTESIAN_POINT('',(-1.009119924961E-1,3.619305380603E-1, +-3.089965586527E-1)); +#9158=CARTESIAN_POINT('',(-8.017885544827E-2,3.301531333537E-1, +-3.073638814873E-1)); +#9159=CARTESIAN_POINT('',(-4.986512360736E-2,3.073333155715E-1, +-3.057312043220E-1)); +#9160=CARTESIAN_POINT('',(-1.359299362124E-2,2.961978313879E-1, +-3.040985271566E-1)); +#9161=CARTESIAN_POINT('',(2.430336713221E-2,2.980772630515E-1, +-3.024658499913E-1)); +#9162=CARTESIAN_POINT('',(5.929571146764E-2,3.127470367254E-1, +-3.008331728259E-1)); +#9163=CARTESIAN_POINT('',(8.720279396739E-2,3.384542568802E-1, +-2.992004956606E-1)); +#9164=CARTESIAN_POINT('',(1.046899892144E-1,3.721271602912E-1, +-2.975678184952E-1)); +#9165=CARTESIAN_POINT('',(1.096677471548E-1,4.097421619212E-1, +-2.959351413298E-1)); +#9166=CARTESIAN_POINT('',(1.015412740422E-1,4.468046342683E-1, +-2.943024641645E-1)); +#9167=CARTESIAN_POINT('',(8.128160451605E-2,4.788859717159E-1, +-2.926697869991E-1)); +#9168=CARTESIAN_POINT('',(5.130957238955E-2,5.021527659072E-1, +-2.910371098338E-1)); +#9169=CARTESIAN_POINT('',(1.520654451210E-2,5.138248608269E-1, +-2.894044326684E-1)); +#9170=CARTESIAN_POINT('',(-2.271351779865E-2,5.125075544531E-1, +-2.877717555031E-1)); +#9171=CARTESIAN_POINT('',(-5.791953525135E-2,4.983582521079E-1, +-2.861390783377E-1)); +#9172=CARTESIAN_POINT('',(-8.620473056533E-2,4.730676580504E-1, +-2.845064011724E-1)); +#9173=CARTESIAN_POINT('',(-1.041892975132E-1,4.396577527313E-1, +-2.828737240070E-1)); +#9174=CARTESIAN_POINT('',(-1.097242549517E-1,4.021206954694E-1, +-2.812410468417E-1)); +#9175=CARTESIAN_POINT('',(-1.021482292041E-1,3.649418001710E-1, +-2.796083696763E-1)); +#9176=CARTESIAN_POINT('',(-8.236648179388E-2,3.325635838654E-1, +-2.779756925109E-1)); +#9177=CARTESIAN_POINT('',(-5.274273948135E-2,3.088549290505E-1, +-2.763430153456E-1)); +#9178=CARTESIAN_POINT('',(-1.681675186462E-2,2.966487897962E-1, +-2.747103381802E-1)); +#9179=CARTESIAN_POINT('',(2.111867433100E-2,2.974036812376E-1, +-2.730776610149E-1)); +#9180=CARTESIAN_POINT('',(5.653062397910E-2,3.110294011765E-1, +-2.714449838495E-1)); +#9181=CARTESIAN_POINT('',(8.518771290098E-2,3.358978083683E-1, +-2.698123066842E-1)); +#9182=CARTESIAN_POINT('',(1.036656971938E-1,3.690373695936E-1, +-2.681796295188E-1)); +#9183=CARTESIAN_POINT('',(1.097566371318E-1,4.064882290284E-1, +-2.665469523535E-1)); +#9184=CARTESIAN_POINT('',(1.027327245277E-1,4.437753725695E-1, +-2.649142751881E-1)); +#9185=CARTESIAN_POINT('',(8.343324874454E-2,4.764433485740E-1, +-2.632815980228E-1)); +#9186=CARTESIAN_POINT('',(5.416430976511E-2,5.005886510727E-1, +-2.616489208574E-1)); +#9187=CARTESIAN_POINT('',(1.842326163543E-2,5.133261508378E-1, +-2.600162436920E-1)); +#9188=CARTESIAN_POINT('',(-1.951918739826E-2,5.131338403086E-1, +-2.583835665267E-1)); +#9189=CARTESIAN_POINT('',(-5.512928302660E-2,5.000346987386E-1, +-2.567508893613E-1)); +#9190=CARTESIAN_POINT('',(-8.415196463204E-2,4.755939463236E-1, +-2.551182121960E-1)); +#9191=CARTESIAN_POINT('',(-1.031193032293E-1,4.427320158610E-1, +-2.534855350306E-1)); +#9192=CARTESIAN_POINT('',(-1.097648871469E-1,4.053755883531E-1, +-2.518528578653E-1)); +#9193=CARTESIAN_POINT('',(-1.032946293630E-1,3.679883965969E-1, +-2.502201806999E-1)); +#9194=CARTESIAN_POINT('',(-8.448167877716E-2,3.350378380968E-1, +-2.485875035346E-1)); +#9195=CARTESIAN_POINT('',(-5.557394094970E-2,3.104612180107E-1, +-2.469548263692E-1)); +#9196=CARTESIAN_POINT('',(-2.002583152304E-2,2.971950794632E-1, +-2.453221492039E-1)); +#9197=CARTESIAN_POINT('',(1.791582268252E-2,2.968250613623E-1, +-2.436894720385E-1)); +#9198=CARTESIAN_POINT('',(4.178145786932E-2,3.052041171560E-1, +-2.426010205949E-1)); +#9199=CARTESIAN_POINT('',(5.264606737250E-2,3.113008521895E-1, +-2.420567948732E-1)); +#9200=CARTESIAN_POINT('',(-2.976302326382E-2,5.136098041446E-1, +-3.437070234120E-1)); +#9201=CARTESIAN_POINT('',(-4.232702175616E-2,5.101630648971E-1, +-3.431627976902E-1)); +#9202=CARTESIAN_POINT('',(-6.624189282307E-2,4.988615257739E-1, +-3.420743462466E-1)); +#9203=CARTESIAN_POINT('',(-9.420175170957E-2,4.707070167103E-1, +-3.404416690813E-1)); +#9204=CARTESIAN_POINT('',(-1.109046614719E-1,4.347154770569E-1, +-3.388089919159E-1)); +#9205=CARTESIAN_POINT('',(-1.143557430626E-1,3.951872839685E-1, +-3.371763147506E-1)); +#9206=CARTESIAN_POINT('',(-1.041423694423E-1,3.568457430619E-1, +-3.355436375852E-1)); +#9207=CARTESIAN_POINT('',(-8.148500827400E-2,3.242722773891E-1, +-3.339109604199E-1)); +#9208=CARTESIAN_POINT('',(-4.909097584242E-2,3.013591048997E-1, +-3.322782832545E-1)); +#9209=CARTESIAN_POINT('',(-1.083104944955E-2,2.908441258964E-1, +-3.306456060892E-1)); +#9210=CARTESIAN_POINT('',(2.872308247625E-2,2.939837786457E-1, +-3.290129289238E-1)); +#9211=CARTESIAN_POINT('',(6.484508483534E-2,3.104029050287E-1, +-3.273802517585E-1)); +#9212=CARTESIAN_POINT('',(9.321872913860E-2,3.381395788774E-1, +-3.257475745931E-1)); +#9213=CARTESIAN_POINT('',(1.104536403378E-1,3.738795369470E-1, +-3.241148974278E-1)); +#9214=CARTESIAN_POINT('',(1.144904138801E-1,4.133522012389E-1, +-3.224822202624E-1)); +#9215=CARTESIAN_POINT('',(1.048466945173E-1,4.518409715983E-1, +-3.208495430970E-1)); +#9216=CARTESIAN_POINT('',(8.267481308561E-2,4.847468136435E-1, +-3.192168659317E-1)); +#9217=CARTESIAN_POINT('',(5.062409415573E-2,5.081377987233E-1, +-3.175841887663E-1)); +#9218=CARTESIAN_POINT('',(1.252428746875E-2,5.192189312169E-1, +-3.159515116010E-1)); +#9219=CARTESIAN_POINT('',(-2.707204997610E-2,5.166661233748E-1, +-3.143188344356E-1)); +#9220=CARTESIAN_POINT('',(-6.343354028342E-2,5.007844109496E-1, +-3.126861572703E-1)); +#9221=CARTESIAN_POINT('',(-9.221533838454E-2,4.734715043852E-1, +-3.110534801049E-1)); +#9222=CARTESIAN_POINT('',(-1.099782988404E-1,4.379910308432E-1, +-3.094208029396E-1)); +#9223=CARTESIAN_POINT('',(-1.145999203320E-1,3.985825624421E-1, +-3.077881257742E-1)); +#9224=CARTESIAN_POINT('',(-1.055279639609E-1,3.599550285508E-1, +-3.061554486089E-1)); +#9225=CARTESIAN_POINT('',(-8.384644043664E-2,3.267240444457E-1, +-3.045227714435E-1)); +#9226=CARTESIAN_POINT('',(-5.214608132071E-2,3.028603900976E-1, +-3.028900942781E-1)); +#9227=CARTESIAN_POINT('',(-1.421477175799E-2,2.912155405157E-1, +-3.012574171128E-1)); +#9228=CARTESIAN_POINT('',(2.541506502275E-2,2.931809421688E-1, +-2.996247399474E-1)); +#9229=CARTESIAN_POINT('',(6.200804828070E-2,3.085217486408E-1, +-2.979920627821E-1)); +#9230=CARTESIAN_POINT('',(9.119167178708E-2,3.354048824976E-1, +-2.963593856167E-1)); +#9231=CARTESIAN_POINT('',(1.094787758682E-1,3.706180702438E-1, +-2.947267084514E-1)); +#9232=CARTESIAN_POINT('',(1.146842291303E-1,4.099536778184E-1, +-2.930940312860E-1)); +#9233=CARTESIAN_POINT('',(1.061860304471E-1,4.487114820140E-1, +-2.914613541207E-1)); +#9234=CARTESIAN_POINT('',(8.499963205443E-2,4.822603015175E-1, +-2.898286769553E-1)); +#9235=CARTESIAN_POINT('',(5.365660286783E-2,5.065913781138E-1, +-2.881959997900E-1)); +#9236=CARTESIAN_POINT('',(1.590213057477E-2,5.187973843729E-1, +-2.865633226246E-1)); +#9237=CARTESIAN_POINT('',(-2.375249193284E-2,5.174198210515E-1, +-2.849306454592E-1)); +#9238=CARTESIAN_POINT('',(-6.056892226046E-2,5.026232935921E-1, +-2.832979682939E-1)); +#9239=CARTESIAN_POINT('',(-9.014795442389E-2,4.761758433710E-1, +-2.816652911285E-1)); +#9240=CARTESIAN_POINT('',(-1.089551812538E-1,4.412376839190E-1, +-2.800326139632E-1)); +#9241=CARTESIAN_POINT('',(-1.147433217378E-1,4.019835860862E-1, +-2.783999367978E-1)); +#9242=CARTESIAN_POINT('',(-1.068207492834E-1,3.631040334572E-1, +-2.767672596325E-1)); +#9243=CARTESIAN_POINT('',(-8.613413438110E-2,3.292447551010E-1, +-2.751345824671E-1)); +#9244=CARTESIAN_POINT('',(-5.515532667134E-2,3.044516060512E-1, +-2.735019053018E-1)); +#9245=CARTESIAN_POINT('',(-1.758599291127E-2,2.916871269098E-1, +-2.718692281364E-1)); +#9246=CARTESIAN_POINT('',(2.208469626443E-2,2.924765490078E-1, +-2.702365509711E-1)); +#9247=CARTESIAN_POINT('',(5.911647865037E-2,3.067255440686E-1, +-2.686038738057E-1)); +#9248=CARTESIAN_POINT('',(8.908441578219E-2,3.327314955218E-1, +-2.669711966403E-1)); +#9249=CARTESIAN_POINT('',(1.084076301226E-1,3.673869446529E-1, +-2.653385194750E-1)); +#9250=CARTESIAN_POINT('',(1.147771851613E-1,4.065509017504E-1, +-2.637058423096E-1)); +#9251=CARTESIAN_POINT('',(1.074319809113E-1,4.455436541725E-1, +-2.620731651443E-1)); +#9252=CARTESIAN_POINT('',(8.724969796815E-2,4.797059465740E-1, +-2.604404879789E-1)); +#9253=CARTESIAN_POINT('',(5.664192319929E-2,5.049557166844E-1, +-2.588078108136E-1)); +#9254=CARTESIAN_POINT('',(1.926598852930E-2,5.182758621191E-1, +-2.571751336482E-1)); +#9255=CARTESIAN_POINT('',(-2.041204472696E-2,5.180747548166E-1, +-2.555424564829E-1)); +#9256=CARTESIAN_POINT('',(-5.765103679478E-2,5.043764251600E-1, +-2.539097793175E-1)); +#9257=CARTESIAN_POINT('',(-8.800128975033E-2,4.788176905020E-1, +-2.522771021522E-1)); +#9258=CARTESIAN_POINT('',(-1.078362427070E-1,4.444525716715E-1, +-2.506444249868E-1)); +#9259=CARTESIAN_POINT('',(-1.147858125530E-1,4.053873660577E-1, +-2.490117478214E-1)); +#9260=CARTESIAN_POINT('',(-1.080195887044E-1,3.662899889605E-1, +-2.473790706561E-1)); +#9261=CARTESIAN_POINT('',(-8.834608585986E-2,3.318321880206E-1, +-2.457463934907E-1)); +#9262=CARTESIAN_POINT('',(-5.811603450333E-2,3.061313707581E-1, +-2.441137163254E-1)); +#9263=CARTESIAN_POINT('',(-2.094186404387E-2,2.922584052571E-1, +-2.424810391600E-1)); +#9264=CARTESIAN_POINT('',(1.873533802678E-2,2.918714615862E-1, +-2.408483619947E-1)); +#9265=CARTESIAN_POINT('',(4.369264813036E-2,3.006337967255E-1, +-2.397599105511E-1)); +#9266=CARTESIAN_POINT('',(5.505423253417E-2,3.070094119420E-1, +-2.392156848293E-1)); +#9267=CARTESIAN_POINT('',(-3.106490819493E-2,5.183554141654E-1, +-3.408659133682E-1)); +#9268=CARTESIAN_POINT('',(-4.417847721197E-2,5.147579087190E-1, +-3.403216876464E-1)); +#9269=CARTESIAN_POINT('',(-6.913942515069E-2,5.029620211771E-1, +-3.392332362028E-1)); +#9270=CARTESIAN_POINT('',(-9.832229551146E-2,4.735759863116E-1, +-3.376005590375E-1)); +#9271=CARTESIAN_POINT('',(-1.157558187713E-1,4.360101158953E-1, +-3.359678818721E-1)); +#9272=CARTESIAN_POINT('',(-1.193578565025E-1,3.947528928464E-1, +-3.343352047068E-1)); +#9273=CARTESIAN_POINT('',(-1.086977326615E-1,3.547342281511E-1, +-3.327025275414E-1)); +#9274=CARTESIAN_POINT('',(-8.504930022931E-2,3.207359440471E-1, +-3.310698503761E-1)); +#9275=CARTESIAN_POINT('',(-5.123829807978E-2,2.968205106744E-1, +-3.294371732107E-1)); +#9276=CARTESIAN_POINT('',(-1.130481785490E-2,2.858455887213E-1, +-3.278044960453E-1)); +#9277=CARTESIAN_POINT('',(2.997947863987E-2,2.891225751871E-1, +-3.261718188800E-1)); +#9278=CARTESIAN_POINT('',(6.768151842109E-2,3.062599019031E-1, +-3.245391417146E-1)); +#9279=CARTESIAN_POINT('',(9.729627387198E-2,3.352098247353E-1, +-3.229064645493E-1)); +#9280=CARTESIAN_POINT('',(1.152850692106E-1,3.725131089641E-1, +-3.212737873839E-1)); +#9281=CARTESIAN_POINT('',(1.194984180491E-1,4.137123742930E-1, +-3.196411102186E-1)); +#9282=CARTESIAN_POINT('',(1.094328661056E-1,4.538847085062E-1, +-3.180084330532E-1)); +#9283=CARTESIAN_POINT('',(8.629114911416E-2,4.882299076868E-1, +-3.163757558879E-1)); +#9284=CARTESIAN_POINT('',(5.283847757913E-2,5.126440539802E-1, +-3.147430787225E-1)); +#9285=CARTESIAN_POINT('',(1.307212096672E-2,5.242098939341E-1, +-3.131104015572E-1)); +#9286=CARTESIAN_POINT('',(-2.825622718781E-2,5.215454219634E-1, +-3.114777243918E-1)); +#9287=CARTESIAN_POINT('',(-6.620823052485E-2,5.049690166E-1, +-3.098450472264E-1)); +#9288=CARTESIAN_POINT('',(-9.624899311051E-2,4.764613973494E-1, +-3.082123700611E-1)); +#9289=CARTESIAN_POINT('',(-1.147889354728E-1,4.394289479399E-1, +-3.065796928957E-1)); +#9290=CARTESIAN_POINT('',(-1.196127144983E-1,3.982966865387E-1, +-3.049470157304E-1)); +#9291=CARTESIAN_POINT('',(-1.101439354261E-1,3.579795190421E-1, +-3.033143385650E-1)); +#9292=CARTESIAN_POINT('',(-8.751402542571E-2,3.232949555384E-1, +-3.016816613997E-1)); +#9293=CARTESIAN_POINT('',(-5.442703903504E-2,2.983874646241E-1, +-3.000489842343E-1)); +#9294=CARTESIAN_POINT('',(-1.483654989589E-2,2.862332496437E-1, +-2.984163070690E-1)); +#9295=CARTESIAN_POINT('',(2.652676291210E-2,2.882846212859E-1, +-2.967836299036E-1)); +#9296=CARTESIAN_POINT('',(6.472038509268E-2,3.042964605554E-1, +-2.951509527383E-1)); +#9297=CARTESIAN_POINT('',(9.518054960596E-2,3.323555081139E-1, +-2.935182755729E-1)); +#9298=CARTESIAN_POINT('',(1.142675625215E-1,3.691089801952E-1, +-2.918855984075E-1)); +#9299=CARTESIAN_POINT('',(1.197007111060E-1,4.101651937143E-1, +-2.902529212422E-1)); +#9300=CARTESIAN_POINT('',(1.108307868525E-1,4.506183297584E-1, +-2.886202440768E-1)); +#9301=CARTESIAN_POINT('',(8.871765959383E-2,4.856346313179E-1, +-2.869875669115E-1)); +#9302=CARTESIAN_POINT('',(5.600363334751E-2,5.110299903195E-1, +-2.853548897461E-1)); +#9303=CARTESIAN_POINT('',(1.659771663904E-2,5.237699079187E-1, +-2.837222125808E-1)); +#9304=CARTESIAN_POINT('',(-2.479146606541E-2,5.223320876503E-1, +-2.820895354154E-1)); +#9305=CARTESIAN_POINT('',(-6.321830926815E-2,5.068883350772E-1, +-2.804568582501E-1)); +#9306=CARTESIAN_POINT('',(-9.409117828140E-2,4.792840286929E-1, +-2.788241810847E-1)); +#9307=CARTESIAN_POINT('',(-1.137210649937E-1,4.428176151082E-1, +-2.771915039193E-1)); +#9308=CARTESIAN_POINT('',(-1.197623885239E-1,4.018464767048E-1, +-2.755588267540E-1)); +#9309=CARTESIAN_POINT('',(-1.114932693634E-1,3.612662667451E-1, +-2.739261495886E-1)); +#9310=CARTESIAN_POINT('',(-8.990178696948E-2,3.259259263378E-1, +-2.722934724233E-1)); +#9311=CARTESIAN_POINT('',(-5.756791386286E-2,3.000482830526E-1, +-2.706607952579E-1)); +#9312=CARTESIAN_POINT('',(-1.835523395966E-2,2.867254640237E-1, +-2.690281180926E-1)); +#9313=CARTESIAN_POINT('',(2.305071819614E-2,2.875494167777E-1, +-2.673954409272E-1)); +#9314=CARTESIAN_POINT('',(6.170233332018E-2,3.024216869599E-1, +-2.657627637619E-1)); +#9315=CARTESIAN_POINT('',(9.298111866234E-2,3.295651826741E-1, +-2.641300865965E-1)); +#9316=CARTESIAN_POINT('',(1.131495630508E-1,3.657365197107E-1, +-2.624974094312E-1)); +#9317=CARTESIAN_POINT('',(1.197977331907E-1,4.066135744709E-1, +-2.608647322658E-1)); +#9318=CARTESIAN_POINT('',(1.121312372954E-1,4.473119357739E-1, +-2.592320551004E-1)); +#9319=CARTESIAN_POINT('',(9.106614719273E-2,4.829685445728E-1, +-2.575993779351E-1)); +#9320=CARTESIAN_POINT('',(5.911953663471E-2,5.093227822954E-1, +-2.559667007697E-1)); +#9321=CARTESIAN_POINT('',(2.010871542448E-2,5.232255734002E-1, +-2.543340236044E-1)); +#9322=CARTESIAN_POINT('',(-2.130490205443E-2,5.230156693249E-1, +-2.527013464390E-1)); +#9323=CARTESIAN_POINT('',(-6.017279056197E-2,5.087181515820E-1, +-2.510686692737E-1)); +#9324=CARTESIAN_POINT('',(-9.185061486796E-2,4.820414346811E-1, +-2.494359921083E-1)); +#9325=CARTESIAN_POINT('',(-1.125531821844E-1,4.461731274829E-1, +-2.478033149430E-1)); +#9326=CARTESIAN_POINT('',(-1.198067379592E-1,4.053991437630E-1, +-2.461706377776E-1)); +#9327=CARTESIAN_POINT('',(-1.127445480461E-1,3.645915813247E-1, +-2.445379606123E-1)); +#9328=CARTESIAN_POINT('',(-9.221049294288E-2,3.286265379447E-1, +-2.429052834469E-1)); +#9329=CARTESIAN_POINT('',(-6.065812805724E-2,3.018015235057E-1, +-2.412726062816E-1)); +#9330=CARTESIAN_POINT('',(-2.185789656485E-2,2.873217310510E-1, +-2.396399291162E-1)); +#9331=CARTESIAN_POINT('',(1.955485337109E-2,2.869178618100E-1, +-2.380072519509E-1)); +#9332=CARTESIAN_POINT('',(4.560383839158E-2,2.960634762950E-1, +-2.369188005073E-1)); +#9333=CARTESIAN_POINT('',(5.746239769605E-2,3.027179716947E-1, +-2.363745747855E-1)); +#9334=CARTESIAN_POINT('',(-3.236679312605E-2,5.231010241862E-1, +-3.380248033243E-1)); +#9335=CARTESIAN_POINT('',(-4.602993266779E-2,5.193527525408E-1, +-3.374805776026E-1)); +#9336=CARTESIAN_POINT('',(-7.203695747832E-2,5.070625165803E-1, +-3.363921261590E-1)); +#9337=CARTESIAN_POINT('',(-1.024428393134E-1,4.764449559129E-1, +-3.347594489936E-1)); +#9338=CARTESIAN_POINT('',(-1.206069760708E-1,4.373047547336E-1, +-3.331267718283E-1)); +#9339=CARTESIAN_POINT('',(-1.243599699424E-1,3.943185017244E-1, +-3.314940946629E-1)); +#9340=CARTESIAN_POINT('',(-1.132530958807E-1,3.526227132402E-1, +-3.298614174976E-1)); +#9341=CARTESIAN_POINT('',(-8.861359218457E-2,3.171996107051E-1, +-3.282287403322E-1)); +#9342=CARTESIAN_POINT('',(-5.338562031705E-2,2.922819164490E-1, +-3.265960631669E-1)); +#9343=CARTESIAN_POINT('',(-1.177858626013E-2,2.808470515461E-1, +-3.249633860015E-1)); +#9344=CARTESIAN_POINT('',(3.123587480365E-2,2.842613717285E-1, +-3.233307088362E-1)); +#9345=CARTESIAN_POINT('',(7.051795200701E-2,3.021168987776E-1, +-3.216980316708E-1)); +#9346=CARTESIAN_POINT('',(1.013738186055E-1,3.322800705933E-1, +-3.200653545055E-1)); +#9347=CARTESIAN_POINT('',(1.201164980836E-1,3.711466809815E-1, +-3.184326773401E-1)); +#9348=CARTESIAN_POINT('',(1.245064222181E-1,4.140725473475E-1, +-3.168000001747E-1)); +#9349=CARTESIAN_POINT('',(1.140190376937E-1,4.559284454145E-1, +-3.151673230094E-1)); +#9350=CARTESIAN_POINT('',(8.990748514241E-2,4.917130017304E-1, +-3.135346458440E-1)); +#9351=CARTESIAN_POINT('',(5.505286100208E-2,5.171503092373E-1, +-3.119019686787E-1)); +#9352=CARTESIAN_POINT('',(1.361995446413E-2,5.292008566513E-1, +-3.102692915133E-1)); +#9353=CARTESIAN_POINT('',(-2.944040440013E-2,5.264247205519E-1, +-3.086366143480E-1)); +#9354=CARTESIAN_POINT('',(-6.898292076685E-2,5.091536222501E-1, +-3.070039371826E-1)); +#9355=CARTESIAN_POINT('',(-1.002826478369E-1,4.794512903131E-1, +-3.053712600173E-1)); +#9356=CARTESIAN_POINT('',(-1.195995721054E-1,4.408668650359E-1, +-3.037385828519E-1)); +#9357=CARTESIAN_POINT('',(-1.246255086645E-1,3.980108106344E-1, +-3.021059056866E-1)); +#9358=CARTESIAN_POINT('',(-1.147599068909E-1,3.560040095326E-1, +-3.004732285212E-1)); +#9359=CARTESIAN_POINT('',(-9.118161041409E-2,3.198658666305E-1, +-2.988405513558E-1)); +#9360=CARTESIAN_POINT('',(-5.670799674842E-2,2.939145391501E-1, +-2.972078741905E-1)); +#9361=CARTESIAN_POINT('',(-1.545832803267E-2,2.812509587716E-1, +-2.955751970251E-1)); +#9362=CARTESIAN_POINT('',(2.763846080261E-2,2.833883004032E-1, +-2.939425198598E-1)); +#9363=CARTESIAN_POINT('',(6.743272190570E-2,3.000711724707E-1, +-2.923098426944E-1)); +#9364=CARTESIAN_POINT('',(9.916942742564E-2,3.293061337312E-1, +-2.906771655291E-1)); +#9365=CARTESIAN_POINT('',(1.190563491752E-1,3.675998901478E-1, +-2.890444883637E-1)); +#9366=CARTESIAN_POINT('',(1.247171930815E-1,4.103767096115E-1, +-2.874118111984E-1)); +#9367=CARTESIAN_POINT('',(1.154755432574E-1,4.525251775041E-1, +-2.857791340330E-1)); +#9368=CARTESIAN_POINT('',(9.243568713223E-2,4.890089611195E-1, +-2.841464568676E-1)); +#9369=CARTESIAN_POINT('',(5.835066382583E-2,5.154686025261E-1, +-2.825137797023E-1)); +#9370=CARTESIAN_POINT('',(1.729330270175E-2,5.287424314646E-1, +-2.808811025369E-1)); +#9371=CARTESIAN_POINT('',(-2.583044019957E-2,5.272443542488E-1, +-2.792484253716E-1)); +#9372=CARTESIAN_POINT('',(-6.586769627722E-2,5.111533765614E-1, +-2.776157482062E-1)); +#9373=CARTESIAN_POINT('',(-9.803440213994E-2,4.823922140135E-1, +-2.759830710409E-1)); +#9374=CARTESIAN_POINT('',(-1.184869487343E-1,4.443975462959E-1, +-2.743503938755E-1)); +#9375=CARTESIAN_POINT('',(-1.247814553099E-1,4.017093673217E-1, +-2.727177167102E-1)); +#9376=CARTESIAN_POINT('',(-1.161657894427E-1,3.594285000313E-1, +-2.710850395448E-1)); +#9377=CARTESIAN_POINT('',(-9.366943955673E-2,3.226070975734E-1, +-2.694523623795E-1)); +#9378=CARTESIAN_POINT('',(-5.998050105289E-2,2.956449600533E-1, +-2.678196852141E-1)); +#9379=CARTESIAN_POINT('',(-1.912447500635E-2,2.817638011374E-1, +-2.661870080487E-1)); +#9380=CARTESIAN_POINT('',(2.401674012952E-2,2.826222845479E-1, +-2.645543308834E-1)); +#9381=CARTESIAN_POINT('',(6.428818799141E-2,2.981178298520E-1, +-2.629216537180E-1)); +#9382=CARTESIAN_POINT('',(9.687782154353E-2,3.263988698276E-1, +-2.612889765527E-1)); +#9383=CARTESIAN_POINT('',(1.178914959796E-1,3.640860947701E-1, +-2.596562993873E-1)); +#9384=CARTESIAN_POINT('',(1.248182812201E-1,4.066762471929E-1, +-2.580236222220E-1)); +#9385=CARTESIAN_POINT('',(1.168304936790E-1,4.490802173768E-1, +-2.563909450566E-1)); +#9386=CARTESIAN_POINT('',(9.488259641636E-2,4.862311425728E-1, +-2.547582678913E-1)); +#9387=CARTESIAN_POINT('',(6.159715006893E-2,5.136898479070E-1, +-2.531255907259E-1)); +#9388=CARTESIAN_POINT('',(2.095144231837E-2,5.281752846815E-1, +-2.514929135606E-1)); +#9389=CARTESIAN_POINT('',(-2.219775938310E-2,5.279565838330E-1, +-2.498602363952E-1)); +#9390=CARTESIAN_POINT('',(-6.269454433012E-2,5.130598780034E-1, +-2.482275592298E-1)); +#9391=CARTESIAN_POINT('',(-9.569993998623E-2,4.852651788594E-1, +-2.465948820645E-1)); +#9392=CARTESIAN_POINT('',(-1.172701216621E-1,4.478936832934E-1, +-2.449622048991E-1)); +#9393=CARTESIAN_POINT('',(-1.248276633653E-1,4.054109214676E-1, +-2.433295277338E-1)); +#9394=CARTESIAN_POINT('',(-1.174695073875E-1,3.628931736884E-1, +-2.416968505684E-1)); +#9395=CARTESIAN_POINT('',(-9.607490002559E-2,3.254208878684E-1, +-2.400641734031E-1)); +#9396=CARTESIAN_POINT('',(-6.320022161088E-2,2.974716762531E-1, +-2.384314962377E-1)); +#9397=CARTESIAN_POINT('',(-2.277392908568E-2,2.823850568449E-1, +-2.367988190724E-1)); +#9398=CARTESIAN_POINT('',(2.037436871535E-2,2.819642620339E-1, +-2.351661419070E-1)); +#9399=CARTESIAN_POINT('',(4.751502865263E-2,2.914931558644E-1, +-2.340776904635E-1)); +#9400=CARTESIAN_POINT('',(5.987056285773E-2,2.984265314472E-1, +-2.335334647417E-1)); +#9401=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#9133,#9134,#9135,#9136,#9137,#9138, +#9139,#9140,#9141,#9142,#9143,#9144,#9145,#9146,#9147,#9148,#9149,#9150,#9151, +#9152,#9153,#9154,#9155,#9156,#9157,#9158,#9159,#9160,#9161,#9162,#9163,#9164, +#9165,#9166,#9167,#9168,#9169,#9170,#9171,#9172,#9173,#9174,#9175,#9176,#9177, +#9178,#9179,#9180,#9181,#9182,#9183,#9184,#9185,#9186,#9187,#9188,#9189,#9190, +#9191,#9192,#9193,#9194,#9195,#9196,#9197,#9198,#9199),(#9200,#9201,#9202,#9203, +#9204,#9205,#9206,#9207,#9208,#9209,#9210,#9211,#9212,#9213,#9214,#9215,#9216, +#9217,#9218,#9219,#9220,#9221,#9222,#9223,#9224,#9225,#9226,#9227,#9228,#9229, +#9230,#9231,#9232,#9233,#9234,#9235,#9236,#9237,#9238,#9239,#9240,#9241,#9242, +#9243,#9244,#9245,#9246,#9247,#9248,#9249,#9250,#9251,#9252,#9253,#9254,#9255, +#9256,#9257,#9258,#9259,#9260,#9261,#9262,#9263,#9264,#9265,#9266),(#9267,#9268, +#9269,#9270,#9271,#9272,#9273,#9274,#9275,#9276,#9277,#9278,#9279,#9280,#9281, +#9282,#9283,#9284,#9285,#9286,#9287,#9288,#9289,#9290,#9291,#9292,#9293,#9294, +#9295,#9296,#9297,#9298,#9299,#9300,#9301,#9302,#9303,#9304,#9305,#9306,#9307, +#9308,#9309,#9310,#9311,#9312,#9313,#9314,#9315,#9316,#9317,#9318,#9319,#9320, +#9321,#9322,#9323,#9324,#9325,#9326,#9327,#9328,#9329,#9330,#9331,#9332,#9333),( +#9334,#9335,#9336,#9337,#9338,#9339,#9340,#9341,#9342,#9343,#9344,#9345,#9346, +#9347,#9348,#9349,#9350,#9351,#9352,#9353,#9354,#9355,#9356,#9357,#9358,#9359, +#9360,#9361,#9362,#9363,#9364,#9365,#9366,#9367,#9368,#9369,#9370,#9371,#9372, +#9373,#9374,#9375,#9376,#9377,#9378,#9379,#9380,#9381,#9382,#9383,#9384,#9385, +#9386,#9387,#9388,#9389,#9390,#9391,#9392,#9393,#9394,#9395,#9396,#9397,#9398, +#9399,#9400)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(-3.568022467213E-2,1.035680224672E0),(-2.E-2,-3.75E-3, +1.25E-2,2.875E-2,4.5E-2,6.125E-2,7.75E-2,9.375E-2,1.1E-1,1.2625E-1,1.425E-1, +1.5875E-1,1.75E-1,1.9125E-1,2.075E-1,2.2375E-1,2.4E-1,2.5625E-1,2.725E-1, +2.8875E-1,3.05E-1,3.2125E-1,3.375E-1,3.5375E-1,3.7E-1,3.8625E-1,4.025E-1, +4.1875E-1,4.35E-1,4.5125E-1,4.675E-1,4.8375E-1,5.E-1,5.1625E-1,5.325E-1, +5.4875E-1,5.65E-1,5.8125E-1,5.975E-1,6.1375E-1,6.3E-1,6.4625E-1,6.625E-1, +6.7875E-1,6.95E-1,7.1125E-1,7.275E-1,7.4375E-1,7.6E-1,7.7625E-1,7.925E-1, +8.0875E-1,8.25E-1,8.4125E-1,8.575E-1,8.7375E-1,8.9E-1,9.0625E-1,9.225E-1, +9.3875E-1,9.55E-1,9.7125E-1,9.875E-1,1.00375E0,1.02E0),.UNSPECIFIED.); +#9403=ORIENTED_EDGE('',*,*,#9402,.F.); +#9405=ORIENTED_EDGE('',*,*,#9404,.T.); +#9407=ORIENTED_EDGE('',*,*,#9406,.F.); +#9409=ORIENTED_EDGE('',*,*,#9408,.T.); +#9411=ORIENTED_EDGE('',*,*,#9410,.T.); +#9413=ORIENTED_EDGE('',*,*,#9412,.F.); +#9415=ORIENTED_EDGE('',*,*,#9414,.F.); +#9417=ORIENTED_EDGE('',*,*,#9416,.F.); +#9419=ORIENTED_EDGE('',*,*,#9418,.F.); +#9421=ORIENTED_EDGE('',*,*,#9420,.F.); +#9422=ORIENTED_EDGE('',*,*,#9111,.F.); +#9423=ORIENTED_EDGE('',*,*,#9086,.F.); +#9424=ORIENTED_EDGE('',*,*,#9127,.F.); +#9426=ORIENTED_EDGE('',*,*,#9425,.F.); +#9428=ORIENTED_EDGE('',*,*,#9427,.T.); +#9430=ORIENTED_EDGE('',*,*,#9429,.T.); +#9432=ORIENTED_EDGE('',*,*,#9431,.T.); +#9433=EDGE_LOOP('',(#9403,#9405,#9407,#9409,#9411,#9413,#9415,#9417,#9419,#9421, +#9422,#9423,#9424,#9426,#9428,#9430,#9432)); +#9434=FACE_OUTER_BOUND('',#9433,.F.); +#9435=ADVANCED_FACE('',(#9434),#9401,.F.); +#9436=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.831692913386E-1)); +#9437=DIRECTION('',(0.E0,0.E0,1.E0)); +#9438=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9439=AXIS2_PLACEMENT_3D('',#9436,#9437,#9438); +#9440=CYLINDRICAL_SURFACE('',#9439,1.082677165354E-1); +#9442=ORIENTED_EDGE('',*,*,#9441,.T.); +#9444=ORIENTED_EDGE('',*,*,#9443,.T.); +#9445=ORIENTED_EDGE('',*,*,#9402,.T.); +#9447=ORIENTED_EDGE('',*,*,#9446,.T.); +#9448=EDGE_LOOP('',(#9442,#9444,#9445,#9447)); +#9449=FACE_OUTER_BOUND('',#9448,.F.); +#9450=ADVANCED_FACE('',(#9449),#9440,.T.); +#9451=CARTESIAN_POINT('',(-9.014542052677E-2,3.224054725111E-1, +-3.526019489813E-1)); +#9452=CARTESIAN_POINT('',(-8.146525169701E-2,3.129452800796E-1, +-3.521087706579E-1)); +#9453=CARTESIAN_POINT('',(-6.110353101869E-2,2.967821380759E-1, +-3.511224140109E-1)); +#9454=CARTESIAN_POINT('',(-2.455300211029E-2,2.831856997770E-1, +-3.496428790405E-1)); +#9455=CARTESIAN_POINT('',(1.441079846265E-2,2.815759156556E-1, +-3.481633440700E-1)); +#9456=CARTESIAN_POINT('',(5.195807461590E-2,2.921105454568E-1, +-3.466838090996E-1)); +#9457=CARTESIAN_POINT('',(8.439771263803E-2,3.137541381489E-1, +-3.452042741291E-1)); +#9458=CARTESIAN_POINT('',(1.085408443932E-1,3.443790377879E-1, +-3.437247391587E-1)); +#9459=CARTESIAN_POINT('',(1.220141291194E-1,3.809747470305E-1, +-3.422452041883E-1)); +#9460=CARTESIAN_POINT('',(1.234931104446E-1,4.199438106757E-1, +-3.407656692178E-1)); +#9461=CARTESIAN_POINT('',(1.128324000703E-1,4.574554698352E-1, +-3.392861342474E-1)); +#9462=CARTESIAN_POINT('',(9.107997351322E-2,4.898222313928E-1, +-3.378065992769E-1)); +#9463=CARTESIAN_POINT('',(6.037415041629E-2,5.138623591767E-1, +-3.363270643065E-1)); +#9464=CARTESIAN_POINT('',(2.373339247265E-2,5.272126465505E-1, +-3.348475293361E-1)); +#9465=CARTESIAN_POINT('',(-1.524041922240E-2,5.285607258032E-1, +-3.333679943656E-1)); +#9466=CARTESIAN_POINT('',(-5.271605836269E-2,5.177740772632E-1, +-3.318884593952E-1)); +#9467=CARTESIAN_POINT('',(-8.500957287299E-2,4.959130563292E-1, +-3.304089244247E-1)); +#9468=CARTESIAN_POINT('',(-1.089464269072E-1,4.651266577960E-1, +-3.289293894543E-1)); +#9469=CARTESIAN_POINT('',(-1.221735659178E-1,4.284412641182E-1, +-3.274498544839E-1)); +#9470=CARTESIAN_POINT('',(-1.233907279926E-1,3.894631442022E-1, +-3.259703195134E-1)); +#9471=CARTESIAN_POINT('',(-1.124782629574E-1,3.520239478626E-1, +-3.244907845430E-1)); +#9472=CARTESIAN_POINT('',(-9.050889429703E-2,3.198040447534E-1, +-3.230112495725E-1)); +#9473=CARTESIAN_POINT('',(-5.964226766739E-2,2.959707345299E-1, +-3.215317146021E-1)); +#9474=CARTESIAN_POINT('',(-2.291265215219E-2,2.828668931158E-1, +-3.200521796317E-1)); +#9475=CARTESIAN_POINT('',(1.606933622140E-2,2.817806619511E-1, +-3.185726446612E-1)); +#9476=CARTESIAN_POINT('',(5.347166735946E-2,2.928188203637E-1, +-3.170931096908E-1)); +#9477=CARTESIAN_POINT('',(8.561759555239E-2,3.148962888852E-1, +-3.156135747203E-1)); +#9478=CARTESIAN_POINT('',(1.093470930702E-1,3.458427953642E-1, +-3.141340397499E-1)); +#9479=CARTESIAN_POINT('',(1.223274890462E-1,3.826162183216E-1, +-3.126545047795E-1)); +#9480=CARTESIAN_POINT('',(1.232827770455E-1,4.216016353457E-1, +-3.111749698090E-1)); +#9481=CARTESIAN_POINT('',(1.121190497915E-1,4.589666792973E-1, +-3.096954348386E-1)); +#9482=CARTESIAN_POINT('',(8.993373049396E-2,4.910382698934E-1, +-3.082158998681E-1)); +#9483=CARTESIAN_POINT('',(5.890769331384E-2,5.146636869818E-1, +-3.067363648977E-1)); +#9484=CARTESIAN_POINT('',(2.209087780350E-2,5.275204910707E-1, +-3.052568299272E-1)); +#9485=CARTESIAN_POINT('',(-1.689752802525E-2,5.283448251268E-1, +-3.037772949568E-1)); +#9486=CARTESIAN_POINT('',(-5.422486322606E-2,5.170556549841E-1, +-3.022977599864E-1)); +#9487=CARTESIAN_POINT('',(-8.622175438359E-2,4.947627352123E-1, +-3.008182250159E-1)); +#9488=CARTESIAN_POINT('',(-1.097428244931E-1,4.636575173766E-1, +-2.993386900455E-1)); +#9489=CARTESIAN_POINT('',(-1.224758916404E-1,4.267977246923E-1, +-2.978591550750E-1)); +#9490=CARTESIAN_POINT('',(-1.231692624528E-1,3.878067699384E-1, +-2.963796201046E-1)); +#9491=CARTESIAN_POINT('',(-1.117547767895E-1,3.505175646272E-1, +-2.949000851342E-1)); +#9492=CARTESIAN_POINT('',(-8.935450805905E-2,3.185957339073E-1, +-2.934205501637E-1)); +#9493=CARTESIAN_POINT('',(-5.817046050673E-2,2.951792761487E-1, +-2.919410151933E-1)); +#9494=CARTESIAN_POINT('',(-2.126810651238E-2,2.825700896016E-1, +-2.904614802228E-1)); +#9495=CARTESIAN_POINT('',(1.772495725837E-2,2.820076898557E-1, +-2.889819452524E-1)); +#9496=CARTESIAN_POINT('',(5.497561197146E-2,2.935473622579E-1, +-2.875024102820E-1)); +#9497=CARTESIAN_POINT('',(8.682202210145E-2,3.160547272200E-1, +-2.860228753115E-1)); +#9498=CARTESIAN_POINT('',(1.101336033171E-1,3.473172526607E-1, +-2.845433403411E-1)); +#9499=CARTESIAN_POINT('',(1.226187670032E-1,3.842617516211E-1, +-2.830638053706E-1)); +#9500=CARTESIAN_POINT('',(1.230501893375E-1,4.232564844766E-1, +-2.815842704002E-1)); +#9501=CARTESIAN_POINT('',(1.113854603906E-1,4.604681683179E-1, +-2.801047354298E-1)); +#9502=CARTESIAN_POINT('',(8.877125313208E-2,4.922387985567E-1, +-2.786252004593E-1)); +#9503=CARTESIAN_POINT('',(5.743060251676E-2,5.154452402209E-1, +-2.771456654889E-1)); +#9504=CARTESIAN_POINT('',(2.044437540962E-2,5.278062401843E-1, +-2.756661305184E-1)); +#9505=CARTESIAN_POINT('',(-1.855158657908E-2,5.281066802398E-1, +-2.741865955480E-1)); +#9506=CARTESIAN_POINT('',(-5.572387971714E-2,5.163170263521E-1, +-2.727070605776E-1)); +#9507=CARTESIAN_POINT('',(-8.741837160863E-2,4.935962319427E-1, +-2.712275256071E-1)); +#9508=CARTESIAN_POINT('',(-1.105194119357E-1,4.621778097290E-1, +-2.697479906367E-1)); +#9509=CARTESIAN_POINT('',(-1.227561085783E-1,4.251502718360E-1, +-2.682684556662E-1)); +#9510=CARTESIAN_POINT('',(-1.229255634781E-1,3.861535204158E-1, +-2.667889206958E-1)); +#9511=CARTESIAN_POINT('',(-1.110111157504E-1,3.490210383523E-1, +-2.653093857254E-1)); +#9512=CARTESIAN_POINT('',(-8.818399767562E-2,3.174030387313E-1, +-2.638298507549E-1)); +#9513=CARTESIAN_POINT('',(-5.668813168118E-2,2.944076740496E-1, +-2.623503157845E-1)); +#9514=CARTESIAN_POINT('',(-1.961980023602E-2,2.822953677504E-1, +-2.608707808140E-1)); +#9515=CARTESIAN_POINT('',(1.937767189680E-2,2.822570903856E-1, +-2.593912458436E-1)); +#9516=CARTESIAN_POINT('',(4.410491623440E-2,2.902826879263E-1, +-2.584048891966E-1)); +#9517=CARTESIAN_POINT('',(5.554444757543E-2,2.961117268226E-1, +-2.579117108732E-1)); +#9518=CARTESIAN_POINT('',(-8.651951405728E-2,3.257324112118E-1, +-3.497608389375E-1)); +#9519=CARTESIAN_POINT('',(-7.818848642772E-2,3.166527347592E-1, +-3.492676606140E-1)); +#9520=CARTESIAN_POINT('',(-5.864577235347E-2,3.011397205248E-1, +-3.482813039671E-1)); +#9521=CARTESIAN_POINT('',(-2.356541018742E-2,2.880901698359E-1, +-3.468017689966E-1)); +#9522=CARTESIAN_POINT('',(1.383115495917E-2,2.865451358330E-1, +-3.453222340262E-1)); +#9523=CARTESIAN_POINT('',(4.986817234696E-2,2.966560327133E-1, +-3.438426990558E-1)); +#9524=CARTESIAN_POINT('',(8.100299540804E-2,3.174290582451E-1, +-3.423631640853E-1)); +#9525=CARTESIAN_POINT('',(1.041750213975E-1,3.468221368877E-1, +-3.408836291149E-1)); +#9526=CARTESIAN_POINT('',(1.171063721024E-1,3.819458621058E-1, +-3.394040941444E-1)); +#9527=CARTESIAN_POINT('',(1.185258645714E-1,4.193474786288E-1, +-3.379245591740E-1)); +#9528=CARTESIAN_POINT('',(1.082939584391E-1,4.553503116401E-1, +-3.364450242036E-1)); +#9529=CARTESIAN_POINT('',(8.741647665146E-2,4.864151895364E-1, +-3.349654892331E-1)); +#9530=CARTESIAN_POINT('',(5.794572952366E-2,5.094883546688E-1, +-3.334859542627E-1)); +#9531=CARTESIAN_POINT('',(2.277876759205E-2,5.223016553262E-1, +-3.320064192922E-1)); +#9532=CARTESIAN_POINT('',(-1.462740600069E-2,5.235955109783E-1, +-3.305268843218E-1)); +#9533=CARTESIAN_POINT('',(-5.059566782094E-2,5.132427322732E-1, +-3.290473493514E-1)); +#9534=CARTESIAN_POINT('',(-8.159024487550E-2,4.922610240850E-1, +-3.275678143809E-1)); +#9535=CARTESIAN_POINT('',(-1.045642902235E-1,4.627129424952E-1, +-3.260882794105E-1)); +#9536=CARTESIAN_POINT('',(-1.172593958970E-1,4.275031402063E-1, +-3.246087444400E-1)); +#9537=CARTESIAN_POINT('',(-1.184276002343E-1,3.900928316816E-1, +-3.231292094696E-1)); +#9538=CARTESIAN_POINT('',(-1.079540657331E-1,3.541595468284E-1, +-3.216496744992E-1)); +#9539=CARTESIAN_POINT('',(-8.686836787364E-2,3.232356203140E-1, +-3.201701395287E-1)); +#9540=CARTESIAN_POINT('',(-5.724328519084E-2,3.003609539487E-1, +-3.186906045583E-1)); +#9541=CARTESIAN_POINT('',(-2.199103979318E-2,2.877841864899E-1, +-3.172110695878E-1)); +#9542=CARTESIAN_POINT('',(1.542298158871E-2,2.867416466473E-1, +-3.157315346174E-1)); +#9543=CARTESIAN_POINT('',(5.132088406412E-2,2.973358187790E-1, +-3.142519996470E-1)); +#9544=CARTESIAN_POINT('',(8.217381114484E-2,3.185252684141E-1, +-3.127724646765E-1)); +#9545=CARTESIAN_POINT('',(1.049488404482E-1,3.482270179504E-1, +-3.112929297061E-1)); +#9546=CARTESIAN_POINT('',(1.174071277972E-1,3.835213087298E-1, +-3.098133947356E-1)); +#9547=CARTESIAN_POINT('',(1.183239913828E-1,4.209386208521E-1, +-3.083338597652E-1)); +#9548=CARTESIAN_POINT('',(1.076093011475E-1,4.568007359382E-1, +-3.068543247948E-1)); +#9549=CARTESIAN_POINT('',(8.631633880271E-2,4.875823154928E-1, +-3.053747898243E-1)); +#9550=CARTESIAN_POINT('',(5.653825751739E-2,5.102574507791E-1, +-3.038952548539E-1)); +#9551=CARTESIAN_POINT('',(2.120231955764E-2,5.225971174599E-1, +-3.024157198834E-1)); +#9552=CARTESIAN_POINT('',(-1.621786115102E-2,5.233882944442E-1, +-3.009361849130E-1)); +#9553=CARTESIAN_POINT('',(-5.204378424014E-2,5.125532069917E-1, +-2.994566499425E-1)); +#9554=CARTESIAN_POINT('',(-8.275366898101E-2,4.911569721713E-1, +-2.979771149721E-1)); +#9555=CARTESIAN_POINT('',(-1.053286544223E-1,4.613028951027E-1, +-2.964975800017E-1)); +#9556=CARTESIAN_POINT('',(-1.175495612149E-1,4.259257086338E-1, +-2.950180450312E-1)); +#9557=CARTESIAN_POINT('',(-1.182150426715E-1,3.885030815250E-1, +-2.935385100608E-1)); +#9558=CARTESIAN_POINT('',(-1.072596802468E-1,3.527137546323E-1, +-2.920589750903E-1)); +#9559=CARTESIAN_POINT('',(-8.576041434969E-2,3.220759111838E-1, +-2.905794401199E-1)); +#9560=CARTESIAN_POINT('',(-5.583067832098E-2,2.996013302857E-1, +-2.890999051495E-1)); +#9561=CARTESIAN_POINT('',(-2.041264247953E-2,2.874993212614E-1, +-2.876203701790E-1)); +#9562=CARTESIAN_POINT('',(1.701200881540E-2,2.869595428407E-1, +-2.861408352086E-1)); +#9563=CARTESIAN_POINT('',(5.276433572519E-2,2.980350566359E-1, +-2.846613002381E-1)); +#9564=CARTESIAN_POINT('',(8.332979221556E-2,3.196371110480E-1, +-2.831817652677E-1)); +#9565=CARTESIAN_POINT('',(1.057037150050E-1,3.496421683602E-1, +-2.817022302973E-1)); +#9566=CARTESIAN_POINT('',(1.176866897223E-1,3.851006539767E-1, +-2.802226953268E-1)); +#9567=CARTESIAN_POINT('',(1.181007590172E-1,4.225269072210E-1, +-2.787431603564E-1)); +#9568=CARTESIAN_POINT('',(1.069052188090E-1,4.582418307788E-1, +-2.772636253859E-1)); +#9569=CARTESIAN_POINT('',(8.520061960294E-2,4.887345554618E-1, +-2.757840904155E-1)); +#9570=CARTESIAN_POINT('',(5.512057953402E-2,5.110075677131E-1, +-2.743045554451E-1)); +#9571=CARTESIAN_POINT('',(1.962204419610E-2,5.228713729275E-1, +-2.728250204746E-1)); +#9572=CARTESIAN_POINT('',(-1.780538874217E-2,5.231597284252E-1, +-2.713454855042E-1)); +#9573=CARTESIAN_POINT('',(-5.348250600345E-2,5.118442881144E-1, +-2.698659505337E-1)); +#9574=CARTESIAN_POINT('',(-8.390215484109E-2,4.900373889974E-1, +-2.683864155633E-1)); +#9575=CARTESIAN_POINT('',(-1.060740052982E-1,4.598827055260E-1, +-2.669068805929E-1)); +#9576=CARTESIAN_POINT('',(-1.178185070267E-1,4.243445210401E-1, +-2.654273456224E-1)); +#9577=CARTESIAN_POINT('',(-1.179811459661E-1,3.869163304235E-1, +-2.639478106520E-1)); +#9578=CARTESIAN_POINT('',(-1.065459313802E-1,3.512774229216E-1, +-2.624682756815E-1)); +#9579=CARTESIAN_POINT('',(-8.463698523940E-2,3.209311896167E-1, +-2.609887407111E-1)); +#9580=CARTESIAN_POINT('',(-5.440797299753E-2,2.988607642284E-1, +-2.595092057406E-1)); +#9581=CARTESIAN_POINT('',(-1.883063579273E-2,2.872356495083E-1, +-2.580296707702E-1)); +#9582=CARTESIAN_POINT('',(1.859824654736E-2,2.871989117684E-1, +-2.565501357998E-1)); +#9583=CARTESIAN_POINT('',(4.233089044168E-2,2.949016968371E-1, +-2.555637791528E-1)); +#9584=CARTESIAN_POINT('',(5.331029113542E-2,3.004962751282E-1, +-2.550706008293E-1)); +#9585=CARTESIAN_POINT('',(-8.289360758774E-2,3.290593499124E-1, +-3.469197288937E-1)); +#9586=CARTESIAN_POINT('',(-7.491172115838E-2,3.203601894387E-1, +-3.464265505702E-1)); +#9587=CARTESIAN_POINT('',(-5.618801368817E-2,3.054973029736E-1, +-3.454401939232E-1)); +#9588=CARTESIAN_POINT('',(-2.257781826442E-2,2.929946398949E-1, +-3.439606589528E-1)); +#9589=CARTESIAN_POINT('',(1.325151145583E-2,2.915143560105E-1, +-3.424811239823E-1)); +#9590=CARTESIAN_POINT('',(4.777827007819E-2,3.012015199699E-1, +-3.410015890119E-1)); +#9591=CARTESIAN_POINT('',(7.760827817823E-2,3.211039783414E-1, +-3.395220540415E-1)); +#9592=CARTESIAN_POINT('',(9.980919840198E-2,3.492652359878E-1, +-3.380425190710E-1)); +#9593=CARTESIAN_POINT('',(1.121986150854E-1,3.829169771814E-1, +-3.365629841006E-1)); +#9594=CARTESIAN_POINT('',(1.135586186982E-1,4.187511465823E-1, +-3.350834491301E-1)); +#9595=CARTESIAN_POINT('',(1.037555168077E-1,4.532451534455E-1, +-3.336039141597E-1)); +#9596=CARTESIAN_POINT('',(8.375297978940E-2,4.830081476803E-1, +-3.321243791892E-1)); +#9597=CARTESIAN_POINT('',(5.551730863059E-2,5.051143501611E-1, +-3.306448442188E-1)); +#9598=CARTESIAN_POINT('',(2.182414271092E-2,5.173906641021E-1, +-3.291653092483E-1)); +#9599=CARTESIAN_POINT('',(-1.401439277957E-2,5.186302961533E-1, +-3.276857742779E-1)); +#9600=CARTESIAN_POINT('',(-4.847527727978E-2,5.087113872830E-1, +-3.262062393074E-1)); +#9601=CARTESIAN_POINT('',(-7.817091687853E-2,4.886089918403E-1, +-3.247267043370E-1)); +#9602=CARTESIAN_POINT('',(-1.001821535403E-1,4.602992271938E-1, +-3.232471693665E-1)); +#9603=CARTESIAN_POINT('',(-1.123452258764E-1,4.265650162936E-1, +-3.217676343961E-1)); +#9604=CARTESIAN_POINT('',(-1.134644724758E-1,3.907225191601E-1, +-3.202880994256E-1)); +#9605=CARTESIAN_POINT('',(-1.034298685084E-1,3.562951457933E-1, +-3.188085644552E-1)); +#9606=CARTESIAN_POINT('',(-8.322784144958E-2,3.266671958738E-1, +-3.173290294847E-1)); +#9607=CARTESIAN_POINT('',(-5.484430271336E-2,3.047511733669E-1, +-3.158494945143E-1)); +#9608=CARTESIAN_POINT('',(-2.106942743309E-2,2.927014798638E-1, +-3.143699595439E-1)); +#9609=CARTESIAN_POINT('',(1.477662695718E-2,2.917026313437E-1, +-3.128904245734E-1)); +#9610=CARTESIAN_POINT('',(4.917010076988E-2,3.018528171948E-1, +-3.114108896030E-1)); +#9611=CARTESIAN_POINT('',(7.873002673821E-2,3.221542479439E-1, +-3.099313546325E-1)); +#9612=CARTESIAN_POINT('',(1.005505878269E-1,3.506112405379E-1, +-3.084518196621E-1)); +#9613=CARTESIAN_POINT('',(1.124867665485E-1,3.844263991393E-1, +-3.069722846916E-1)); +#9614=CARTESIAN_POINT('',(1.133652057199E-1,4.202756063598E-1, +-3.054927497212E-1)); +#9615=CARTESIAN_POINT('',(1.030995525028E-1,4.546347925804E-1, +-3.040132147507E-1)); +#9616=CARTESIAN_POINT('',(8.269894711043E-2,4.841263610932E-1, +-3.025336797803E-1)); +#9617=CARTESIAN_POINT('',(5.416882171960E-2,5.058512145772E-1, +-3.010541448098E-1)); +#9618=CARTESIAN_POINT('',(2.031376131025E-2,5.176737438495E-1, +-2.995746098394E-1)); +#9619=CARTESIAN_POINT('',(-1.553819427838E-2,5.184317637614E-1, +-2.980950748689E-1)); +#9620=CARTESIAN_POINT('',(-4.986270525567E-2,5.080507589986E-1, +-2.966155398985E-1)); +#9621=CARTESIAN_POINT('',(-7.928558357962E-2,4.875512091291E-1, +-2.951360049280E-1)); +#9622=CARTESIAN_POINT('',(-1.009144843522E-1,4.589482728273E-1, +-2.936564699576E-1)); +#9623=CARTESIAN_POINT('',(-1.126232307897E-1,4.250536925736E-1, +-2.921769349872E-1)); +#9624=CARTESIAN_POINT('',(-1.132608228899E-1,3.891993931099E-1, +-2.906974000167E-1)); +#9625=CARTESIAN_POINT('',(-1.027645837033E-1,3.549099446359E-1, +-2.892178650463E-1)); +#9626=CARTESIAN_POINT('',(-8.216632063914E-2,3.255560884590E-1, +-2.877383300758E-1)); +#9627=CARTESIAN_POINT('',(-5.349089613371E-2,3.040233844220E-1, +-2.862587951054E-1)); +#9628=CARTESIAN_POINT('',(-1.955717844501E-2,2.924285529209E-1, +-2.847792601350E-1)); +#9629=CARTESIAN_POINT('',(1.629906037410E-2,2.919113958259E-1, +-2.832997251645E-1)); +#9630=CARTESIAN_POINT('',(5.055305948041E-2,3.025227510146E-1, +-2.818201901941E-1)); +#9631=CARTESIAN_POINT('',(7.983756233084E-2,3.232194948772E-1, +-2.803406552236E-1)); +#9632=CARTESIAN_POINT('',(1.012738266936E-1,3.519670840610E-1, +-2.788611202532E-1)); +#9633=CARTESIAN_POINT('',(1.127546124416E-1,3.859395563338E-1, +-2.773815852828E-1)); +#9634=CARTESIAN_POINT('',(1.131513286967E-1,4.217973299669E-1, +-2.759020503123E-1)); +#9635=CARTESIAN_POINT('',(1.024249772268E-1,4.560154932411E-1, +-2.744225153419E-1)); +#9636=CARTESIAN_POINT('',(8.162998607280E-2,4.852303123680E-1, +-2.729429803715E-1)); +#9637=CARTESIAN_POINT('',(5.281055655007E-2,5.065698952059E-1, +-2.714634454010E-1)); +#9638=CARTESIAN_POINT('',(1.879971298131E-2,5.179365056709E-1, +-2.699839104306E-1)); +#9639=CARTESIAN_POINT('',(-1.705919090646E-2,5.182127766105E-1, +-2.685043754602E-1)); +#9640=CARTESIAN_POINT('',(-5.124113229077E-2,5.073715498762E-1, +-2.670248404897E-1)); +#9641=CARTESIAN_POINT('',(-8.038593807427E-2,4.864785460512E-1, +-2.655453055193E-1)); +#9642=CARTESIAN_POINT('',(-1.016285986612E-1,4.575876013222E-1, +-2.640657705489E-1)); +#9643=CARTESIAN_POINT('',(-1.128809054752E-1,4.235387702433E-1, +-2.625862355785E-1)); +#9644=CARTESIAN_POINT('',(-1.130367284540E-1,3.876791404305E-1, +-2.611067006080E-1)); +#9645=CARTESIAN_POINT('',(-1.020807470097E-1,3.535338074904E-1, +-2.596271656376E-1)); +#9646=CARTESIAN_POINT('',(-8.108997280286E-2,3.244593405017E-1, +-2.581476306672E-1)); +#9647=CARTESIAN_POINT('',(-5.212781431362E-2,3.033138544070E-1, +-2.566680956968E-1)); +#9648=CARTESIAN_POINT('',(-1.804147134930E-2,2.921759312661E-1, +-2.551885607264E-1)); +#9649=CARTESIAN_POINT('',(1.781882119788E-2,2.921407331513E-1, +-2.537090257559E-1)); +#9650=CARTESIAN_POINT('',(4.055686464881E-2,2.995207057479E-1, +-2.527226691090E-1)); +#9651=CARTESIAN_POINT('',(5.107613469522E-2,3.048808234339E-1, +-2.522294907855E-1)); +#9652=CARTESIAN_POINT('',(-7.926770111824E-2,3.323862886131E-1, +-3.440786188498E-1)); +#9653=CARTESIAN_POINT('',(-7.163495588909E-2,3.240676441184E-1, +-3.435854405264E-1)); +#9654=CARTESIAN_POINT('',(-5.373025502296E-2,3.098548854225E-1, +-3.425990838794E-1)); +#9655=CARTESIAN_POINT('',(-2.159022634155E-2,2.978991099538E-1, +-3.411195489090E-1)); +#9656=CARTESIAN_POINT('',(1.267186795234E-2,2.964835761879E-1, +-3.396400139385E-1)); +#9657=CARTESIAN_POINT('',(4.568836780924E-2,3.057470072264E-1, +-3.381604789681E-1)); +#9658=CARTESIAN_POINT('',(7.421356094824E-2,3.247788984375E-1, +-3.366809439976E-1)); +#9659=CARTESIAN_POINT('',(9.544337540630E-2,3.517083350876E-1, +-3.352014090272E-1)); +#9660=CARTESIAN_POINT('',(1.072908580683E-1,3.838880922567E-1, +-3.337218740567E-1)); +#9661=CARTESIAN_POINT('',(1.085913728250E-1,4.181548145354E-1, +-3.322423390863E-1)); +#9662=CARTESIAN_POINT('',(9.921707517646E-2,4.511399952504E-1, +-3.307628041158E-1)); +#9663=CARTESIAN_POINT('',(8.008948292765E-2,4.796011058239E-1, +-3.292832691454E-1)); +#9664=CARTESIAN_POINT('',(5.308888773797E-2,5.007403456531E-1, +-3.278037341750E-1)); +#9665=CARTESIAN_POINT('',(2.086951783034E-2,5.124796728778E-1, +-3.263241992045E-1)); +#9666=CARTESIAN_POINT('',(-1.340137955784E-2,5.136650813284E-1, +-3.248446642341E-1)); +#9667=CARTESIAN_POINT('',(-4.635488673801E-2,5.041800422931E-1, +-3.233651292636E-1)); +#9668=CARTESIAN_POINT('',(-7.475158888103E-2,4.849569595960E-1, +-3.218855942932E-1)); +#9669=CARTESIAN_POINT('',(-9.580001685659E-2,4.578855118931E-1, +-3.204060593227E-1)); +#9670=CARTESIAN_POINT('',(-1.074310558556E-1,4.256268923817E-1, +-3.189265243523E-1)); +#9671=CARTESIAN_POINT('',(-1.085013447175E-1,3.913522066395E-1, +-3.174469893818E-1)); +#9672=CARTESIAN_POINT('',(-9.890567128405E-2,3.584307447592E-1, +-3.159674544114E-1)); +#9673=CARTESIAN_POINT('',(-7.958731502621E-2,3.300987714344E-1, +-3.144879194409E-1)); +#9674=CARTESIAN_POINT('',(-5.244532023683E-2,3.091413927856E-1, +-3.130083844705E-1)); +#9675=CARTESIAN_POINT('',(-2.014781507411E-2,2.976187732379E-1, +-3.115288495E-1)); +#9676=CARTESIAN_POINT('',(1.413027232446E-2,2.966636160399E-1, +-3.100493145296E-1)); +#9677=CARTESIAN_POINT('',(4.701931747450E-2,3.063698156101E-1, +-3.085697795591E-1)); +#9678=CARTESIAN_POINT('',(7.528624233064E-2,3.257832274729E-1, +-3.070902445887E-1)); +#9679=CARTESIAN_POINT('',(9.615233520495E-2,3.529954631241E-1, +-3.056107096182E-1)); +#9680=CARTESIAN_POINT('',(1.075664052995E-1,3.853314895475E-1, +-3.041311746478E-1)); +#9681=CARTESIAN_POINT('',(1.084064200572E-1,4.196125918662E-1, +-3.026516396774E-1)); +#9682=CARTESIAN_POINT('',(9.858980385872E-2,4.524688492213E-1, +-3.011721047069E-1)); +#9683=CARTESIAN_POINT('',(7.908155541920E-2,4.806704066925E-1, +-2.996925697365E-1)); +#9684=CARTESIAN_POINT('',(5.179938592319E-2,5.014449783744E-1, +-2.982130347660E-1)); +#9685=CARTESIAN_POINT('',(1.942520306444E-2,5.127503702387E-1, +-2.967334997956E-1)); +#9686=CARTESIAN_POINT('',(-1.485852740411E-2,5.134752330788E-1, +-2.952539648251E-1)); +#9687=CARTESIAN_POINT('',(-4.768162626971E-2,5.035483110062E-1, +-2.937744298547E-1)); +#9688=CARTESIAN_POINT('',(-7.581749817700E-2,4.839454460882E-1, +-2.922948948842E-1)); +#9689=CARTESIAN_POINT('',(-9.650031428128E-2,4.565936505535E-1, +-2.908153599138E-1)); +#9690=CARTESIAN_POINT('',(-1.076969003643E-1,4.241816765151E-1, +-2.893358249433E-1)); +#9691=CARTESIAN_POINT('',(-1.083066031086E-1,3.898957046966E-1, +-2.878562899729E-1)); +#9692=CARTESIAN_POINT('',(-9.826948716054E-2,3.571061346411E-1, +-2.863767550025E-1)); +#9693=CARTESIAN_POINT('',(-7.857222692981E-2,3.290362657355E-1, +-2.848972200320E-1)); +#9694=CARTESIAN_POINT('',(-5.115111394800E-2,3.084454385590E-1, +-2.834176850616E-1)); +#9695=CARTESIAN_POINT('',(-1.870171441220E-2,2.973577845807E-1, +-2.819381500911E-1)); +#9696=CARTESIAN_POINT('',(1.558611193109E-2,2.968632488109E-1, +-2.804586151207E-1)); +#9697=CARTESIAN_POINT('',(4.834178323409E-2,3.070104453925E-1, +-2.789790801503E-1)); +#9698=CARTESIAN_POINT('',(7.634533244491E-2,3.268018787052E-1, +-2.774995451798E-1)); +#9699=CARTESIAN_POINT('',(9.684393838152E-2,3.542919997605E-1, +-2.760200102094E-1)); +#9700=CARTESIAN_POINT('',(1.078225351607E-1,3.867784586893E-1, +-2.745404752390E-1)); +#9701=CARTESIAN_POINT('',(1.082018983764E-1,4.210677527113E-1, +-2.730609402685E-1)); +#9702=CARTESIAN_POINT('',(9.794473564520E-2,4.537891557020E-1, +-2.715814052981E-1)); +#9703=CARTESIAN_POINT('',(7.805935254369E-2,4.817260692731E-1, +-2.701018703277E-1)); +#9704=CARTESIAN_POINT('',(5.050053356737E-2,5.021322226980E-1, +-2.686223353572E-1)); +#9705=CARTESIAN_POINT('',(1.797738176783E-2,5.130016384140E-1, +-2.671428003868E-1)); +#9706=CARTESIAN_POINT('',(-1.631299306953E-2,5.132658247959E-1, +-2.656632654164E-1)); +#9707=CARTESIAN_POINT('',(-4.899975857705E-2,5.028988116385E-1, +-2.641837304459E-1)); +#9708=CARTESIAN_POINT('',(-7.686972130671E-2,4.829197031059E-1, +-2.627041954755E-1)); +#9709=CARTESIAN_POINT('',(-9.718319202372E-2,4.552924971192E-1, +-2.612246605051E-1)); +#9710=CARTESIAN_POINT('',(-1.079433039235E-1,4.227330194474E-1, +-2.597451255346E-1)); +#9711=CARTESIAN_POINT('',(-1.080923109419E-1,3.884419504382E-1, +-2.582655905642E-1)); +#9712=CARTESIAN_POINT('',(-9.761556263944E-2,3.557901920596E-1, +-2.567860555938E-1)); +#9713=CARTESIAN_POINT('',(-7.754296036666E-2,3.279874913871E-1, +-2.553065206234E-1)); +#9714=CARTESIAN_POINT('',(-4.984765562999E-2,3.077669445858E-1, +-2.538269856530E-1)); +#9715=CARTESIAN_POINT('',(-1.725230690602E-2,2.971162130239E-1, +-2.523474506825E-1)); +#9716=CARTESIAN_POINT('',(1.703939584845E-2,2.970825545341E-1, +-2.508679157121E-1)); +#9717=CARTESIAN_POINT('',(3.878283885609E-2,3.041397146587E-1, +-2.498815590652E-1)); +#9718=CARTESIAN_POINT('',(4.884197825521E-2,3.092653717396E-1, +-2.493883807417E-1)); +#9719=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#9451,#9452,#9453,#9454,#9455,#9456, +#9457,#9458,#9459,#9460,#9461,#9462,#9463,#9464,#9465,#9466,#9467,#9468,#9469, +#9470,#9471,#9472,#9473,#9474,#9475,#9476,#9477,#9478,#9479,#9480,#9481,#9482, +#9483,#9484,#9485,#9486,#9487,#9488,#9489,#9490,#9491,#9492,#9493,#9494,#9495, +#9496,#9497,#9498,#9499,#9500,#9501,#9502,#9503,#9504,#9505,#9506,#9507,#9508, +#9509,#9510,#9511,#9512,#9513,#9514,#9515,#9516,#9517),(#9518,#9519,#9520,#9521, +#9522,#9523,#9524,#9525,#9526,#9527,#9528,#9529,#9530,#9531,#9532,#9533,#9534, +#9535,#9536,#9537,#9538,#9539,#9540,#9541,#9542,#9543,#9544,#9545,#9546,#9547, +#9548,#9549,#9550,#9551,#9552,#9553,#9554,#9555,#9556,#9557,#9558,#9559,#9560, +#9561,#9562,#9563,#9564,#9565,#9566,#9567,#9568,#9569,#9570,#9571,#9572,#9573, +#9574,#9575,#9576,#9577,#9578,#9579,#9580,#9581,#9582,#9583,#9584),(#9585,#9586, +#9587,#9588,#9589,#9590,#9591,#9592,#9593,#9594,#9595,#9596,#9597,#9598,#9599, +#9600,#9601,#9602,#9603,#9604,#9605,#9606,#9607,#9608,#9609,#9610,#9611,#9612, +#9613,#9614,#9615,#9616,#9617,#9618,#9619,#9620,#9621,#9622,#9623,#9624,#9625, +#9626,#9627,#9628,#9629,#9630,#9631,#9632,#9633,#9634,#9635,#9636,#9637,#9638, +#9639,#9640,#9641,#9642,#9643,#9644,#9645,#9646,#9647,#9648,#9649,#9650,#9651),( +#9652,#9653,#9654,#9655,#9656,#9657,#9658,#9659,#9660,#9661,#9662,#9663,#9664, +#9665,#9666,#9667,#9668,#9669,#9670,#9671,#9672,#9673,#9674,#9675,#9676,#9677, +#9678,#9679,#9680,#9681,#9682,#9683,#9684,#9685,#9686,#9687,#9688,#9689,#9690, +#9691,#9692,#9693,#9694,#9695,#9696,#9697,#9698,#9699,#9700,#9701,#9702,#9703, +#9704,#9705,#9706,#9707,#9708,#9709,#9710,#9711,#9712,#9713,#9714,#9715,#9716, +#9717,#9718)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(-3.568022467213E-2,1.035680224672E0),(7.567417376007E-2, +9.039995285457E-2,1.051257319491E-1,1.198515110436E-1,1.345772901381E-1, +1.493030692326E-1,1.640288483271E-1,1.787546274216E-1,1.934804065161E-1, +2.082061856106E-1,2.229319647051E-1,2.376577437997E-1,2.523835228942E-1, +2.671093019887E-1,2.818350810832E-1,2.965608601777E-1,3.112866392722E-1, +3.260124183667E-1,3.407381974612E-1,3.554639765557E-1,3.701897556502E-1, +3.849155347447E-1,3.996413138392E-1,4.143670929338E-1,4.290928720283E-1, +4.438186511228E-1,4.585444302173E-1,4.732702093118E-1,4.879959884063E-1, +5.027217675008E-1,5.174475465953E-1,5.321733256898E-1,5.468991047843E-1, +5.616248838788E-1,5.763506629733E-1,5.910764420679E-1,6.058022211624E-1, +6.205280002569E-1,6.352537793514E-1,6.499795584459E-1,6.647053375404E-1, +6.794311166349E-1,6.941568957294E-1,7.088826748239E-1,7.236084539184E-1, +7.383342330129E-1,7.530600121074E-1,7.677857912020E-1,7.825115702965E-1, +7.972373493910E-1,8.119631284855E-1,8.266889075800E-1,8.414146866745E-1, +8.561404657690E-1,8.708662448635E-1,8.855920239580E-1,9.003178030525E-1, +9.150435821470E-1,9.297693612415E-1,9.444951403361E-1,9.592209194306E-1, +9.739466985251E-1,9.886724776196E-1,1.003398256714E0,1.018124035809E0), +.UNSPECIFIED.); +#9721=ORIENTED_EDGE('',*,*,#9720,.T.); +#9723=ORIENTED_EDGE('',*,*,#9722,.T.); +#9725=ORIENTED_EDGE('',*,*,#9724,.T.); +#9726=ORIENTED_EDGE('',*,*,#9441,.F.); +#9728=ORIENTED_EDGE('',*,*,#9727,.T.); +#9730=ORIENTED_EDGE('',*,*,#9729,.T.); +#9732=ORIENTED_EDGE('',*,*,#9731,.T.); +#9734=ORIENTED_EDGE('',*,*,#9733,.F.); +#9735=ORIENTED_EDGE('',*,*,#9115,.F.); +#9737=ORIENTED_EDGE('',*,*,#9736,.F.); +#9739=ORIENTED_EDGE('',*,*,#9738,.F.); +#9741=ORIENTED_EDGE('',*,*,#9740,.F.); +#9743=ORIENTED_EDGE('',*,*,#9742,.F.); +#9745=ORIENTED_EDGE('',*,*,#9744,.F.); +#9747=ORIENTED_EDGE('',*,*,#9746,.F.); +#9748=EDGE_LOOP('',(#9721,#9723,#9725,#9726,#9728,#9730,#9732,#9734,#9735,#9737, +#9739,#9741,#9743,#9745,#9747)); +#9749=FACE_OUTER_BOUND('',#9748,.F.); +#9750=ADVANCED_FACE('',(#9749),#9719,.F.); +#9751=CARTESIAN_POINT('',(-7.913399325390E-2,2.689635380742E-1, +-3.530645057652E-1)); +#9752=DIRECTION('',(-5.E-1,-8.660254037844E-1,0.E0)); +#9753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9754=AXIS2_PLACEMENT_3D('',#9751,#9752,#9753); +#9755=CYLINDRICAL_SURFACE('',#9754,1.409895561963E-2); +#9757=ORIENTED_EDGE('',*,*,#9756,.F.); +#9759=ORIENTED_EDGE('',*,*,#9758,.F.); +#9761=ORIENTED_EDGE('',*,*,#9760,.F.); +#9763=ORIENTED_EDGE('',*,*,#9762,.T.); +#9765=ORIENTED_EDGE('',*,*,#9764,.T.); +#9767=ORIENTED_EDGE('',*,*,#9766,.T.); +#9769=ORIENTED_EDGE('',*,*,#9768,.T.); +#9771=ORIENTED_EDGE('',*,*,#9770,.T.); +#9773=ORIENTED_EDGE('',*,*,#9772,.T.); +#9775=ORIENTED_EDGE('',*,*,#9774,.F.); +#9777=ORIENTED_EDGE('',*,*,#9776,.F.); +#9778=ORIENTED_EDGE('',*,*,#9720,.F.); +#9779=EDGE_LOOP('',(#9757,#9759,#9761,#9763,#9765,#9767,#9769,#9771,#9773,#9775, +#9777,#9778)); +#9780=FACE_OUTER_BOUND('',#9779,.F.); +#9781=ADVANCED_FACE('',(#9780),#9755,.T.); +#9782=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#9783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9784=DIRECTION('',(0.E0,1.E0,0.E0)); +#9785=AXIS2_PLACEMENT_3D('',#9782,#9783,#9784); +#9786=CYLINDRICAL_SURFACE('',#9785,1.218503937008E-1); +#9787=ORIENTED_EDGE('',*,*,#9756,.T.); +#9788=ORIENTED_EDGE('',*,*,#9746,.T.); +#9790=ORIENTED_EDGE('',*,*,#9789,.T.); +#9791=ORIENTED_EDGE('',*,*,#9410,.F.); +#9793=ORIENTED_EDGE('',*,*,#9792,.T.); +#9794=EDGE_LOOP('',(#9787,#9788,#9790,#9791,#9793)); +#9795=FACE_OUTER_BOUND('',#9794,.F.); +#9796=ADVANCED_FACE('',(#9795),#9786,.T.); +#9797=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#9798=DIRECTION('',(1.E0,0.E0,0.E0)); +#9799=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9800=AXIS2_PLACEMENT_3D('',#9797,#9798,#9799); +#9801=PLANE('',#9800); +#9803=ORIENTED_EDGE('',*,*,#9802,.T.); +#9804=ORIENTED_EDGE('',*,*,#9412,.T.); +#9805=ORIENTED_EDGE('',*,*,#9789,.F.); +#9806=ORIENTED_EDGE('',*,*,#9744,.T.); +#9807=EDGE_LOOP('',(#9803,#9804,#9805,#9806)); +#9808=FACE_OUTER_BOUND('',#9807,.F.); +#9809=ADVANCED_FACE('',(#9808),#9801,.F.); +#9810=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#9811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9812=DIRECTION('',(0.E0,1.E0,0.E0)); +#9813=AXIS2_PLACEMENT_3D('',#9810,#9811,#9812); +#9814=CYLINDRICAL_SURFACE('',#9813,1.218503937008E-1); +#9815=ORIENTED_EDGE('',*,*,#9742,.T.); +#9817=ORIENTED_EDGE('',*,*,#9816,.T.); +#9818=ORIENTED_EDGE('',*,*,#9414,.T.); +#9819=ORIENTED_EDGE('',*,*,#9802,.F.); +#9820=EDGE_LOOP('',(#9815,#9817,#9818,#9819)); +#9821=FACE_OUTER_BOUND('',#9820,.F.); +#9822=ADVANCED_FACE('',(#9821),#9814,.T.); +#9823=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#9824=DIRECTION('',(1.E0,0.E0,0.E0)); +#9825=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9826=AXIS2_PLACEMENT_3D('',#9823,#9824,#9825); +#9827=PLANE('',#9826); +#9829=ORIENTED_EDGE('',*,*,#9828,.T.); +#9830=ORIENTED_EDGE('',*,*,#9416,.T.); +#9831=ORIENTED_EDGE('',*,*,#9816,.F.); +#9832=ORIENTED_EDGE('',*,*,#9740,.T.); +#9833=EDGE_LOOP('',(#9829,#9830,#9831,#9832)); +#9834=FACE_OUTER_BOUND('',#9833,.F.); +#9835=ADVANCED_FACE('',(#9834),#9827,.F.); +#9836=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#9837=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9838=DIRECTION('',(0.E0,1.E0,0.E0)); +#9839=AXIS2_PLACEMENT_3D('',#9836,#9837,#9838); +#9840=CYLINDRICAL_SURFACE('',#9839,1.218503937008E-1); +#9841=ORIENTED_EDGE('',*,*,#9738,.T.); +#9843=ORIENTED_EDGE('',*,*,#9842,.T.); +#9844=ORIENTED_EDGE('',*,*,#9418,.T.); +#9845=ORIENTED_EDGE('',*,*,#9828,.F.); +#9846=EDGE_LOOP('',(#9841,#9843,#9844,#9845)); +#9847=FACE_OUTER_BOUND('',#9846,.F.); +#9848=ADVANCED_FACE('',(#9847),#9840,.T.); +#9849=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#9850=DIRECTION('',(1.E0,0.E0,0.E0)); +#9851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9852=AXIS2_PLACEMENT_3D('',#9849,#9850,#9851); +#9853=PLANE('',#9852); +#9854=ORIENTED_EDGE('',*,*,#9113,.T.); +#9855=ORIENTED_EDGE('',*,*,#9420,.T.); +#9856=ORIENTED_EDGE('',*,*,#9842,.F.); +#9857=ORIENTED_EDGE('',*,*,#9736,.T.); +#9858=EDGE_LOOP('',(#9854,#9855,#9856,#9857)); +#9859=FACE_OUTER_BOUND('',#9858,.F.); +#9860=ADVANCED_FACE('',(#9859),#9853,.F.); +#9861=CARTESIAN_POINT('',(-5.493448578901E-2,3.126222260668E-1, +-3.650887680366E-1)); +#9862=CARTESIAN_POINT('',(-3.775104050600E-2,3.024167586648E-1, +-3.642157252778E-1)); +#9863=CARTESIAN_POINT('',(2.419209150234E-3,2.918526302940E-1, +-3.624696397602E-1)); +#9864=CARTESIAN_POINT('',(6.196767694174E-2,3.102717750230E-1, +-3.598505114837E-1)); +#9865=CARTESIAN_POINT('',(1.027545318322E-1,3.574006079161E-1, +-3.572313832073E-1)); +#9866=CARTESIAN_POINT('',(1.124441598453E-1,4.189703994845E-1, +-3.546122549309E-1)); +#9867=CARTESIAN_POINT('',(8.810113922011E-2,4.763476203275E-1, +-3.519931266545E-1)); +#9868=CARTESIAN_POINT('',(3.709551774575E-2,5.121682987092E-1, +-3.493739983780E-1)); +#9869=CARTESIAN_POINT('',(-2.514286673675E-2,5.155842035486E-1, +-3.467548701016E-1)); +#9870=CARTESIAN_POINT('',(-6.154812932947E-2,4.955865381540E-1, +-3.450087845840E-1)); +#9871=CARTESIAN_POINT('',(-7.574091945196E-2,4.815157504993E-1, +-3.441357418252E-1)); +#9872=CARTESIAN_POINT('',(-5.744732903555E-2,3.083912288248E-1, +-3.622476579928E-1)); +#9873=CARTESIAN_POINT('',(-3.947786921521E-2,2.977189373601E-1, +-3.613746152340E-1)); +#9874=CARTESIAN_POINT('',(2.529869936175E-3,2.866715788607E-1, +-3.596285297163E-1)); +#9875=CARTESIAN_POINT('',(6.480223625847E-2,3.059332621659E-1, +-3.570094014399E-1)); +#9876=CARTESIAN_POINT('',(1.074547857374E-1,3.552178878683E-1, +-3.543902731635E-1)); +#9877=CARTESIAN_POINT('',(1.175876420062E-1,4.196040384635E-1, +-3.517711448871E-1)); +#9878=CARTESIAN_POINT('',(9.213110963887E-2,4.796058394737E-1, +-3.491520166106E-1)); +#9879=CARTESIAN_POINT('',(3.879236117488E-2,5.170650468862E-1, +-3.465328883342E-1)); +#9880=CARTESIAN_POINT('',(-2.629296547654E-2,5.206372039101E-1, +-3.439137600578E-1)); +#9881=CARTESIAN_POINT('',(-6.436349747025E-2,4.997247943851E-1, +-3.421676745402E-1)); +#9882=CARTESIAN_POINT('',(-7.920550194864E-2,4.850103730779E-1, +-3.412946317813E-1)); +#9883=CARTESIAN_POINT('',(-5.996017228147E-2,3.041602315824E-1, +-3.594065479489E-1)); +#9884=CARTESIAN_POINT('',(-4.120469792374E-2,2.930211160552E-1, +-3.585335051901E-1)); +#9885=CARTESIAN_POINT('',(2.640530722836E-3,2.814905274274E-1, +-3.567874196725E-1)); +#9886=CARTESIAN_POINT('',(6.763679557574E-2,3.015947493091E-1, +-3.541682913961E-1)); +#9887=CARTESIAN_POINT('',(1.121550396429E-1,3.530351678210E-1, +-3.515491631197E-1)); +#9888=CARTESIAN_POINT('',(1.227311241671E-1,4.202376774429E-1, +-3.489300348432E-1)); +#9889=CARTESIAN_POINT('',(9.616108005737E-2,4.828640586201E-1, +-3.463109065668E-1)); +#9890=CARTESIAN_POINT('',(4.048920460376E-2,5.219617950633E-1, +-3.436917782904E-1)); +#9891=CARTESIAN_POINT('',(-2.744306421645E-2,5.256902042715E-1, +-3.410726500139E-1)); +#9892=CARTESIAN_POINT('',(-6.717886561104E-2,5.038630506161E-1, +-3.393265644963E-1)); +#9893=CARTESIAN_POINT('',(-8.267008444531E-2,4.885049956566E-1, +-3.384535217375E-1)); +#9894=CARTESIAN_POINT('',(-6.247301552799E-2,2.999292343404E-1, +-3.565654379051E-1)); +#9895=CARTESIAN_POINT('',(-4.293152663293E-2,2.883232947505E-1, +-3.556923951463E-1)); +#9896=CARTESIAN_POINT('',(2.751191508796E-3,2.763094759941E-1, +-3.539463096287E-1)); +#9897=CARTESIAN_POINT('',(7.047135489248E-2,2.972562364519E-1, +-3.513271813523E-1)); +#9898=CARTESIAN_POINT('',(1.168552935481E-1,3.508524477732E-1, +-3.487080530758E-1)); +#9899=CARTESIAN_POINT('',(1.278746063280E-1,4.208713164219E-1, +-3.460889247994E-1)); +#9900=CARTESIAN_POINT('',(1.001910504761E-1,4.861222777663E-1, +-3.434697965230E-1)); +#9901=CARTESIAN_POINT('',(4.218604803288E-2,5.268585432403E-1, +-3.408506682465E-1)); +#9902=CARTESIAN_POINT('',(-2.859316295624E-2,5.307432046329E-1, +-3.382315399701E-1)); +#9903=CARTESIAN_POINT('',(-6.999423375183E-2,5.080013068471E-1, +-3.364854544525E-1)); +#9904=CARTESIAN_POINT('',(-8.613466694200E-2,4.919996182352E-1, +-3.356124116937E-1)); +#9905=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#9861,#9862,#9863,#9864,#9865,#9866, +#9867,#9868,#9869,#9870,#9871),(#9872,#9873,#9874,#9875,#9876,#9877,#9878,#9879, +#9880,#9881,#9882),(#9883,#9884,#9885,#9886,#9887,#9888,#9889,#9890,#9891,#9892, +#9893),(#9894,#9895,#9896,#9897,#9898,#9899,#9900,#9901,#9902,#9903,#9904)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,4),(-3.568022467212E-2, +1.035680224672E0),(7.954654708653E-1,8.215335971275E-1,8.476017233898E-1, +8.736698496521E-1,8.997379759143E-1,9.258061021766E-1,9.518742284389E-1, +9.779423547012E-1,1.004010480963E0),.UNSPECIFIED.); +#9906=ORIENTED_EDGE('',*,*,#9758,.T.); +#9907=ORIENTED_EDGE('',*,*,#9792,.F.); +#9908=ORIENTED_EDGE('',*,*,#9408,.F.); +#9910=ORIENTED_EDGE('',*,*,#9909,.F.); +#9911=EDGE_LOOP('',(#9906,#9907,#9908,#9910)); +#9912=FACE_OUTER_BOUND('',#9911,.F.); +#9913=ADVANCED_FACE('',(#9912),#9905,.F.); +#9914=CARTESIAN_POINT('',(8.324694460279E-2,3.362032473769E-1, +-4.550152518467E-1)); +#9915=CARTESIAN_POINT('',(9.122770517416E-2,3.458437502467E-1, +-4.544710261249E-1)); +#9916=CARTESIAN_POINT('',(1.038038477285E-1,3.679226749640E-1, +-4.533825746814E-1)); +#9917=CARTESIAN_POINT('',(1.102662978958E-1,4.054877156905E-1, +-4.517498975160E-1)); +#9918=CARTESIAN_POINT('',(1.035525168240E-1,4.430080133149E-1, +-4.501172203507E-1)); +#9919=CARTESIAN_POINT('',(8.446534374969E-2,4.760009904828E-1, +-4.484845431853E-1)); +#9920=CARTESIAN_POINT('',(5.528534774281E-2,5.005241100836E-1, +-4.468518660200E-1)); +#9921=CARTESIAN_POINT('',(1.949929864841E-2,5.136471501073E-1, +-4.452191888546E-1)); +#9922=CARTESIAN_POINT('',(-1.861672979157E-2,5.138020205592E-1, +-4.435865116893E-1)); +#9923=CARTESIAN_POINT('',(-5.450823910398E-2,5.009702197074E-1, +-4.419538345239E-1)); +#9924=CARTESIAN_POINT('',(-8.388654322537E-2,4.766850221596E-1, +-4.403211573586E-1)); +#9925=CARTESIAN_POINT('',(-1.032412198137E-1,4.438482735166E-1, +-4.386884801932E-1)); +#9926=CARTESIAN_POINT('',(-1.102595729859E-1,4.063836463660E-1, +-4.370558030278E-1)); +#9927=CARTESIAN_POINT('',(-1.041029776607E-1,3.687677999388E-1, +-4.354231258625E-1)); +#9928=CARTESIAN_POINT('',(-8.550708721138E-2,3.354954626765E-1, +-4.337904486971E-1)); +#9929=CARTESIAN_POINT('',(-5.669393000334E-2,3.105423558519E-1, +-4.321577715318E-1)); +#9930=CARTESIAN_POINT('',(-2.110639858474E-2,2.968901334679E-1, +-4.305250943664E-1)); +#9931=CARTESIAN_POINT('',(1.700314254890E-2,2.961701035539E-1, +-4.288924172011E-1)); +#9932=CARTESIAN_POINT('',(5.308097322648E-2,3.084683026941E-1, +-4.272597400357E-1)); +#9933=CARTESIAN_POINT('',(8.281614295114E-2,3.323152154910E-1, +-4.256270628704E-1)); +#9934=CARTESIAN_POINT('',(1.026555876308E-1,3.648613673879E-1, +-4.239943857050E-1)); +#9935=CARTESIAN_POINT('',(1.102286862417E-1,4.022178092142E-1, +-4.223617085397E-1)); +#9936=CARTESIAN_POINT('',(1.046305270248E-1,4.399208088545E-1, +-4.207290313743E-1)); +#9937=CARTESIAN_POINT('',(8.653003565552E-2,4.734652238991E-1, +-4.190963542089E-1)); +#9938=CARTESIAN_POINT('',(5.809004512024E-2,4.988428224231E-1, +-4.174636770436E-1)); +#9939=CARTESIAN_POINT('',(2.270885817233E-2,5.130212277866E-1, +-4.158309998782E-1)); +#9940=CARTESIAN_POINT('',(-1.538581685350E-2,5.143062582027E-1, +-4.141983227129E-1)); +#9941=CARTESIAN_POINT('',(-5.164203614174E-2,5.025443650125E-1, +-4.125656455475E-1)); +#9942=CARTESIAN_POINT('',(-8.172753348846E-2,4.791409802595E-1, +-4.109329683822E-1)); +#9943=CARTESIAN_POINT('',(-1.020473840520E-1,4.468925812051E-1, +-4.093002912168E-1)); +#9944=CARTESIAN_POINT('',(-1.101735629695E-1,4.096525384461E-1, +-4.076676140515E-1)); +#9945=CARTESIAN_POINT('',(-1.051350707551E-1,3.718706755394E-1, +-4.060349368861E-1)); +#9946=CARTESIAN_POINT('',(-8.753395831101E-2,3.380615582894E-1, +-4.044022597208E-1)); +#9947=CARTESIAN_POINT('',(-5.947338769037E-2,3.122650479646E-1, +-4.027695825554E-1)); +#9948=CARTESIAN_POINT('',(-2.430632465044E-2,2.975635770980E-1, +-4.011369053900E-1)); +#9949=CARTESIAN_POINT('',(1.376510820223E-2,2.957138287260E-1, +-3.995042282247E-1)); +#9950=CARTESIAN_POINT('',(5.019174426614E-2,3.069368298203E-1, +-3.978715510593E-1)); +#9951=CARTESIAN_POINT('',(8.062095418720E-2,3.298915407110E-1, +-3.962388738940E-1)); +#9952=CARTESIAN_POINT('',(1.014167428081E-1,3.618350963072E-1, +-3.946061967286E-1)); +#9953=CARTESIAN_POINT('',(1.100942152890E-1,3.989505518458E-1, +-3.929735195633E-1)); +#9954=CARTESIAN_POINT('',(1.056164979153E-1,4.368029707331E-1, +-3.913408423979E-1)); +#9955=CARTESIAN_POINT('',(8.851863444038E-2,4.708693564100E-1, +-3.897081652326E-1)); +#9956=CARTESIAN_POINT('',(6.084365355137E-2,4.970791065287E-1, +-3.880754880672E-1)); +#9957=CARTESIAN_POINT('',(2.589844677619E-2,5.123004104129E-1, +-3.864428109018E-1)); +#9958=CARTESIAN_POINT('',(-1.214137294831E-2,5.147144700276E-1, +-3.848101337365E-1)); +#9959=CARTESIAN_POINT('',(-4.873041648252E-2,5.040328286862E-1, +-3.831774565711E-1)); +#9960=CARTESIAN_POINT('',(-7.949664835642E-2,4.815318388240E-1, +-3.815447794058E-1)); +#9961=CARTESIAN_POINT('',(-1.007638025614E-1,4.499001502741E-1, +-3.799121022404E-1)); +#9962=CARTESIAN_POINT('',(-1.099906606466E-1,4.129174427155E-1, +-3.782794250751E-1)); +#9963=CARTESIAN_POINT('',(-1.060747026516E-1,3.750027906469E-1, +-3.766467479097E-1)); +#9964=CARTESIAN_POINT('',(-8.948384753801E-2,3.406866268885E-1, +-3.750140707444E-1)); +#9965=CARTESIAN_POINT('',(-6.220054141609E-2,3.140693998437E-1, +-3.733813935790E-1)); +#9966=CARTESIAN_POINT('',(-2.748487448185E-2,2.983316097255E-1, +-3.717487164137E-1)); +#9967=CARTESIAN_POINT('',(1.051496811055E-2,2.953537696598E-1, +-3.701160392483E-1)); +#9968=CARTESIAN_POINT('',(4.725837409986E-2,3.054917026218E-1, +-3.684833620829E-1)); +#9969=CARTESIAN_POINT('',(7.835486320427E-2,3.275340240549E-1, +-3.668506849176E-1)); +#9970=CARTESIAN_POINT('',(1.000887068716E-1,3.588468905275E-1, +-3.652180077522E-1)); +#9971=CARTESIAN_POINT('',(1.098629218319E-1,3.956887185888E-1, +-3.635853305869E-1)); +#9972=CARTESIAN_POINT('',(1.065095841399E-1,4.336572671540E-1, +-3.619526534215E-1)); +#9973=CARTESIAN_POINT('',(9.042938566297E-2,4.682156644814E-1, +-3.603199762562E-1)); +#9974=CARTESIAN_POINT('',(6.354375187436E-2,4.952345131807E-1, +-3.586872990908E-1)); +#9975=CARTESIAN_POINT('',(2.906526292462E-2,5.114853396724E-1, +-3.570546219255E-1)); +#9976=CARTESIAN_POINT('',(-8.886266121740E-3,5.150262663044E-1, +-3.554219447601E-1)); +#9977=CARTESIAN_POINT('',(-4.577588544787E-2,5.054344168433E-1, +-3.537892675948E-1)); +#9978=CARTESIAN_POINT('',(-7.719605629573E-2,4.838550653508E-1, +-3.521565904294E-1)); +#9979=CARTESIAN_POINT('',(-9.199257439904E-2,4.631983151166E-1, +-3.510681389858E-1)); +#9980=CARTESIAN_POINT('',(-9.741221556886E-2,4.519173876515E-1, +-3.505239132641E-1)); +#9981=CARTESIAN_POINT('',(8.324694460279E-2,3.362032473769E-1, +-4.520665012717E-1)); +#9982=CARTESIAN_POINT('',(9.122770517416E-2,3.458437502467E-1, +-4.515222755500E-1)); +#9983=CARTESIAN_POINT('',(1.038038477285E-1,3.679226749640E-1, +-4.504338241064E-1)); +#9984=CARTESIAN_POINT('',(1.102662978958E-1,4.054877156905E-1, +-4.488011469410E-1)); +#9985=CARTESIAN_POINT('',(1.035525168240E-1,4.430080133149E-1, +-4.471684697757E-1)); +#9986=CARTESIAN_POINT('',(8.446534374969E-2,4.760009904828E-1, +-4.455357926103E-1)); +#9987=CARTESIAN_POINT('',(5.528534774281E-2,5.005241100836E-1, +-4.439031154450E-1)); +#9988=CARTESIAN_POINT('',(1.949929864841E-2,5.136471501073E-1, +-4.422704382796E-1)); +#9989=CARTESIAN_POINT('',(-1.861672979157E-2,5.138020205592E-1, +-4.406377611143E-1)); +#9990=CARTESIAN_POINT('',(-5.450823910398E-2,5.009702197074E-1, +-4.390050839489E-1)); +#9991=CARTESIAN_POINT('',(-8.388654322537E-2,4.766850221596E-1, +-4.373724067836E-1)); +#9992=CARTESIAN_POINT('',(-1.032412198137E-1,4.438482735166E-1, +-4.357397296182E-1)); +#9993=CARTESIAN_POINT('',(-1.102595729859E-1,4.063836463660E-1, +-4.341070524529E-1)); +#9994=CARTESIAN_POINT('',(-1.041029776607E-1,3.687677999388E-1, +-4.324743752875E-1)); +#9995=CARTESIAN_POINT('',(-8.550708721138E-2,3.354954626765E-1, +-4.308416981221E-1)); +#9996=CARTESIAN_POINT('',(-5.669393000334E-2,3.105423558519E-1, +-4.292090209568E-1)); +#9997=CARTESIAN_POINT('',(-2.110639858474E-2,2.968901334679E-1, +-4.275763437914E-1)); +#9998=CARTESIAN_POINT('',(1.700314254890E-2,2.961701035539E-1, +-4.259436666261E-1)); +#9999=CARTESIAN_POINT('',(5.308097322648E-2,3.084683026941E-1, +-4.243109894607E-1)); +#10000=CARTESIAN_POINT('',(8.281614295114E-2,3.323152154910E-1, +-4.226783122954E-1)); +#10001=CARTESIAN_POINT('',(1.026555876308E-1,3.648613673879E-1, +-4.210456351300E-1)); +#10002=CARTESIAN_POINT('',(1.102286862417E-1,4.022178092142E-1, +-4.194129579647E-1)); +#10003=CARTESIAN_POINT('',(1.046305270248E-1,4.399208088545E-1, +-4.177802807993E-1)); +#10004=CARTESIAN_POINT('',(8.653003565552E-2,4.734652238991E-1, +-4.161476036340E-1)); +#10005=CARTESIAN_POINT('',(5.809004512024E-2,4.988428224231E-1, +-4.145149264686E-1)); +#10006=CARTESIAN_POINT('',(2.270885817233E-2,5.130212277866E-1, +-4.128822493032E-1)); +#10007=CARTESIAN_POINT('',(-1.538581685350E-2,5.143062582027E-1, +-4.112495721379E-1)); +#10008=CARTESIAN_POINT('',(-5.164203614174E-2,5.025443650125E-1, +-4.096168949725E-1)); +#10009=CARTESIAN_POINT('',(-8.172753348846E-2,4.791409802595E-1, +-4.079842178072E-1)); +#10010=CARTESIAN_POINT('',(-1.020473840520E-1,4.468925812051E-1, +-4.063515406418E-1)); +#10011=CARTESIAN_POINT('',(-1.101735629695E-1,4.096525384461E-1, +-4.047188634765E-1)); +#10012=CARTESIAN_POINT('',(-1.051350707551E-1,3.718706755394E-1, +-4.030861863111E-1)); +#10013=CARTESIAN_POINT('',(-8.753395831101E-2,3.380615582894E-1, +-4.014535091458E-1)); +#10014=CARTESIAN_POINT('',(-5.947338769037E-2,3.122650479646E-1, +-3.998208319804E-1)); +#10015=CARTESIAN_POINT('',(-2.430632465044E-2,2.975635770980E-1, +-3.981881548151E-1)); +#10016=CARTESIAN_POINT('',(1.376510820223E-2,2.957138287260E-1, +-3.965554776497E-1)); +#10017=CARTESIAN_POINT('',(5.019174426614E-2,3.069368298203E-1, +-3.949228004843E-1)); +#10018=CARTESIAN_POINT('',(8.062095418720E-2,3.298915407110E-1, +-3.932901233190E-1)); +#10019=CARTESIAN_POINT('',(1.014167428081E-1,3.618350963072E-1, +-3.916574461536E-1)); +#10020=CARTESIAN_POINT('',(1.100942152890E-1,3.989505518458E-1, +-3.900247689883E-1)); +#10021=CARTESIAN_POINT('',(1.056164979153E-1,4.368029707331E-1, +-3.883920918229E-1)); +#10022=CARTESIAN_POINT('',(8.851863444038E-2,4.708693564100E-1, +-3.867594146576E-1)); +#10023=CARTESIAN_POINT('',(6.084365355137E-2,4.970791065287E-1, +-3.851267374922E-1)); +#10024=CARTESIAN_POINT('',(2.589844677619E-2,5.123004104129E-1, +-3.834940603269E-1)); +#10025=CARTESIAN_POINT('',(-1.214137294831E-2,5.147144700276E-1, +-3.818613831615E-1)); +#10026=CARTESIAN_POINT('',(-4.873041648252E-2,5.040328286862E-1, +-3.802287059961E-1)); +#10027=CARTESIAN_POINT('',(-7.949664835642E-2,4.815318388240E-1, +-3.785960288308E-1)); +#10028=CARTESIAN_POINT('',(-1.007638025614E-1,4.499001502741E-1, +-3.769633516654E-1)); +#10029=CARTESIAN_POINT('',(-1.099906606466E-1,4.129174427155E-1, +-3.753306745001E-1)); +#10030=CARTESIAN_POINT('',(-1.060747026516E-1,3.750027906469E-1, +-3.736979973347E-1)); +#10031=CARTESIAN_POINT('',(-8.948384753801E-2,3.406866268885E-1, +-3.720653201694E-1)); +#10032=CARTESIAN_POINT('',(-6.220054141609E-2,3.140693998437E-1, +-3.704326430040E-1)); +#10033=CARTESIAN_POINT('',(-2.748487448185E-2,2.983316097255E-1, +-3.687999658387E-1)); +#10034=CARTESIAN_POINT('',(1.051496811055E-2,2.953537696598E-1, +-3.671672886733E-1)); +#10035=CARTESIAN_POINT('',(4.725837409986E-2,3.054917026218E-1, +-3.655346115080E-1)); +#10036=CARTESIAN_POINT('',(7.835486320427E-2,3.275340240549E-1, +-3.639019343426E-1)); +#10037=CARTESIAN_POINT('',(1.000887068716E-1,3.588468905275E-1, +-3.622692571773E-1)); +#10038=CARTESIAN_POINT('',(1.098629218319E-1,3.956887185888E-1, +-3.606365800119E-1)); +#10039=CARTESIAN_POINT('',(1.065095841399E-1,4.336572671540E-1, +-3.590039028465E-1)); +#10040=CARTESIAN_POINT('',(9.042938566297E-2,4.682156644814E-1, +-3.573712256812E-1)); +#10041=CARTESIAN_POINT('',(6.354375187436E-2,4.952345131807E-1, +-3.557385485158E-1)); +#10042=CARTESIAN_POINT('',(2.906526292462E-2,5.114853396724E-1, +-3.541058713505E-1)); +#10043=CARTESIAN_POINT('',(-8.886266121740E-3,5.150262663044E-1, +-3.524731941851E-1)); +#10044=CARTESIAN_POINT('',(-4.577588544787E-2,5.054344168433E-1, +-3.508405170198E-1)); +#10045=CARTESIAN_POINT('',(-7.719605629573E-2,4.838550653508E-1, +-3.492078398544E-1)); +#10046=CARTESIAN_POINT('',(-9.199257439904E-2,4.631983151166E-1, +-3.481193884109E-1)); +#10047=CARTESIAN_POINT('',(-9.741221556886E-2,4.519173876515E-1, +-3.475751626891E-1)); +#10048=CARTESIAN_POINT('',(8.324694460279E-2,3.362032473769E-1, +-4.491177506968E-1)); +#10049=CARTESIAN_POINT('',(9.122770517416E-2,3.458437502467E-1, +-4.485735249750E-1)); +#10050=CARTESIAN_POINT('',(1.038038477285E-1,3.679226749640E-1, +-4.474850735314E-1)); +#10051=CARTESIAN_POINT('',(1.102662978958E-1,4.054877156905E-1, +-4.458523963660E-1)); +#10052=CARTESIAN_POINT('',(1.035525168240E-1,4.430080133149E-1, +-4.442197192007E-1)); +#10053=CARTESIAN_POINT('',(8.446534374969E-2,4.760009904828E-1, +-4.425870420353E-1)); +#10054=CARTESIAN_POINT('',(5.528534774281E-2,5.005241100836E-1, +-4.409543648700E-1)); +#10055=CARTESIAN_POINT('',(1.949929864841E-2,5.136471501073E-1, +-4.393216877046E-1)); +#10056=CARTESIAN_POINT('',(-1.861672979157E-2,5.138020205592E-1, +-4.376890105393E-1)); +#10057=CARTESIAN_POINT('',(-5.450823910398E-2,5.009702197074E-1, +-4.360563333739E-1)); +#10058=CARTESIAN_POINT('',(-8.388654322537E-2,4.766850221596E-1, +-4.344236562086E-1)); +#10059=CARTESIAN_POINT('',(-1.032412198137E-1,4.438482735166E-1, +-4.327909790432E-1)); +#10060=CARTESIAN_POINT('',(-1.102595729859E-1,4.063836463660E-1, +-4.311583018779E-1)); +#10061=CARTESIAN_POINT('',(-1.041029776607E-1,3.687677999388E-1, +-4.295256247125E-1)); +#10062=CARTESIAN_POINT('',(-8.550708721138E-2,3.354954626765E-1, +-4.278929475472E-1)); +#10063=CARTESIAN_POINT('',(-5.669393000334E-2,3.105423558519E-1, +-4.262602703818E-1)); +#10064=CARTESIAN_POINT('',(-2.110639858474E-2,2.968901334679E-1, +-4.246275932165E-1)); +#10065=CARTESIAN_POINT('',(1.700314254890E-2,2.961701035539E-1, +-4.229949160511E-1)); +#10066=CARTESIAN_POINT('',(5.308097322648E-2,3.084683026941E-1, +-4.213622388857E-1)); +#10067=CARTESIAN_POINT('',(8.281614295114E-2,3.323152154910E-1, +-4.197295617204E-1)); +#10068=CARTESIAN_POINT('',(1.026555876308E-1,3.648613673879E-1, +-4.180968845550E-1)); +#10069=CARTESIAN_POINT('',(1.102286862417E-1,4.022178092142E-1, +-4.164642073897E-1)); +#10070=CARTESIAN_POINT('',(1.046305270248E-1,4.399208088545E-1, +-4.148315302243E-1)); +#10071=CARTESIAN_POINT('',(8.653003565552E-2,4.734652238991E-1, +-4.131988530590E-1)); +#10072=CARTESIAN_POINT('',(5.809004512024E-2,4.988428224231E-1, +-4.115661758936E-1)); +#10073=CARTESIAN_POINT('',(2.270885817233E-2,5.130212277866E-1, +-4.099334987283E-1)); +#10074=CARTESIAN_POINT('',(-1.538581685350E-2,5.143062582027E-1, +-4.083008215629E-1)); +#10075=CARTESIAN_POINT('',(-5.164203614174E-2,5.025443650125E-1, +-4.066681443975E-1)); +#10076=CARTESIAN_POINT('',(-8.172753348846E-2,4.791409802595E-1, +-4.050354672322E-1)); +#10077=CARTESIAN_POINT('',(-1.020473840520E-1,4.468925812051E-1, +-4.034027900668E-1)); +#10078=CARTESIAN_POINT('',(-1.101735629695E-1,4.096525384461E-1, +-4.017701129015E-1)); +#10079=CARTESIAN_POINT('',(-1.051350707551E-1,3.718706755394E-1, +-4.001374357361E-1)); +#10080=CARTESIAN_POINT('',(-8.753395831101E-2,3.380615582894E-1, +-3.985047585708E-1)); +#10081=CARTESIAN_POINT('',(-5.947338769037E-2,3.122650479646E-1, +-3.968720814054E-1)); +#10082=CARTESIAN_POINT('',(-2.430632465044E-2,2.975635770980E-1, +-3.952394042401E-1)); +#10083=CARTESIAN_POINT('',(1.376510820223E-2,2.957138287260E-1, +-3.936067270747E-1)); +#10084=CARTESIAN_POINT('',(5.019174426614E-2,3.069368298203E-1, +-3.919740499094E-1)); +#10085=CARTESIAN_POINT('',(8.062095418720E-2,3.298915407110E-1, +-3.903413727440E-1)); +#10086=CARTESIAN_POINT('',(1.014167428081E-1,3.618350963072E-1, +-3.887086955786E-1)); +#10087=CARTESIAN_POINT('',(1.100942152890E-1,3.989505518458E-1, +-3.870760184133E-1)); +#10088=CARTESIAN_POINT('',(1.056164979153E-1,4.368029707331E-1, +-3.854433412479E-1)); +#10089=CARTESIAN_POINT('',(8.851863444038E-2,4.708693564100E-1, +-3.838106640826E-1)); +#10090=CARTESIAN_POINT('',(6.084365355137E-2,4.970791065287E-1, +-3.821779869172E-1)); +#10091=CARTESIAN_POINT('',(2.589844677619E-2,5.123004104129E-1, +-3.805453097519E-1)); +#10092=CARTESIAN_POINT('',(-1.214137294831E-2,5.147144700276E-1, +-3.789126325865E-1)); +#10093=CARTESIAN_POINT('',(-4.873041648252E-2,5.040328286862E-1, +-3.772799554212E-1)); +#10094=CARTESIAN_POINT('',(-7.949664835642E-2,4.815318388240E-1, +-3.756472782558E-1)); +#10095=CARTESIAN_POINT('',(-1.007638025614E-1,4.499001502741E-1, +-3.740146010905E-1)); +#10096=CARTESIAN_POINT('',(-1.099906606466E-1,4.129174427155E-1, +-3.723819239251E-1)); +#10097=CARTESIAN_POINT('',(-1.060747026516E-1,3.750027906469E-1, +-3.707492467597E-1)); +#10098=CARTESIAN_POINT('',(-8.948384753801E-2,3.406866268885E-1, +-3.691165695944E-1)); +#10099=CARTESIAN_POINT('',(-6.220054141609E-2,3.140693998437E-1, +-3.674838924290E-1)); +#10100=CARTESIAN_POINT('',(-2.748487448185E-2,2.983316097255E-1, +-3.658512152637E-1)); +#10101=CARTESIAN_POINT('',(1.051496811055E-2,2.953537696598E-1, +-3.642185380983E-1)); +#10102=CARTESIAN_POINT('',(4.725837409986E-2,3.054917026218E-1, +-3.625858609330E-1)); +#10103=CARTESIAN_POINT('',(7.835486320427E-2,3.275340240549E-1, +-3.609531837676E-1)); +#10104=CARTESIAN_POINT('',(1.000887068716E-1,3.588468905275E-1, +-3.593205066023E-1)); +#10105=CARTESIAN_POINT('',(1.098629218319E-1,3.956887185888E-1, +-3.576878294369E-1)); +#10106=CARTESIAN_POINT('',(1.065095841399E-1,4.336572671540E-1, +-3.560551522716E-1)); +#10107=CARTESIAN_POINT('',(9.042938566297E-2,4.682156644814E-1, +-3.544224751062E-1)); +#10108=CARTESIAN_POINT('',(6.354375187436E-2,4.952345131807E-1, +-3.527897979408E-1)); +#10109=CARTESIAN_POINT('',(2.906526292462E-2,5.114853396724E-1, +-3.511571207755E-1)); +#10110=CARTESIAN_POINT('',(-8.886266121740E-3,5.150262663044E-1, +-3.495244436101E-1)); +#10111=CARTESIAN_POINT('',(-4.577588544787E-2,5.054344168433E-1, +-3.478917664448E-1)); +#10112=CARTESIAN_POINT('',(-7.719605629573E-2,4.838550653508E-1, +-3.462590892794E-1)); +#10113=CARTESIAN_POINT('',(-9.199257439904E-2,4.631983151166E-1, +-3.451706378359E-1)); +#10114=CARTESIAN_POINT('',(-9.741221556886E-2,4.519173876515E-1, +-3.446264121141E-1)); +#10115=CARTESIAN_POINT('',(8.324694460279E-2,3.362032473769E-1, +-4.461690001218E-1)); +#10116=CARTESIAN_POINT('',(9.122770517416E-2,3.458437502467E-1, +-4.456247744E-1)); +#10117=CARTESIAN_POINT('',(1.038038477285E-1,3.679226749640E-1, +-4.445363229564E-1)); +#10118=CARTESIAN_POINT('',(1.102662978958E-1,4.054877156905E-1, +-4.429036457911E-1)); +#10119=CARTESIAN_POINT('',(1.035525168240E-1,4.430080133149E-1, +-4.412709686257E-1)); +#10120=CARTESIAN_POINT('',(8.446534374969E-2,4.760009904828E-1, +-4.396382914604E-1)); +#10121=CARTESIAN_POINT('',(5.528534774281E-2,5.005241100836E-1, +-4.380056142950E-1)); +#10122=CARTESIAN_POINT('',(1.949929864841E-2,5.136471501073E-1, +-4.363729371296E-1)); +#10123=CARTESIAN_POINT('',(-1.861672979157E-2,5.138020205592E-1, +-4.347402599643E-1)); +#10124=CARTESIAN_POINT('',(-5.450823910398E-2,5.009702197074E-1, +-4.331075827989E-1)); +#10125=CARTESIAN_POINT('',(-8.388654322537E-2,4.766850221596E-1, +-4.314749056336E-1)); +#10126=CARTESIAN_POINT('',(-1.032412198137E-1,4.438482735166E-1, +-4.298422284682E-1)); +#10127=CARTESIAN_POINT('',(-1.102595729859E-1,4.063836463660E-1, +-4.282095513029E-1)); +#10128=CARTESIAN_POINT('',(-1.041029776607E-1,3.687677999388E-1, +-4.265768741375E-1)); +#10129=CARTESIAN_POINT('',(-8.550708721138E-2,3.354954626765E-1, +-4.249441969722E-1)); +#10130=CARTESIAN_POINT('',(-5.669393000334E-2,3.105423558519E-1, +-4.233115198068E-1)); +#10131=CARTESIAN_POINT('',(-2.110639858474E-2,2.968901334679E-1, +-4.216788426415E-1)); +#10132=CARTESIAN_POINT('',(1.700314254890E-2,2.961701035539E-1, +-4.200461654761E-1)); +#10133=CARTESIAN_POINT('',(5.308097322648E-2,3.084683026941E-1, +-4.184134883108E-1)); +#10134=CARTESIAN_POINT('',(8.281614295114E-2,3.323152154910E-1, +-4.167808111454E-1)); +#10135=CARTESIAN_POINT('',(1.026555876308E-1,3.648613673879E-1, +-4.151481339800E-1)); +#10136=CARTESIAN_POINT('',(1.102286862417E-1,4.022178092142E-1, +-4.135154568147E-1)); +#10137=CARTESIAN_POINT('',(1.046305270248E-1,4.399208088545E-1, +-4.118827796493E-1)); +#10138=CARTESIAN_POINT('',(8.653003565552E-2,4.734652238991E-1, +-4.102501024840E-1)); +#10139=CARTESIAN_POINT('',(5.809004512024E-2,4.988428224231E-1, +-4.086174253186E-1)); +#10140=CARTESIAN_POINT('',(2.270885817233E-2,5.130212277866E-1, +-4.069847481533E-1)); +#10141=CARTESIAN_POINT('',(-1.538581685350E-2,5.143062582027E-1, +-4.053520709879E-1)); +#10142=CARTESIAN_POINT('',(-5.164203614174E-2,5.025443650125E-1, +-4.037193938226E-1)); +#10143=CARTESIAN_POINT('',(-8.172753348846E-2,4.791409802595E-1, +-4.020867166572E-1)); +#10144=CARTESIAN_POINT('',(-1.020473840520E-1,4.468925812051E-1, +-4.004540394919E-1)); +#10145=CARTESIAN_POINT('',(-1.101735629695E-1,4.096525384461E-1, +-3.988213623265E-1)); +#10146=CARTESIAN_POINT('',(-1.051350707551E-1,3.718706755394E-1, +-3.971886851611E-1)); +#10147=CARTESIAN_POINT('',(-8.753395831101E-2,3.380615582894E-1, +-3.955560079958E-1)); +#10148=CARTESIAN_POINT('',(-5.947338769037E-2,3.122650479646E-1, +-3.939233308304E-1)); +#10149=CARTESIAN_POINT('',(-2.430632465044E-2,2.975635770980E-1, +-3.922906536651E-1)); +#10150=CARTESIAN_POINT('',(1.376510820223E-2,2.957138287260E-1, +-3.906579764997E-1)); +#10151=CARTESIAN_POINT('',(5.019174426614E-2,3.069368298203E-1, +-3.890252993344E-1)); +#10152=CARTESIAN_POINT('',(8.062095418720E-2,3.298915407110E-1, +-3.873926221690E-1)); +#10153=CARTESIAN_POINT('',(1.014167428081E-1,3.618350963072E-1, +-3.857599450037E-1)); +#10154=CARTESIAN_POINT('',(1.100942152890E-1,3.989505518458E-1, +-3.841272678383E-1)); +#10155=CARTESIAN_POINT('',(1.056164979153E-1,4.368029707331E-1, +-3.824945906729E-1)); +#10156=CARTESIAN_POINT('',(8.851863444038E-2,4.708693564100E-1, +-3.808619135076E-1)); +#10157=CARTESIAN_POINT('',(6.084365355137E-2,4.970791065287E-1, +-3.792292363422E-1)); +#10158=CARTESIAN_POINT('',(2.589844677619E-2,5.123004104129E-1, +-3.775965591769E-1)); +#10159=CARTESIAN_POINT('',(-1.214137294831E-2,5.147144700276E-1, +-3.759638820115E-1)); +#10160=CARTESIAN_POINT('',(-4.873041648252E-2,5.040328286862E-1, +-3.743312048462E-1)); +#10161=CARTESIAN_POINT('',(-7.949664835642E-2,4.815318388240E-1, +-3.726985276808E-1)); +#10162=CARTESIAN_POINT('',(-1.007638025614E-1,4.499001502741E-1, +-3.710658505155E-1)); +#10163=CARTESIAN_POINT('',(-1.099906606466E-1,4.129174427155E-1, +-3.694331733501E-1)); +#10164=CARTESIAN_POINT('',(-1.060747026516E-1,3.750027906469E-1, +-3.678004961848E-1)); +#10165=CARTESIAN_POINT('',(-8.948384753801E-2,3.406866268885E-1, +-3.661678190194E-1)); +#10166=CARTESIAN_POINT('',(-6.220054141609E-2,3.140693998437E-1, +-3.645351418540E-1)); +#10167=CARTESIAN_POINT('',(-2.748487448185E-2,2.983316097255E-1, +-3.629024646887E-1)); +#10168=CARTESIAN_POINT('',(1.051496811055E-2,2.953537696598E-1, +-3.612697875233E-1)); +#10169=CARTESIAN_POINT('',(4.725837409986E-2,3.054917026218E-1, +-3.596371103580E-1)); +#10170=CARTESIAN_POINT('',(7.835486320427E-2,3.275340240549E-1, +-3.580044331926E-1)); +#10171=CARTESIAN_POINT('',(1.000887068716E-1,3.588468905275E-1, +-3.563717560273E-1)); +#10172=CARTESIAN_POINT('',(1.098629218319E-1,3.956887185888E-1, +-3.547390788619E-1)); +#10173=CARTESIAN_POINT('',(1.065095841399E-1,4.336572671540E-1, +-3.531064016966E-1)); +#10174=CARTESIAN_POINT('',(9.042938566297E-2,4.682156644814E-1, +-3.514737245312E-1)); +#10175=CARTESIAN_POINT('',(6.354375187436E-2,4.952345131807E-1, +-3.498410473659E-1)); +#10176=CARTESIAN_POINT('',(2.906526292462E-2,5.114853396724E-1, +-3.482083702005E-1)); +#10177=CARTESIAN_POINT('',(-8.886266121740E-3,5.150262663044E-1, +-3.465756930352E-1)); +#10178=CARTESIAN_POINT('',(-4.577588544787E-2,5.054344168433E-1, +-3.449430158698E-1)); +#10179=CARTESIAN_POINT('',(-7.719605629573E-2,4.838550653508E-1, +-3.433103387044E-1)); +#10180=CARTESIAN_POINT('',(-9.199257439904E-2,4.631983151166E-1, +-3.422218872609E-1)); +#10181=CARTESIAN_POINT('',(-9.741221556886E-2,4.519173876515E-1, +-3.416776615391E-1)); +#10182=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#9914,#9915,#9916,#9917,#9918,#9919, +#9920,#9921,#9922,#9923,#9924,#9925,#9926,#9927,#9928,#9929,#9930,#9931,#9932, +#9933,#9934,#9935,#9936,#9937,#9938,#9939,#9940,#9941,#9942,#9943,#9944,#9945, +#9946,#9947,#9948,#9949,#9950,#9951,#9952,#9953,#9954,#9955,#9956,#9957,#9958, +#9959,#9960,#9961,#9962,#9963,#9964,#9965,#9966,#9967,#9968,#9969,#9970,#9971, +#9972,#9973,#9974,#9975,#9976,#9977,#9978,#9979,#9980),(#9981,#9982,#9983,#9984, +#9985,#9986,#9987,#9988,#9989,#9990,#9991,#9992,#9993,#9994,#9995,#9996,#9997, +#9998,#9999,#10000,#10001,#10002,#10003,#10004,#10005,#10006,#10007,#10008, +#10009,#10010,#10011,#10012,#10013,#10014,#10015,#10016,#10017,#10018,#10019, +#10020,#10021,#10022,#10023,#10024,#10025,#10026,#10027,#10028,#10029,#10030, +#10031,#10032,#10033,#10034,#10035,#10036,#10037,#10038,#10039,#10040,#10041, +#10042,#10043,#10044,#10045,#10046,#10047),(#10048,#10049,#10050,#10051,#10052, +#10053,#10054,#10055,#10056,#10057,#10058,#10059,#10060,#10061,#10062,#10063, +#10064,#10065,#10066,#10067,#10068,#10069,#10070,#10071,#10072,#10073,#10074, +#10075,#10076,#10077,#10078,#10079,#10080,#10081,#10082,#10083,#10084,#10085, +#10086,#10087,#10088,#10089,#10090,#10091,#10092,#10093,#10094,#10095,#10096, +#10097,#10098,#10099,#10100,#10101,#10102,#10103,#10104,#10105,#10106,#10107, +#10108,#10109,#10110,#10111,#10112,#10113,#10114),(#10115,#10116,#10117,#10118, +#10119,#10120,#10121,#10122,#10123,#10124,#10125,#10126,#10127,#10128,#10129, +#10130,#10131,#10132,#10133,#10134,#10135,#10136,#10137,#10138,#10139,#10140, +#10141,#10142,#10143,#10144,#10145,#10146,#10147,#10148,#10149,#10150,#10151, +#10152,#10153,#10154,#10155,#10156,#10157,#10158,#10159,#10160,#10161,#10162, +#10163,#10164,#10165,#10166,#10167,#10168,#10169,#10170,#10171,#10172,#10173, +#10174,#10175,#10176,#10177,#10178,#10179,#10180,#10181)),.UNSPECIFIED.,.F.,.F., +.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),( +-7.362592222293E-2,1.073625922223E0),(-2.E-2,-3.75E-3,1.25E-2,2.875E-2,4.5E-2, +6.125E-2,7.75E-2,9.375E-2,1.1E-1,1.2625E-1,1.425E-1,1.5875E-1,1.75E-1,1.9125E-1, +2.075E-1,2.2375E-1,2.4E-1,2.5625E-1,2.725E-1,2.8875E-1,3.05E-1,3.2125E-1, +3.375E-1,3.5375E-1,3.7E-1,3.8625E-1,4.025E-1,4.1875E-1,4.35E-1,4.5125E-1, +4.675E-1,4.8375E-1,5.E-1,5.1625E-1,5.325E-1,5.4875E-1,5.65E-1,5.8125E-1, +5.975E-1,6.1375E-1,6.3E-1,6.4625E-1,6.625E-1,6.7875E-1,6.95E-1,7.1125E-1, +7.275E-1,7.4375E-1,7.6E-1,7.7625E-1,7.925E-1,8.0875E-1,8.25E-1,8.4125E-1, +8.575E-1,8.7375E-1,8.9E-1,9.0625E-1,9.225E-1,9.3875E-1,9.55E-1,9.7125E-1, +9.875E-1,1.00375E0,1.02E0),.UNSPECIFIED.); +#10183=ORIENTED_EDGE('',*,*,#9760,.T.); +#10184=ORIENTED_EDGE('',*,*,#9909,.T.); +#10186=ORIENTED_EDGE('',*,*,#10185,.F.); +#10188=ORIENTED_EDGE('',*,*,#10187,.F.); +#10190=ORIENTED_EDGE('',*,*,#10189,.T.); +#10192=ORIENTED_EDGE('',*,*,#10191,.T.); +#10194=ORIENTED_EDGE('',*,*,#10193,.T.); +#10195=EDGE_LOOP('',(#10183,#10184,#10186,#10188,#10190,#10192,#10194)); +#10196=FACE_OUTER_BOUND('',#10195,.F.); +#10197=ADVANCED_FACE('',(#10196),#10182,.F.); +#10198=CARTESIAN_POINT('',(-5.095040324081E-2,5.004248178607E-1, +-3.534939348434E-1)); +#10199=CARTESIAN_POINT('',(-6.150248545320E-2,4.947837370055E-1, +-3.529736245982E-1)); +#10200=CARTESIAN_POINT('',(-8.071316434870E-2,4.799656862689E-1, +-3.519330041077E-1)); +#10201=CARTESIAN_POINT('',(-1.007080870669E-1,4.495556718819E-1, +-3.503720733719E-1)); +#10202=CARTESIAN_POINT('',(-1.096936847787E-1,4.142882967141E-1, +-3.488111426362E-1)); +#10203=CARTESIAN_POINT('',(-1.066883781065E-1,3.780184166869E-1, +-3.472502119004E-1)); +#10204=CARTESIAN_POINT('',(-9.202050896501E-2,3.447109306220E-1, +-3.456892811647E-1)); +#10205=CARTESIAN_POINT('',(-6.729352778685E-2,3.180067844934E-1, +-3.441283504290E-1)); +#10206=CARTESIAN_POINT('',(-3.521042480738E-2,3.008251200047E-1, +-3.425674196932E-1)); +#10207=CARTESIAN_POINT('',(7.216668747288E-4,2.950441303412E-1, +-3.410064889575E-1)); +#10208=CARTESIAN_POINT('',(3.657487052043E-2,3.012957586196E-1, +-3.394455582217E-1)); +#10209=CARTESIAN_POINT('',(6.842993177486E-2,3.188966147359E-1, +-3.378846274860E-1)); +#10210=CARTESIAN_POINT('',(9.280464920145E-2,3.459226802115E-1, +-3.363236967502E-1)); +#10211=CARTESIAN_POINT('',(1.070345269591E-1,3.794196299746E-1, +-3.347627660145E-1)); +#10212=CARTESIAN_POINT('',(1.095640413848E-1,4.157257812615E-1, +-3.332018352787E-1)); +#10213=CARTESIAN_POINT('',(1.001166813488E-1,4.508723664844E-1, +-3.316409045430E-1)); +#10214=CARTESIAN_POINT('',(7.972517477684E-2,4.810173747646E-1, +-3.300799738072E-1)); +#10215=CARTESIAN_POINT('',(5.061859711353E-2,5.028655371865E-1, +-3.285190430715E-1)); +#10216=CARTESIAN_POINT('',(1.597870235661E-2,5.140285455495E-1, +-3.269581123357E-1)); +#10217=CARTESIAN_POINT('',(-2.040788693406E-2,5.132861276907E-1, +-3.253971816E-1)); +#10218=CARTESIAN_POINT('',(-5.456361017833E-2,5.007194402137E-1, +-3.238362508643E-1)); +#10219=CARTESIAN_POINT('',(-8.275477150055E-2,4.777021969426E-1, +-3.222753201285E-1)); +#10220=CARTESIAN_POINT('',(-1.018996846486E-1,4.467505028858E-1, +-3.207143893928E-1)); +#10221=CARTESIAN_POINT('',(-1.099055441863E-1,4.112478089347E-1, +-3.191534586570E-1)); +#10222=CARTESIAN_POINT('',(-1.058971982559E-1,3.750750536284E-1, +-3.175925279213E-1)); +#10223=CARTESIAN_POINT('',(-9.031281484002E-2,3.421864224416E-1, +-3.160315971855E-1)); +#10224=CARTESIAN_POINT('',(-6.485598395952E-2,3.161771007345E-1, +-3.144706664498E-1)); +#10225=CARTESIAN_POINT('',(-3.230949136707E-2,2.998902682823E-1, +-3.129097357140E-1)); +#10226=CARTESIAN_POINT('',(3.768877880421E-3,2.951063064212E-1, +-3.113488049783E-1)); +#10227=CARTESIAN_POINT('',(3.943525775756E-2,3.023481542225E-1, +-3.097878742425E-1)); +#10228=CARTESIAN_POINT('',(7.079080982318E-2,3.208242311883E-1, +-3.082269435068E-1)); +#10229=CARTESIAN_POINT('',(9.440796115574E-2,3.485146425323E-1, +-3.066660127710E-1)); +#10230=CARTESIAN_POINT('',(1.077049341175E-1,3.823931965095E-1, +-3.051050820353E-1)); +#10231=CARTESIAN_POINT('',(1.087206690834E-1,4.066335160977E-1, +-3.040644615448E-1)); +#10232=CARTESIAN_POINT('',(1.072383306785E-1,4.185066330047E-1, +-3.035441512995E-1)); +#10233=CARTESIAN_POINT('',(-5.095040324081E-2,5.004248178607E-1, +-3.505451842684E-1)); +#10234=CARTESIAN_POINT('',(-6.150248545320E-2,4.947837370055E-1, +-3.500248740232E-1)); +#10235=CARTESIAN_POINT('',(-8.071316434870E-2,4.799656862689E-1, +-3.489842535327E-1)); +#10236=CARTESIAN_POINT('',(-1.007080870669E-1,4.495556718819E-1, +-3.474233227969E-1)); +#10237=CARTESIAN_POINT('',(-1.096936847787E-1,4.142882967141E-1, +-3.458623920612E-1)); +#10238=CARTESIAN_POINT('',(-1.066883781065E-1,3.780184166869E-1, +-3.443014613255E-1)); +#10239=CARTESIAN_POINT('',(-9.202050896501E-2,3.447109306220E-1, +-3.427405305897E-1)); +#10240=CARTESIAN_POINT('',(-6.729352778685E-2,3.180067844934E-1, +-3.411795998540E-1)); +#10241=CARTESIAN_POINT('',(-3.521042480738E-2,3.008251200047E-1, +-3.396186691182E-1)); +#10242=CARTESIAN_POINT('',(7.216668747288E-4,2.950441303412E-1, +-3.380577383825E-1)); +#10243=CARTESIAN_POINT('',(3.657487052043E-2,3.012957586196E-1, +-3.364968076467E-1)); +#10244=CARTESIAN_POINT('',(6.842993177486E-2,3.188966147359E-1, +-3.349358769110E-1)); +#10245=CARTESIAN_POINT('',(9.280464920145E-2,3.459226802115E-1, +-3.333749461752E-1)); +#10246=CARTESIAN_POINT('',(1.070345269591E-1,3.794196299746E-1, +-3.318140154395E-1)); +#10247=CARTESIAN_POINT('',(1.095640413848E-1,4.157257812615E-1, +-3.302530847037E-1)); +#10248=CARTESIAN_POINT('',(1.001166813488E-1,4.508723664844E-1, +-3.286921539680E-1)); +#10249=CARTESIAN_POINT('',(7.972517477684E-2,4.810173747646E-1, +-3.271312232322E-1)); +#10250=CARTESIAN_POINT('',(5.061859711353E-2,5.028655371865E-1, +-3.255702924965E-1)); +#10251=CARTESIAN_POINT('',(1.597870235661E-2,5.140285455495E-1, +-3.240093617607E-1)); +#10252=CARTESIAN_POINT('',(-2.040788693406E-2,5.132861276907E-1, +-3.224484310250E-1)); +#10253=CARTESIAN_POINT('',(-5.456361017833E-2,5.007194402137E-1, +-3.208875002892E-1)); +#10254=CARTESIAN_POINT('',(-8.275477150055E-2,4.777021969426E-1, +-3.193265695535E-1)); +#10255=CARTESIAN_POINT('',(-1.018996846486E-1,4.467505028858E-1, +-3.177656388177E-1)); +#10256=CARTESIAN_POINT('',(-1.099055441863E-1,4.112478089347E-1, +-3.162047080820E-1)); +#10257=CARTESIAN_POINT('',(-1.058971982559E-1,3.750750536284E-1, +-3.146437773462E-1)); +#10258=CARTESIAN_POINT('',(-9.031281484002E-2,3.421864224416E-1, +-3.130828466105E-1)); +#10259=CARTESIAN_POINT('',(-6.485598395952E-2,3.161771007345E-1, +-3.115219158747E-1)); +#10260=CARTESIAN_POINT('',(-3.230949136707E-2,2.998902682823E-1, +-3.099609851390E-1)); +#10261=CARTESIAN_POINT('',(3.768877880421E-3,2.951063064212E-1, +-3.084000544032E-1)); +#10262=CARTESIAN_POINT('',(3.943525775756E-2,3.023481542225E-1, +-3.068391236675E-1)); +#10263=CARTESIAN_POINT('',(7.079080982318E-2,3.208242311883E-1, +-3.052781929317E-1)); +#10264=CARTESIAN_POINT('',(9.440796115574E-2,3.485146425323E-1, +-3.037172621960E-1)); +#10265=CARTESIAN_POINT('',(1.077049341175E-1,3.823931965095E-1, +-3.021563314602E-1)); +#10266=CARTESIAN_POINT('',(1.087206690834E-1,4.066335160977E-1, +-3.011157109698E-1)); +#10267=CARTESIAN_POINT('',(1.072383306785E-1,4.185066330047E-1, +-3.005954007245E-1)); +#10268=CARTESIAN_POINT('',(-5.095040324081E-2,5.004248178607E-1, +-3.475964336934E-1)); +#10269=CARTESIAN_POINT('',(-6.150248545320E-2,4.947837370055E-1, +-3.470761234482E-1)); +#10270=CARTESIAN_POINT('',(-8.071316434870E-2,4.799656862689E-1, +-3.460355029577E-1)); +#10271=CARTESIAN_POINT('',(-1.007080870669E-1,4.495556718819E-1, +-3.444745722220E-1)); +#10272=CARTESIAN_POINT('',(-1.096936847787E-1,4.142882967141E-1, +-3.429136414862E-1)); +#10273=CARTESIAN_POINT('',(-1.066883781065E-1,3.780184166869E-1, +-3.413527107505E-1)); +#10274=CARTESIAN_POINT('',(-9.202050896501E-2,3.447109306220E-1, +-3.397917800147E-1)); +#10275=CARTESIAN_POINT('',(-6.729352778685E-2,3.180067844934E-1, +-3.382308492790E-1)); +#10276=CARTESIAN_POINT('',(-3.521042480738E-2,3.008251200047E-1, +-3.366699185433E-1)); +#10277=CARTESIAN_POINT('',(7.216668747288E-4,2.950441303412E-1, +-3.351089878075E-1)); +#10278=CARTESIAN_POINT('',(3.657487052043E-2,3.012957586196E-1, +-3.335480570718E-1)); +#10279=CARTESIAN_POINT('',(6.842993177486E-2,3.188966147359E-1, +-3.319871263360E-1)); +#10280=CARTESIAN_POINT('',(9.280464920145E-2,3.459226802115E-1, +-3.304261956003E-1)); +#10281=CARTESIAN_POINT('',(1.070345269591E-1,3.794196299746E-1, +-3.288652648646E-1)); +#10282=CARTESIAN_POINT('',(1.095640413848E-1,4.157257812615E-1, +-3.273043341288E-1)); +#10283=CARTESIAN_POINT('',(1.001166813488E-1,4.508723664844E-1, +-3.257434033931E-1)); +#10284=CARTESIAN_POINT('',(7.972517477684E-2,4.810173747646E-1, +-3.241824726573E-1)); +#10285=CARTESIAN_POINT('',(5.061859711353E-2,5.028655371865E-1, +-3.226215419216E-1)); +#10286=CARTESIAN_POINT('',(1.597870235661E-2,5.140285455495E-1, +-3.210606111858E-1)); +#10287=CARTESIAN_POINT('',(-2.040788693406E-2,5.132861276907E-1, +-3.194996804501E-1)); +#10288=CARTESIAN_POINT('',(-5.456361017833E-2,5.007194402137E-1, +-3.179387497144E-1)); +#10289=CARTESIAN_POINT('',(-8.275477150055E-2,4.777021969426E-1, +-3.163778189786E-1)); +#10290=CARTESIAN_POINT('',(-1.018996846486E-1,4.467505028858E-1, +-3.148168882429E-1)); +#10291=CARTESIAN_POINT('',(-1.099055441863E-1,4.112478089347E-1, +-3.132559575071E-1)); +#10292=CARTESIAN_POINT('',(-1.058971982559E-1,3.750750536284E-1, +-3.116950267714E-1)); +#10293=CARTESIAN_POINT('',(-9.031281484002E-2,3.421864224416E-1, +-3.101340960357E-1)); +#10294=CARTESIAN_POINT('',(-6.485598395952E-2,3.161771007345E-1, +-3.085731652999E-1)); +#10295=CARTESIAN_POINT('',(-3.230949136707E-2,2.998902682823E-1, +-3.070122345642E-1)); +#10296=CARTESIAN_POINT('',(3.768877880421E-3,2.951063064212E-1, +-3.054513038284E-1)); +#10297=CARTESIAN_POINT('',(3.943525775756E-2,3.023481542225E-1, +-3.038903730927E-1)); +#10298=CARTESIAN_POINT('',(7.079080982318E-2,3.208242311883E-1, +-3.023294423570E-1)); +#10299=CARTESIAN_POINT('',(9.440796115574E-2,3.485146425323E-1, +-3.007685116212E-1)); +#10300=CARTESIAN_POINT('',(1.077049341175E-1,3.823931965095E-1, +-2.992075808855E-1)); +#10301=CARTESIAN_POINT('',(1.087206690834E-1,4.066335160977E-1, +-2.981669603950E-1)); +#10302=CARTESIAN_POINT('',(1.072383306785E-1,4.185066330047E-1, +-2.976466501497E-1)); +#10303=CARTESIAN_POINT('',(-5.095040324081E-2,5.004248178607E-1, +-3.446476831184E-1)); +#10304=CARTESIAN_POINT('',(-6.150248545320E-2,4.947837370055E-1, +-3.441273728732E-1)); +#10305=CARTESIAN_POINT('',(-8.071316434870E-2,4.799656862689E-1, +-3.430867523827E-1)); +#10306=CARTESIAN_POINT('',(-1.007080870669E-1,4.495556718819E-1, +-3.415258216470E-1)); +#10307=CARTESIAN_POINT('',(-1.096936847787E-1,4.142882967141E-1, +-3.399648909112E-1)); +#10308=CARTESIAN_POINT('',(-1.066883781065E-1,3.780184166869E-1, +-3.384039601755E-1)); +#10309=CARTESIAN_POINT('',(-9.202050896501E-2,3.447109306220E-1, +-3.368430294397E-1)); +#10310=CARTESIAN_POINT('',(-6.729352778685E-2,3.180067844934E-1, +-3.352820987040E-1)); +#10311=CARTESIAN_POINT('',(-3.521042480738E-2,3.008251200047E-1, +-3.337211679683E-1)); +#10312=CARTESIAN_POINT('',(7.216668747288E-4,2.950441303412E-1, +-3.321602372325E-1)); +#10313=CARTESIAN_POINT('',(3.657487052043E-2,3.012957586196E-1, +-3.305993064968E-1)); +#10314=CARTESIAN_POINT('',(6.842993177486E-2,3.188966147359E-1, +-3.290383757610E-1)); +#10315=CARTESIAN_POINT('',(9.280464920145E-2,3.459226802115E-1, +-3.274774450253E-1)); +#10316=CARTESIAN_POINT('',(1.070345269591E-1,3.794196299746E-1, +-3.259165142896E-1)); +#10317=CARTESIAN_POINT('',(1.095640413848E-1,4.157257812615E-1, +-3.243555835538E-1)); +#10318=CARTESIAN_POINT('',(1.001166813488E-1,4.508723664844E-1, +-3.227946528181E-1)); +#10319=CARTESIAN_POINT('',(7.972517477684E-2,4.810173747646E-1, +-3.212337220823E-1)); +#10320=CARTESIAN_POINT('',(5.061859711353E-2,5.028655371865E-1, +-3.196727913466E-1)); +#10321=CARTESIAN_POINT('',(1.597870235661E-2,5.140285455495E-1, +-3.181118606108E-1)); +#10322=CARTESIAN_POINT('',(-2.040788693406E-2,5.132861276907E-1, +-3.165509298751E-1)); +#10323=CARTESIAN_POINT('',(-5.456361017833E-2,5.007194402137E-1, +-3.149899991394E-1)); +#10324=CARTESIAN_POINT('',(-8.275477150055E-2,4.777021969426E-1, +-3.134290684036E-1)); +#10325=CARTESIAN_POINT('',(-1.018996846486E-1,4.467505028858E-1, +-3.118681376679E-1)); +#10326=CARTESIAN_POINT('',(-1.099055441863E-1,4.112478089347E-1, +-3.103072069321E-1)); +#10327=CARTESIAN_POINT('',(-1.058971982559E-1,3.750750536284E-1, +-3.087462761964E-1)); +#10328=CARTESIAN_POINT('',(-9.031281484002E-2,3.421864224416E-1, +-3.071853454607E-1)); +#10329=CARTESIAN_POINT('',(-6.485598395952E-2,3.161771007345E-1, +-3.056244147249E-1)); +#10330=CARTESIAN_POINT('',(-3.230949136707E-2,2.998902682823E-1, +-3.040634839892E-1)); +#10331=CARTESIAN_POINT('',(3.768877880421E-3,2.951063064212E-1, +-3.025025532534E-1)); +#10332=CARTESIAN_POINT('',(3.943525775756E-2,3.023481542225E-1, +-3.009416225177E-1)); +#10333=CARTESIAN_POINT('',(7.079080982318E-2,3.208242311883E-1, +-2.993806917819E-1)); +#10334=CARTESIAN_POINT('',(9.440796115574E-2,3.485146425323E-1, +-2.978197610462E-1)); +#10335=CARTESIAN_POINT('',(1.077049341175E-1,3.823931965095E-1, +-2.962588303105E-1)); +#10336=CARTESIAN_POINT('',(1.087206690834E-1,4.066335160977E-1, +-2.952182098200E-1)); +#10337=CARTESIAN_POINT('',(1.072383306785E-1,4.185066330047E-1, +-2.946978995747E-1)); +#10338=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#10198,#10199,#10200,#10201,#10202, +#10203,#10204,#10205,#10206,#10207,#10208,#10209,#10210,#10211,#10212,#10213, +#10214,#10215,#10216,#10217,#10218,#10219,#10220,#10221,#10222,#10223,#10224, +#10225,#10226,#10227,#10228,#10229,#10230,#10231,#10232),(#10233,#10234,#10235, +#10236,#10237,#10238,#10239,#10240,#10241,#10242,#10243,#10244,#10245,#10246, +#10247,#10248,#10249,#10250,#10251,#10252,#10253,#10254,#10255,#10256,#10257, +#10258,#10259,#10260,#10261,#10262,#10263,#10264,#10265,#10266,#10267),(#10268, +#10269,#10270,#10271,#10272,#10273,#10274,#10275,#10276,#10277,#10278,#10279, +#10280,#10281,#10282,#10283,#10284,#10285,#10286,#10287,#10288,#10289,#10290, +#10291,#10292,#10293,#10294,#10295,#10296,#10297,#10298,#10299,#10300,#10301, +#10302),(#10303,#10304,#10305,#10306,#10307,#10308,#10309,#10310,#10311,#10312, +#10313,#10314,#10315,#10316,#10317,#10318,#10319,#10320,#10321,#10322,#10323, +#10324,#10325,#10326,#10327,#10328,#10329,#10330,#10331,#10332,#10333,#10334, +#10335,#10336,#10337)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(-7.362592222295E-2, +1.073625922223E0),(-9.560559606422E-3,5.975349754014E-3,2.151125911445E-2, +3.704716847488E-2,5.258307783532E-2,6.811898719575E-2,8.365489655619E-2, +9.919080591663E-2,1.147267152771E-1,1.302626246375E-1,1.457985339979E-1, +1.613344433584E-1,1.768703527188E-1,1.924062620792E-1,2.079421714397E-1, +2.234780808001E-1,2.390139901605E-1,2.545498995210E-1,2.700858088814E-1, +2.856217182418E-1,3.011576276023E-1,3.166935369627E-1,3.322294463232E-1, +3.477653556836E-1,3.633012650440E-1,3.788371744045E-1,3.943730837649E-1, +4.099089931253E-1,4.254449024858E-1,4.409808118462E-1,4.565167212066E-1, +4.720526305671E-1,4.875885399275E-1),.UNSPECIFIED.); +#10339=ORIENTED_EDGE('',*,*,#9776,.T.); +#10341=ORIENTED_EDGE('',*,*,#10340,.T.); +#10343=ORIENTED_EDGE('',*,*,#10342,.F.); +#10344=ORIENTED_EDGE('',*,*,#10185,.T.); +#10345=ORIENTED_EDGE('',*,*,#9406,.T.); +#10347=ORIENTED_EDGE('',*,*,#10346,.T.); +#10348=ORIENTED_EDGE('',*,*,#9722,.F.); +#10349=EDGE_LOOP('',(#10339,#10341,#10343,#10344,#10345,#10347,#10348)); +#10350=FACE_OUTER_BOUND('',#10349,.F.); +#10351=ADVANCED_FACE('',(#10350),#10338,.F.); +#10352=CARTESIAN_POINT('',(-7.913399325390E-2,2.689635380742E-1, +-3.530645057652E-1)); +#10353=DIRECTION('',(-5.E-1,-8.660254037844E-1,0.E0)); +#10354=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10355=AXIS2_PLACEMENT_3D('',#10352,#10353,#10354); +#10356=CYLINDRICAL_SURFACE('',#10355,1.409895561963E-2); +#10358=ORIENTED_EDGE('',*,*,#10357,.F.); +#10360=ORIENTED_EDGE('',*,*,#10359,.F.); +#10361=ORIENTED_EDGE('',*,*,#10340,.F.); +#10362=ORIENTED_EDGE('',*,*,#9774,.T.); +#10364=ORIENTED_EDGE('',*,*,#10363,.T.); +#10366=ORIENTED_EDGE('',*,*,#10365,.T.); +#10368=ORIENTED_EDGE('',*,*,#10367,.T.); +#10370=ORIENTED_EDGE('',*,*,#10369,.T.); +#10372=ORIENTED_EDGE('',*,*,#10371,.T.); +#10374=ORIENTED_EDGE('',*,*,#10373,.T.); +#10375=ORIENTED_EDGE('',*,*,#9762,.F.); +#10376=ORIENTED_EDGE('',*,*,#10193,.F.); +#10378=ORIENTED_EDGE('',*,*,#10377,.F.); +#10379=EDGE_LOOP('',(#10358,#10360,#10361,#10362,#10364,#10366,#10368,#10370, +#10372,#10374,#10375,#10376,#10378)); +#10380=FACE_OUTER_BOUND('',#10379,.F.); +#10381=ADVANCED_FACE('',(#10380),#10356,.T.); +#10382=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#10383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10384=DIRECTION('',(0.E0,1.E0,0.E0)); +#10385=AXIS2_PLACEMENT_3D('',#10382,#10383,#10384); +#10386=CYLINDRICAL_SURFACE('',#10385,1.218503937008E-1); +#10387=ORIENTED_EDGE('',*,*,#10357,.T.); +#10389=ORIENTED_EDGE('',*,*,#10388,.T.); +#10391=ORIENTED_EDGE('',*,*,#10390,.F.); +#10393=ORIENTED_EDGE('',*,*,#10392,.T.); +#10394=EDGE_LOOP('',(#10387,#10389,#10391,#10393)); +#10395=FACE_OUTER_BOUND('',#10394,.F.); +#10396=ADVANCED_FACE('',(#10395),#10386,.T.); +#10397=CARTESIAN_POINT('',(8.861690180867E-2,3.441235938349E-1, +-4.466039256481E-1)); +#10398=CARTESIAN_POINT('',(9.981479935357E-2,3.603926462965E-1, +-4.457411589455E-1)); +#10399=CARTESIAN_POINT('',(1.130008753929E-1,3.992257296288E-1, +-4.440156255404E-1)); +#10400=CARTESIAN_POINT('',(9.937546754799E-2,4.592408645843E-1, +-4.414273254327E-1)); +#10401=CARTESIAN_POINT('',(5.635535787857E-2,5.032429710292E-1, +-4.388390253249E-1)); +#10402=CARTESIAN_POINT('',(-3.330832805117E-3,5.182260938427E-1, +-4.362507252172E-1)); +#10403=CARTESIAN_POINT('',(-6.203222532536E-2,4.997568666677E-1, +-4.336624251095E-1)); +#10404=CARTESIAN_POINT('',(-1.023873829836E-1,4.532981569358E-1, +-4.310741250018E-1)); +#10405=CARTESIAN_POINT('',(-1.124612904233E-1,3.925900711496E-1, +-4.284858248941E-1)); +#10406=CARTESIAN_POINT('',(-8.927454199054E-2,3.355871862595E-1, +-4.258975247863E-1)); +#10407=CARTESIAN_POINT('',(-3.968467196987E-2,2.991482080016E-1, +-4.233092246786E-1)); +#10408=CARTESIAN_POINT('',(2.164201904071E-2,2.940500394553E-1, +-4.207209245709E-1)); +#10409=CARTESIAN_POINT('',(7.656803981422E-2,3.218004733272E-1, +-4.181326244632E-1)); +#10410=CARTESIAN_POINT('',(1.088489107330E-1,3.741922638768E-1, +-4.155443243554E-1)); +#10411=CARTESIAN_POINT('',(1.089375000559E-1,4.357304349126E-1, +-4.129560242477E-1)); +#10412=CARTESIAN_POINT('',(7.680760732473E-2,4.882149501143E-1, +-4.103677241400E-1)); +#10413=CARTESIAN_POINT('',(2.196171214115E-2,5.161234098415E-1, +-4.077794240323E-1)); +#10414=CARTESIAN_POINT('',(-3.937940303705E-2,5.112018316881E-1, +-4.051911239246E-1)); +#10415=CARTESIAN_POINT('',(-8.907398153612E-2,4.749057821699E-1, +-4.026028238168E-1)); +#10416=CARTESIAN_POINT('',(-1.124247530423E-1,4.179698900376E-1, +-4.000145237091E-1)); +#10417=CARTESIAN_POINT('',(-1.025256804928E-1,3.572330584975E-1, +-3.974262236014E-1)); +#10418=CARTESIAN_POINT('',(-6.230443426426E-2,3.106583261383E-1, +-3.948379234937E-1)); +#10419=CARTESIAN_POINT('',(-3.656527814746E-3,2.920202625757E-1, +-3.922496233859E-1)); +#10420=CARTESIAN_POINT('',(5.607280394889E-2,3.068311121517E-1, +-3.896613232782E-1)); +#10421=CARTESIAN_POINT('',(9.921849274152E-2,3.507105366175E-1, +-3.870730231705E-1)); +#10422=CARTESIAN_POINT('',(1.130201144868E-1,4.106811092540E-1, +-3.844847230628E-1)); +#10423=CARTESIAN_POINT('',(9.339581550519E-2,4.690064131809E-1, +-3.818964229551E-1)); +#10424=CARTESIAN_POINT('',(4.614950862954E-2,5.084366271993E-1, +-3.793081228473E-1)); +#10425=CARTESIAN_POINT('',(-1.474557008638E-2,5.173101748422E-1, +-3.767198227396E-1)); +#10426=CARTESIAN_POINT('',(-7.127975530617E-2,4.930027328172E-1, +-3.741315226319E-1)); +#10427=CARTESIAN_POINT('',(-1.067322957059E-1,4.427031035264E-1, +-3.715432225242E-1)); +#10428=CARTESIAN_POINT('',(-1.106204718036E-1,3.812881436697E-1, +-3.689549224164E-1)); +#10429=CARTESIAN_POINT('',(-8.178524244441E-2,3.269190558487E-1, +-3.663666223087E-1)); +#10430=CARTESIAN_POINT('',(-4.645419123025E-2,3.060960449590E-1, +-3.646410889036E-1)); +#10431=CARTESIAN_POINT('',(-2.735294466541E-2,3.010743562895E-1, +-3.637783222010E-1)); +#10432=CARTESIAN_POINT('',(9.267046452138E-2,3.413335493590E-1, +-4.437628156043E-1)); +#10433=CARTESIAN_POINT('',(1.043805824105E-1,3.583467897022E-1, +-4.429000489017E-1)); +#10434=CARTESIAN_POINT('',(1.181698231404E-1,3.989561971374E-1, +-4.411745154966E-1)); +#10435=CARTESIAN_POINT('',(1.039211544496E-1,4.617165771680E-1, +-4.385862153888E-1)); +#10436=CARTESIAN_POINT('',(5.893319543208E-2,5.077314519937E-1, +-4.359979152811E-1)); +#10437=CARTESIAN_POINT('',(-3.483193578122E-3,5.233999409926E-1, +-4.334096151734E-1)); +#10438=CARTESIAN_POINT('',(-6.486973724953E-2,5.040858843429E-1, +-4.308213150657E-1)); +#10439=CARTESIAN_POINT('',(-1.070708425657E-1,4.555020349411E-1, +-4.282330149580E-1)); +#10440=CARTESIAN_POINT('',(-1.176055561805E-1,3.920170067446E-1, +-4.256447148502E-1)); +#10441=CARTESIAN_POINT('',(-9.335818683956E-2,3.324066647669E-1, +-4.230564147425E-1)); +#10442=CARTESIAN_POINT('',(-4.149994990536E-2,2.943008748676E-1, +-4.204681146348E-1)); +#10443=CARTESIAN_POINT('',(2.263198009350E-2,2.889695031118E-1, +-4.178798145271E-1)); +#10444=CARTESIAN_POINT('',(8.007045690216E-2,3.179893124832E-1, +-4.152915144193E-1)); +#10445=CARTESIAN_POINT('',(1.138279370458E-1,3.727776369252E-1, +-4.127032143116E-1)); +#10446=CARTESIAN_POINT('',(1.139205786699E-1,4.371307205841E-1, +-4.101149142039E-1)); +#10447=CARTESIAN_POINT('',(8.032098284059E-2,4.920160111399E-1, +-4.075266140962E-1)); +#10448=CARTESIAN_POINT('',(2.296629677036E-2,5.212010748716E-1, +-4.049383139885E-1)); +#10449=CARTESIAN_POINT('',(-4.118071719427E-2,5.160543712029E-1, +-4.023500138807E-1)); +#10450=CARTESIAN_POINT('',(-9.314845223929E-2,4.780980479678E-1, +-3.997617137730E-1)); +#10451=CARTESIAN_POINT('',(-1.175673474866E-1,4.185577631672E-1, +-3.971734136653E-1)); +#10452=CARTESIAN_POINT('',(-1.072154661551E-1,3.550426743128E-1, +-3.945851135576E-1)); +#10453=CARTESIAN_POINT('',(-6.515439771839E-2,3.063374951134E-1, +-3.919968134498E-1)); +#10454=CARTESIAN_POINT('',(-3.823786706779E-3,2.868468790698E-1, +-3.894085133421E-1)); +#10455=CARTESIAN_POINT('',(5.863771676621E-2,3.023352146147E-1, +-3.868202132344E-1)); +#10456=CARTESIAN_POINT('',(1.037569992158E-1,3.482217956751E-1, +-3.842319131267E-1)); +#10457=CARTESIAN_POINT('',(1.181899422795E-1,4.109355749927E-1, +-3.816436130189E-1)); +#10458=CARTESIAN_POINT('',(9.766797789781E-2,4.719288268210E-1, +-3.790553129112E-1)); +#10459=CARTESIAN_POINT('',(4.826050465373E-2,5.131626792204E-1, +-3.764670128035E-1)); +#10460=CARTESIAN_POINT('',(-1.542007000519E-2,5.224421255250E-1, +-3.738787126958E-1)); +#10461=CARTESIAN_POINT('',(-7.454027279640E-2,4.970227992136E-1, +-3.712904125881E-1)); +#10462=CARTESIAN_POINT('',(-1.116145026583E-1,4.444223368132E-1, +-3.687021124803E-1)); +#10463=CARTESIAN_POINT('',(-1.156805338303E-1,3.801981003265E-1, +-3.661138123726E-1)); +#10464=CARTESIAN_POINT('',(-8.552630766401E-2,3.233420320016E-1, +-3.635255122649E-1)); +#10465=CARTESIAN_POINT('',(-4.857912421233E-2,3.015665235771E-1, +-3.617999788597E-1)); +#10466=CARTESIAN_POINT('',(-2.860413799670E-2,2.963151300823E-1, +-3.609372121572E-1)); +#10467=CARTESIAN_POINT('',(9.672402723409E-2,3.385435048831E-1, +-4.409217055604E-1)); +#10468=CARTESIAN_POINT('',(1.089463654674E-1,3.563009331078E-1, +-4.400589388579E-1)); +#10469=CARTESIAN_POINT('',(1.233387708879E-1,3.986866646461E-1, +-4.383334054527E-1)); +#10470=CARTESIAN_POINT('',(1.084668413512E-1,4.641922897517E-1, +-4.357451053450E-1)); +#10471=CARTESIAN_POINT('',(6.151103298557E-2,5.122199329582E-1, +-4.331568052373E-1)); +#10472=CARTESIAN_POINT('',(-3.635554351168E-3,5.285737881425E-1, +-4.305685051296E-1)); +#10473=CARTESIAN_POINT('',(-6.770724917375E-2,5.084149020180E-1, +-4.279802050218E-1)); +#10474=CARTESIAN_POINT('',(-1.117543021479E-1,4.577059129464E-1, +-4.253919049141E-1)); +#10475=CARTESIAN_POINT('',(-1.227498219376E-1,3.914439423395E-1, +-4.228036048064E-1)); +#10476=CARTESIAN_POINT('',(-9.744183168849E-2,3.292261432741E-1, +-4.202153046987E-1)); +#10477=CARTESIAN_POINT('',(-4.331522784064E-2,2.894535417335E-1, +-4.176270045910E-1)); +#10478=CARTESIAN_POINT('',(2.362194114655E-2,2.838889667683E-1, +-4.150387044832E-1)); +#10479=CARTESIAN_POINT('',(8.357287399034E-2,3.141781516394E-1, +-4.124504043755E-1)); +#10480=CARTESIAN_POINT('',(1.188069633588E-1,3.713630099740E-1, +-4.098621042678E-1)); +#10481=CARTESIAN_POINT('',(1.189036572838E-1,4.385310062560E-1, +-4.072738041601E-1)); +#10482=CARTESIAN_POINT('',(8.383435835611E-2,4.958170721658E-1, +-4.046855040523E-1)); +#10483=CARTESIAN_POINT('',(2.397088139907E-2,5.262787399019E-1, +-4.020972039446E-1)); +#10484=CARTESIAN_POINT('',(-4.298203135202E-2,5.209069107175E-1, +-3.995089038369E-1)); +#10485=CARTESIAN_POINT('',(-9.722292294285E-2,4.812903137653E-1, +-3.969206037292E-1)); +#10486=CARTESIAN_POINT('',(-1.227099419310E-1,4.191456362961E-1, +-3.943323036215E-1)); +#10487=CARTESIAN_POINT('',(-1.119052518171E-1,3.528522901275E-1, +-3.917440035137E-1)); +#10488=CARTESIAN_POINT('',(-6.800436117191E-2,3.020166640880E-1, +-3.891557034060E-1)); +#10489=CARTESIAN_POINT('',(-3.991045598035E-3,2.816734955638E-1, +-3.865674032983E-1)); +#10490=CARTESIAN_POINT('',(6.120262958424E-2,2.978393170780E-1, +-3.839791031906E-1)); +#10491=CARTESIAN_POINT('',(1.082955056904E-1,3.457330547335E-1, +-3.813908030828E-1)); +#10492=CARTESIAN_POINT('',(1.233597700721E-1,4.111900407323E-1, +-3.788025029751E-1)); +#10493=CARTESIAN_POINT('',(1.019401402899E-1,4.748512404618E-1, +-3.762142028674E-1)); +#10494=CARTESIAN_POINT('',(5.037150067713E-2,5.178887312418E-1, +-3.736259027597E-1)); +#10495=CARTESIAN_POINT('',(-1.609456992487E-2,5.275740762078E-1, +-3.710376026519E-1)); +#10496=CARTESIAN_POINT('',(-7.780079028730E-2,5.010428656095E-1, +-3.684493025442E-1)); +#10497=CARTESIAN_POINT('',(-1.164967096110E-1,4.461415700992E-1, +-3.658610024365E-1)); +#10498=CARTESIAN_POINT('',(-1.207405958568E-1,3.791080569825E-1, +-3.632727023288E-1)); +#10499=CARTESIAN_POINT('',(-8.926737288306E-2,3.197650081539E-1, +-3.606844022211E-1)); +#10500=CARTESIAN_POINT('',(-5.070405719375E-2,2.970370021949E-1, +-3.589588688159E-1)); +#10501=CARTESIAN_POINT('',(-2.985533132730E-2,2.915559038749E-1, +-3.580961021133E-1)); +#10502=CARTESIAN_POINT('',(1.007775899468E-1,3.357534604072E-1, +-4.380805955166E-1)); +#10503=CARTESIAN_POINT('',(1.135121485244E-1,3.542550765135E-1, +-4.372178288140E-1)); +#10504=CARTESIAN_POINT('',(1.285077186355E-1,3.984171321548E-1, +-4.354922954089E-1)); +#10505=CARTESIAN_POINT('',(1.130125282527E-1,4.666680023354E-1, +-4.329039953012E-1)); +#10506=CARTESIAN_POINT('',(6.408887053908E-2,5.167084139227E-1, +-4.303156951935E-1)); +#10507=CARTESIAN_POINT('',(-3.787915124174E-3,5.337476352925E-1, +-4.277273950857E-1)); +#10508=CARTESIAN_POINT('',(-7.054476109792E-2,5.127439196932E-1, +-4.251390949780E-1)); +#10509=CARTESIAN_POINT('',(-1.164377617300E-1,4.599097909517E-1, +-4.225507948703E-1)); +#10510=CARTESIAN_POINT('',(-1.278940876948E-1,3.908708779346E-1, +-4.199624947626E-1)); +#10511=CARTESIAN_POINT('',(-1.015254765375E-1,3.260456217815E-1, +-4.173741946548E-1)); +#10512=CARTESIAN_POINT('',(-4.513050577612E-2,2.846062085996E-1, +-4.147858945471E-1)); +#10513=CARTESIAN_POINT('',(2.461190219935E-2,2.788084304247E-1, +-4.121975944394E-1)); +#10514=CARTESIAN_POINT('',(8.707529107828E-2,3.103669907955E-1, +-4.096092943317E-1)); +#10515=CARTESIAN_POINT('',(1.237859896716E-1,3.699483830224E-1, +-4.070209942240E-1)); +#10516=CARTESIAN_POINT('',(1.238867358978E-1,4.399312919276E-1, +-4.044326941162E-1)); +#10517=CARTESIAN_POINT('',(8.734773387196E-2,4.996181331914E-1, +-4.018443940085E-1)); +#10518=CARTESIAN_POINT('',(2.497546602827E-2,5.313564049320E-1, +-3.992560939008E-1)); +#10519=CARTESIAN_POINT('',(-4.478334550926E-2,5.257594502323E-1, +-3.966677937931E-1)); +#10520=CARTESIAN_POINT('',(-1.012973936460E-1,4.844825795632E-1, +-3.940794936853E-1)); +#10521=CARTESIAN_POINT('',(-1.278525363754E-1,4.197335094257E-1, +-3.914911935776E-1)); +#10522=CARTESIAN_POINT('',(-1.165950374794E-1,3.506619059427E-1, +-3.889028934699E-1)); +#10523=CARTESIAN_POINT('',(-7.085432462603E-2,2.976958330631E-1, +-3.863145933622E-1)); +#10524=CARTESIAN_POINT('',(-4.158304490048E-3,2.765001120579E-1, +-3.837262932545E-1)); +#10525=CARTESIAN_POINT('',(6.376754240158E-2,2.933434195410E-1, +-3.811379931467E-1)); +#10526=CARTESIAN_POINT('',(1.128340121647E-1,3.432443137912E-1, +-3.785496930390E-1)); +#10527=CARTESIAN_POINT('',(1.285295978648E-1,4.114445064711E-1, +-3.759613929313E-1)); +#10528=CARTESIAN_POINT('',(1.062123026825E-1,4.777736541020E-1, +-3.733730928236E-1)); +#10529=CARTESIAN_POINT('',(5.248249670131E-2,5.226147832628E-1, +-3.707847927158E-1)); +#10530=CARTESIAN_POINT('',(-1.676906984370E-2,5.327060268906E-1, +-3.681964926081E-1)); +#10531=CARTESIAN_POINT('',(-8.106130777754E-2,5.050629320059E-1, +-3.656081925004E-1)); +#10532=CARTESIAN_POINT('',(-1.213789165635E-1,4.478608033860E-1, +-3.630198923927E-1)); +#10533=CARTESIAN_POINT('',(-1.258006578835E-1,3.780180136392E-1, +-3.604315922850E-1)); +#10534=CARTESIAN_POINT('',(-9.300843810265E-2,3.161879843067E-1, +-3.578432921772E-1)); +#10535=CARTESIAN_POINT('',(-5.282899017582E-2,2.925074808130E-1, +-3.561177587721E-1)); +#10536=CARTESIAN_POINT('',(-3.110652465857E-2,2.867966776678E-1, +-3.552549920695E-1)); +#10537=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#10397,#10398,#10399,#10400,#10401, +#10402,#10403,#10404,#10405,#10406,#10407,#10408,#10409,#10410,#10411,#10412, +#10413,#10414,#10415,#10416,#10417,#10418,#10419,#10420,#10421,#10422,#10423, +#10424,#10425,#10426,#10427,#10428,#10429,#10430,#10431),(#10432,#10433,#10434, +#10435,#10436,#10437,#10438,#10439,#10440,#10441,#10442,#10443,#10444,#10445, +#10446,#10447,#10448,#10449,#10450,#10451,#10452,#10453,#10454,#10455,#10456, +#10457,#10458,#10459,#10460,#10461,#10462,#10463,#10464,#10465,#10466),(#10467, +#10468,#10469,#10470,#10471,#10472,#10473,#10474,#10475,#10476,#10477,#10478, +#10479,#10480,#10481,#10482,#10483,#10484,#10485,#10486,#10487,#10488,#10489, +#10490,#10491,#10492,#10493,#10494,#10495,#10496,#10497,#10498,#10499,#10500, +#10501),(#10502,#10503,#10504,#10505,#10506,#10507,#10508,#10509,#10510,#10511, +#10512,#10513,#10514,#10515,#10516,#10517,#10518,#10519,#10520,#10521,#10522, +#10523,#10524,#10525,#10526,#10527,#10528,#10529,#10530,#10531,#10532,#10533, +#10534,#10535,#10536)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(-3.568022467212E-2, +1.035680224672E0),(-1.585310410806E-2,9.908190067539E-3,3.566948424314E-2, +6.143077841874E-2,8.719207259434E-2,1.129533667699E-1,1.387146609455E-1, +1.644759551211E-1,1.902372492967E-1,2.159985434724E-1,2.417598376480E-1, +2.675211318236E-1,2.932824259992E-1,3.190437201748E-1,3.448050143504E-1, +3.705663085260E-1,3.963276027016E-1,4.220888968772E-1,4.478501910528E-1, +4.736114852284E-1,4.993727794040E-1,5.251340735796E-1,5.508953677552E-1, +5.766566619308E-1,6.024179561064E-1,6.281792502820E-1,6.539405444576E-1, +6.797018386332E-1,7.054631328088E-1,7.312244269844E-1,7.569857211600E-1, +7.827470153356E-1,8.085083095112E-1),.UNSPECIFIED.); +#10538=ORIENTED_EDGE('',*,*,#10377,.T.); +#10539=ORIENTED_EDGE('',*,*,#10191,.F.); +#10541=ORIENTED_EDGE('',*,*,#10540,.T.); +#10543=ORIENTED_EDGE('',*,*,#10542,.F.); +#10545=ORIENTED_EDGE('',*,*,#10544,.F.); +#10547=ORIENTED_EDGE('',*,*,#10546,.F.); +#10549=ORIENTED_EDGE('',*,*,#10548,.F.); +#10551=ORIENTED_EDGE('',*,*,#10550,.F.); +#10553=ORIENTED_EDGE('',*,*,#10552,.F.); +#10554=ORIENTED_EDGE('',*,*,#10388,.F.); +#10555=EDGE_LOOP('',(#10538,#10539,#10541,#10543,#10545,#10547,#10549,#10551, +#10553,#10554)); +#10556=FACE_OUTER_BOUND('',#10555,.F.); +#10557=ADVANCED_FACE('',(#10556),#10537,.F.); +#10558=CARTESIAN_POINT('',(-1.072358088413E-1,4.137069180320E-1, +-5.474930153456E-1)); +#10559=CARTESIAN_POINT('',(-1.082304450600E-1,4.012883586744E-1, +-5.469487896238E-1)); +#10560=CARTESIAN_POINT('',(-1.058614320881E-1,3.761057278269E-1, +-5.458603381802E-1)); +#10561=CARTESIAN_POINT('',(-8.965872215253E-2,3.417956968157E-1, +-5.442276610149E-1)); +#10562=CARTESIAN_POINT('',(-6.274260566981E-2,3.150528250690E-1, +-5.425949838495E-1)); +#10563=CARTESIAN_POINT('',(-2.832937296118E-2,2.990716806888E-1, +-5.409623066842E-1)); +#10564=CARTESIAN_POINT('',(9.468949062436E-3,2.957621086001E-1, +-5.393296295188E-1)); +#10565=CARTESIAN_POINT('',(4.613582245546E-2,3.055195026570E-1, +-5.376969523535E-1)); +#10566=CARTESIAN_POINT('',(7.728991329213E-2,3.271779671894E-1, +-5.360642751881E-1)); +#10567=CARTESIAN_POINT('',(9.920860979309E-2,3.581495210880E-1, +-5.344315980228E-1)); +#10568=CARTESIAN_POINT('',(1.092728406333E-1,3.947333656614E-1, +-5.327989208574E-1)); +#10569=CARTESIAN_POINT('',(1.062800279099E-1,4.325580863151E-1, +-5.311662436921E-1)); +#10570=CARTESIAN_POINT('',(9.058778369465E-2,4.671039962540E-1, +-5.295335665267E-1)); +#10571=CARTESIAN_POINT('',(6.407117881775E-2,4.942431946112E-1, +-5.279008893613E-1)); +#10572=CARTESIAN_POINT('',(2.989869012057E-2,5.107328106764E-1, +-5.262682121960E-1)); +#10573=CARTESIAN_POINT('',(-7.846401768403E-3,5.146024954209E-1, +-5.246355350306E-1)); +#10574=CARTESIAN_POINT('',(-4.465392482447E-2,5.053898590878E-1, +-5.230028578653E-1)); +#10575=CARTESIAN_POINT('',(-7.612573739592E-2,4.841957222760E-1, +-5.213701806999E-1)); +#10576=CARTESIAN_POINT('',(-9.850126343108E-2,4.535525785669E-1, +-5.197375035346E-1)); +#10577=CARTESIAN_POINT('',(-1.091068448062E-1,4.171219861283E-1, +-5.181048263692E-1)); +#10578=CARTESIAN_POINT('',(-1.066752175134E-1,3.792570470627E-1, +-5.164721492039E-1)); +#10579=CARTESIAN_POINT('',(-9.149693740619E-2,3.444822539688E-1, +-5.148394720385E-1)); +#10580=CARTESIAN_POINT('',(-6.538566159597E-2,3.169528570032E-1, +-5.132067948732E-1)); +#10581=CARTESIAN_POINT('',(-3.146143403528E-2,2.999583518282E-1, +-5.115741177078E-1)); +#10582=CARTESIAN_POINT('',(6.222129442775E-3,2.955294168177E-1, +-5.099414405425E-1)); +#10583=CARTESIAN_POINT('',(4.316220886125E-2,3.041952667061E-1, +-5.083087633771E-1)); +#10584=CARTESIAN_POINT('',(7.494482337007E-2,3.249204165660E-1, +-5.066760862117E-1)); +#10585=CARTESIAN_POINT('',(9.777225910352E-2,3.552284122036E-1, +-5.050434090464E-1)); +#10586=CARTESIAN_POINT('',(1.089168591163E-1,3.914977423916E-1, +-5.034107318810E-1)); +#10587=CARTESIAN_POINT('',(1.070469519067E-1,4.293945743009E-1, +-5.017780547157E-1)); +#10588=CARTESIAN_POINT('',(9.238597323166E-2,4.643906044475E-1, +-5.001453775503E-1)); +#10589=CARTESIAN_POINT('',(6.668576770384E-2,4.923041469952E-1, +-4.985127003850E-1)); +#10590=CARTESIAN_POINT('',(3.301726036800E-2,5.097998046139E-1, +-4.968800232196E-1)); +#10591=CARTESIAN_POINT('',(-4.596489026898E-3,5.147870160784E-1, +-4.952473460543E-1)); +#10592=CARTESIAN_POINT('',(-4.166100260905E-2,5.066698580380E-1, +-4.936146688889E-1)); +#10593=CARTESIAN_POINT('',(-7.374743085390E-2,4.864182520715E-1, +-4.919819917235E-1)); +#10594=CARTESIAN_POINT('',(-9.702175710392E-2,4.564520684750E-1, +-4.903493145582E-1)); +#10595=CARTESIAN_POINT('',(-1.087029253359E-1,4.203519752553E-1, +-4.887166373928E-1)); +#10596=CARTESIAN_POINT('',(-1.073951493550E-1,3.824315830669E-1, +-4.870839602275E-1)); +#10597=CARTESIAN_POINT('',(-9.325469569432E-2,3.472220106185E-1, +-4.854512830621E-1)); +#10598=CARTESIAN_POINT('',(-6.797121128068E-2,3.189304599780E-1, +-4.838186058968E-1)); +#10599=CARTESIAN_POINT('',(-3.456582703158E-2,3.009374967728E-1, +-4.821859287314E-1)); +#10600=CARTESIAN_POINT('',(2.969837958296E-3,2.953931054174E-1, +-4.805532515661E-1)); +#10601=CARTESIAN_POINT('',(4.015063614545E-2,3.029597868499E-1, +-4.789205744007E-1)); +#10602=CARTESIAN_POINT('',(7.253382312399E-2,3.227333961018E-1, +-4.772878972354E-1)); +#10603=CARTESIAN_POINT('',(9.624992244886E-2,3.523511788437E-1, +-4.756552200700E-1)); +#10604=CARTESIAN_POINT('',(1.084650905034E-1,3.882740975884E-1, +-4.740225429046E-1)); +#10605=CARTESIAN_POINT('',(1.077197332986E-1,4.262097123108E-1, +-4.723898657393E-1)); +#10606=CARTESIAN_POINT('',(9.410291378393E-2,4.616250853576E-1, +-4.707571885739E-1)); +#10607=CARTESIAN_POINT('',(6.924170968973E-2,4.902884234870E-1, +-4.691245114086E-1)); +#10608=CARTESIAN_POINT('',(3.610679353509E-2,5.087747360766E-1, +-4.674918342432E-1)); +#10609=CARTESIAN_POINT('',(-1.342533896706E-3,5.148750882500E-1, +-4.658591570779E-1)); +#10610=CARTESIAN_POINT('',(-3.863144156213E-2,5.078605471493E-1, +-4.642264799125E-1)); +#10611=CARTESIAN_POINT('',(-7.130426702217E-2,4.885692823333E-1, +-4.625938027472E-1)); +#10612=CARTESIAN_POINT('',(-9.545692484554E-2,4.593064126561E-1, +-4.609611255818E-1)); +#10613=CARTESIAN_POINT('',(-1.082034069123E-1,4.235685669329E-1, +-4.593284484165E-1)); +#10614=CARTESIAN_POINT('',(-1.080206323715E-1,3.856260707840E-1, +-4.576957712511E-1)); +#10615=CARTESIAN_POINT('',(-9.493044099093E-2,3.500126840341E-1, +-4.560630940857E-1)); +#10616=CARTESIAN_POINT('',(-7.049698360890E-2,3.209838609860E-1, +-4.544304169204E-1)); +#10617=CARTESIAN_POINT('',(-3.763982095180E-2,3.020082628691E-1, +-4.527977397550E-1)); +#10618=CARTESIAN_POINT('',(-2.850657533514E-4,2.953532942536E-1, +-4.511650625897E-1)); +#10619=CARTESIAN_POINT('',(3.710375438018E-2,3.018141412755E-1, +-4.495323854243E-1)); +#10620=CARTESIAN_POINT('',(7.005902734243E-2,3.206188626282E-1, +-4.478997082590E-1)); +#10621=CARTESIAN_POINT('',(9.464295938402E-2,3.495202259378E-1, +-4.462670310936E-1)); +#10622=CARTESIAN_POINT('',(1.079178547356E-1,3.850657346648E-1, +-4.446343539283E-1)); +#10623=CARTESIAN_POINT('',(1.081713310069E-1,4.103582803778E-1, +-4.435459024847E-1)); +#10624=CARTESIAN_POINT('',(1.061410248530E-1,4.226500570861E-1, +-4.430016767629E-1)); +#10625=CARTESIAN_POINT('',(-1.121410477665E-1,4.140997919682E-1, +-5.446519053018E-1)); +#10626=CARTESIAN_POINT('',(-1.131811811783E-1,4.011131760872E-1, +-5.441076795800E-1)); +#10627=CARTESIAN_POINT('',(-1.107038035214E-1,3.747786275883E-1, +-5.430192281364E-1)); +#10628=CARTESIAN_POINT('',(-9.375994038030E-2,3.388991684031E-1, +-5.413865509711E-1)); +#10629=CARTESIAN_POINT('',(-6.561261219960E-2,3.109330096138E-1, +-5.397538738057E-1)); +#10630=CARTESIAN_POINT('',(-2.962523060872E-2,2.942208470007E-1, +-5.381211966404E-1)); +#10631=CARTESIAN_POINT('',(9.902082901066E-3,2.907598866583E-1, +-5.364885194750E-1)); +#10632=CARTESIAN_POINT('',(4.824619243916E-2,3.009636087623E-1, +-5.348558423096E-1)); +#10633=CARTESIAN_POINT('',(8.082535071089E-2,3.236127866062E-1, +-5.332231651443E-1)); +#10634=CARTESIAN_POINT('',(1.037466641961E-1,3.560010582355E-1, +-5.315904879789E-1)); +#10635=CARTESIAN_POINT('',(1.142712585791E-1,3.942583410044E-1, +-5.299578108136E-1)); +#10636=CARTESIAN_POINT('',(1.111415469819E-1,4.338132606848E-1, +-5.283251336482E-1)); +#10637=CARTESIAN_POINT('',(9.473149956282E-2,4.699393885002E-1, +-5.266924564829E-1)); +#10638=CARTESIAN_POINT('',(6.700195766597E-2,4.983200028884E-1, +-5.250597793175E-1)); +#10639=CARTESIAN_POINT('',(3.126633233057E-2,5.155638959760E-1, +-5.234271021522E-1)); +#10640=CARTESIAN_POINT('',(-8.205316162691E-3,5.196105899531E-1, +-5.217944249868E-1)); +#10641=CARTESIAN_POINT('',(-4.669650903752E-2,5.099765441782E-1, +-5.201617478215E-1)); +#10642=CARTESIAN_POINT('',(-7.960792244512E-2,4.878129335871E-1, +-5.185290706561E-1)); +#10643=CARTESIAN_POINT('',(-1.030069620106E-1,4.557680944654E-1, +-5.168963934907E-1)); +#10644=CARTESIAN_POINT('',(-1.140976696802E-1,4.176710739742E-1, +-5.152637163254E-1)); +#10645=CARTESIAN_POINT('',(-1.115548135640E-1,3.780740961893E-1, +-5.136310391600E-1)); +#10646=CARTESIAN_POINT('',(-9.568224027989E-2,3.417086155206E-1, +-5.119983619947E-1)); +#10647=CARTESIAN_POINT('',(-6.837656823323E-2,3.129199538462E-1, +-5.103656848293E-1)); +#10648=CARTESIAN_POINT('',(-3.290056013079E-2,2.951480767355E-1, +-5.087330076640E-1)); +#10649=CARTESIAN_POINT('',(6.506745485377E-3,2.905165509613E-1, +-5.071003304986E-1)); +#10650=CARTESIAN_POINT('',(4.513655818813E-2,2.995787988874E-1, +-5.054676533333E-1)); +#10651=CARTESIAN_POINT('',(7.837299040503E-2,3.212519698694E-1, +-5.038349761679E-1)); +#10652=CARTESIAN_POINT('',(1.022446111690E-1,3.529463303934E-1, +-5.022022990026E-1)); +#10653=CARTESIAN_POINT('',(1.138989935612E-1,3.908747120881E-1, +-5.005696218372E-1)); +#10654=CARTESIAN_POINT('',(1.119435520349E-1,4.305050415765E-1, +-4.989369446718E-1)); +#10655=CARTESIAN_POINT('',(9.661194286756E-2,4.671018792441E-1, +-4.973042675065E-1)); +#10656=CARTESIAN_POINT('',(6.973614450463E-2,4.962922582975E-1, +-4.956715903411E-1)); +#10657=CARTESIAN_POINT('',(3.452755392121E-2,5.145882118406E-1, +-4.940389131758E-1)); +#10658=CARTESIAN_POINT('',(-4.806744137884E-3,5.198035510553E-1, +-4.924062360104E-1)); +#10659=CARTESIAN_POINT('',(-4.356668293978E-2,5.113150935394E-1, +-4.907735588451E-1)); +#10660=CARTESIAN_POINT('',(-7.712082610653E-2,4.901371275542E-1, +-4.891408816797E-1)); +#10661=CARTESIAN_POINT('',(-1.014597792971E-1,4.588002144242E-1, +-4.875082045144E-1)); +#10662=CARTESIAN_POINT('',(-1.136752739049E-1,4.210488110276E-1, +-4.858755273490E-1)); +#10663=CARTESIAN_POINT('',(-1.123076769211E-1,3.813938435530E-1, +-4.842428501837E-1)); +#10664=CARTESIAN_POINT('',(-9.752040290749E-2,3.445736956150E-1, +-4.826101730183E-1)); +#10665=CARTESIAN_POINT('',(-7.108038754351E-2,3.149880174163E-1, +-4.809774958529E-1)); +#10666=CARTESIAN_POINT('',(-3.614695596674E-2,2.961720102629E-1, +-4.793448186876E-1)); +#10667=CARTESIAN_POINT('',(3.105685907844E-3,2.903740043305E-1, +-4.777121415222E-1)); +#10668=CARTESIAN_POINT('',(4.198722846864E-2,2.982868050369E-1, +-4.760794643569E-1)); +#10669=CARTESIAN_POINT('',(7.585170486913E-2,3.189649095208E-1, +-4.744467871915E-1)); +#10670=CARTESIAN_POINT('',(1.006526389598E-1,3.499374850540E-1, +-4.728141100262E-1)); +#10671=CARTESIAN_POINT('',(1.134265599017E-1,3.875036095639E-1, +-4.711814328608E-1)); +#10672=CARTESIAN_POINT('',(1.126471081606E-1,4.271744958902E-1, +-4.695487556955E-1)); +#10673=CARTESIAN_POINT('',(9.840742065213E-2,4.642098582698E-1, +-4.679160785301E-1)); +#10674=CARTESIAN_POINT('',(7.240900178455E-2,4.941843304638E-1, +-4.662834013647E-1)); +#10675=CARTESIAN_POINT('',(3.775841020150E-2,5.135162540585E-1, +-4.646507241994E-1)); +#10676=CARTESIAN_POINT('',(-1.403944815269E-3,5.198956518723E-1, +-4.630180470340E-1)); +#10677=CARTESIAN_POINT('',(-4.039854205712E-2,5.125602478022E-1, +-4.613853698687E-1)); +#10678=CARTESIAN_POINT('',(-7.456590574070E-2,4.923865514168E-1, +-4.597526927033E-1)); +#10679=CARTESIAN_POINT('',(-9.982336762704E-2,4.617851235755E-1, +-4.581200155380E-1)); +#10680=CARTESIAN_POINT('',(-1.131529062369E-1,4.244125377982E-1, +-4.564873383726E-1)); +#10681=CARTESIAN_POINT('',(-1.129617711233E-1,3.847344552716E-1, +-4.548546612073E-1)); +#10682=CARTESIAN_POINT('',(-9.927280106051E-2,3.474920215379E-1, +-4.532219840419E-1)); +#10683=CARTESIAN_POINT('',(-7.372169512876E-2,3.171353462144E-1, +-4.515893068766E-1)); +#10684=CARTESIAN_POINT('',(-3.936156219541E-2,2.972917559266E-1, +-4.499566297112E-1)); +#10685=CARTESIAN_POINT('',(-2.981053867228E-4,2.903323721027E-1, +-4.483239525459E-1)); +#10686=CARTESIAN_POINT('',(3.880097457391E-2,2.970887547171E-1, +-4.466912753805E-1)); +#10687=CARTESIAN_POINT('',(7.326370562758E-2,3.167536519024E-1, +-4.450585982151E-1)); +#10688=CARTESIAN_POINT('',(9.897216931293E-2,3.469770371544E-1, +-4.434259210498E-1)); +#10689=CARTESIAN_POINT('',(1.128542921766E-1,3.841484879516E-1, +-4.417932438844E-1)); +#10690=CARTESIAN_POINT('',(1.131193630979E-1,4.105979791019E-1, +-4.407047924409E-1)); +#10691=CARTESIAN_POINT('',(1.109961855712E-1,4.234520129725E-1, +-4.401605667191E-1)); +#10692=CARTESIAN_POINT('',(-1.170462866917E-1,4.144926659044E-1, +-5.418107952579E-1)); +#10693=CARTESIAN_POINT('',(-1.181319172966E-1,4.009379935E-1, +-5.412665695361E-1)); +#10694=CARTESIAN_POINT('',(-1.155461749547E-1,3.734515273496E-1, +-5.401781180926E-1)); +#10695=CARTESIAN_POINT('',(-9.786115860808E-2,3.360026399906E-1, +-5.385454409272E-1)); +#10696=CARTESIAN_POINT('',(-6.848261872940E-2,3.068131941586E-1, +-5.369127637619E-1)); +#10697=CARTESIAN_POINT('',(-3.092108825624E-2,2.893700133126E-1, +-5.352800865965E-1)); +#10698=CARTESIAN_POINT('',(1.033521673972E-2,2.857576647165E-1, +-5.336474094312E-1)); +#10699=CARTESIAN_POINT('',(5.035656242289E-2,2.964077148676E-1, +-5.320147322658E-1)); +#10700=CARTESIAN_POINT('',(8.436078812969E-2,3.200476060229E-1, +-5.303820551005E-1)); +#10701=CARTESIAN_POINT('',(1.082847185992E-1,3.538525953830E-1, +-5.287493779351E-1)); +#10702=CARTESIAN_POINT('',(1.192696765249E-1,3.937833163474E-1, +-5.271167007698E-1)); +#10703=CARTESIAN_POINT('',(1.160030660538E-1,4.350684350546E-1, +-5.254840236044E-1)); +#10704=CARTESIAN_POINT('',(9.887521543091E-2,4.727747807466E-1, +-5.238513464390E-1)); +#10705=CARTESIAN_POINT('',(6.993273651407E-2,5.023968111656E-1, +-5.222186692737E-1)); +#10706=CARTESIAN_POINT('',(3.263397454040E-2,5.203949812756E-1, +-5.205859921083E-1)); +#10707=CARTESIAN_POINT('',(-8.564230557174E-3,5.246186844853E-1, +-5.189533149430E-1)); +#10708=CARTESIAN_POINT('',(-4.873909325077E-2,5.145632292685E-1, +-5.173206377776E-1)); +#10709=CARTESIAN_POINT('',(-8.309010749451E-2,4.914301448980E-1, +-5.156879606123E-1)); +#10710=CARTESIAN_POINT('',(-1.075126605902E-1,4.579836103637E-1, +-5.140552834469E-1)); +#10711=CARTESIAN_POINT('',(-1.190884945543E-1,4.182201618198E-1, +-5.124226062816E-1)); +#10712=CARTESIAN_POINT('',(-1.164344096145E-1,3.768911453156E-1, +-5.107899291162E-1)); +#10713=CARTESIAN_POINT('',(-9.986754315338E-2,3.389349770721E-1, +-5.091572519509E-1)); +#10714=CARTESIAN_POINT('',(-7.136747487016E-2,3.088870506891E-1, +-5.075245747855E-1)); +#10715=CARTESIAN_POINT('',(-3.433968622588E-2,2.903378016427E-1, +-5.058918976201E-1)); +#10716=CARTESIAN_POINT('',(6.791361528444E-3,2.855036851049E-1, +-5.042592204548E-1)); +#10717=CARTESIAN_POINT('',(4.711090751546E-2,2.949623310688E-1, +-5.026265432894E-1)); +#10718=CARTESIAN_POINT('',(8.180115744039E-2,3.175835231730E-1, +-5.009938661241E-1)); +#10719=CARTESIAN_POINT('',(1.067169632347E-1,3.506642485837E-1, +-4.993611889587E-1)); +#10720=CARTESIAN_POINT('',(1.188811280062E-1,3.902516817851E-1, +-4.977285117934E-1)); +#10721=CARTESIAN_POINT('',(1.168401521630E-1,4.316155088527E-1, +-4.960958346280E-1)); +#10722=CARTESIAN_POINT('',(1.008379125031E-1,4.698131540412E-1, +-4.944631574627E-1)); +#10723=CARTESIAN_POINT('',(7.278652130490E-2,5.002803696001E-1, +-4.928304802973E-1)); +#10724=CARTESIAN_POINT('',(3.603784747376E-2,5.193766190676E-1, +-4.911978031320E-1)); +#10725=CARTESIAN_POINT('',(-5.016999249591E-3,5.248200860321E-1, +-4.895651259666E-1)); +#10726=CARTESIAN_POINT('',(-4.547236327119E-2,5.159603290404E-1, +-4.879324488012E-1)); +#10727=CARTESIAN_POINT('',(-8.049422135974E-2,4.938560030363E-1, +-4.862997716359E-1)); +#10728=CARTESIAN_POINT('',(-1.058978014906E-1,4.611483603728E-1, +-4.846670944705E-1)); +#10729=CARTESIAN_POINT('',(-1.186476224741E-1,4.217456467992E-1, +-4.830344173052E-1)); +#10730=CARTESIAN_POINT('',(-1.172202044869E-1,3.803561040382E-1, +-4.814017401398E-1)); +#10731=CARTESIAN_POINT('',(-1.017861101202E-1,3.419253806109E-1, +-4.797690629745E-1)); +#10732=CARTESIAN_POINT('',(-7.418956380567E-2,3.110455748540E-1, +-4.781363858091E-1)); +#10733=CARTESIAN_POINT('',(-3.772808490109E-2,2.914065237527E-1, +-4.765037086438E-1)); +#10734=CARTESIAN_POINT('',(3.241533858244E-3,2.853549032436E-1, +-4.748710314784E-1)); +#10735=CARTESIAN_POINT('',(4.382382079262E-2,2.936138232243E-1, +-4.732383543130E-1)); +#10736=CARTESIAN_POINT('',(7.916958661492E-2,3.151964229404E-1, +-4.716056771477E-1)); +#10737=CARTESIAN_POINT('',(1.050553554711E-1,3.475237912650E-1, +-4.699729999823E-1)); +#10738=CARTESIAN_POINT('',(1.183880293001E-1,3.867331215403E-1, +-4.683403228170E-1)); +#10739=CARTESIAN_POINT('',(1.175744830225E-1,4.281392794703E-1, +-4.667076456516E-1)); +#10740=CARTESIAN_POINT('',(1.027119275199E-1,4.667946311826E-1, +-4.650749684863E-1)); +#10741=CARTESIAN_POINT('',(7.557629387874E-2,4.980802374411E-1, +-4.634422913209E-1)); +#10742=CARTESIAN_POINT('',(3.941002686718E-2,5.182577720407E-1, +-4.618096141556E-1)); +#10743=CARTESIAN_POINT('',(-1.465355734584E-3,5.249162154945E-1, +-4.601769369902E-1)); +#10744=CARTESIAN_POINT('',(-4.216564255279E-2,5.172599484548E-1, +-4.585442598249E-1)); +#10745=CARTESIAN_POINT('',(-7.782754445975E-2,4.962038204998E-1, +-4.569115826595E-1)); +#10746=CARTESIAN_POINT('',(-1.041898104089E-1,4.642638344944E-1, +-4.552789054941E-1)); +#10747=CARTESIAN_POINT('',(-1.181024055616E-1,4.252565086629E-1, +-4.536462283288E-1)); +#10748=CARTESIAN_POINT('',(-1.179029098749E-1,3.838428397587E-1, +-4.520135511634E-1)); +#10749=CARTESIAN_POINT('',(-1.036151611298E-1,3.449713590412E-1, +-4.503808739981E-1)); +#10750=CARTESIAN_POINT('',(-7.694640664829E-2,3.132868314425E-1, +-4.487481968327E-1)); +#10751=CARTESIAN_POINT('',(-4.108330343866E-2,2.925752489840E-1, +-4.471155196674E-1)); +#10752=CARTESIAN_POINT('',(-3.111450197821E-4,2.853114499518E-1, +-4.454828425020E-1)); +#10753=CARTESIAN_POINT('',(4.049819476787E-2,2.923633681588E-1, +-4.438501653367E-1)); +#10754=CARTESIAN_POINT('',(7.646838391284E-2,3.128884411768E-1, +-4.422174881713E-1)); +#10755=CARTESIAN_POINT('',(1.033013792419E-1,3.444338483711E-1, +-4.405848110060E-1)); +#10756=CARTESIAN_POINT('',(1.177907296176E-1,3.832312412384E-1, +-4.389521338406E-1)); +#10757=CARTESIAN_POINT('',(1.180673951889E-1,4.108376778259E-1, +-4.378636823970E-1)); +#10758=CARTESIAN_POINT('',(1.158513462895E-1,4.242539688587E-1, +-4.373194566753E-1)); +#10759=CARTESIAN_POINT('',(-1.219515256170E-1,4.148855398406E-1, +-5.389696852141E-1)); +#10760=CARTESIAN_POINT('',(-1.230826534149E-1,4.007628109128E-1, +-5.384254594923E-1)); +#10761=CARTESIAN_POINT('',(-1.203885463880E-1,3.721244271109E-1, +-5.373370080488E-1)); +#10762=CARTESIAN_POINT('',(-1.019623768359E-1,3.331061115781E-1, +-5.357043308834E-1)); +#10763=CARTESIAN_POINT('',(-7.135262525920E-2,3.026933787034E-1, +-5.340716537180E-1)); +#10764=CARTESIAN_POINT('',(-3.221694590378E-2,2.845191796244E-1, +-5.324389765527E-1)); +#10765=CARTESIAN_POINT('',(1.076835057835E-2,2.807554427747E-1, +-5.308062993873E-1)); +#10766=CARTESIAN_POINT('',(5.246693240659E-2,2.918518209728E-1, +-5.291736222220E-1)); +#10767=CARTESIAN_POINT('',(8.789622554846E-2,3.164824254397E-1, +-5.275409450566E-1)); +#10768=CARTESIAN_POINT('',(1.128227730022E-1,3.517041325305E-1, +-5.259082678913E-1)); +#10769=CARTESIAN_POINT('',(1.242680944707E-1,3.933082916904E-1, +-5.242755907259E-1)); +#10770=CARTESIAN_POINT('',(1.208645851258E-1,4.363236094243E-1, +-5.226429135606E-1)); +#10771=CARTESIAN_POINT('',(1.030189312991E-1,4.756101729928E-1, +-5.210102363952E-1)); +#10772=CARTESIAN_POINT('',(7.286351536229E-2,5.064736194427E-1, +-5.193775592299E-1)); +#10773=CARTESIAN_POINT('',(3.400161675039E-2,5.252260665751E-1, +-5.177448820645E-1)); +#10774=CARTESIAN_POINT('',(-8.923144951467E-3,5.296267790175E-1, +-5.161122048992E-1)); +#10775=CARTESIAN_POINT('',(-5.078167746383E-2,5.191499143590E-1, +-5.144795277338E-1)); +#10776=CARTESIAN_POINT('',(-8.657229254371E-2,4.950473562091E-1, +-5.128468505684E-1)); +#10777=CARTESIAN_POINT('',(-1.120183591697E-1,4.601991262622E-1, +-5.112141734031E-1)); +#10778=CARTESIAN_POINT('',(-1.240793194283E-1,4.187692496657E-1, +-5.095814962377E-1)); +#10779=CARTESIAN_POINT('',(-1.213140056651E-1,3.757081944423E-1, +-5.079488190724E-1)); +#10780=CARTESIAN_POINT('',(-1.040528460271E-1,3.361613386239E-1, +-5.063161419070E-1)); +#10781=CARTESIAN_POINT('',(-7.435838150742E-2,3.048541475322E-1, +-5.046834647417E-1)); +#10782=CARTESIAN_POINT('',(-3.577881232138E-2,2.855275265501E-1, +-5.030507875763E-1)); +#10783=CARTESIAN_POINT('',(7.075977571058E-3,2.804908192485E-1, +-5.014181104110E-1)); +#10784=CARTESIAN_POINT('',(4.908525684235E-2,2.903458632501E-1, +-4.997854332456E-1)); +#10785=CARTESIAN_POINT('',(8.522932447537E-2,3.139150764764E-1, +-4.981527560803E-1)); +#10786=CARTESIAN_POINT('',(1.111893153001E-1,3.483821667734E-1, +-4.965200789149E-1)); +#10787=CARTESIAN_POINT('',(1.238632624510E-1,3.896286514816E-1, +-4.948874017495E-1)); +#10788=CARTESIAN_POINT('',(1.217367522912E-1,4.327259761284E-1, +-4.932547245842E-1)); +#10789=CARTESIAN_POINT('',(1.050638821390E-1,4.725244288377E-1, +-4.916220474188E-1)); +#10790=CARTESIAN_POINT('',(7.583689810568E-2,5.042684809024E-1, +-4.899893702535E-1)); +#10791=CARTESIAN_POINT('',(3.754814102695E-2,5.241650262943E-1, +-4.883566930881E-1)); +#10792=CARTESIAN_POINT('',(-5.227254360595E-3,5.298366210090E-1, +-4.867240159228E-1)); +#10793=CARTESIAN_POINT('',(-4.737804360193E-2,5.206055645418E-1, +-4.850913387574E-1)); +#10794=CARTESIAN_POINT('',(-8.386761661238E-2,4.975748785189E-1, +-4.834586615921E-1)); +#10795=CARTESIAN_POINT('',(-1.103358236838E-1,4.634965063220E-1, +-4.818259844267E-1)); +#10796=CARTESIAN_POINT('',(-1.236199710432E-1,4.224424825715E-1, +-4.801933072613E-1)); +#10797=CARTESIAN_POINT('',(-1.221327320530E-1,3.793183645243E-1, +-4.785606300960E-1)); +#10798=CARTESIAN_POINT('',(-1.060518173334E-1,3.392770656074E-1, +-4.769279529306E-1)); +#10799=CARTESIAN_POINT('',(-7.729874006848E-2,3.071031322923E-1, +-4.752952757653E-1)); +#10800=CARTESIAN_POINT('',(-3.930921383622E-2,2.866410372427E-1, +-4.736625985999E-1)); +#10801=CARTESIAN_POINT('',(3.377381807815E-3,2.803358021567E-1, +-4.720299214346E-1)); +#10802=CARTESIAN_POINT('',(4.566041311583E-2,2.889408414113E-1, +-4.703972442692E-1)); +#10803=CARTESIAN_POINT('',(8.248746836008E-2,3.114279363594E-1, +-4.687645671039E-1)); +#10804=CARTESIAN_POINT('',(1.094580719820E-1,3.451100974753E-1, +-4.671318899385E-1)); +#10805=CARTESIAN_POINT('',(1.233494986984E-1,3.859626335159E-1, +-4.654992127732E-1)); +#10806=CARTESIAN_POINT('',(1.225018578845E-1,4.291040630497E-1, +-4.638665356078E-1)); +#10807=CARTESIAN_POINT('',(1.070164343881E-1,4.693794040948E-1, +-4.622338584424E-1)); +#10808=CARTESIAN_POINT('',(7.874358597354E-2,5.019761444180E-1, +-4.606011812771E-1)); +#10809=CARTESIAN_POINT('',(4.106164353358E-2,5.229992900227E-1, +-4.589685041117E-1)); +#10810=CARTESIAN_POINT('',(-1.526766653167E-3,5.299367791167E-1, +-4.573358269464E-1)); +#10811=CARTESIAN_POINT('',(-4.393274304780E-2,5.219596491076E-1, +-4.557031497810E-1)); +#10812=CARTESIAN_POINT('',(-8.108918317829E-2,5.000210895832E-1, +-4.540704726157E-1)); +#10813=CARTESIAN_POINT('',(-1.085562531904E-1,4.667425454138E-1, +-4.524377954503E-1)); +#10814=CARTESIAN_POINT('',(-1.230519048861E-1,4.261004795282E-1, +-4.508051182850E-1)); +#10815=CARTESIAN_POINT('',(-1.228440486266E-1,3.829512242462E-1, +-4.491724411196E-1)); +#10816=CARTESIAN_POINT('',(-1.079575211994E-1,3.424506965450E-1, +-4.475397639543E-1)); +#10817=CARTESIAN_POINT('',(-8.017111816814E-2,3.094383166710E-1, +-4.459070867889E-1)); +#10818=CARTESIAN_POINT('',(-4.280504468227E-2,2.878587420416E-1, +-4.442744096235E-1)); +#10819=CARTESIAN_POINT('',(-3.241846531455E-4,2.802905278009E-1, +-4.426417324582E-1)); +#10820=CARTESIAN_POINT('',(4.219541496161E-2,2.876379816004E-1, +-4.410090552928E-1)); +#10821=CARTESIAN_POINT('',(7.967306219799E-2,3.090232304510E-1, +-4.393763781275E-1)); +#10822=CARTESIAN_POINT('',(1.076305891708E-1,3.418906595877E-1, +-4.377437009621E-1)); +#10823=CARTESIAN_POINT('',(1.227271670586E-1,3.823139945252E-1, +-4.361110237968E-1)); +#10824=CARTESIAN_POINT('',(1.230154272799E-1,4.110773765499E-1, +-4.350225723532E-1)); +#10825=CARTESIAN_POINT('',(1.207065070077E-1,4.250559247450E-1, +-4.344783466314E-1)); +#10826=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#10558,#10559,#10560,#10561,#10562, +#10563,#10564,#10565,#10566,#10567,#10568,#10569,#10570,#10571,#10572,#10573, +#10574,#10575,#10576,#10577,#10578,#10579,#10580,#10581,#10582,#10583,#10584, +#10585,#10586,#10587,#10588,#10589,#10590,#10591,#10592,#10593,#10594,#10595, +#10596,#10597,#10598,#10599,#10600,#10601,#10602,#10603,#10604,#10605,#10606, +#10607,#10608,#10609,#10610,#10611,#10612,#10613,#10614,#10615,#10616,#10617, +#10618,#10619,#10620,#10621,#10622,#10623,#10624),(#10625,#10626,#10627,#10628, +#10629,#10630,#10631,#10632,#10633,#10634,#10635,#10636,#10637,#10638,#10639, +#10640,#10641,#10642,#10643,#10644,#10645,#10646,#10647,#10648,#10649,#10650, +#10651,#10652,#10653,#10654,#10655,#10656,#10657,#10658,#10659,#10660,#10661, +#10662,#10663,#10664,#10665,#10666,#10667,#10668,#10669,#10670,#10671,#10672, +#10673,#10674,#10675,#10676,#10677,#10678,#10679,#10680,#10681,#10682,#10683, +#10684,#10685,#10686,#10687,#10688,#10689,#10690,#10691),(#10692,#10693,#10694, +#10695,#10696,#10697,#10698,#10699,#10700,#10701,#10702,#10703,#10704,#10705, +#10706,#10707,#10708,#10709,#10710,#10711,#10712,#10713,#10714,#10715,#10716, +#10717,#10718,#10719,#10720,#10721,#10722,#10723,#10724,#10725,#10726,#10727, +#10728,#10729,#10730,#10731,#10732,#10733,#10734,#10735,#10736,#10737,#10738, +#10739,#10740,#10741,#10742,#10743,#10744,#10745,#10746,#10747,#10748,#10749, +#10750,#10751,#10752,#10753,#10754,#10755,#10756,#10757,#10758),(#10759,#10760, +#10761,#10762,#10763,#10764,#10765,#10766,#10767,#10768,#10769,#10770,#10771, +#10772,#10773,#10774,#10775,#10776,#10777,#10778,#10779,#10780,#10781,#10782, +#10783,#10784,#10785,#10786,#10787,#10788,#10789,#10790,#10791,#10792,#10793, +#10794,#10795,#10796,#10797,#10798,#10799,#10800,#10801,#10802,#10803,#10804, +#10805,#10806,#10807,#10808,#10809,#10810,#10811,#10812,#10813,#10814,#10815, +#10816,#10817,#10818,#10819,#10820,#10821,#10822,#10823,#10824,#10825)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,4),(-3.568022467213E-2,1.035680224672E0),(-2.E-2,-3.75E-3,1.25E-2,2.875E-2, +4.5E-2,6.125E-2,7.75E-2,9.375E-2,1.1E-1,1.2625E-1,1.425E-1,1.5875E-1,1.75E-1, +1.9125E-1,2.075E-1,2.2375E-1,2.4E-1,2.5625E-1,2.725E-1,2.8875E-1,3.05E-1, +3.2125E-1,3.375E-1,3.5375E-1,3.7E-1,3.8625E-1,4.025E-1,4.1875E-1,4.35E-1, +4.5125E-1,4.675E-1,4.8375E-1,5.E-1,5.1625E-1,5.325E-1,5.4875E-1,5.65E-1, +5.8125E-1,5.975E-1,6.1375E-1,6.3E-1,6.4625E-1,6.625E-1,6.7875E-1,6.95E-1, +7.1125E-1,7.275E-1,7.4375E-1,7.6E-1,7.7625E-1,7.925E-1,8.0875E-1,8.25E-1, +8.4125E-1,8.575E-1,8.7375E-1,8.9E-1,9.0625E-1,9.225E-1,9.3875E-1,9.55E-1, +9.7125E-1,9.875E-1,1.00375E0,1.02E0),.UNSPECIFIED.); +#10828=ORIENTED_EDGE('',*,*,#10827,.F.); +#10830=ORIENTED_EDGE('',*,*,#10829,.F.); +#10832=ORIENTED_EDGE('',*,*,#10831,.F.); +#10834=ORIENTED_EDGE('',*,*,#10833,.F.); +#10836=ORIENTED_EDGE('',*,*,#10835,.F.); +#10838=ORIENTED_EDGE('',*,*,#10837,.F.); +#10840=ORIENTED_EDGE('',*,*,#10839,.F.); +#10842=ORIENTED_EDGE('',*,*,#10841,.F.); +#10843=ORIENTED_EDGE('',*,*,#10540,.F.); +#10845=ORIENTED_EDGE('',*,*,#10844,.F.); +#10847=ORIENTED_EDGE('',*,*,#10846,.T.); +#10848=EDGE_LOOP('',(#10828,#10830,#10832,#10834,#10836,#10838,#10840,#10842, +#10843,#10845,#10847)); +#10849=FACE_OUTER_BOUND('',#10848,.F.); +#10850=ADVANCED_FACE('',(#10849),#10826,.F.); +#10851=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#10852=DIRECTION('',(1.E0,0.E0,0.E0)); +#10853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10854=AXIS2_PLACEMENT_3D('',#10851,#10852,#10853); +#10855=PLANE('',#10854); +#10857=ORIENTED_EDGE('',*,*,#10856,.T.); +#10858=ORIENTED_EDGE('',*,*,#10827,.T.); +#10860=ORIENTED_EDGE('',*,*,#10859,.T.); +#10862=ORIENTED_EDGE('',*,*,#10861,.F.); +#10864=ORIENTED_EDGE('',*,*,#10863,.T.); +#10865=EDGE_LOOP('',(#10857,#10858,#10860,#10862,#10864)); +#10866=FACE_OUTER_BOUND('',#10865,.F.); +#10867=ADVANCED_FACE('',(#10866),#10855,.F.); +#10868=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#10869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10870=DIRECTION('',(0.E0,1.E0,0.E0)); +#10871=AXIS2_PLACEMENT_3D('',#10868,#10869,#10870); +#10872=CYLINDRICAL_SURFACE('',#10871,1.218503937008E-1); +#10874=ORIENTED_EDGE('',*,*,#10873,.T.); +#10876=ORIENTED_EDGE('',*,*,#10875,.T.); +#10877=ORIENTED_EDGE('',*,*,#10829,.T.); +#10878=ORIENTED_EDGE('',*,*,#10856,.F.); +#10879=EDGE_LOOP('',(#10874,#10876,#10877,#10878)); +#10880=FACE_OUTER_BOUND('',#10879,.F.); +#10881=ADVANCED_FACE('',(#10880),#10872,.T.); +#10882=CARTESIAN_POINT('',(-1.219515256170E-1,4.148855398406E-1, +-5.631594486442E-1)); +#10883=CARTESIAN_POINT('',(-1.230826534149E-1,4.007628109128E-1, +-5.626152229224E-1)); +#10884=CARTESIAN_POINT('',(-1.203885463880E-1,3.721244271109E-1, +-5.615267714788E-1)); +#10885=CARTESIAN_POINT('',(-1.019623768359E-1,3.331061115781E-1, +-5.598940943135E-1)); +#10886=CARTESIAN_POINT('',(-7.135262525920E-2,3.026933787034E-1, +-5.582614171481E-1)); +#10887=CARTESIAN_POINT('',(-3.221694590378E-2,2.845191796244E-1, +-5.566287399827E-1)); +#10888=CARTESIAN_POINT('',(1.076835057835E-2,2.807554427747E-1, +-5.549960628174E-1)); +#10889=CARTESIAN_POINT('',(5.246693240659E-2,2.918518209728E-1, +-5.533633856520E-1)); +#10890=CARTESIAN_POINT('',(8.789622554846E-2,3.164824254397E-1, +-5.517307084867E-1)); +#10891=CARTESIAN_POINT('',(1.128227730022E-1,3.517041325305E-1, +-5.500980313213E-1)); +#10892=CARTESIAN_POINT('',(1.242680944707E-1,3.933082916904E-1, +-5.484653541560E-1)); +#10893=CARTESIAN_POINT('',(1.208645851258E-1,4.363236094243E-1, +-5.468326769906E-1)); +#10894=CARTESIAN_POINT('',(1.030189312991E-1,4.756101729928E-1, +-5.451999998253E-1)); +#10895=CARTESIAN_POINT('',(7.286351536229E-2,5.064736194427E-1, +-5.435673226599E-1)); +#10896=CARTESIAN_POINT('',(3.400161675039E-2,5.252260665751E-1, +-5.419346454946E-1)); +#10897=CARTESIAN_POINT('',(-8.923144951467E-3,5.296267790175E-1, +-5.403019683292E-1)); +#10898=CARTESIAN_POINT('',(-5.078167746383E-2,5.191499143590E-1, +-5.386692911639E-1)); +#10899=CARTESIAN_POINT('',(-8.657229254371E-2,4.950473562091E-1, +-5.370366139985E-1)); +#10900=CARTESIAN_POINT('',(-1.120183591697E-1,4.601991262622E-1, +-5.354039368331E-1)); +#10901=CARTESIAN_POINT('',(-1.240793194283E-1,4.187692496657E-1, +-5.337712596678E-1)); +#10902=CARTESIAN_POINT('',(-1.213140056651E-1,3.757081944423E-1, +-5.321385825024E-1)); +#10903=CARTESIAN_POINT('',(-1.040528460271E-1,3.361613386239E-1, +-5.305059053371E-1)); +#10904=CARTESIAN_POINT('',(-7.435838150742E-2,3.048541475322E-1, +-5.288732281717E-1)); +#10905=CARTESIAN_POINT('',(-3.577881232138E-2,2.855275265501E-1, +-5.272405510064E-1)); +#10906=CARTESIAN_POINT('',(7.075977571058E-3,2.804908192485E-1, +-5.256078738410E-1)); +#10907=CARTESIAN_POINT('',(4.908525684235E-2,2.903458632501E-1, +-5.239751966757E-1)); +#10908=CARTESIAN_POINT('',(8.522932447537E-2,3.139150764764E-1, +-5.223425195103E-1)); +#10909=CARTESIAN_POINT('',(1.111893153001E-1,3.483821667734E-1, +-5.207098423450E-1)); +#10910=CARTESIAN_POINT('',(1.238632624510E-1,3.896286514816E-1, +-5.190771651796E-1)); +#10911=CARTESIAN_POINT('',(1.217367522912E-1,4.327259761284E-1, +-5.174444880142E-1)); +#10912=CARTESIAN_POINT('',(1.050638821390E-1,4.725244288377E-1, +-5.158118108489E-1)); +#10913=CARTESIAN_POINT('',(7.583689810568E-2,5.042684809024E-1, +-5.141791336835E-1)); +#10914=CARTESIAN_POINT('',(3.754814102695E-2,5.241650262943E-1, +-5.125464565182E-1)); +#10915=CARTESIAN_POINT('',(-5.227254360595E-3,5.298366210090E-1, +-5.109137793528E-1)); +#10916=CARTESIAN_POINT('',(-4.737804360193E-2,5.206055645418E-1, +-5.092811021875E-1)); +#10917=CARTESIAN_POINT('',(-8.386761661238E-2,4.975748785189E-1, +-5.076484250221E-1)); +#10918=CARTESIAN_POINT('',(-1.103358236838E-1,4.634965063220E-1, +-5.060157478568E-1)); +#10919=CARTESIAN_POINT('',(-1.236199710432E-1,4.224424825715E-1, +-5.043830706914E-1)); +#10920=CARTESIAN_POINT('',(-1.221327320530E-1,3.793183645243E-1, +-5.027503935260E-1)); +#10921=CARTESIAN_POINT('',(-1.060518173334E-1,3.392770656074E-1, +-5.011177163607E-1)); +#10922=CARTESIAN_POINT('',(-7.729874006848E-2,3.071031322923E-1, +-4.994850391953E-1)); +#10923=CARTESIAN_POINT('',(-3.930921383622E-2,2.866410372427E-1, +-4.978523620300E-1)); +#10924=CARTESIAN_POINT('',(3.377381807815E-3,2.803358021567E-1, +-4.962196848646E-1)); +#10925=CARTESIAN_POINT('',(4.566041311583E-2,2.889408414113E-1, +-4.945870076993E-1)); +#10926=CARTESIAN_POINT('',(8.248746836008E-2,3.114279363594E-1, +-4.929543305339E-1)); +#10927=CARTESIAN_POINT('',(1.094580719820E-1,3.451100974753E-1, +-4.913216533686E-1)); +#10928=CARTESIAN_POINT('',(1.233494986984E-1,3.859626335159E-1, +-4.896889762032E-1)); +#10929=CARTESIAN_POINT('',(1.225018578845E-1,4.291040630497E-1, +-4.880562990379E-1)); +#10930=CARTESIAN_POINT('',(1.070164343881E-1,4.693794040948E-1, +-4.864236218725E-1)); +#10931=CARTESIAN_POINT('',(7.874358597354E-2,5.019761444180E-1, +-4.847909447071E-1)); +#10932=CARTESIAN_POINT('',(4.106164353358E-2,5.229992900227E-1, +-4.831582675418E-1)); +#10933=CARTESIAN_POINT('',(-1.526766653166E-3,5.299367791167E-1, +-4.815255903764E-1)); +#10934=CARTESIAN_POINT('',(-4.393274304780E-2,5.219596491076E-1, +-4.798929132111E-1)); +#10935=CARTESIAN_POINT('',(-8.108918317829E-2,5.000210895832E-1, +-4.782602360457E-1)); +#10936=CARTESIAN_POINT('',(-1.085562531904E-1,4.667425454138E-1, +-4.766275588804E-1)); +#10937=CARTESIAN_POINT('',(-1.230519048861E-1,4.261004795282E-1, +-4.749948817150E-1)); +#10938=CARTESIAN_POINT('',(-1.228440486266E-1,3.829512242462E-1, +-4.733622045497E-1)); +#10939=CARTESIAN_POINT('',(-1.079575211994E-1,3.424506965450E-1, +-4.717295273843E-1)); +#10940=CARTESIAN_POINT('',(-8.017111816814E-2,3.094383166710E-1, +-4.700968502190E-1)); +#10941=CARTESIAN_POINT('',(-4.280504468227E-2,2.878587420416E-1, +-4.684641730536E-1)); +#10942=CARTESIAN_POINT('',(-3.241846531460E-4,2.802905278009E-1, +-4.668314958883E-1)); +#10943=CARTESIAN_POINT('',(4.219541496161E-2,2.876379816004E-1, +-4.651988187229E-1)); +#10944=CARTESIAN_POINT('',(7.967306219799E-2,3.090232304510E-1, +-4.635661415575E-1)); +#10945=CARTESIAN_POINT('',(1.076305891708E-1,3.418906595877E-1, +-4.619334643922E-1)); +#10946=CARTESIAN_POINT('',(1.227271670586E-1,3.823139945252E-1, +-4.603007872268E-1)); +#10947=CARTESIAN_POINT('',(1.230154272799E-1,4.110773765499E-1, +-4.592123357833E-1)); +#10948=CARTESIAN_POINT('',(1.207065070077E-1,4.250559247450E-1, +-4.586681100615E-1)); +#10949=CARTESIAN_POINT('',(-1.170462866917E-1,4.144926659044E-1, +-5.603183386003E-1)); +#10950=CARTESIAN_POINT('',(-1.181319172966E-1,4.009379935E-1, +-5.597741128785E-1)); +#10951=CARTESIAN_POINT('',(-1.155461749547E-1,3.734515273496E-1, +-5.586856614350E-1)); +#10952=CARTESIAN_POINT('',(-9.786115860808E-2,3.360026399906E-1, +-5.570529842696E-1)); +#10953=CARTESIAN_POINT('',(-6.848261872940E-2,3.068131941586E-1, +-5.554203071043E-1)); +#10954=CARTESIAN_POINT('',(-3.092108825624E-2,2.893700133126E-1, +-5.537876299389E-1)); +#10955=CARTESIAN_POINT('',(1.033521673972E-2,2.857576647165E-1, +-5.521549527736E-1)); +#10956=CARTESIAN_POINT('',(5.035656242289E-2,2.964077148676E-1, +-5.505222756082E-1)); +#10957=CARTESIAN_POINT('',(8.436078812969E-2,3.200476060229E-1, +-5.488895984429E-1)); +#10958=CARTESIAN_POINT('',(1.082847185992E-1,3.538525953830E-1, +-5.472569212775E-1)); +#10959=CARTESIAN_POINT('',(1.192696765249E-1,3.937833163474E-1, +-5.456242441122E-1)); +#10960=CARTESIAN_POINT('',(1.160030660538E-1,4.350684350546E-1, +-5.439915669468E-1)); +#10961=CARTESIAN_POINT('',(9.887521543091E-2,4.727747807466E-1, +-5.423588897814E-1)); +#10962=CARTESIAN_POINT('',(6.993273651407E-2,5.023968111656E-1, +-5.407262126161E-1)); +#10963=CARTESIAN_POINT('',(3.263397454040E-2,5.203949812756E-1, +-5.390935354507E-1)); +#10964=CARTESIAN_POINT('',(-8.564230557174E-3,5.246186844853E-1, +-5.374608582854E-1)); +#10965=CARTESIAN_POINT('',(-4.873909325077E-2,5.145632292685E-1, +-5.358281811200E-1)); +#10966=CARTESIAN_POINT('',(-8.309010749451E-2,4.914301448980E-1, +-5.341955039547E-1)); +#10967=CARTESIAN_POINT('',(-1.075126605902E-1,4.579836103637E-1, +-5.325628267893E-1)); +#10968=CARTESIAN_POINT('',(-1.190884945543E-1,4.182201618198E-1, +-5.309301496240E-1)); +#10969=CARTESIAN_POINT('',(-1.164344096145E-1,3.768911453156E-1, +-5.292974724586E-1)); +#10970=CARTESIAN_POINT('',(-9.986754315338E-2,3.389349770721E-1, +-5.276647952933E-1)); +#10971=CARTESIAN_POINT('',(-7.136747487016E-2,3.088870506891E-1, +-5.260321181279E-1)); +#10972=CARTESIAN_POINT('',(-3.433968622588E-2,2.903378016427E-1, +-5.243994409625E-1)); +#10973=CARTESIAN_POINT('',(6.791361528444E-3,2.855036851049E-1, +-5.227667637972E-1)); +#10974=CARTESIAN_POINT('',(4.711090751546E-2,2.949623310688E-1, +-5.211340866318E-1)); +#10975=CARTESIAN_POINT('',(8.180115744039E-2,3.175835231730E-1, +-5.195014094665E-1)); +#10976=CARTESIAN_POINT('',(1.067169632347E-1,3.506642485837E-1, +-5.178687323011E-1)); +#10977=CARTESIAN_POINT('',(1.188811280062E-1,3.902516817851E-1, +-5.162360551358E-1)); +#10978=CARTESIAN_POINT('',(1.168401521630E-1,4.316155088527E-1, +-5.146033779704E-1)); +#10979=CARTESIAN_POINT('',(1.008379125031E-1,4.698131540412E-1, +-5.129707008051E-1)); +#10980=CARTESIAN_POINT('',(7.278652130490E-2,5.002803696001E-1, +-5.113380236397E-1)); +#10981=CARTESIAN_POINT('',(3.603784747376E-2,5.193766190676E-1, +-5.097053464743E-1)); +#10982=CARTESIAN_POINT('',(-5.016999249590E-3,5.248200860321E-1, +-5.080726693090E-1)); +#10983=CARTESIAN_POINT('',(-4.547236327119E-2,5.159603290404E-1, +-5.064399921436E-1)); +#10984=CARTESIAN_POINT('',(-8.049422135974E-2,4.938560030363E-1, +-5.048073149783E-1)); +#10985=CARTESIAN_POINT('',(-1.058978014906E-1,4.611483603728E-1, +-5.031746378129E-1)); +#10986=CARTESIAN_POINT('',(-1.186476224741E-1,4.217456467992E-1, +-5.015419606476E-1)); +#10987=CARTESIAN_POINT('',(-1.172202044869E-1,3.803561040382E-1, +-4.999092834822E-1)); +#10988=CARTESIAN_POINT('',(-1.017861101202E-1,3.419253806109E-1, +-4.982766063169E-1)); +#10989=CARTESIAN_POINT('',(-7.418956380567E-2,3.110455748540E-1, +-4.966439291515E-1)); +#10990=CARTESIAN_POINT('',(-3.772808490109E-2,2.914065237527E-1, +-4.950112519862E-1)); +#10991=CARTESIAN_POINT('',(3.241533858245E-3,2.853549032436E-1, +-4.933785748208E-1)); +#10992=CARTESIAN_POINT('',(4.382382079262E-2,2.936138232243E-1, +-4.917458976554E-1)); +#10993=CARTESIAN_POINT('',(7.916958661492E-2,3.151964229404E-1, +-4.901132204901E-1)); +#10994=CARTESIAN_POINT('',(1.050553554711E-1,3.475237912650E-1, +-4.884805433247E-1)); +#10995=CARTESIAN_POINT('',(1.183880293001E-1,3.867331215403E-1, +-4.868478661594E-1)); +#10996=CARTESIAN_POINT('',(1.175744830225E-1,4.281392794703E-1, +-4.852151889940E-1)); +#10997=CARTESIAN_POINT('',(1.027119275199E-1,4.667946311826E-1, +-4.835825118287E-1)); +#10998=CARTESIAN_POINT('',(7.557629387874E-2,4.980802374411E-1, +-4.819498346633E-1)); +#10999=CARTESIAN_POINT('',(3.941002686718E-2,5.182577720407E-1, +-4.803171574980E-1)); +#11000=CARTESIAN_POINT('',(-1.465355734584E-3,5.249162154945E-1, +-4.786844803326E-1)); +#11001=CARTESIAN_POINT('',(-4.216564255279E-2,5.172599484548E-1, +-4.770518031673E-1)); +#11002=CARTESIAN_POINT('',(-7.782754445975E-2,4.962038204998E-1, +-4.754191260019E-1)); +#11003=CARTESIAN_POINT('',(-1.041898104089E-1,4.642638344944E-1, +-4.737864488365E-1)); +#11004=CARTESIAN_POINT('',(-1.181024055616E-1,4.252565086629E-1, +-4.721537716712E-1)); +#11005=CARTESIAN_POINT('',(-1.179029098749E-1,3.838428397587E-1, +-4.705210945058E-1)); +#11006=CARTESIAN_POINT('',(-1.036151611298E-1,3.449713590412E-1, +-4.688884173405E-1)); +#11007=CARTESIAN_POINT('',(-7.694640664829E-2,3.132868314425E-1, +-4.672557401751E-1)); +#11008=CARTESIAN_POINT('',(-4.108330343866E-2,2.925752489840E-1, +-4.656230630098E-1)); +#11009=CARTESIAN_POINT('',(-3.111450197827E-4,2.853114499518E-1, +-4.639903858444E-1)); +#11010=CARTESIAN_POINT('',(4.049819476787E-2,2.923633681588E-1, +-4.623577086791E-1)); +#11011=CARTESIAN_POINT('',(7.646838391284E-2,3.128884411768E-1, +-4.607250315137E-1)); +#11012=CARTESIAN_POINT('',(1.033013792419E-1,3.444338483711E-1, +-4.590923543484E-1)); +#11013=CARTESIAN_POINT('',(1.177907296176E-1,3.832312412384E-1, +-4.574596771830E-1)); +#11014=CARTESIAN_POINT('',(1.180673951889E-1,4.108376778259E-1, +-4.563712257394E-1)); +#11015=CARTESIAN_POINT('',(1.158513462895E-1,4.242539688587E-1, +-4.558270000177E-1)); +#11016=CARTESIAN_POINT('',(-1.121410477665E-1,4.140997919682E-1, +-5.574772285565E-1)); +#11017=CARTESIAN_POINT('',(-1.131811811783E-1,4.011131760872E-1, +-5.569330028347E-1)); +#11018=CARTESIAN_POINT('',(-1.107038035214E-1,3.747786275883E-1, +-5.558445513911E-1)); +#11019=CARTESIAN_POINT('',(-9.375994038030E-2,3.388991684031E-1, +-5.542118742258E-1)); +#11020=CARTESIAN_POINT('',(-6.561261219960E-2,3.109330096138E-1, +-5.525791970604E-1)); +#11021=CARTESIAN_POINT('',(-2.962523060872E-2,2.942208470007E-1, +-5.509465198951E-1)); +#11022=CARTESIAN_POINT('',(9.902082901066E-3,2.907598866583E-1, +-5.493138427297E-1)); +#11023=CARTESIAN_POINT('',(4.824619243916E-2,3.009636087623E-1, +-5.476811655644E-1)); +#11024=CARTESIAN_POINT('',(8.082535071089E-2,3.236127866062E-1, +-5.460484883990E-1)); +#11025=CARTESIAN_POINT('',(1.037466641961E-1,3.560010582355E-1, +-5.444158112337E-1)); +#11026=CARTESIAN_POINT('',(1.142712585791E-1,3.942583410044E-1, +-5.427831340683E-1)); +#11027=CARTESIAN_POINT('',(1.111415469819E-1,4.338132606848E-1, +-5.411504569030E-1)); +#11028=CARTESIAN_POINT('',(9.473149956282E-2,4.699393885002E-1, +-5.395177797376E-1)); +#11029=CARTESIAN_POINT('',(6.700195766597E-2,4.983200028884E-1, +-5.378851025723E-1)); +#11030=CARTESIAN_POINT('',(3.126633233057E-2,5.155638959760E-1, +-5.362524254069E-1)); +#11031=CARTESIAN_POINT('',(-8.205316162691E-3,5.196105899531E-1, +-5.346197482416E-1)); +#11032=CARTESIAN_POINT('',(-4.669650903752E-2,5.099765441782E-1, +-5.329870710762E-1)); +#11033=CARTESIAN_POINT('',(-7.960792244512E-2,4.878129335871E-1, +-5.313543939108E-1)); +#11034=CARTESIAN_POINT('',(-1.030069620106E-1,4.557680944654E-1, +-5.297217167455E-1)); +#11035=CARTESIAN_POINT('',(-1.140976696802E-1,4.176710739742E-1, +-5.280890395801E-1)); +#11036=CARTESIAN_POINT('',(-1.115548135640E-1,3.780740961893E-1, +-5.264563624148E-1)); +#11037=CARTESIAN_POINT('',(-9.568224027989E-2,3.417086155206E-1, +-5.248236852494E-1)); +#11038=CARTESIAN_POINT('',(-6.837656823323E-2,3.129199538462E-1, +-5.231910080841E-1)); +#11039=CARTESIAN_POINT('',(-3.290056013079E-2,2.951480767355E-1, +-5.215583309187E-1)); +#11040=CARTESIAN_POINT('',(6.506745485377E-3,2.905165509613E-1, +-5.199256537534E-1)); +#11041=CARTESIAN_POINT('',(4.513655818813E-2,2.995787988874E-1, +-5.182929765880E-1)); +#11042=CARTESIAN_POINT('',(7.837299040503E-2,3.212519698694E-1, +-5.166602994226E-1)); +#11043=CARTESIAN_POINT('',(1.022446111690E-1,3.529463303934E-1, +-5.150276222573E-1)); +#11044=CARTESIAN_POINT('',(1.138989935612E-1,3.908747120881E-1, +-5.133949450919E-1)); +#11045=CARTESIAN_POINT('',(1.119435520349E-1,4.305050415765E-1, +-5.117622679266E-1)); +#11046=CARTESIAN_POINT('',(9.661194286756E-2,4.671018792441E-1, +-5.101295907612E-1)); +#11047=CARTESIAN_POINT('',(6.973614450463E-2,4.962922582975E-1, +-5.084969135959E-1)); +#11048=CARTESIAN_POINT('',(3.452755392121E-2,5.145882118406E-1, +-5.068642364305E-1)); +#11049=CARTESIAN_POINT('',(-4.806744137884E-3,5.198035510553E-1, +-5.052315592652E-1)); +#11050=CARTESIAN_POINT('',(-4.356668293978E-2,5.113150935394E-1, +-5.035988820998E-1)); +#11051=CARTESIAN_POINT('',(-7.712082610653E-2,4.901371275542E-1, +-5.019662049345E-1)); +#11052=CARTESIAN_POINT('',(-1.014597792971E-1,4.588002144242E-1, +-5.003335277691E-1)); +#11053=CARTESIAN_POINT('',(-1.136752739049E-1,4.210488110276E-1, +-4.987008506037E-1)); +#11054=CARTESIAN_POINT('',(-1.123076769211E-1,3.813938435530E-1, +-4.970681734384E-1)); +#11055=CARTESIAN_POINT('',(-9.752040290749E-2,3.445736956150E-1, +-4.954354962730E-1)); +#11056=CARTESIAN_POINT('',(-7.108038754351E-2,3.149880174163E-1, +-4.938028191077E-1)); +#11057=CARTESIAN_POINT('',(-3.614695596674E-2,2.961720102629E-1, +-4.921701419423E-1)); +#11058=CARTESIAN_POINT('',(3.105685907844E-3,2.903740043305E-1, +-4.905374647770E-1)); +#11059=CARTESIAN_POINT('',(4.198722846864E-2,2.982868050369E-1, +-4.889047876116E-1)); +#11060=CARTESIAN_POINT('',(7.585170486913E-2,3.189649095208E-1, +-4.872721104463E-1)); +#11061=CARTESIAN_POINT('',(1.006526389598E-1,3.499374850540E-1, +-4.856394332809E-1)); +#11062=CARTESIAN_POINT('',(1.134265599017E-1,3.875036095639E-1, +-4.840067561156E-1)); +#11063=CARTESIAN_POINT('',(1.126471081606E-1,4.271744958902E-1, +-4.823740789502E-1)); +#11064=CARTESIAN_POINT('',(9.840742065213E-2,4.642098582698E-1, +-4.807414017848E-1)); +#11065=CARTESIAN_POINT('',(7.240900178455E-2,4.941843304638E-1, +-4.791087246195E-1)); +#11066=CARTESIAN_POINT('',(3.775841020150E-2,5.135162540585E-1, +-4.774760474541E-1)); +#11067=CARTESIAN_POINT('',(-1.403944815269E-3,5.198956518723E-1, +-4.758433702888E-1)); +#11068=CARTESIAN_POINT('',(-4.039854205712E-2,5.125602478022E-1, +-4.742106931234E-1)); +#11069=CARTESIAN_POINT('',(-7.456590574070E-2,4.923865514168E-1, +-4.725780159581E-1)); +#11070=CARTESIAN_POINT('',(-9.982336762704E-2,4.617851235755E-1, +-4.709453387927E-1)); +#11071=CARTESIAN_POINT('',(-1.131529062369E-1,4.244125377982E-1, +-4.693126616274E-1)); +#11072=CARTESIAN_POINT('',(-1.129617711233E-1,3.847344552716E-1, +-4.676799844620E-1)); +#11073=CARTESIAN_POINT('',(-9.927280106051E-2,3.474920215379E-1, +-4.660473072967E-1)); +#11074=CARTESIAN_POINT('',(-7.372169512876E-2,3.171353462144E-1, +-4.644146301313E-1)); +#11075=CARTESIAN_POINT('',(-3.936156219541E-2,2.972917559266E-1, +-4.627819529659E-1)); +#11076=CARTESIAN_POINT('',(-2.981053867230E-4,2.903323721027E-1, +-4.611492758006E-1)); +#11077=CARTESIAN_POINT('',(3.880097457391E-2,2.970887547171E-1, +-4.595165986352E-1)); +#11078=CARTESIAN_POINT('',(7.326370562758E-2,3.167536519024E-1, +-4.578839214699E-1)); +#11079=CARTESIAN_POINT('',(9.897216931293E-2,3.469770371544E-1, +-4.562512443045E-1)); +#11080=CARTESIAN_POINT('',(1.128542921766E-1,3.841484879516E-1, +-4.546185671392E-1)); +#11081=CARTESIAN_POINT('',(1.131193630979E-1,4.105979791019E-1, +-4.535301156956E-1)); +#11082=CARTESIAN_POINT('',(1.109961855712E-1,4.234520129725E-1, +-4.529858899738E-1)); +#11083=CARTESIAN_POINT('',(-1.072358088413E-1,4.137069180320E-1, +-5.546361185127E-1)); +#11084=CARTESIAN_POINT('',(-1.082304450600E-1,4.012883586744E-1, +-5.540918927909E-1)); +#11085=CARTESIAN_POINT('',(-1.058614320881E-1,3.761057278269E-1, +-5.530034413473E-1)); +#11086=CARTESIAN_POINT('',(-8.965872215253E-2,3.417956968157E-1, +-5.513707641820E-1)); +#11087=CARTESIAN_POINT('',(-6.274260566981E-2,3.150528250690E-1, +-5.497380870166E-1)); +#11088=CARTESIAN_POINT('',(-2.832937296118E-2,2.990716806888E-1, +-5.481054098513E-1)); +#11089=CARTESIAN_POINT('',(9.468949062436E-3,2.957621086001E-1, +-5.464727326859E-1)); +#11090=CARTESIAN_POINT('',(4.613582245546E-2,3.055195026570E-1, +-5.448400555206E-1)); +#11091=CARTESIAN_POINT('',(7.728991329213E-2,3.271779671894E-1, +-5.432073783552E-1)); +#11092=CARTESIAN_POINT('',(9.920860979309E-2,3.581495210880E-1, +-5.415747011898E-1)); +#11093=CARTESIAN_POINT('',(1.092728406333E-1,3.947333656614E-1, +-5.399420240245E-1)); +#11094=CARTESIAN_POINT('',(1.062800279099E-1,4.325580863151E-1, +-5.383093468591E-1)); +#11095=CARTESIAN_POINT('',(9.058778369465E-2,4.671039962540E-1, +-5.366766696938E-1)); +#11096=CARTESIAN_POINT('',(6.407117881775E-2,4.942431946112E-1, +-5.350439925284E-1)); +#11097=CARTESIAN_POINT('',(2.989869012057E-2,5.107328106764E-1, +-5.334113153631E-1)); +#11098=CARTESIAN_POINT('',(-7.846401768403E-3,5.146024954209E-1, +-5.317786381977E-1)); +#11099=CARTESIAN_POINT('',(-4.465392482447E-2,5.053898590878E-1, +-5.301459610324E-1)); +#11100=CARTESIAN_POINT('',(-7.612573739592E-2,4.841957222760E-1, +-5.285132838670E-1)); +#11101=CARTESIAN_POINT('',(-9.850126343108E-2,4.535525785669E-1, +-5.268806067017E-1)); +#11102=CARTESIAN_POINT('',(-1.091068448062E-1,4.171219861283E-1, +-5.252479295363E-1)); +#11103=CARTESIAN_POINT('',(-1.066752175134E-1,3.792570470627E-1, +-5.236152523709E-1)); +#11104=CARTESIAN_POINT('',(-9.149693740619E-2,3.444822539688E-1, +-5.219825752056E-1)); +#11105=CARTESIAN_POINT('',(-6.538566159597E-2,3.169528570032E-1, +-5.203498980402E-1)); +#11106=CARTESIAN_POINT('',(-3.146143403528E-2,2.999583518282E-1, +-5.187172208749E-1)); +#11107=CARTESIAN_POINT('',(6.222129442775E-3,2.955294168177E-1, +-5.170845437095E-1)); +#11108=CARTESIAN_POINT('',(4.316220886125E-2,3.041952667061E-1, +-5.154518665442E-1)); +#11109=CARTESIAN_POINT('',(7.494482337007E-2,3.249204165660E-1, +-5.138191893788E-1)); +#11110=CARTESIAN_POINT('',(9.777225910352E-2,3.552284122036E-1, +-5.121865122135E-1)); +#11111=CARTESIAN_POINT('',(1.089168591163E-1,3.914977423916E-1, +-5.105538350481E-1)); +#11112=CARTESIAN_POINT('',(1.070469519067E-1,4.293945743009E-1, +-5.089211578828E-1)); +#11113=CARTESIAN_POINT('',(9.238597323166E-2,4.643906044475E-1, +-5.072884807174E-1)); +#11114=CARTESIAN_POINT('',(6.668576770384E-2,4.923041469952E-1, +-5.056558035520E-1)); +#11115=CARTESIAN_POINT('',(3.301726036800E-2,5.097998046139E-1, +-5.040231263867E-1)); +#11116=CARTESIAN_POINT('',(-4.596489026898E-3,5.147870160784E-1, +-5.023904492213E-1)); +#11117=CARTESIAN_POINT('',(-4.166100260905E-2,5.066698580380E-1, +-5.007577720560E-1)); +#11118=CARTESIAN_POINT('',(-7.374743085390E-2,4.864182520715E-1, +-4.991250948906E-1)); +#11119=CARTESIAN_POINT('',(-9.702175710392E-2,4.564520684750E-1, +-4.974924177253E-1)); +#11120=CARTESIAN_POINT('',(-1.087029253359E-1,4.203519752553E-1, +-4.958597405599E-1)); +#11121=CARTESIAN_POINT('',(-1.073951493550E-1,3.824315830669E-1, +-4.942270633946E-1)); +#11122=CARTESIAN_POINT('',(-9.325469569432E-2,3.472220106185E-1, +-4.925943862292E-1)); +#11123=CARTESIAN_POINT('',(-6.797121128068E-2,3.189304599780E-1, +-4.909617090639E-1)); +#11124=CARTESIAN_POINT('',(-3.456582703158E-2,3.009374967728E-1, +-4.893290318985E-1)); +#11125=CARTESIAN_POINT('',(2.969837958296E-3,2.953931054174E-1, +-4.876963547331E-1)); +#11126=CARTESIAN_POINT('',(4.015063614545E-2,3.029597868499E-1, +-4.860636775678E-1)); +#11127=CARTESIAN_POINT('',(7.253382312399E-2,3.227333961018E-1, +-4.844310004024E-1)); +#11128=CARTESIAN_POINT('',(9.624992244886E-2,3.523511788437E-1, +-4.827983232371E-1)); +#11129=CARTESIAN_POINT('',(1.084650905034E-1,3.882740975884E-1, +-4.811656460717E-1)); +#11130=CARTESIAN_POINT('',(1.077197332986E-1,4.262097123108E-1, +-4.795329689064E-1)); +#11131=CARTESIAN_POINT('',(9.410291378393E-2,4.616250853576E-1, +-4.779002917410E-1)); +#11132=CARTESIAN_POINT('',(6.924170968973E-2,4.902884234870E-1, +-4.762676145757E-1)); +#11133=CARTESIAN_POINT('',(3.610679353509E-2,5.087747360766E-1, +-4.746349374103E-1)); +#11134=CARTESIAN_POINT('',(-1.342533896706E-3,5.148750882500E-1, +-4.730022602449E-1)); +#11135=CARTESIAN_POINT('',(-3.863144156213E-2,5.078605471493E-1, +-4.713695830796E-1)); +#11136=CARTESIAN_POINT('',(-7.130426702217E-2,4.885692823333E-1, +-4.697369059142E-1)); +#11137=CARTESIAN_POINT('',(-9.545692484554E-2,4.593064126561E-1, +-4.681042287489E-1)); +#11138=CARTESIAN_POINT('',(-1.082034069123E-1,4.235685669329E-1, +-4.664715515835E-1)); +#11139=CARTESIAN_POINT('',(-1.080206323715E-1,3.856260707840E-1, +-4.648388744182E-1)); +#11140=CARTESIAN_POINT('',(-9.493044099093E-2,3.500126840341E-1, +-4.632061972528E-1)); +#11141=CARTESIAN_POINT('',(-7.049698360890E-2,3.209838609860E-1, +-4.615735200875E-1)); +#11142=CARTESIAN_POINT('',(-3.763982095180E-2,3.020082628691E-1, +-4.599408429221E-1)); +#11143=CARTESIAN_POINT('',(-2.850657533515E-4,2.953532942536E-1, +-4.583081657568E-1)); +#11144=CARTESIAN_POINT('',(3.710375438018E-2,3.018141412755E-1, +-4.566754885914E-1)); +#11145=CARTESIAN_POINT('',(7.005902734243E-2,3.206188626282E-1, +-4.550428114261E-1)); +#11146=CARTESIAN_POINT('',(9.464295938402E-2,3.495202259378E-1, +-4.534101342607E-1)); +#11147=CARTESIAN_POINT('',(1.079178547356E-1,3.850657346648E-1, +-4.517774570954E-1)); +#11148=CARTESIAN_POINT('',(1.081713310069E-1,4.103582803778E-1, +-4.506890056518E-1)); +#11149=CARTESIAN_POINT('',(1.061410248530E-1,4.226500570861E-1, +-4.501447799300E-1)); +#11150=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#10882,#10883,#10884,#10885,#10886, +#10887,#10888,#10889,#10890,#10891,#10892,#10893,#10894,#10895,#10896,#10897, +#10898,#10899,#10900,#10901,#10902,#10903,#10904,#10905,#10906,#10907,#10908, +#10909,#10910,#10911,#10912,#10913,#10914,#10915,#10916,#10917,#10918,#10919, +#10920,#10921,#10922,#10923,#10924,#10925,#10926,#10927,#10928,#10929,#10930, +#10931,#10932,#10933,#10934,#10935,#10936,#10937,#10938,#10939,#10940,#10941, +#10942,#10943,#10944,#10945,#10946,#10947,#10948),(#10949,#10950,#10951,#10952, +#10953,#10954,#10955,#10956,#10957,#10958,#10959,#10960,#10961,#10962,#10963, +#10964,#10965,#10966,#10967,#10968,#10969,#10970,#10971,#10972,#10973,#10974, +#10975,#10976,#10977,#10978,#10979,#10980,#10981,#10982,#10983,#10984,#10985, +#10986,#10987,#10988,#10989,#10990,#10991,#10992,#10993,#10994,#10995,#10996, +#10997,#10998,#10999,#11000,#11001,#11002,#11003,#11004,#11005,#11006,#11007, +#11008,#11009,#11010,#11011,#11012,#11013,#11014,#11015),(#11016,#11017,#11018, +#11019,#11020,#11021,#11022,#11023,#11024,#11025,#11026,#11027,#11028,#11029, +#11030,#11031,#11032,#11033,#11034,#11035,#11036,#11037,#11038,#11039,#11040, +#11041,#11042,#11043,#11044,#11045,#11046,#11047,#11048,#11049,#11050,#11051, +#11052,#11053,#11054,#11055,#11056,#11057,#11058,#11059,#11060,#11061,#11062, +#11063,#11064,#11065,#11066,#11067,#11068,#11069,#11070,#11071,#11072,#11073, +#11074,#11075,#11076,#11077,#11078,#11079,#11080,#11081,#11082),(#11083,#11084, +#11085,#11086,#11087,#11088,#11089,#11090,#11091,#11092,#11093,#11094,#11095, +#11096,#11097,#11098,#11099,#11100,#11101,#11102,#11103,#11104,#11105,#11106, +#11107,#11108,#11109,#11110,#11111,#11112,#11113,#11114,#11115,#11116,#11117, +#11118,#11119,#11120,#11121,#11122,#11123,#11124,#11125,#11126,#11127,#11128, +#11129,#11130,#11131,#11132,#11133,#11134,#11135,#11136,#11137,#11138,#11139, +#11140,#11141,#11142,#11143,#11144,#11145,#11146,#11147,#11148,#11149)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,4),(-3.568022467213E-2,1.035680224672E0),(-2.E-2,-3.75E-3,1.25E-2,2.875E-2, +4.5E-2,6.125E-2,7.75E-2,9.375E-2,1.1E-1,1.2625E-1,1.425E-1,1.5875E-1,1.75E-1, +1.9125E-1,2.075E-1,2.2375E-1,2.4E-1,2.5625E-1,2.725E-1,2.8875E-1,3.05E-1, +3.2125E-1,3.375E-1,3.5375E-1,3.7E-1,3.8625E-1,4.025E-1,4.1875E-1,4.35E-1, +4.5125E-1,4.675E-1,4.8375E-1,5.E-1,5.1625E-1,5.325E-1,5.4875E-1,5.65E-1, +5.8125E-1,5.975E-1,6.1375E-1,6.3E-1,6.4625E-1,6.625E-1,6.7875E-1,6.95E-1, +7.1125E-1,7.275E-1,7.4375E-1,7.6E-1,7.7625E-1,7.925E-1,8.0875E-1,8.25E-1, +8.4125E-1,8.575E-1,8.7375E-1,8.9E-1,9.0625E-1,9.225E-1,9.3875E-1,9.55E-1, +9.7125E-1,9.875E-1,1.00375E0,1.02E0),.UNSPECIFIED.); +#11152=ORIENTED_EDGE('',*,*,#11151,.F.); +#11154=ORIENTED_EDGE('',*,*,#11153,.T.); +#11156=ORIENTED_EDGE('',*,*,#11155,.T.); +#11158=ORIENTED_EDGE('',*,*,#11157,.F.); +#11160=ORIENTED_EDGE('',*,*,#11159,.F.); +#11162=ORIENTED_EDGE('',*,*,#11161,.F.); +#11164=ORIENTED_EDGE('',*,*,#11163,.F.); +#11166=ORIENTED_EDGE('',*,*,#11165,.F.); +#11167=ORIENTED_EDGE('',*,*,#10873,.F.); +#11168=ORIENTED_EDGE('',*,*,#10863,.F.); +#11170=ORIENTED_EDGE('',*,*,#11169,.F.); +#11171=EDGE_LOOP('',(#11152,#11154,#11156,#11158,#11160,#11162,#11164,#11166, +#11167,#11168,#11170)); +#11172=FACE_OUTER_BOUND('',#11171,.F.); +#11173=ADVANCED_FACE('',(#11172),#11150,.F.); +#11174=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#11175=DIRECTION('',(1.E0,0.E0,0.E0)); +#11176=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11177=AXIS2_PLACEMENT_3D('',#11174,#11175,#11176); +#11178=PLANE('',#11177); +#11180=ORIENTED_EDGE('',*,*,#11179,.T.); +#11182=ORIENTED_EDGE('',*,*,#11181,.T.); +#11184=ORIENTED_EDGE('',*,*,#11183,.F.); +#11186=ORIENTED_EDGE('',*,*,#11185,.T.); +#11187=ORIENTED_EDGE('',*,*,#11151,.T.); +#11188=EDGE_LOOP('',(#11180,#11182,#11184,#11186,#11187)); +#11189=FACE_OUTER_BOUND('',#11188,.F.); +#11190=ADVANCED_FACE('',(#11189),#11178,.F.); +#11191=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#11192=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11193=DIRECTION('',(0.E0,1.E0,0.E0)); +#11194=AXIS2_PLACEMENT_3D('',#11191,#11192,#11193); +#11195=CYLINDRICAL_SURFACE('',#11194,1.218503937008E-1); +#11196=ORIENTED_EDGE('',*,*,#11169,.T.); +#11197=ORIENTED_EDGE('',*,*,#10861,.T.); +#11199=ORIENTED_EDGE('',*,*,#11198,.T.); +#11200=ORIENTED_EDGE('',*,*,#11179,.F.); +#11201=EDGE_LOOP('',(#11196,#11197,#11199,#11200)); +#11202=FACE_OUTER_BOUND('',#11201,.F.); +#11203=ADVANCED_FACE('',(#11202),#11195,.T.); +#11204=CARTESIAN_POINT('',(9.273071829248E-2,4.596552553958E-1, +-6.479654562905E-1)); +#11205=CARTESIAN_POINT('',(8.641498494111E-2,4.703940369822E-1, +-6.474212305687E-1)); +#11206=CARTESIAN_POINT('',(7.001679074251E-2,4.896521870983E-1, +-6.463327791251E-1)); +#11207=CARTESIAN_POINT('',(3.705175748654E-2,5.084408171626E-1, +-6.447001019598E-1)); +#11208=CARTESIAN_POINT('',(-3.402218528403E-4,5.148827420972E-1, +-6.430674247944E-1)); +#11209=CARTESIAN_POINT('',(-3.769164959336E-2,5.082090319659E-1, +-6.414347476291E-1)); +#11210=CARTESIAN_POINT('',(-7.053926391848E-2,4.892169112742E-1, +-6.398020704637E-1)); +#11211=CARTESIAN_POINT('',(-9.495812834563E-2,4.601758127533E-1, +-6.381693932984E-1)); +#11212=CARTESIAN_POINT('',(-1.080304164014E-1,4.245558499970E-1, +-6.365367161330E-1)); +#11213=CARTESIAN_POINT('',(-1.081941190566E-1,3.866132669452E-1, +-6.349040389677E-1)); +#11214=CARTESIAN_POINT('',(-9.542967476919E-2,3.508818327700E-1, +-6.332713618023E-1)); +#11215=CARTESIAN_POINT('',(-7.126231088325E-2,3.216311072518E-1, +-6.316386846370E-1)); +#11216=CARTESIAN_POINT('',(-3.857979270159E-2,3.023562680222E-1, +-6.300060074716E-1)); +#11217=CARTESIAN_POINT('',(-1.287363854692E-3,2.953604712572E-1, +-6.283733303062E-1)); +#11218=CARTESIAN_POINT('',(3.615889247492E-2,3.014796467442E-1, +-6.267406531409E-1)); +#11219=CARTESIAN_POINT('',(6.928451220969E-2,3.199826124233E-1, +-6.251079759755E-1)); +#11220=CARTESIAN_POINT('',(9.413130539848E-2,3.486584435354E-1, +-6.234752988102E-1)); +#11221=CARTESIAN_POINT('',(1.077303214854E-1,3.840806566678E-1, +-6.218426216448E-1)); +#11222=CARTESIAN_POINT('',(1.084566100770E-1,4.220166412553E-1, +-6.202099444795E-1)); +#11223=CARTESIAN_POINT('',(9.622338673781E-2,4.579334154488E-1, +-6.185772673141E-1)); +#11224=CARTESIAN_POINT('',(7.249240288816E-2,4.875392734377E-1, +-6.169445901488E-1)); +#11225=CARTESIAN_POINT('',(4.009928070089E-2,5.072966026271E-1, +-6.153119129834E-1)); +#11226=CARTESIAN_POINT('',(2.914683782031E-3,5.148445939967E-1, +-6.136792358181E-1)); +#11227=CARTESIAN_POINT('',(-3.461818955096E-2,5.092813358593E-1, +-6.120465586527E-1)); +#11228=CARTESIAN_POINT('',(-6.801452533048E-2,4.912715835502E-1, +-6.104138814874E-1)); +#11229=CARTESIAN_POINT('',(-9.328378566612E-2,4.629673276189E-1, +-6.087812043220E-1)); +#11230=CARTESIAN_POINT('',(-1.074065392796E-1,4.277506518515E-1, +-6.071485271566E-1)); +#11231=CARTESIAN_POINT('',(-1.086952542278E-1,3.898296070938E-1, +-6.055158499913E-1)); +#11232=CARTESIAN_POINT('',(-9.699594158624E-2,3.537353900300E-1, +-6.038831728259E-1)); +#11233=CARTESIAN_POINT('',(-7.370655563029E-2,3.237809091711E-1, +-6.022504956606E-1)); +#11234=CARTESIAN_POINT('',(-4.160995187189E-2,3.035454341604E-1, +-6.006178184952E-1)); +#11235=CARTESIAN_POINT('',(-4.541362843640E-3,2.954469078013E-1, +-5.989851413299E-1)); +#11236=CARTESIAN_POINT('',(3.306987495366E-2,3.004530253677E-1, +-5.973524641645E-1)); +#11237=CARTESIAN_POINT('',(6.672958375972E-2,3.179656044131E-1, +-5.957197869992E-1)); +#11238=CARTESIAN_POINT('',(9.241575516450E-2,3.458920617663E-1, +-5.940871098338E-1)); +#11239=CARTESIAN_POINT('',(1.070591410646E-1,3.808954569032E-1, +-5.924544326684E-1)); +#11240=CARTESIAN_POINT('',(1.089099990134E-1,4.187932239428E-1, +-5.908217555031E-1)); +#11241=CARTESIAN_POINT('',(9.774716945541E-2,4.550569476621E-1, +-5.891890783377E-1)); +#11242=CARTESIAN_POINT('',(7.490450214614E-2,4.853534651506E-1, +-5.875564011724E-1)); +#11243=CARTESIAN_POINT('',(4.311147405630E-2,5.060626367084E-1, +-5.859237240070E-1)); +#11244=CARTESIAN_POINT('',(6.167043373429E-3,5.147099173936E-1, +-5.842910468417E-1)); +#11245=CARTESIAN_POINT('',(-3.151428911855E-2,5.102620411183E-1, +-5.826583696763E-1)); +#11246=CARTESIAN_POINT('',(-6.542997002387E-2,4.932504859143E-1, +-5.810256925110E-1)); +#11247=CARTESIAN_POINT('',(-9.152740475177E-2,4.657079674682E-1, +-5.793930153456E-1)); +#11248=CARTESIAN_POINT('',(-1.066882032246E-1,4.309255493321E-1, +-5.777603381802E-1)); +#11249=CARTESIAN_POINT('',(-1.091007972167E-1,3.930593927809E-1, +-5.761276610149E-1)); +#11250=CARTESIAN_POINT('',(-9.847690516912E-2,3.566341358911E-1, +-5.744949838495E-1)); +#11251=CARTESIAN_POINT('',(-7.608597903729E-2,3.260022432187E-1, +-5.728623066842E-1)); +#11252=CARTESIAN_POINT('',(-4.460351710703E-2,3.048239285422E-1, +-5.712296295188E-1)); +#11253=CARTESIAN_POINT('',(-7.791367924978E-3,2.956297948512E-1, +-5.695969523535E-1)); +#11254=CARTESIAN_POINT('',(2.995177407999E-2,2.995184518587E-1, +-5.679642751881E-1)); +#11255=CARTESIAN_POINT('',(6.411596987540E-2,3.160252428061E-1, +-5.663315980228E-1)); +#11256=CARTESIAN_POINT('',(9.061892975397E-2,3.431777664347E-1, +-5.646989208574E-1)); +#11257=CARTESIAN_POINT('',(1.062938073194E-1,3.777315597872E-1, +-5.630662436920E-1)); +#11258=CARTESIAN_POINT('',(1.092676068866E-1,4.155577800293E-1, +-5.614335665267E-1)); +#11259=CARTESIAN_POINT('',(9.918498827441E-2,4.521365610907E-1, +-5.598008893613E-1)); +#11260=CARTESIAN_POINT('',(7.725072653540E-2,4.830970937491E-1, +-5.581682121960E-1)); +#11261=CARTESIAN_POINT('',(4.608575292822E-2,5.047398950059E-1, +-5.565355350306E-1)); +#11262=CARTESIAN_POINT('',(9.413979472982E-3,5.144788599837E-1, +-5.549028578653E-1)); +#11263=CARTESIAN_POINT('',(-2.838267385483E-2,5.111502778563E-1, +-5.532701806999E-1)); +#11264=CARTESIAN_POINT('',(-6.278787051730E-2,4.951518783795E-1, +-5.516375035346E-1)); +#11265=CARTESIAN_POINT('',(-8.969053631397E-2,4.683953279994E-1, +-5.500048263692E-1)); +#11266=CARTESIAN_POINT('',(-1.058760162114E-1,4.340777260777E-1, +-5.483721492039E-1)); +#11267=CARTESIAN_POINT('',(-1.094104803747E-1,3.962998743806E-1, +-5.467394720385E-1)); +#11268=CARTESIAN_POINT('',(-9.987093082118E-2,3.595751819849E-1, +-5.451067948732E-1)); +#11269=CARTESIAN_POINT('',(-8.555679597649E-2,3.387213415110E-1, +-5.440183434296E-1)); +#11270=CARTESIAN_POINT('',(-7.683717881025E-2,3.298231285331E-1, +-5.434741177078E-1)); +#11271=CARTESIAN_POINT('',(9.697245744518E-2,4.621499232702E-1, +-6.451243462466E-1)); +#11272=CARTESIAN_POINT('',(9.036782637009E-2,4.733799240680E-1, +-6.445801205249E-1)); +#11273=CARTESIAN_POINT('',(7.321953701805E-2,4.935189910028E-1, +-6.434916690813E-1)); +#11274=CARTESIAN_POINT('',(3.874659920999E-2,5.131670608432E-1, +-6.418589919159E-1)); +#11275=CARTESIAN_POINT('',(-3.557844666147E-4,5.199036558259E-1, +-6.402263147506E-1)); +#11276=CARTESIAN_POINT('',(-3.941576161097E-2,5.129246732015E-1, +-6.385936375852E-1)); +#11277=CARTESIAN_POINT('',(-7.376590944734E-2,4.930638045543E-1, +-6.369609604199E-1)); +#11278=CARTESIAN_POINT('',(-9.930175490528E-2,4.626942922466E-1, +-6.353282832545E-1)); +#11279=CARTESIAN_POINT('',(-1.129720026995E-1,4.254449817033E-1, +-6.336956060892E-1)); +#11280=CARTESIAN_POINT('',(-1.131431935310E-1,3.857668082986E-1, +-6.320629289238E-1)); +#11281=CARTESIAN_POINT('',(-9.979487106285E-2,3.484009273498E-1, +-6.304302517585E-1)); +#11282=CARTESIAN_POINT('',(-7.452203042120E-2,3.178121991723E-1, +-6.287975745931E-1)); +#11283=CARTESIAN_POINT('',(-4.034453064624E-2,2.976556797215E-1, +-6.271648974278E-1)); +#11284=CARTESIAN_POINT('',(-1.346251155109E-3,2.903398774007E-1, +-6.255322202624E-1)); +#11285=CARTESIAN_POINT('',(3.781289227945E-2,2.967389595543E-1, +-6.238995430971E-1)); +#11286=CARTESIAN_POINT('',(7.245376220071E-2,3.160882979932E-1, +-6.222668659317E-1)); +#11287=CARTESIAN_POINT('',(9.843711097139E-2,3.460758346308E-1, +-6.206341887664E-1)); +#11288=CARTESIAN_POINT('',(1.126581806781E-1,3.831183499790E-1, +-6.190015116010E-1)); +#11289=CARTESIAN_POINT('',(1.134176915590E-1,4.227896230888E-1, +-6.173688344357E-1)); +#11290=CARTESIAN_POINT('',(1.006248894377E-1,4.603493219802E-1, +-6.157361572703E-1)); +#11291=CARTESIAN_POINT('',(7.580838996629E-2,4.913094272918E-1, +-6.141034801049E-1)); +#11292=CARTESIAN_POINT('',(4.193352389533E-2,5.119705070216E-1, +-6.124708029396E-1)); +#11293=CARTESIAN_POINT('',(3.048008839174E-3,5.198637627342E-1, +-6.108381257742E-1)); +#11294=CARTESIAN_POINT('',(-3.620171368103E-2,5.140460270052E-1, +-6.092054486089E-1)); +#11295=CARTESIAN_POINT('',(-7.112568288819E-2,4.952124627715E-1, +-6.075727714435E-1)); +#11296=CARTESIAN_POINT('',(-9.755082352864E-2,4.656134981096E-1, +-6.059400942782E-1)); +#11297=CARTESIAN_POINT('',(-1.123195878498E-1,4.287859219288E-1, +-6.043074171128E-1)); +#11298=CARTESIAN_POINT('',(-1.136672519009E-1,3.891302720346E-1, +-6.026747399475E-1)); +#11299=CARTESIAN_POINT('',(-1.014327829119E-1,3.513850135843E-1, +-6.010420627821E-1)); +#11300=CARTESIAN_POINT('',(-7.707808114615E-2,3.200603385049E-1, +-5.994093856168E-1)); +#11301=CARTESIAN_POINT('',(-4.351329701194E-2,2.988992413465E-1, +-5.977767084514E-1)); +#11302=CARTESIAN_POINT('',(-4.749096342680E-3,2.904302677725E-1, +-5.961440312860E-1)); +#11303=CARTESIAN_POINT('',(3.458257523195E-2,2.956653779022E-1, +-5.945113541207E-1)); +#11304=CARTESIAN_POINT('',(6.978196481845E-2,3.139790269012E-1, +-5.928786769553E-1)); +#11305=CARTESIAN_POINT('',(9.664308710182E-2,3.431829115162E-1, +-5.912459997900E-1)); +#11306=CARTESIAN_POINT('',(1.119562987560E-1,3.797874510674E-1, +-5.896133226246E-1)); +#11307=CARTESIAN_POINT('',(1.138918196597E-1,4.194187584614E-1, +-5.879806454593E-1)); +#11308=CARTESIAN_POINT('',(1.022183738565E-1,4.573412772332E-1, +-5.863479682939E-1)); +#11309=CARTESIAN_POINT('',(7.833082478568E-2,4.890236345684E-1, +-5.847152911286E-1)); +#11310=CARTESIAN_POINT('',(4.508350264404E-2,5.106800963601E-1, +-5.830826139632E-1)); +#11311=CARTESIAN_POINT('',(6.449139638979E-3,5.197229256803E-1, +-5.814499367978E-1)); +#11312=CARTESIAN_POINT('',(-3.295583294013E-2,5.150715922197E-1, +-5.798172596325E-1)); +#11313=CARTESIAN_POINT('',(-6.842290344143E-2,4.972818851682E-1, +-5.781845824671E-1)); +#11314=CARTESIAN_POINT('',(-9.571410127943E-2,4.684795018034E-1, +-5.765519053018E-1)); +#11315=CARTESIAN_POINT('',(-1.115683932747E-1,4.321060473036E-1, +-5.749192281364E-1)); +#11316=CARTESIAN_POINT('',(-1.140913454586E-1,3.925077963423E-1, +-5.732865509711E-1)); +#11317=CARTESIAN_POINT('',(-1.029814895397E-1,3.544163554614E-1, +-5.716538738057E-1)); +#11318=CARTESIAN_POINT('',(-7.956634543794E-2,3.223832820276E-1, +-5.700211966403E-1)); +#11319=CARTESIAN_POINT('',(-4.664379554273E-2,3.002362173165E-1, +-5.683885194750E-1)); +#11320=CARTESIAN_POINT('',(-8.147764931145E-3,2.906215205416E-1, +-5.667558423096E-1)); +#11321=CARTESIAN_POINT('',(3.132184448545E-2,2.946880546214E-1, +-5.651231651443E-1)); +#11322=CARTESIAN_POINT('',(6.704879758064E-2,3.119499082140E-1, +-5.634904879789E-1)); +#11323=CARTESIAN_POINT('',(9.476407032219E-2,3.403444574054E-1, +-5.618578108136E-1)); +#11324=CARTESIAN_POINT('',(1.111559566966E-1,3.764788292419E-1, +-5.602251336482E-1)); +#11325=CARTESIAN_POINT('',(1.142657854275E-1,4.160353171057E-1, +-5.585924564829E-1)); +#11326=CARTESIAN_POINT('',(1.037219621690E-1,4.542873047446E-1, +-5.569597793175E-1)); +#11327=CARTESIAN_POINT('',(8.078437145213E-2,4.866640509942E-1, +-5.553271021522E-1)); +#11328=CARTESIAN_POINT('',(4.819383260425E-2,5.092968490843E-1, +-5.536944249868E-1)); +#11329=CARTESIAN_POINT('',(9.844598862628E-3,5.194812991163E-1, +-5.520617478214E-1)); +#11330=CARTESIAN_POINT('',(-2.968096962095E-2,5.160004591675E-1, +-5.504290706561E-1)); +#11331=CARTESIAN_POINT('',(-6.565994757647E-2,4.992702521658E-1, +-5.487963934907E-1)); +#11332=CARTESIAN_POINT('',(-9.379320980249E-2,4.712897890475E-1, +-5.471637163254E-1)); +#11333=CARTESIAN_POINT('',(-1.107190547596E-1,4.354024126393E-1, +-5.455310391600E-1)); +#11334=CARTESIAN_POINT('',(-1.144151943127E-1,3.958965058209E-1, +-5.438983619947E-1)); +#11335=CARTESIAN_POINT('',(-1.044392814744E-1,3.574919324916E-1, +-5.422656848293E-1)); +#11336=CARTESIAN_POINT('',(-8.947038165722E-2,3.356841842593E-1, +-5.411772333858E-1)); +#11337=CARTESIAN_POINT('',(-8.035190700112E-2,3.263789443644E-1, +-5.406330076640E-1)); +#11338=CARTESIAN_POINT('',(1.012141965979E-1,4.646445911447E-1, +-6.422832362028E-1)); +#11339=CARTESIAN_POINT('',(9.432066779906E-2,4.763658111538E-1, +-6.417390104810E-1)); +#11340=CARTESIAN_POINT('',(7.642228329359E-2,4.973857949073E-1, +-6.406505590375E-1)); +#11341=CARTESIAN_POINT('',(4.044144093343E-2,5.178933045239E-1, +-6.390178818721E-1)); +#11342=CARTESIAN_POINT('',(-3.713470803875E-4,5.249245695546E-1, +-6.373852047068E-1)); +#11343=CARTESIAN_POINT('',(-4.113987362858E-2,5.176403144371E-1, +-6.357525275414E-1)); +#11344=CARTESIAN_POINT('',(-7.699255497619E-2,4.969106978344E-1, +-6.341198503761E-1)); +#11345=CARTESIAN_POINT('',(-1.036453814649E-1,4.652127717400E-1, +-6.324871732107E-1)); +#11346=CARTESIAN_POINT('',(-1.179135889977E-1,4.263341134096E-1, +-6.308544960453E-1)); +#11347=CARTESIAN_POINT('',(-1.180922680054E-1,3.849203496521E-1, +-6.292218188800E-1)); +#11348=CARTESIAN_POINT('',(-1.041600673565E-1,3.459200219297E-1, +-6.275891417146E-1)); +#11349=CARTESIAN_POINT('',(-7.778174995916E-2,3.139932910929E-1, +-6.259564645493E-1)); +#11350=CARTESIAN_POINT('',(-4.210926859092E-2,2.929550914208E-1, +-6.243237873839E-1)); +#11351=CARTESIAN_POINT('',(-1.405138455573E-3,2.853192835442E-1, +-6.226911102186E-1)); +#11352=CARTESIAN_POINT('',(3.946689208393E-2,2.919982723643E-1, +-6.210584330532E-1)); +#11353=CARTESIAN_POINT('',(7.562301219168E-2,3.121939835631E-1, +-6.194257558879E-1)); +#11354=CARTESIAN_POINT('',(1.027429165443E-1,3.434932257261E-1, +-6.177930787225E-1)); +#11355=CARTESIAN_POINT('',(1.175860398707E-1,3.821560432901E-1, +-6.161604015572E-1)); +#11356=CARTESIAN_POINT('',(1.183787730410E-1,4.235626049222E-1, +-6.145277243918E-1)); +#11357=CARTESIAN_POINT('',(1.050263921377E-1,4.627652285115E-1, +-6.128950472265E-1)); +#11358=CARTESIAN_POINT('',(7.912437704451E-2,4.950795811459E-1, +-6.112623700611E-1)); +#11359=CARTESIAN_POINT('',(4.376776708988E-2,5.166444114161E-1, +-6.096296928957E-1)); +#11360=CARTESIAN_POINT('',(3.181333896445E-3,5.248829314716E-1, +-6.079970157304E-1)); +#11361=CARTESIAN_POINT('',(-3.778523781097E-2,5.188107181511E-1, +-6.063643385650E-1)); +#11362=CARTESIAN_POINT('',(-7.423684044579E-2,4.991533419929E-1, +-6.047316613997E-1)); +#11363=CARTESIAN_POINT('',(-1.018178613911E-1,4.682596686004E-1, +-6.030989842343E-1)); +#11364=CARTESIAN_POINT('',(-1.172326364199E-1,4.298211920062E-1, +-6.014663070690E-1)); +#11365=CARTESIAN_POINT('',(-1.186392495740E-1,3.884309369756E-1, +-5.998336299036E-1)); +#11366=CARTESIAN_POINT('',(-1.058696242377E-1,3.490346371387E-1, +-5.982009527383E-1)); +#11367=CARTESIAN_POINT('',(-8.044960666215E-2,3.163397678389E-1, +-5.965682755729E-1)); +#11368=CARTESIAN_POINT('',(-4.541664215219E-2,2.942530485327E-1, +-5.949355984076E-1)); +#11369=CARTESIAN_POINT('',(-4.956829841931E-3,2.854136277436E-1, +-5.933029212422E-1)); +#11370=CARTESIAN_POINT('',(3.609527551003E-2,2.908777304366E-1, +-5.916702440768E-1)); +#11371=CARTESIAN_POINT('',(7.283434587700E-2,3.099924493892E-1, +-5.900375669115E-1)); +#11372=CARTESIAN_POINT('',(1.008704190390E-1,3.404737612659E-1, +-5.884048897461E-1)); +#11373=CARTESIAN_POINT('',(1.168534564473E-1,3.786794452314E-1, +-5.867722125808E-1)); +#11374=CARTESIAN_POINT('',(1.188736403061E-1,4.200442929798E-1, +-5.851395354154E-1)); +#11375=CARTESIAN_POINT('',(1.066895782577E-1,4.596256068042E-1, +-5.835068582501E-1)); +#11376=CARTESIAN_POINT('',(8.175714742540E-2,4.926938039861E-1, +-5.818741810847E-1)); +#11377=CARTESIAN_POINT('',(4.705553123202E-2,5.152975560116E-1, +-5.802415039194E-1)); +#11378=CARTESIAN_POINT('',(6.731235904791E-3,5.247359339671E-1, +-5.786088267540E-1)); +#11379=CARTESIAN_POINT('',(-3.439737676145E-2,5.198811433211E-1, +-5.769761495886E-1)); +#11380=CARTESIAN_POINT('',(-7.141583685877E-2,5.013132844222E-1, +-5.753434724233E-1)); +#11381=CARTESIAN_POINT('',(-9.990079780693E-2,4.712510361389E-1, +-5.737107952579E-1)); +#11382=CARTESIAN_POINT('',(-1.164485833248E-1,4.332865452754E-1, +-5.720781180926E-1)); +#11383=CARTESIAN_POINT('',(-1.190818937006E-1,3.919561999039E-1, +-5.704454409272E-1)); +#11384=CARTESIAN_POINT('',(-1.074860739104E-1,3.521985750319E-1, +-5.688127637619E-1)); +#11385=CARTESIAN_POINT('',(-8.304671183878E-2,3.187643208366E-1, +-5.671800865965E-1)); +#11386=CARTESIAN_POINT('',(-4.868407397868E-2,2.956485060908E-1, +-5.655474094312E-1)); +#11387=CARTESIAN_POINT('',(-8.504161937562E-3,2.856132462321E-1, +-5.639147322658E-1)); +#11388=CARTESIAN_POINT('',(3.269191489068E-2,2.898576573840E-1, +-5.622820551004E-1)); +#11389=CARTESIAN_POINT('',(6.998162528569E-2,3.078745736218E-1, +-5.606493779351E-1)); +#11390=CARTESIAN_POINT('',(9.890921089028E-2,3.375111483759E-1, +-5.590167007697E-1)); +#11391=CARTESIAN_POINT('',(1.160181060738E-1,3.752260986963E-1, +-5.573840236044E-1)); +#11392=CARTESIAN_POINT('',(1.192639639685E-1,4.165128541819E-1, +-5.557513464390E-1)); +#11393=CARTESIAN_POINT('',(1.082589360638E-1,4.564380483983E-1, +-5.541186692737E-1)); +#11394=CARTESIAN_POINT('',(8.431801636899E-2,4.902310082391E-1, +-5.524859921083E-1)); +#11395=CARTESIAN_POINT('',(5.030191228043E-2,5.138538031627E-1, +-5.508533149430E-1)); +#11396=CARTESIAN_POINT('',(1.027521825241E-2,5.244837382488E-1, +-5.492206377776E-1)); +#11397=CARTESIAN_POINT('',(-3.097926538697E-2,5.208506404788E-1, +-5.475879606123E-1)); +#11398=CARTESIAN_POINT('',(-6.853202463557E-2,5.033886259521E-1, +-5.459552834469E-1)); +#11399=CARTESIAN_POINT('',(-9.789588329097E-2,4.741842500956E-1, +-5.443226062816E-1)); +#11400=CARTESIAN_POINT('',(-1.155620933078E-1,4.367270992008E-1, +-5.426899291162E-1)); +#11401=CARTESIAN_POINT('',(-1.194199082507E-1,3.954931372611E-1, +-5.410572519508E-1)); +#11402=CARTESIAN_POINT('',(-1.090076321275E-1,3.554086829983E-1, +-5.394245747855E-1)); +#11403=CARTESIAN_POINT('',(-9.338396733794E-2,3.326470270076E-1, +-5.383361233419E-1)); +#11404=CARTESIAN_POINT('',(-8.386663519198E-2,3.229347601957E-1, +-5.377918976201E-1)); +#11405=CARTESIAN_POINT('',(1.054559357506E-1,4.671392590192E-1, +-6.394421261590E-1)); +#11406=CARTESIAN_POINT('',(9.827350922804E-2,4.793516982396E-1, +-6.388979004372E-1)); +#11407=CARTESIAN_POINT('',(7.962502956913E-2,5.012525988119E-1, +-6.378094489936E-1)); +#11408=CARTESIAN_POINT('',(4.213628265688E-2,5.226195482045E-1, +-6.361767718283E-1)); +#11409=CARTESIAN_POINT('',(-3.869096941618E-4,5.299454832833E-1, +-6.345440946629E-1)); +#11410=CARTESIAN_POINT('',(-4.286398564620E-2,5.223559556727E-1, +-6.329114174976E-1)); +#11411=CARTESIAN_POINT('',(-8.021920050504E-2,5.007575911145E-1, +-6.312787403322E-1)); +#11412=CARTESIAN_POINT('',(-1.079890080246E-1,4.677312512334E-1, +-6.296460631669E-1)); +#11413=CARTESIAN_POINT('',(-1.228551752958E-1,4.272232451160E-1, +-6.280133860015E-1)); +#11414=CARTESIAN_POINT('',(-1.230413424798E-1,3.840738910055E-1, +-6.263807088362E-1)); +#11415=CARTESIAN_POINT('',(-1.085252636502E-1,3.434391165096E-1, +-6.247480316708E-1)); +#11416=CARTESIAN_POINT('',(-8.104146949711E-2,3.101743830134E-1, +-6.231153545055E-1)); +#11417=CARTESIAN_POINT('',(-4.387400653557E-2,2.882545031200E-1, +-6.214826773401E-1)); +#11418=CARTESIAN_POINT('',(-1.464025755991E-3,2.802986896878E-1, +-6.198500001748E-1)); +#11419=CARTESIAN_POINT('',(4.112089188845E-2,2.872575851744E-1, +-6.182173230094E-1)); +#11420=CARTESIAN_POINT('',(7.879226218271E-2,3.082996691330E-1, +-6.165846458440E-1)); +#11421=CARTESIAN_POINT('',(1.070487221172E-1,3.409106168215E-1, +-6.149519686787E-1)); +#11422=CARTESIAN_POINT('',(1.225138990633E-1,3.811937366012E-1, +-6.133192915133E-1)); +#11423=CARTESIAN_POINT('',(1.233398545230E-1,4.243355867557E-1, +-6.116866143480E-1)); +#11424=CARTESIAN_POINT('',(1.094278948377E-1,4.651811350428E-1, +-6.100539371826E-1)); +#11425=CARTESIAN_POINT('',(8.244036412264E-2,4.98849735E-1,-6.084212600173E-1)); +#11426=CARTESIAN_POINT('',(4.560201028432E-2,5.213183158107E-1, +-6.067885828519E-1)); +#11427=CARTESIAN_POINT('',(3.314658953592E-3,5.299021002091E-1, +-6.051559056866E-1)); +#11428=CARTESIAN_POINT('',(-3.936876194104E-2,5.235754092969E-1, +-6.035232285212E-1)); +#11429=CARTESIAN_POINT('',(-7.734799800349E-2,5.030942212142E-1, +-6.018905513559E-1)); +#11430=CARTESIAN_POINT('',(-1.060848992536E-1,4.709058390911E-1, +-6.002578741905E-1)); +#11431=CARTESIAN_POINT('',(-1.221456849901E-1,4.308564620835E-1, +-5.986251970251E-1)); +#11432=CARTESIAN_POINT('',(-1.236112472470E-1,3.877316019164E-1, +-5.969925198598E-1)); +#11433=CARTESIAN_POINT('',(-1.103064655633E-1,3.466842606929E-1, +-5.953598426944E-1)); +#11434=CARTESIAN_POINT('',(-8.382113217801E-2,3.126191971728E-1, +-5.937271655291E-1)); +#11435=CARTESIAN_POINT('',(-4.731998729225E-2,2.896068557188E-1, +-5.920944883637E-1)); +#11436=CARTESIAN_POINT('',(-5.164563340975E-3,2.803969877147E-1, +-5.904618111984E-1)); +#11437=CARTESIAN_POINT('',(3.760797578831E-2,2.860900829711E-1, +-5.888291340330E-1)); +#11438=CARTESIAN_POINT('',(7.588672693572E-2,3.060058718773E-1, +-5.871964568677E-1)); +#11439=CARTESIAN_POINT('',(1.050977509763E-1,3.377646110158E-1, +-5.855637797023E-1)); +#11440=CARTESIAN_POINT('',(1.217506141386E-1,3.775714393956E-1, +-5.839311025369E-1)); +#11441=CARTESIAN_POINT('',(1.238554609524E-1,4.206698274984E-1, +-5.822984253716E-1)); +#11442=CARTESIAN_POINT('',(1.111607826588E-1,4.619099363753E-1, +-5.806657482062E-1)); +#11443=CARTESIAN_POINT('',(8.518347006494E-2,4.963639734039E-1, +-5.790330710409E-1)); +#11444=CARTESIAN_POINT('',(4.902755981976E-2,5.199150156633E-1, +-5.774003938755E-1)); +#11445=CARTESIAN_POINT('',(7.013332170348E-3,5.297489422538E-1, +-5.757677167102E-1)); +#11446=CARTESIAN_POINT('',(-3.583892058303E-2,5.246906944224E-1, +-5.741350395448E-1)); +#11447=CARTESIAN_POINT('',(-7.440877027632E-2,5.053446836760E-1, +-5.725023623795E-1)); +#11448=CARTESIAN_POINT('',(-1.040874943346E-1,4.740225704741E-1, +-5.708696852141E-1)); +#11449=CARTESIAN_POINT('',(-1.213287733749E-1,4.344670432470E-1, +-5.692370080487E-1)); +#11450=CARTESIAN_POINT('',(-1.240724419425E-1,3.914046034653E-1, +-5.676043308834E-1)); +#11451=CARTESIAN_POINT('',(-1.119906582810E-1,3.499807946023E-1, +-5.659716537180E-1)); +#11452=CARTESIAN_POINT('',(-8.652707823943E-2,3.151453596454E-1, +-5.643389765527E-1)); +#11453=CARTESIAN_POINT('',(-5.072435241439E-2,2.910607948651E-1, +-5.627062993873E-1)); +#11454=CARTESIAN_POINT('',(-8.860558943735E-3,2.806049719225E-1, +-5.610736222220E-1)); +#11455=CARTESIAN_POINT('',(3.406198529613E-2,2.850272601468E-1, +-5.594409450566E-1)); +#11456=CARTESIAN_POINT('',(7.291445299093E-2,3.037992390298E-1, +-5.578082678913E-1)); +#11457=CARTESIAN_POINT('',(1.030543514585E-1,3.346778393466E-1, +-5.561755907259E-1)); +#11458=CARTESIAN_POINT('',(1.208802554510E-1,3.739733681510E-1, +-5.545429135606E-1)); +#11459=CARTESIAN_POINT('',(1.242621425094E-1,4.169903912583E-1, +-5.529102363952E-1)); +#11460=CARTESIAN_POINT('',(1.127959099584E-1,4.585887920522E-1, +-5.512775592298E-1)); +#11461=CARTESIAN_POINT('',(8.785166128573E-2,4.937979654842E-1, +-5.496448820645E-1)); +#11462=CARTESIAN_POINT('',(5.240999195647E-2,5.184107572411E-1, +-5.480122048991E-1)); +#11463=CARTESIAN_POINT('',(1.070583764206E-2,5.294861773813E-1, +-5.463795277338E-1)); +#11464=CARTESIAN_POINT('',(-3.227756115309E-2,5.257008217900E-1, +-5.447468505684E-1)); +#11465=CARTESIAN_POINT('',(-7.140410169474E-2,5.075069997384E-1, +-5.431141734031E-1)); +#11466=CARTESIAN_POINT('',(-1.019985567795E-1,4.770787111437E-1, +-5.414814962377E-1)); +#11467=CARTESIAN_POINT('',(-1.204051318561E-1,4.380517857624E-1, +-5.398488190724E-1)); +#11468=CARTESIAN_POINT('',(-1.244246221888E-1,3.950897687013E-1, +-5.382161419070E-1)); +#11469=CARTESIAN_POINT('',(-1.135759827807E-1,3.533254335051E-1, +-5.365834647417E-1)); +#11470=CARTESIAN_POINT('',(-9.729755301867E-2,3.296098697559E-1, +-5.354950132981E-1)); +#11471=CARTESIAN_POINT('',(-8.738136338285E-2,3.194905760271E-1, +-5.349507875763E-1)); +#11472=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#11204,#11205,#11206,#11207,#11208, +#11209,#11210,#11211,#11212,#11213,#11214,#11215,#11216,#11217,#11218,#11219, +#11220,#11221,#11222,#11223,#11224,#11225,#11226,#11227,#11228,#11229,#11230, +#11231,#11232,#11233,#11234,#11235,#11236,#11237,#11238,#11239,#11240,#11241, +#11242,#11243,#11244,#11245,#11246,#11247,#11248,#11249,#11250,#11251,#11252, +#11253,#11254,#11255,#11256,#11257,#11258,#11259,#11260,#11261,#11262,#11263, +#11264,#11265,#11266,#11267,#11268,#11269,#11270),(#11271,#11272,#11273,#11274, +#11275,#11276,#11277,#11278,#11279,#11280,#11281,#11282,#11283,#11284,#11285, +#11286,#11287,#11288,#11289,#11290,#11291,#11292,#11293,#11294,#11295,#11296, +#11297,#11298,#11299,#11300,#11301,#11302,#11303,#11304,#11305,#11306,#11307, +#11308,#11309,#11310,#11311,#11312,#11313,#11314,#11315,#11316,#11317,#11318, +#11319,#11320,#11321,#11322,#11323,#11324,#11325,#11326,#11327,#11328,#11329, +#11330,#11331,#11332,#11333,#11334,#11335,#11336,#11337),(#11338,#11339,#11340, +#11341,#11342,#11343,#11344,#11345,#11346,#11347,#11348,#11349,#11350,#11351, +#11352,#11353,#11354,#11355,#11356,#11357,#11358,#11359,#11360,#11361,#11362, +#11363,#11364,#11365,#11366,#11367,#11368,#11369,#11370,#11371,#11372,#11373, +#11374,#11375,#11376,#11377,#11378,#11379,#11380,#11381,#11382,#11383,#11384, +#11385,#11386,#11387,#11388,#11389,#11390,#11391,#11392,#11393,#11394,#11395, +#11396,#11397,#11398,#11399,#11400,#11401,#11402,#11403,#11404),(#11405,#11406, +#11407,#11408,#11409,#11410,#11411,#11412,#11413,#11414,#11415,#11416,#11417, +#11418,#11419,#11420,#11421,#11422,#11423,#11424,#11425,#11426,#11427,#11428, +#11429,#11430,#11431,#11432,#11433,#11434,#11435,#11436,#11437,#11438,#11439, +#11440,#11441,#11442,#11443,#11444,#11445,#11446,#11447,#11448,#11449,#11450, +#11451,#11452,#11453,#11454,#11455,#11456,#11457,#11458,#11459,#11460,#11461, +#11462,#11463,#11464,#11465,#11466,#11467,#11468,#11469,#11470,#11471)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,4),(-3.568022467212E-2,1.035680224672E0),(-1.999999999997E-2, +-3.749999999971E-3,1.250000000003E-2,2.875000000003E-2,4.500000000003E-2, +6.125000000003E-2,7.750000000003E-2,9.375000000003E-2,1.1E-1,1.2625E-1,1.425E-1, +1.5875E-1,1.75E-1,1.9125E-1,2.075E-1,2.2375E-1,2.4E-1,2.5625E-1,2.725E-1, +2.8875E-1,3.05E-1,3.2125E-1,3.375E-1,3.5375E-1,3.7E-1,3.8625E-1,4.025E-1, +4.1875E-1,4.35E-1,4.5125E-1,4.675E-1,4.8375E-1,5.E-1,5.1625E-1,5.325E-1, +5.4875E-1,5.65E-1,5.8125E-1,5.975E-1,6.1375E-1,6.3E-1,6.4625E-1,6.625E-1, +6.7875E-1,6.95E-1,7.1125E-1,7.275E-1,7.4375E-1,7.6E-1,7.7625E-1,7.925E-1, +8.0875E-1,8.25E-1,8.4125E-1,8.575E-1,8.7375E-1,8.9E-1,9.0625E-1,9.225E-1, +9.3875E-1,9.55E-1,9.7125E-1,9.875E-1,1.00375E0,1.02E0),.UNSPECIFIED.); +#11474=ORIENTED_EDGE('',*,*,#11473,.F.); +#11476=ORIENTED_EDGE('',*,*,#11475,.F.); +#11478=ORIENTED_EDGE('',*,*,#11477,.F.); +#11480=ORIENTED_EDGE('',*,*,#11479,.F.); +#11481=ORIENTED_EDGE('',*,*,#11181,.F.); +#11482=ORIENTED_EDGE('',*,*,#11198,.F.); +#11483=ORIENTED_EDGE('',*,*,#10859,.F.); +#11484=ORIENTED_EDGE('',*,*,#10846,.F.); +#11486=ORIENTED_EDGE('',*,*,#11485,.F.); +#11488=ORIENTED_EDGE('',*,*,#11487,.T.); +#11490=ORIENTED_EDGE('',*,*,#11489,.F.); +#11491=EDGE_LOOP('',(#11474,#11476,#11478,#11480,#11481,#11482,#11483,#11484, +#11486,#11488,#11490)); +#11492=FACE_OUTER_BOUND('',#11491,.F.); +#11493=ADVANCED_FACE('',(#11492),#11472,.F.); +#11494=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#11495=DIRECTION('',(1.E0,0.E0,0.E0)); +#11496=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11497=AXIS2_PLACEMENT_3D('',#11494,#11495,#11496); +#11498=PLANE('',#11497); +#11500=ORIENTED_EDGE('',*,*,#11499,.T.); +#11501=ORIENTED_EDGE('',*,*,#11473,.T.); +#11503=ORIENTED_EDGE('',*,*,#11502,.F.); +#11505=ORIENTED_EDGE('',*,*,#11504,.T.); +#11506=EDGE_LOOP('',(#11500,#11501,#11503,#11505)); +#11507=FACE_OUTER_BOUND('',#11506,.F.); +#11508=ADVANCED_FACE('',(#11507),#11498,.F.); +#11509=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#11510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11511=DIRECTION('',(0.E0,1.E0,0.E0)); +#11512=AXIS2_PLACEMENT_3D('',#11509,#11510,#11511); +#11513=CYLINDRICAL_SURFACE('',#11512,1.218503937008E-1); +#11515=ORIENTED_EDGE('',*,*,#11514,.T.); +#11517=ORIENTED_EDGE('',*,*,#11516,.T.); +#11518=ORIENTED_EDGE('',*,*,#11475,.T.); +#11519=ORIENTED_EDGE('',*,*,#11499,.F.); +#11520=EDGE_LOOP('',(#11515,#11517,#11518,#11519)); +#11521=FACE_OUTER_BOUND('',#11520,.F.); +#11522=ADVANCED_FACE('',(#11521),#11513,.T.); +#11523=CARTESIAN_POINT('',(1.054559357506E-1,4.671392590192E-1, +-6.636318895890E-1)); +#11524=CARTESIAN_POINT('',(9.827350922804E-2,4.793516982396E-1, +-6.630876638673E-1)); +#11525=CARTESIAN_POINT('',(7.962502956913E-2,5.012525988119E-1, +-6.619992124237E-1)); +#11526=CARTESIAN_POINT('',(4.213628265688E-2,5.226195482045E-1, +-6.603665352583E-1)); +#11527=CARTESIAN_POINT('',(-3.869096941618E-4,5.299454832833E-1, +-6.587338580930E-1)); +#11528=CARTESIAN_POINT('',(-4.286398564620E-2,5.223559556727E-1, +-6.571011809276E-1)); +#11529=CARTESIAN_POINT('',(-8.021920050504E-2,5.007575911145E-1, +-6.554685037623E-1)); +#11530=CARTESIAN_POINT('',(-1.079890080246E-1,4.677312512334E-1, +-6.538358265969E-1)); +#11531=CARTESIAN_POINT('',(-1.228551752958E-1,4.272232451160E-1, +-6.522031494316E-1)); +#11532=CARTESIAN_POINT('',(-1.230413424798E-1,3.840738910055E-1, +-6.505704722662E-1)); +#11533=CARTESIAN_POINT('',(-1.085252636502E-1,3.434391165096E-1, +-6.489377951009E-1)); +#11534=CARTESIAN_POINT('',(-8.104146949711E-2,3.101743830134E-1, +-6.473051179355E-1)); +#11535=CARTESIAN_POINT('',(-4.387400653557E-2,2.882545031200E-1, +-6.456724407702E-1)); +#11536=CARTESIAN_POINT('',(-1.464025755991E-3,2.802986896878E-1, +-6.440397636048E-1)); +#11537=CARTESIAN_POINT('',(4.112089188845E-2,2.872575851744E-1, +-6.424070864395E-1)); +#11538=CARTESIAN_POINT('',(7.879226218271E-2,3.082996691330E-1, +-6.407744092741E-1)); +#11539=CARTESIAN_POINT('',(1.070487221172E-1,3.409106168215E-1, +-6.391417321087E-1)); +#11540=CARTESIAN_POINT('',(1.225138990633E-1,3.811937366012E-1, +-6.375090549434E-1)); +#11541=CARTESIAN_POINT('',(1.233398545230E-1,4.243355867557E-1, +-6.358763777780E-1)); +#11542=CARTESIAN_POINT('',(1.094278948377E-1,4.651811350428E-1, +-6.342437006127E-1)); +#11543=CARTESIAN_POINT('',(8.244036412264E-2,4.98849735E-1,-6.326110234473E-1)); +#11544=CARTESIAN_POINT('',(4.560201028432E-2,5.213183158107E-1, +-6.309783462820E-1)); +#11545=CARTESIAN_POINT('',(3.314658953592E-3,5.299021002091E-1, +-6.293456691166E-1)); +#11546=CARTESIAN_POINT('',(-3.936876194104E-2,5.235754092969E-1, +-6.277129919513E-1)); +#11547=CARTESIAN_POINT('',(-7.734799800349E-2,5.030942212142E-1, +-6.260803147859E-1)); +#11548=CARTESIAN_POINT('',(-1.060848992536E-1,4.709058390911E-1, +-6.244476376206E-1)); +#11549=CARTESIAN_POINT('',(-1.221456849901E-1,4.308564620835E-1, +-6.228149604552E-1)); +#11550=CARTESIAN_POINT('',(-1.236112472470E-1,3.877316019164E-1, +-6.211822832898E-1)); +#11551=CARTESIAN_POINT('',(-1.103064655633E-1,3.466842606929E-1, +-6.195496061245E-1)); +#11552=CARTESIAN_POINT('',(-8.382113217801E-2,3.126191971728E-1, +-6.179169289591E-1)); +#11553=CARTESIAN_POINT('',(-4.731998729225E-2,2.896068557188E-1, +-6.162842517938E-1)); +#11554=CARTESIAN_POINT('',(-5.164563340975E-3,2.803969877147E-1, +-6.146515746284E-1)); +#11555=CARTESIAN_POINT('',(3.760797578831E-2,2.860900829711E-1, +-6.130188974631E-1)); +#11556=CARTESIAN_POINT('',(7.588672693572E-2,3.060058718773E-1, +-6.113862202977E-1)); +#11557=CARTESIAN_POINT('',(1.050977509763E-1,3.377646110158E-1, +-6.097535431324E-1)); +#11558=CARTESIAN_POINT('',(1.217506141386E-1,3.775714393956E-1, +-6.081208659670E-1)); +#11559=CARTESIAN_POINT('',(1.238554609524E-1,4.206698274984E-1, +-6.064881888016E-1)); +#11560=CARTESIAN_POINT('',(1.111607826588E-1,4.619099363753E-1, +-6.048555116363E-1)); +#11561=CARTESIAN_POINT('',(8.518347006494E-2,4.963639734039E-1, +-6.032228344709E-1)); +#11562=CARTESIAN_POINT('',(4.902755981976E-2,5.199150156633E-1, +-6.015901573056E-1)); +#11563=CARTESIAN_POINT('',(7.013332170348E-3,5.297489422538E-1, +-5.999574801402E-1)); +#11564=CARTESIAN_POINT('',(-3.583892058303E-2,5.246906944224E-1, +-5.983248029749E-1)); +#11565=CARTESIAN_POINT('',(-7.440877027632E-2,5.053446836760E-1, +-5.966921258095E-1)); +#11566=CARTESIAN_POINT('',(-1.040874943346E-1,4.740225704741E-1, +-5.950594486442E-1)); +#11567=CARTESIAN_POINT('',(-1.213287733749E-1,4.344670432470E-1, +-5.934267714788E-1)); +#11568=CARTESIAN_POINT('',(-1.240724419425E-1,3.914046034653E-1, +-5.917940943135E-1)); +#11569=CARTESIAN_POINT('',(-1.119906582810E-1,3.499807946023E-1, +-5.901614171481E-1)); +#11570=CARTESIAN_POINT('',(-8.652707823943E-2,3.151453596454E-1, +-5.885287399827E-1)); +#11571=CARTESIAN_POINT('',(-5.072435241439E-2,2.910607948651E-1, +-5.868960628174E-1)); +#11572=CARTESIAN_POINT('',(-8.860558943735E-3,2.806049719225E-1, +-5.852633856520E-1)); +#11573=CARTESIAN_POINT('',(3.406198529613E-2,2.850272601468E-1, +-5.836307084867E-1)); +#11574=CARTESIAN_POINT('',(7.291445299093E-2,3.037992390298E-1, +-5.819980313213E-1)); +#11575=CARTESIAN_POINT('',(1.030543514585E-1,3.346778393466E-1, +-5.803653541560E-1)); +#11576=CARTESIAN_POINT('',(1.208802554510E-1,3.739733681510E-1, +-5.787326769906E-1)); +#11577=CARTESIAN_POINT('',(1.242621425094E-1,4.169903912583E-1, +-5.770999998253E-1)); +#11578=CARTESIAN_POINT('',(1.127959099584E-1,4.585887920522E-1, +-5.754673226599E-1)); +#11579=CARTESIAN_POINT('',(8.785166128573E-2,4.937979654842E-1, +-5.738346454945E-1)); +#11580=CARTESIAN_POINT('',(5.240999195647E-2,5.184107572411E-1, +-5.722019683292E-1)); +#11581=CARTESIAN_POINT('',(1.070583764206E-2,5.294861773813E-1, +-5.705692911638E-1)); +#11582=CARTESIAN_POINT('',(-3.227756115309E-2,5.257008217900E-1, +-5.689366139985E-1)); +#11583=CARTESIAN_POINT('',(-7.140410169474E-2,5.075069997384E-1, +-5.673039368331E-1)); +#11584=CARTESIAN_POINT('',(-1.019985567795E-1,4.770787111437E-1, +-5.656712596678E-1)); +#11585=CARTESIAN_POINT('',(-1.204051318561E-1,4.380517857624E-1, +-5.640385825024E-1)); +#11586=CARTESIAN_POINT('',(-1.244246221888E-1,3.950897687013E-1, +-5.624059053371E-1)); +#11587=CARTESIAN_POINT('',(-1.135759827807E-1,3.533254335051E-1, +-5.607732281717E-1)); +#11588=CARTESIAN_POINT('',(-9.729755301867E-2,3.296098697559E-1, +-5.596847767282E-1)); +#11589=CARTESIAN_POINT('',(-8.738136338285E-2,3.194905760271E-1, +-5.591405510064E-1)); +#11590=CARTESIAN_POINT('',(1.012141965979E-1,4.646445911447E-1, +-6.607907795452E-1)); +#11591=CARTESIAN_POINT('',(9.432066779906E-2,4.763658111538E-1, +-6.602465538234E-1)); +#11592=CARTESIAN_POINT('',(7.642228329359E-2,4.973857949073E-1, +-6.591581023799E-1)); +#11593=CARTESIAN_POINT('',(4.044144093343E-2,5.178933045239E-1, +-6.575254252145E-1)); +#11594=CARTESIAN_POINT('',(-3.713470803875E-4,5.249245695546E-1, +-6.558927480492E-1)); +#11595=CARTESIAN_POINT('',(-4.113987362858E-2,5.176403144371E-1, +-6.542600708838E-1)); +#11596=CARTESIAN_POINT('',(-7.699255497619E-2,4.969106978344E-1, +-6.526273937184E-1)); +#11597=CARTESIAN_POINT('',(-1.036453814649E-1,4.652127717400E-1, +-6.509947165531E-1)); +#11598=CARTESIAN_POINT('',(-1.179135889977E-1,4.263341134096E-1, +-6.493620393877E-1)); +#11599=CARTESIAN_POINT('',(-1.180922680054E-1,3.849203496521E-1, +-6.477293622224E-1)); +#11600=CARTESIAN_POINT('',(-1.041600673565E-1,3.459200219297E-1, +-6.460966850570E-1)); +#11601=CARTESIAN_POINT('',(-7.778174995916E-2,3.139932910929E-1, +-6.444640078917E-1)); +#11602=CARTESIAN_POINT('',(-4.210926859092E-2,2.929550914208E-1, +-6.428313307263E-1)); +#11603=CARTESIAN_POINT('',(-1.405138455573E-3,2.853192835442E-1, +-6.411986535610E-1)); +#11604=CARTESIAN_POINT('',(3.946689208393E-2,2.919982723643E-1, +-6.395659763956E-1)); +#11605=CARTESIAN_POINT('',(7.562301219168E-2,3.121939835631E-1, +-6.379332992303E-1)); +#11606=CARTESIAN_POINT('',(1.027429165443E-1,3.434932257261E-1, +-6.363006220649E-1)); +#11607=CARTESIAN_POINT('',(1.175860398707E-1,3.821560432901E-1, +-6.346679448996E-1)); +#11608=CARTESIAN_POINT('',(1.183787730410E-1,4.235626049222E-1, +-6.330352677342E-1)); +#11609=CARTESIAN_POINT('',(1.050263921377E-1,4.627652285115E-1, +-6.314025905689E-1)); +#11610=CARTESIAN_POINT('',(7.912437704451E-2,4.950795811459E-1, +-6.297699134035E-1)); +#11611=CARTESIAN_POINT('',(4.376776708988E-2,5.166444114161E-1, +-6.281372362381E-1)); +#11612=CARTESIAN_POINT('',(3.181333896445E-3,5.248829314716E-1, +-6.265045590728E-1)); +#11613=CARTESIAN_POINT('',(-3.778523781097E-2,5.188107181511E-1, +-6.248718819074E-1)); +#11614=CARTESIAN_POINT('',(-7.423684044579E-2,4.991533419929E-1, +-6.232392047421E-1)); +#11615=CARTESIAN_POINT('',(-1.018178613911E-1,4.682596686004E-1, +-6.216065275767E-1)); +#11616=CARTESIAN_POINT('',(-1.172326364199E-1,4.298211920062E-1, +-6.199738504114E-1)); +#11617=CARTESIAN_POINT('',(-1.186392495740E-1,3.884309369756E-1, +-6.183411732460E-1)); +#11618=CARTESIAN_POINT('',(-1.058696242377E-1,3.490346371387E-1, +-6.167084960807E-1)); +#11619=CARTESIAN_POINT('',(-8.044960666215E-2,3.163397678389E-1, +-6.150758189153E-1)); +#11620=CARTESIAN_POINT('',(-4.541664215219E-2,2.942530485327E-1, +-6.134431417500E-1)); +#11621=CARTESIAN_POINT('',(-4.956829841931E-3,2.854136277436E-1, +-6.118104645846E-1)); +#11622=CARTESIAN_POINT('',(3.609527551003E-2,2.908777304366E-1, +-6.101777874192E-1)); +#11623=CARTESIAN_POINT('',(7.283434587700E-2,3.099924493892E-1, +-6.085451102539E-1)); +#11624=CARTESIAN_POINT('',(1.008704190390E-1,3.404737612659E-1, +-6.069124330885E-1)); +#11625=CARTESIAN_POINT('',(1.168534564473E-1,3.786794452314E-1, +-6.052797559232E-1)); +#11626=CARTESIAN_POINT('',(1.188736403061E-1,4.200442929798E-1, +-6.036470787578E-1)); +#11627=CARTESIAN_POINT('',(1.066895782577E-1,4.596256068042E-1, +-6.020144015925E-1)); +#11628=CARTESIAN_POINT('',(8.175714742540E-2,4.926938039861E-1, +-6.003817244271E-1)); +#11629=CARTESIAN_POINT('',(4.705553123202E-2,5.152975560116E-1, +-5.987490472618E-1)); +#11630=CARTESIAN_POINT('',(6.731235904791E-3,5.247359339671E-1, +-5.971163700964E-1)); +#11631=CARTESIAN_POINT('',(-3.439737676145E-2,5.198811433211E-1, +-5.954836929310E-1)); +#11632=CARTESIAN_POINT('',(-7.141583685877E-2,5.013132844222E-1, +-5.938510157657E-1)); +#11633=CARTESIAN_POINT('',(-9.990079780693E-2,4.712510361389E-1, +-5.922183386003E-1)); +#11634=CARTESIAN_POINT('',(-1.164485833248E-1,4.332865452754E-1, +-5.905856614350E-1)); +#11635=CARTESIAN_POINT('',(-1.190818937006E-1,3.919561999039E-1, +-5.889529842696E-1)); +#11636=CARTESIAN_POINT('',(-1.074860739104E-1,3.521985750319E-1, +-5.873203071043E-1)); +#11637=CARTESIAN_POINT('',(-8.304671183878E-2,3.187643208366E-1, +-5.856876299389E-1)); +#11638=CARTESIAN_POINT('',(-4.868407397868E-2,2.956485060908E-1, +-5.840549527736E-1)); +#11639=CARTESIAN_POINT('',(-8.504161937562E-3,2.856132462321E-1, +-5.824222756082E-1)); +#11640=CARTESIAN_POINT('',(3.269191489068E-2,2.898576573840E-1, +-5.807895984428E-1)); +#11641=CARTESIAN_POINT('',(6.998162528569E-2,3.078745736218E-1, +-5.791569212775E-1)); +#11642=CARTESIAN_POINT('',(9.890921089028E-2,3.375111483759E-1, +-5.775242441121E-1)); +#11643=CARTESIAN_POINT('',(1.160181060738E-1,3.752260986963E-1, +-5.758915669468E-1)); +#11644=CARTESIAN_POINT('',(1.192639639685E-1,4.165128541819E-1, +-5.742588897814E-1)); +#11645=CARTESIAN_POINT('',(1.082589360638E-1,4.564380483983E-1, +-5.726262126161E-1)); +#11646=CARTESIAN_POINT('',(8.431801636899E-2,4.902310082391E-1, +-5.709935354507E-1)); +#11647=CARTESIAN_POINT('',(5.030191228043E-2,5.138538031627E-1, +-5.693608582854E-1)); +#11648=CARTESIAN_POINT('',(1.027521825241E-2,5.244837382488E-1, +-5.677281811200E-1)); +#11649=CARTESIAN_POINT('',(-3.097926538697E-2,5.208506404788E-1, +-5.660955039547E-1)); +#11650=CARTESIAN_POINT('',(-6.853202463557E-2,5.033886259521E-1, +-5.644628267893E-1)); +#11651=CARTESIAN_POINT('',(-9.789588329097E-2,4.741842500956E-1, +-5.628301496240E-1)); +#11652=CARTESIAN_POINT('',(-1.155620933078E-1,4.367270992008E-1, +-5.611974724586E-1)); +#11653=CARTESIAN_POINT('',(-1.194199082507E-1,3.954931372611E-1, +-5.595647952932E-1)); +#11654=CARTESIAN_POINT('',(-1.090076321275E-1,3.554086829983E-1, +-5.579321181279E-1)); +#11655=CARTESIAN_POINT('',(-9.338396733794E-2,3.326470270076E-1, +-5.568436666843E-1)); +#11656=CARTESIAN_POINT('',(-8.386663519198E-2,3.229347601957E-1, +-5.562994409625E-1)); +#11657=CARTESIAN_POINT('',(9.697245744518E-2,4.621499232702E-1, +-6.579496695014E-1)); +#11658=CARTESIAN_POINT('',(9.036782637009E-2,4.733799240680E-1, +-6.574054437796E-1)); +#11659=CARTESIAN_POINT('',(7.321953701805E-2,4.935189910028E-1, +-6.563169923360E-1)); +#11660=CARTESIAN_POINT('',(3.874659920999E-2,5.131670608432E-1, +-6.546843151707E-1)); +#11661=CARTESIAN_POINT('',(-3.557844666147E-4,5.199036558259E-1, +-6.530516380053E-1)); +#11662=CARTESIAN_POINT('',(-3.941576161097E-2,5.129246732015E-1, +-6.514189608400E-1)); +#11663=CARTESIAN_POINT('',(-7.376590944734E-2,4.930638045543E-1, +-6.497862836746E-1)); +#11664=CARTESIAN_POINT('',(-9.930175490528E-2,4.626942922466E-1, +-6.481536065093E-1)); +#11665=CARTESIAN_POINT('',(-1.129720026995E-1,4.254449817033E-1, +-6.465209293439E-1)); +#11666=CARTESIAN_POINT('',(-1.131431935310E-1,3.857668082986E-1, +-6.448882521786E-1)); +#11667=CARTESIAN_POINT('',(-9.979487106285E-2,3.484009273498E-1, +-6.432555750132E-1)); +#11668=CARTESIAN_POINT('',(-7.452203042120E-2,3.178121991723E-1, +-6.416228978479E-1)); +#11669=CARTESIAN_POINT('',(-4.034453064624E-2,2.976556797215E-1, +-6.399902206825E-1)); +#11670=CARTESIAN_POINT('',(-1.346251155109E-3,2.903398774007E-1, +-6.383575435171E-1)); +#11671=CARTESIAN_POINT('',(3.781289227945E-2,2.967389595543E-1, +-6.367248663518E-1)); +#11672=CARTESIAN_POINT('',(7.245376220071E-2,3.160882979932E-1, +-6.350921891864E-1)); +#11673=CARTESIAN_POINT('',(9.843711097139E-2,3.460758346308E-1, +-6.334595120211E-1)); +#11674=CARTESIAN_POINT('',(1.126581806781E-1,3.831183499790E-1, +-6.318268348557E-1)); +#11675=CARTESIAN_POINT('',(1.134176915590E-1,4.227896230888E-1, +-6.301941576904E-1)); +#11676=CARTESIAN_POINT('',(1.006248894377E-1,4.603493219802E-1, +-6.285614805250E-1)); +#11677=CARTESIAN_POINT('',(7.580838996629E-2,4.913094272918E-1, +-6.269288033597E-1)); +#11678=CARTESIAN_POINT('',(4.193352389533E-2,5.119705070216E-1, +-6.252961261943E-1)); +#11679=CARTESIAN_POINT('',(3.048008839174E-3,5.198637627342E-1, +-6.236634490290E-1)); +#11680=CARTESIAN_POINT('',(-3.620171368103E-2,5.140460270052E-1, +-6.220307718636E-1)); +#11681=CARTESIAN_POINT('',(-7.112568288819E-2,4.952124627715E-1, +-6.203980946983E-1)); +#11682=CARTESIAN_POINT('',(-9.755082352864E-2,4.656134981096E-1, +-6.187654175329E-1)); +#11683=CARTESIAN_POINT('',(-1.123195878498E-1,4.287859219288E-1, +-6.171327403675E-1)); +#11684=CARTESIAN_POINT('',(-1.136672519009E-1,3.891302720346E-1, +-6.155000632022E-1)); +#11685=CARTESIAN_POINT('',(-1.014327829119E-1,3.513850135843E-1, +-6.138673860368E-1)); +#11686=CARTESIAN_POINT('',(-7.707808114615E-2,3.200603385049E-1, +-6.122347088715E-1)); +#11687=CARTESIAN_POINT('',(-4.351329701194E-2,2.988992413465E-1, +-6.106020317061E-1)); +#11688=CARTESIAN_POINT('',(-4.749096342680E-3,2.904302677725E-1, +-6.089693545408E-1)); +#11689=CARTESIAN_POINT('',(3.458257523195E-2,2.956653779022E-1, +-6.073366773754E-1)); +#11690=CARTESIAN_POINT('',(6.978196481845E-2,3.139790269012E-1, +-6.057040002101E-1)); +#11691=CARTESIAN_POINT('',(9.664308710182E-2,3.431829115162E-1, +-6.040713230447E-1)); +#11692=CARTESIAN_POINT('',(1.119562987560E-1,3.797874510674E-1, +-6.024386458793E-1)); +#11693=CARTESIAN_POINT('',(1.138918196597E-1,4.194187584614E-1, +-6.008059687140E-1)); +#11694=CARTESIAN_POINT('',(1.022183738565E-1,4.573412772332E-1, +-5.991732915486E-1)); +#11695=CARTESIAN_POINT('',(7.833082478568E-2,4.890236345684E-1, +-5.975406143833E-1)); +#11696=CARTESIAN_POINT('',(4.508350264404E-2,5.106800963601E-1, +-5.959079372179E-1)); +#11697=CARTESIAN_POINT('',(6.449139638979E-3,5.197229256803E-1, +-5.942752600526E-1)); +#11698=CARTESIAN_POINT('',(-3.295583294013E-2,5.150715922197E-1, +-5.926425828872E-1)); +#11699=CARTESIAN_POINT('',(-6.842290344143E-2,4.972818851682E-1, +-5.910099057219E-1)); +#11700=CARTESIAN_POINT('',(-9.571410127943E-2,4.684795018034E-1, +-5.893772285565E-1)); +#11701=CARTESIAN_POINT('',(-1.115683932747E-1,4.321060473036E-1, +-5.877445513911E-1)); +#11702=CARTESIAN_POINT('',(-1.140913454586E-1,3.925077963423E-1, +-5.861118742258E-1)); +#11703=CARTESIAN_POINT('',(-1.029814895397E-1,3.544163554614E-1, +-5.844791970604E-1)); +#11704=CARTESIAN_POINT('',(-7.956634543794E-2,3.223832820276E-1, +-5.828465198951E-1)); +#11705=CARTESIAN_POINT('',(-4.664379554273E-2,3.002362173165E-1, +-5.812138427297E-1)); +#11706=CARTESIAN_POINT('',(-8.147764931145E-3,2.906215205416E-1, +-5.795811655644E-1)); +#11707=CARTESIAN_POINT('',(3.132184448545E-2,2.946880546214E-1, +-5.779484883990E-1)); +#11708=CARTESIAN_POINT('',(6.704879758064E-2,3.119499082140E-1, +-5.763158112337E-1)); +#11709=CARTESIAN_POINT('',(9.476407032219E-2,3.403444574054E-1, +-5.746831340683E-1)); +#11710=CARTESIAN_POINT('',(1.111559566966E-1,3.764788292419E-1, +-5.730504569030E-1)); +#11711=CARTESIAN_POINT('',(1.142657854275E-1,4.160353171057E-1, +-5.714177797376E-1)); +#11712=CARTESIAN_POINT('',(1.037219621690E-1,4.542873047446E-1, +-5.697851025722E-1)); +#11713=CARTESIAN_POINT('',(8.078437145213E-2,4.866640509942E-1, +-5.681524254069E-1)); +#11714=CARTESIAN_POINT('',(4.819383260425E-2,5.092968490843E-1, +-5.665197482415E-1)); +#11715=CARTESIAN_POINT('',(9.844598862628E-3,5.194812991163E-1, +-5.648870710762E-1)); +#11716=CARTESIAN_POINT('',(-2.968096962095E-2,5.160004591675E-1, +-5.632543939108E-1)); +#11717=CARTESIAN_POINT('',(-6.565994757647E-2,4.992702521658E-1, +-5.616217167455E-1)); +#11718=CARTESIAN_POINT('',(-9.379320980249E-2,4.712897890475E-1, +-5.599890395801E-1)); +#11719=CARTESIAN_POINT('',(-1.107190547596E-1,4.354024126393E-1, +-5.583563624148E-1)); +#11720=CARTESIAN_POINT('',(-1.144151943127E-1,3.958965058209E-1, +-5.567236852494E-1)); +#11721=CARTESIAN_POINT('',(-1.044392814744E-1,3.574919324916E-1, +-5.550910080841E-1)); +#11722=CARTESIAN_POINT('',(-8.947038165722E-2,3.356841842593E-1, +-5.540025566405E-1)); +#11723=CARTESIAN_POINT('',(-8.035190700112E-2,3.263789443644E-1, +-5.534583309187E-1)); +#11724=CARTESIAN_POINT('',(9.273071829248E-2,4.596552553958E-1, +-6.551085594575E-1)); +#11725=CARTESIAN_POINT('',(8.641498494111E-2,4.703940369822E-1, +-6.545643337358E-1)); +#11726=CARTESIAN_POINT('',(7.001679074251E-2,4.896521870983E-1, +-6.534758822922E-1)); +#11727=CARTESIAN_POINT('',(3.705175748654E-2,5.084408171626E-1, +-6.518432051268E-1)); +#11728=CARTESIAN_POINT('',(-3.402218528403E-4,5.148827420972E-1, +-6.502105279615E-1)); +#11729=CARTESIAN_POINT('',(-3.769164959336E-2,5.082090319659E-1, +-6.485778507961E-1)); +#11730=CARTESIAN_POINT('',(-7.053926391848E-2,4.892169112742E-1, +-6.469451736308E-1)); +#11731=CARTESIAN_POINT('',(-9.495812834563E-2,4.601758127533E-1, +-6.453124964654E-1)); +#11732=CARTESIAN_POINT('',(-1.080304164014E-1,4.245558499970E-1, +-6.436798193001E-1)); +#11733=CARTESIAN_POINT('',(-1.081941190566E-1,3.866132669452E-1, +-6.420471421347E-1)); +#11734=CARTESIAN_POINT('',(-9.542967476919E-2,3.508818327700E-1, +-6.404144649694E-1)); +#11735=CARTESIAN_POINT('',(-7.126231088325E-2,3.216311072518E-1, +-6.387817878040E-1)); +#11736=CARTESIAN_POINT('',(-3.857979270159E-2,3.023562680222E-1, +-6.371491106387E-1)); +#11737=CARTESIAN_POINT('',(-1.287363854692E-3,2.953604712572E-1, +-6.355164334733E-1)); +#11738=CARTESIAN_POINT('',(3.615889247492E-2,3.014796467442E-1, +-6.338837563080E-1)); +#11739=CARTESIAN_POINT('',(6.928451220969E-2,3.199826124233E-1, +-6.322510791426E-1)); +#11740=CARTESIAN_POINT('',(9.413130539848E-2,3.486584435354E-1, +-6.306184019773E-1)); +#11741=CARTESIAN_POINT('',(1.077303214854E-1,3.840806566678E-1, +-6.289857248119E-1)); +#11742=CARTESIAN_POINT('',(1.084566100770E-1,4.220166412553E-1, +-6.273530476465E-1)); +#11743=CARTESIAN_POINT('',(9.622338673781E-2,4.579334154488E-1, +-6.257203704812E-1)); +#11744=CARTESIAN_POINT('',(7.249240288816E-2,4.875392734377E-1, +-6.240876933158E-1)); +#11745=CARTESIAN_POINT('',(4.009928070089E-2,5.072966026271E-1, +-6.224550161505E-1)); +#11746=CARTESIAN_POINT('',(2.914683782031E-3,5.148445939967E-1, +-6.208223389851E-1)); +#11747=CARTESIAN_POINT('',(-3.461818955096E-2,5.092813358593E-1, +-6.191896618198E-1)); +#11748=CARTESIAN_POINT('',(-6.801452533048E-2,4.912715835502E-1, +-6.175569846544E-1)); +#11749=CARTESIAN_POINT('',(-9.328378566612E-2,4.629673276189E-1, +-6.159243074891E-1)); +#11750=CARTESIAN_POINT('',(-1.074065392796E-1,4.277506518515E-1, +-6.142916303237E-1)); +#11751=CARTESIAN_POINT('',(-1.086952542278E-1,3.898296070938E-1, +-6.126589531584E-1)); +#11752=CARTESIAN_POINT('',(-9.699594158624E-2,3.537353900300E-1, +-6.110262759930E-1)); +#11753=CARTESIAN_POINT('',(-7.370655563029E-2,3.237809091711E-1, +-6.093935988276E-1)); +#11754=CARTESIAN_POINT('',(-4.160995187189E-2,3.035454341604E-1, +-6.077609216623E-1)); +#11755=CARTESIAN_POINT('',(-4.541362843640E-3,2.954469078013E-1, +-6.061282444969E-1)); +#11756=CARTESIAN_POINT('',(3.306987495366E-2,3.004530253677E-1, +-6.044955673316E-1)); +#11757=CARTESIAN_POINT('',(6.672958375972E-2,3.179656044131E-1, +-6.028628901662E-1)); +#11758=CARTESIAN_POINT('',(9.241575516450E-2,3.458920617663E-1, +-6.012302130009E-1)); +#11759=CARTESIAN_POINT('',(1.070591410646E-1,3.808954569032E-1, +-5.995975358355E-1)); +#11760=CARTESIAN_POINT('',(1.089099990134E-1,4.187932239428E-1, +-5.979648586702E-1)); +#11761=CARTESIAN_POINT('',(9.774716945541E-2,4.550569476621E-1, +-5.963321815048E-1)); +#11762=CARTESIAN_POINT('',(7.490450214614E-2,4.853534651506E-1, +-5.946995043394E-1)); +#11763=CARTESIAN_POINT('',(4.311147405630E-2,5.060626367084E-1, +-5.930668271741E-1)); +#11764=CARTESIAN_POINT('',(6.167043373429E-3,5.147099173936E-1, +-5.914341500087E-1)); +#11765=CARTESIAN_POINT('',(-3.151428911855E-2,5.102620411183E-1, +-5.898014728434E-1)); +#11766=CARTESIAN_POINT('',(-6.542997002387E-2,4.932504859143E-1, +-5.881687956780E-1)); +#11767=CARTESIAN_POINT('',(-9.152740475177E-2,4.657079674682E-1, +-5.865361185127E-1)); +#11768=CARTESIAN_POINT('',(-1.066882032246E-1,4.309255493321E-1, +-5.849034413473E-1)); +#11769=CARTESIAN_POINT('',(-1.091007972167E-1,3.930593927809E-1, +-5.832707641820E-1)); +#11770=CARTESIAN_POINT('',(-9.847690516912E-2,3.566341358911E-1, +-5.816380870166E-1)); +#11771=CARTESIAN_POINT('',(-7.608597903729E-2,3.260022432187E-1, +-5.800054098513E-1)); +#11772=CARTESIAN_POINT('',(-4.460351710703E-2,3.048239285422E-1, +-5.783727326859E-1)); +#11773=CARTESIAN_POINT('',(-7.791367924978E-3,2.956297948512E-1, +-5.767400555205E-1)); +#11774=CARTESIAN_POINT('',(2.995177407999E-2,2.995184518587E-1, +-5.751073783552E-1)); +#11775=CARTESIAN_POINT('',(6.411596987540E-2,3.160252428061E-1, +-5.734747011898E-1)); +#11776=CARTESIAN_POINT('',(9.061892975397E-2,3.431777664347E-1, +-5.718420240245E-1)); +#11777=CARTESIAN_POINT('',(1.062938073194E-1,3.777315597872E-1, +-5.702093468591E-1)); +#11778=CARTESIAN_POINT('',(1.092676068866E-1,4.155577800293E-1, +-5.685766696938E-1)); +#11779=CARTESIAN_POINT('',(9.918498827441E-2,4.521365610907E-1, +-5.669439925284E-1)); +#11780=CARTESIAN_POINT('',(7.725072653540E-2,4.830970937491E-1, +-5.653113153631E-1)); +#11781=CARTESIAN_POINT('',(4.608575292821E-2,5.047398950059E-1, +-5.636786381977E-1)); +#11782=CARTESIAN_POINT('',(9.413979472982E-3,5.144788599837E-1, +-5.620459610324E-1)); +#11783=CARTESIAN_POINT('',(-2.838267385483E-2,5.111502778563E-1, +-5.604132838670E-1)); +#11784=CARTESIAN_POINT('',(-6.278787051730E-2,4.951518783795E-1, +-5.587806067016E-1)); +#11785=CARTESIAN_POINT('',(-8.969053631397E-2,4.683953279994E-1, +-5.571479295363E-1)); +#11786=CARTESIAN_POINT('',(-1.058760162114E-1,4.340777260777E-1, +-5.555152523709E-1)); +#11787=CARTESIAN_POINT('',(-1.094104803747E-1,3.962998743806E-1, +-5.538825752056E-1)); +#11788=CARTESIAN_POINT('',(-9.987093082118E-2,3.595751819849E-1, +-5.522498980402E-1)); +#11789=CARTESIAN_POINT('',(-8.555679597649E-2,3.387213415110E-1, +-5.511614465967E-1)); +#11790=CARTESIAN_POINT('',(-7.683717881025E-2,3.298231285331E-1, +-5.506172208749E-1)); +#11791=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#11523,#11524,#11525,#11526,#11527, +#11528,#11529,#11530,#11531,#11532,#11533,#11534,#11535,#11536,#11537,#11538, +#11539,#11540,#11541,#11542,#11543,#11544,#11545,#11546,#11547,#11548,#11549, +#11550,#11551,#11552,#11553,#11554,#11555,#11556,#11557,#11558,#11559,#11560, +#11561,#11562,#11563,#11564,#11565,#11566,#11567,#11568,#11569,#11570,#11571, +#11572,#11573,#11574,#11575,#11576,#11577,#11578,#11579,#11580,#11581,#11582, +#11583,#11584,#11585,#11586,#11587,#11588,#11589),(#11590,#11591,#11592,#11593, +#11594,#11595,#11596,#11597,#11598,#11599,#11600,#11601,#11602,#11603,#11604, +#11605,#11606,#11607,#11608,#11609,#11610,#11611,#11612,#11613,#11614,#11615, +#11616,#11617,#11618,#11619,#11620,#11621,#11622,#11623,#11624,#11625,#11626, +#11627,#11628,#11629,#11630,#11631,#11632,#11633,#11634,#11635,#11636,#11637, +#11638,#11639,#11640,#11641,#11642,#11643,#11644,#11645,#11646,#11647,#11648, +#11649,#11650,#11651,#11652,#11653,#11654,#11655,#11656),(#11657,#11658,#11659, +#11660,#11661,#11662,#11663,#11664,#11665,#11666,#11667,#11668,#11669,#11670, +#11671,#11672,#11673,#11674,#11675,#11676,#11677,#11678,#11679,#11680,#11681, +#11682,#11683,#11684,#11685,#11686,#11687,#11688,#11689,#11690,#11691,#11692, +#11693,#11694,#11695,#11696,#11697,#11698,#11699,#11700,#11701,#11702,#11703, +#11704,#11705,#11706,#11707,#11708,#11709,#11710,#11711,#11712,#11713,#11714, +#11715,#11716,#11717,#11718,#11719,#11720,#11721,#11722,#11723),(#11724,#11725, +#11726,#11727,#11728,#11729,#11730,#11731,#11732,#11733,#11734,#11735,#11736, +#11737,#11738,#11739,#11740,#11741,#11742,#11743,#11744,#11745,#11746,#11747, +#11748,#11749,#11750,#11751,#11752,#11753,#11754,#11755,#11756,#11757,#11758, +#11759,#11760,#11761,#11762,#11763,#11764,#11765,#11766,#11767,#11768,#11769, +#11770,#11771,#11772,#11773,#11774,#11775,#11776,#11777,#11778,#11779,#11780, +#11781,#11782,#11783,#11784,#11785,#11786,#11787,#11788,#11789,#11790)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,4),(-3.568022467212E-2,1.035680224672E0),(-1.999999999997E-2, +-3.749999999971E-3,1.250000000003E-2,2.875000000003E-2,4.500000000003E-2, +6.125000000003E-2,7.750000000003E-2,9.375000000003E-2,1.1E-1,1.2625E-1,1.425E-1, +1.5875E-1,1.75E-1,1.9125E-1,2.075E-1,2.2375E-1,2.4E-1,2.5625E-1,2.725E-1, +2.8875E-1,3.05E-1,3.2125E-1,3.375E-1,3.5375E-1,3.7E-1,3.8625E-1,4.025E-1, +4.1875E-1,4.35E-1,4.5125E-1,4.675E-1,4.8375E-1,5.E-1,5.1625E-1,5.325E-1, +5.4875E-1,5.65E-1,5.8125E-1,5.975E-1,6.1375E-1,6.3E-1,6.4625E-1,6.625E-1, +6.7875E-1,6.95E-1,7.1125E-1,7.275E-1,7.4375E-1,7.6E-1,7.7625E-1,7.925E-1, +8.0875E-1,8.25E-1,8.4125E-1,8.575E-1,8.7375E-1,8.9E-1,9.0625E-1,9.225E-1, +9.3875E-1,9.55E-1,9.7125E-1,9.875E-1,1.00375E0,1.02E0),.UNSPECIFIED.); +#11793=ORIENTED_EDGE('',*,*,#11792,.F.); +#11795=ORIENTED_EDGE('',*,*,#11794,.F.); +#11797=ORIENTED_EDGE('',*,*,#11796,.T.); +#11799=ORIENTED_EDGE('',*,*,#11798,.T.); +#11800=ORIENTED_EDGE('',*,*,#11153,.F.); +#11801=ORIENTED_EDGE('',*,*,#11185,.F.); +#11803=ORIENTED_EDGE('',*,*,#11802,.F.); +#11805=ORIENTED_EDGE('',*,*,#11804,.F.); +#11806=ORIENTED_EDGE('',*,*,#11514,.F.); +#11807=ORIENTED_EDGE('',*,*,#11504,.F.); +#11809=ORIENTED_EDGE('',*,*,#11808,.F.); +#11810=EDGE_LOOP('',(#11793,#11795,#11797,#11799,#11800,#11801,#11803,#11805, +#11806,#11807,#11809)); +#11811=FACE_OUTER_BOUND('',#11810,.F.); +#11812=ADVANCED_FACE('',(#11811),#11791,.F.); +#11813=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#11814=DIRECTION('',(1.E0,0.E0,0.E0)); +#11815=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11816=AXIS2_PLACEMENT_3D('',#11813,#11814,#11815); +#11817=PLANE('',#11816); +#11819=ORIENTED_EDGE('',*,*,#11818,.T.); +#11821=ORIENTED_EDGE('',*,*,#11820,.T.); +#11823=ORIENTED_EDGE('',*,*,#11822,.F.); +#11824=ORIENTED_EDGE('',*,*,#11792,.T.); +#11825=EDGE_LOOP('',(#11819,#11821,#11823,#11824)); +#11826=FACE_OUTER_BOUND('',#11825,.F.); +#11827=ADVANCED_FACE('',(#11826),#11817,.F.); +#11828=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#11829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11830=DIRECTION('',(0.E0,1.E0,0.E0)); +#11831=AXIS2_PLACEMENT_3D('',#11828,#11829,#11830); +#11832=CYLINDRICAL_SURFACE('',#11831,1.218503937008E-1); +#11833=ORIENTED_EDGE('',*,*,#11808,.T.); +#11834=ORIENTED_EDGE('',*,*,#11502,.T.); +#11835=ORIENTED_EDGE('',*,*,#11489,.T.); +#11837=ORIENTED_EDGE('',*,*,#11836,.T.); +#11838=ORIENTED_EDGE('',*,*,#11818,.F.); +#11839=EDGE_LOOP('',(#11833,#11834,#11835,#11837,#11838)); +#11840=FACE_OUTER_BOUND('',#11839,.F.); +#11841=ADVANCED_FACE('',(#11840),#11832,.T.); +#11842=CARTESIAN_POINT('',(-2.997139668716E-3,2.975806587554E-1, +-7.170318324282E-1)); +#11843=CARTESIAN_POINT('',(1.427697925482E-2,2.970992176727E-1, +-7.162769421305E-1)); +#11844=CARTESIAN_POINT('',(4.904331675341E-2,3.046235697492E-1, +-7.147671615350E-1)); +#11845=CARTESIAN_POINT('',(9.003654739674E-2,3.387992038264E-1, +-7.125024906418E-1)); +#11846=CARTESIAN_POINT('',(1.105184584033E-1,3.880803104043E-1, +-7.102378197486E-1)); +#11847=CARTESIAN_POINT('',(1.058287555428E-1,4.412420646851E-1, +-7.079731488553E-1)); +#11848=CARTESIAN_POINT('',(7.703430845027E-2,4.861759232093E-1, +-7.057084779621E-1)); +#11849=CARTESIAN_POINT('',(3.069393639210E-2,5.126473531594E-1, +-7.034438070689E-1)); +#11850=CARTESIAN_POINT('',(-2.263757101039E-2,5.146269959563E-1, +-7.011791361756E-1)); +#11851=CARTESIAN_POINT('',(-7.081294473779E-2,4.916639503884E-1, +-6.989144652824E-1)); +#11852=CARTESIAN_POINT('',(-1.028593528933E-1,4.489884779343E-1, +-6.966497943892E-1)); +#11853=CARTESIAN_POINT('',(-1.114776273947E-1,3.963207121841E-1, +-6.943851234960E-1)); +#11854=CARTESIAN_POINT('',(-9.470479619750E-2,3.456567176860E-1, +-6.921204526027E-1)); +#11855=CARTESIAN_POINT('',(-5.636118385571E-2,3.085361627502E-1, +-6.898557817095E-1)); +#11856=CARTESIAN_POINT('',(-5.180262226612E-3,2.934139450981E-1, +-6.875911108163E-1)); +#11857=CARTESIAN_POINT('',(4.718056060383E-2,3.037344314360E-1, +-6.853264399230E-1)); +#11858=CARTESIAN_POINT('',(8.879513206481E-2,3.371469387917E-1, +-6.830617690298E-1)); +#11859=CARTESIAN_POINT('',(1.101849584764E-1,3.860411463400E-1, +-6.807970981366E-1)); +#11860=CARTESIAN_POINT('',(1.064781085088E-1,4.392804877052E-1, +-6.785324272434E-1)); +#11861=CARTESIAN_POINT('',(7.851888627864E-2,4.847387113613E-1, +-6.762677563501E-1)); +#11862=CARTESIAN_POINT('',(3.267552536124E-2,5.120618602561E-1, +-6.740030854569E-1)); +#11863=CARTESIAN_POINT('',(-2.061029500475E-2,5.150265783614E-1, +-6.717384145637E-1)); +#11864=CARTESIAN_POINT('',(-6.920173684392E-2,4.929575959167E-1, +-6.694737436705E-1)); +#11865=CARTESIAN_POINT('',(-1.020311942769E-1,4.508815346760E-1, +-6.672090727772E-1)); +#11866=CARTESIAN_POINT('',(-1.116211470796E-1,3.983820012520E-1, +-6.649444018840E-1)); +#11867=CARTESIAN_POINT('',(-9.578730444081E-2,3.474167419851E-1, +-6.626797309908E-1)); +#11868=CARTESIAN_POINT('',(-5.813612061604E-2,3.095940444066E-1, +-6.604150600976E-1)); +#11869=CARTESIAN_POINT('',(-7.243347575647E-3,2.935287302273E-1, +-6.581503892043E-1)); +#11870=CARTESIAN_POINT('',(4.529921405001E-2,3.028799816890E-1, +-6.558857183111E-1)); +#11871=CARTESIAN_POINT('',(8.752410590633E-2,3.355178482393E-1, +-6.536210474179E-1)); +#11872=CARTESIAN_POINT('',(1.098134917827E-1,3.840085556829E-1, +-6.513563765247E-1)); +#11873=CARTESIAN_POINT('',(1.070917830925E-1,4.373070401436E-1, +-6.490917056314E-1)); +#11874=CARTESIAN_POINT('',(7.997429371452E-2,4.832750800516E-1, +-6.468270347382E-1)); +#11875=CARTESIAN_POINT('',(4.976124896112E-2,5.020496912051E-1, +-6.453172541427E-1)); +#11876=CARTESIAN_POINT('',(3.333075073197E-2,5.074037268831E-1, +-6.445623638450E-1)); +#11877=CARTESIAN_POINT('',(-3.134236468045E-3,2.926616219278E-1, +-7.141907223844E-1)); +#11878=CARTESIAN_POINT('',(1.493004463601E-2,2.921581585042E-1, +-7.134358320867E-1)); +#11879=CARTESIAN_POINT('',(5.128668292897E-2,3.000266936022E-1, +-7.119260514912E-1)); +#11880=CARTESIAN_POINT('',(9.415504831316E-2,3.357656081953E-1, +-7.096613805980E-1)); +#11881=CARTESIAN_POINT('',(1.155738540773E-1,3.873009580607E-1, +-7.073967097047E-1)); +#11882=CARTESIAN_POINT('',(1.106696322677E-1,4.428944663354E-1, +-7.051320388115E-1)); +#11883=CARTESIAN_POINT('',(8.055805385276E-2,4.898837139528E-1, +-7.028673679183E-1)); +#11884=CARTESIAN_POINT('',(3.209795519127E-2,5.175660145066E-1, +-7.006026970250E-1)); +#11885=CARTESIAN_POINT('',(-2.367307114506E-2,5.196362112053E-1, +-6.983380261318E-1)); +#11886=CARTESIAN_POINT('',(-7.405210912415E-2,4.956227774679E-1, +-6.960733552386E-1)); +#11887=CARTESIAN_POINT('',(-1.075644015808E-1,4.509952202494E-1, +-6.938086843454E-1)); +#11888=CARTESIAN_POINT('',(-1.165768979005E-1,3.959182967985E-1, +-6.915440134521E-1)); +#11889=CARTESIAN_POINT('',(-9.903683469968E-2,3.429368021984E-1, +-6.892793425589E-1)); +#11890=CARTESIAN_POINT('',(-5.893928790422E-2,3.041182585687E-1, +-6.870146716657E-1)); +#11891=CARTESIAN_POINT('',(-5.417220610077E-3,2.883043121791E-1, +-6.847500007724E-1)); +#11892=CARTESIAN_POINT('',(4.933871957044E-2,2.990968838387E-1, +-6.824853298792E-1)); +#11893=CARTESIAN_POINT('',(9.285684748324E-2,3.340377643512E-1, +-6.802206589860E-1)); +#11894=CARTESIAN_POINT('',(1.152250990147E-1,3.851685174403E-1, +-6.779559880928E-1)); +#11895=CARTESIAN_POINT('',(1.113486882916E-1,4.408431618302E-1, +-6.756913171995E-1)); +#11896=CARTESIAN_POINT('',(8.211054005082E-2,4.883807603756E-1, +-6.734266463063E-1)); +#11897=CARTESIAN_POINT('',(3.417018708509E-2,5.169537396673E-1, +-6.711619754131E-1)); +#11898=CARTESIAN_POINT('',(-2.155306237336E-2,5.200540715270E-1, +-6.688973045199E-1)); +#11899=CARTESIAN_POINT('',(-7.236720047899E-2,4.969755976363E-1, +-6.666326336266E-1)); +#11900=CARTESIAN_POINT('',(-1.066983608808E-1,4.529748702263E-1, +-6.643679627334E-1)); +#11901=CARTESIAN_POINT('',(-1.167269825411E-1,3.980738744765E-1, +-6.621032918402E-1)); +#11902=CARTESIAN_POINT('',(-1.001688596262E-1,3.447773344901E-1, +-6.598386209469E-1)); +#11903=CARTESIAN_POINT('',(-6.079541479106E-2,3.052245304255E-1, +-6.575739500537E-1)); +#11904=CARTESIAN_POINT('',(-7.574676735731E-3,2.884243478724E-1, +-6.553092791605E-1)); +#11905=CARTESIAN_POINT('',(4.737131543521E-2,2.982033493848E-1, +-6.530446082673E-1)); +#11906=CARTESIAN_POINT('',(9.152768135216E-2,3.323341550491E-1, +-6.507799373740E-1)); +#11907=CARTESIAN_POINT('',(1.148366404887E-1,3.830429509114E-1, +-6.485152664808E-1)); +#11908=CARTESIAN_POINT('',(1.119904339133E-1,4.387794437526E-1, +-6.462505955876E-1)); +#11909=CARTESIAN_POINT('',(8.363252152839E-2,4.868501788434E-1, +-6.439859246944E-1)); +#11910=CARTESIAN_POINT('',(5.203745518375E-2,5.064835885123E-1, +-6.424761440989E-1)); +#11911=CARTESIAN_POINT('',(3.485538413256E-2,5.120825314139E-1, +-6.417212538012E-1)); +#11912=CARTESIAN_POINT('',(-3.271333267654E-3,2.877425851002E-1, +-7.113496123406E-1)); +#11913=CARTESIAN_POINT('',(1.558311001690E-2,2.872170993357E-1, +-7.105947220428E-1)); +#11914=CARTESIAN_POINT('',(5.353004910424E-2,2.954298174550E-1, +-7.090849414474E-1)); +#11915=CARTESIAN_POINT('',(9.827354922937E-2,3.327320125639E-1, +-7.068202705541E-1)); +#11916=CARTESIAN_POINT('',(1.206292497513E-1,3.865216057167E-1, +-7.045555996609E-1)); +#11917=CARTESIAN_POINT('',(1.155105089927E-1,4.445468679852E-1, +-7.022909287677E-1)); +#11918=CARTESIAN_POINT('',(8.408179925559E-2,4.935915046960E-1, +-7.000262578744E-1)); +#11919=CARTESIAN_POINT('',(3.350197399091E-2,5.224846758538E-1, +-6.977615869812E-1)); +#11920=CARTESIAN_POINT('',(-2.470857127920E-2,5.246454264545E-1, +-6.954969160880E-1)); +#11921=CARTESIAN_POINT('',(-7.729127351007E-2,4.995816045477E-1, +-6.932322451948E-1)); +#11922=CARTESIAN_POINT('',(-1.122694502681E-1,4.530019625651E-1, +-6.909675743015E-1)); +#11923=CARTESIAN_POINT('',(-1.216761684063E-1,3.955158814135E-1, +-6.887029034083E-1)); +#11924=CARTESIAN_POINT('',(-1.033688732022E-1,3.402168867114E-1, +-6.864382325151E-1)); +#11925=CARTESIAN_POINT('',(-6.151739195329E-2,2.997003543875E-1, +-6.841735616218E-1)); +#11926=CARTESIAN_POINT('',(-5.654178994226E-3,2.831946792602E-1, +-6.819088907286E-1)); +#11927=CARTESIAN_POINT('',(5.149687853642E-2,2.944593362412E-1, +-6.796442198354E-1)); +#11928=CARTESIAN_POINT('',(9.691856290125E-2,3.309285899101E-1, +-6.773795489422E-1)); +#11929=CARTESIAN_POINT('',(1.202652395528E-1,3.842958885399E-1, +-6.751148780489E-1)); +#11930=CARTESIAN_POINT('',(1.162192680747E-1,4.424058359544E-1, +-6.728502071557E-1)); +#11931=CARTESIAN_POINT('',(8.570219382351E-2,4.920228093895E-1, +-6.705855362625E-1)); +#11932=CARTESIAN_POINT('',(3.566484880960E-2,5.218456190782E-1, +-6.683208653692E-1)); +#11933=CARTESIAN_POINT('',(-2.249582974130E-2,5.250815646928E-1, +-6.660561944760E-1)); +#11934=CARTESIAN_POINT('',(-7.553266411354E-2,5.009935993563E-1, +-6.637915235828E-1)); +#11935=CARTESIAN_POINT('',(-1.113655274843E-1,4.550682057771E-1, +-6.615268526896E-1)); +#11936=CARTESIAN_POINT('',(-1.218328180028E-1,3.977657477016E-1, +-6.592621817963E-1)); +#11937=CARTESIAN_POINT('',(-1.045504148118E-1,3.421379269956E-1, +-6.569975109031E-1)); +#11938=CARTESIAN_POINT('',(-6.345470896653E-2,3.008550164447E-1, +-6.547328400099E-1)); +#11939=CARTESIAN_POINT('',(-7.906005896265E-3,2.833199655175E-1, +-6.524681691167E-1)); +#11940=CARTESIAN_POINT('',(4.944341682006E-2,2.935267170804E-1, +-6.502034982234E-1)); +#11941=CARTESIAN_POINT('',(9.553125679779E-2,3.291504618586E-1, +-6.479388273302E-1)); +#11942=CARTESIAN_POINT('',(1.198597891945E-1,3.820773461397E-1, +-6.456741564370E-1)); +#11943=CARTESIAN_POINT('',(1.168890847341E-1,4.402518473614E-1, +-6.434094855438E-1)); +#11944=CARTESIAN_POINT('',(8.729074934231E-2,4.904252776351E-1, +-6.411448146505E-1)); +#11945=CARTESIAN_POINT('',(5.431366140635E-2,5.109174858194E-1, +-6.396350340551E-1)); +#11946=CARTESIAN_POINT('',(3.638001753308E-2,5.167613359447E-1, +-6.388801437573E-1)); +#11947=CARTESIAN_POINT('',(-3.408430066990E-3,2.828235482726E-1, +-7.085085022968E-1)); +#11948=CARTESIAN_POINT('',(1.623617539807E-2,2.822760401672E-1, +-7.077536119990E-1)); +#11949=CARTESIAN_POINT('',(5.577341527979E-2,2.908329413080E-1, +-7.062438314035E-1)); +#11950=CARTESIAN_POINT('',(1.023920501458E-1,3.296984169328E-1, +-7.039791605103E-1)); +#11951=CARTESIAN_POINT('',(1.256846454254E-1,3.857422533732E-1, +-7.017144896171E-1)); +#11952=CARTESIAN_POINT('',(1.203513857176E-1,4.461992696355E-1, +-6.994498187238E-1)); +#11953=CARTESIAN_POINT('',(8.760554465810E-2,4.972992954395E-1, +-6.971851478306E-1)); +#11954=CARTESIAN_POINT('',(3.490599279008E-2,5.274033372010E-1, +-6.949204769374E-1)); +#11955=CARTESIAN_POINT('',(-2.574407141386E-2,5.296546417036E-1, +-6.926558060442E-1)); +#11956=CARTESIAN_POINT('',(-8.053043789643E-2,5.035404316272E-1, +-6.903911351509E-1)); +#11957=CARTESIAN_POINT('',(-1.169744989555E-1,4.550087048802E-1, +-6.881264642577E-1)); +#11958=CARTESIAN_POINT('',(-1.267754389121E-1,3.951134660278E-1, +-6.858617933645E-1)); +#11959=CARTESIAN_POINT('',(-1.077009117044E-1,3.374969712238E-1, +-6.835971224712E-1)); +#11960=CARTESIAN_POINT('',(-6.409549600181E-2,2.952824502061E-1, +-6.813324515780E-1)); +#11961=CARTESIAN_POINT('',(-5.891137377708E-3,2.780850463412E-1, +-6.790677806848E-1)); +#11962=CARTESIAN_POINT('',(5.365503750301E-2,2.898217886439E-1, +-6.768031097916E-1)); +#11963=CARTESIAN_POINT('',(1.009802783197E-1,3.278194154695E-1, +-6.745384388983E-1)); +#11964=CARTESIAN_POINT('',(1.253053800911E-1,3.834232596402E-1, +-6.722737680051E-1)); +#11965=CARTESIAN_POINT('',(1.210898478576E-1,4.439685100794E-1, +-6.700090971119E-1)); +#11966=CARTESIAN_POINT('',(8.929384759570E-2,4.956648584038E-1, +-6.677444262186E-1)); +#11967=CARTESIAN_POINT('',(3.715951053346E-2,5.267374984894E-1, +-6.654797553254E-1)); +#11968=CARTESIAN_POINT('',(-2.343859710990E-2,5.301090578584E-1, +-6.632150844322E-1)); +#11969=CARTESIAN_POINT('',(-7.869812774860E-2,5.050116010760E-1, +-6.609504135390E-1)); +#11970=CARTESIAN_POINT('',(-1.160326940881E-1,4.571615413274E-1, +-6.586857426457E-1)); +#11971=CARTESIAN_POINT('',(-1.269386534644E-1,3.974576209261E-1, +-6.564210717525E-1)); +#11972=CARTESIAN_POINT('',(-1.089319699972E-1,3.394985195006E-1, +-6.541564008593E-1)); +#11973=CARTESIAN_POINT('',(-6.611400314156E-2,2.964855024636E-1, +-6.518917299661E-1)); +#11974=CARTESIAN_POINT('',(-8.237335056360E-3,2.782155831626E-1, +-6.496270590728E-1)); +#11975=CARTESIAN_POINT('',(5.151551820525E-2,2.888500847762E-1, +-6.473623881796E-1)); +#11976=CARTESIAN_POINT('',(9.953483224361E-2,3.259667686684E-1, +-6.450977172864E-1)); +#11977=CARTESIAN_POINT('',(1.248829379005E-1,3.811117413683E-1, +-6.428330463932E-1)); +#11978=CARTESIAN_POINT('',(1.217877355549E-1,4.417242509703E-1, +-6.405683754999E-1)); +#11979=CARTESIAN_POINT('',(9.094897715619E-2,4.940003764268E-1, +-6.383037046067E-1)); +#11980=CARTESIAN_POINT('',(5.658986762897E-2,5.153513831266E-1, +-6.367939240112E-1)); +#11981=CARTESIAN_POINT('',(3.790465093367E-2,5.214401404755E-1, +-6.360390337135E-1)); +#11982=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#11842,#11843,#11844,#11845,#11846, +#11847,#11848,#11849,#11850,#11851,#11852,#11853,#11854,#11855,#11856,#11857, +#11858,#11859,#11860,#11861,#11862,#11863,#11864,#11865,#11866,#11867,#11868, +#11869,#11870,#11871,#11872,#11873,#11874,#11875,#11876),(#11877,#11878,#11879, +#11880,#11881,#11882,#11883,#11884,#11885,#11886,#11887,#11888,#11889,#11890, +#11891,#11892,#11893,#11894,#11895,#11896,#11897,#11898,#11899,#11900,#11901, +#11902,#11903,#11904,#11905,#11906,#11907,#11908,#11909,#11910,#11911),(#11912, +#11913,#11914,#11915,#11916,#11917,#11918,#11919,#11920,#11921,#11922,#11923, +#11924,#11925,#11926,#11927,#11928,#11929,#11930,#11931,#11932,#11933,#11934, +#11935,#11936,#11937,#11938,#11939,#11940,#11941,#11942,#11943,#11944,#11945, +#11946),(#11947,#11948,#11949,#11950,#11951,#11952,#11953,#11954,#11955,#11956, +#11957,#11958,#11959,#11960,#11961,#11962,#11963,#11964,#11965,#11966,#11967, +#11968,#11969,#11970,#11971,#11972,#11973,#11974,#11975,#11976,#11977,#11978, +#11979,#11980,#11981)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(-3.568022467212E-2, +1.035680224672E0),(2.925838738638E-1,3.151240935691E-1,3.376643132744E-1, +3.602045329798E-1,3.827447526851E-1,4.052849723904E-1,4.278251920957E-1, +4.503654118010E-1,4.729056315064E-1,4.954458512117E-1,5.179860709170E-1, +5.405262906223E-1,5.630665103276E-1,5.856067300330E-1,6.081469497383E-1, +6.306871694436E-1,6.532273891489E-1,6.757676088542E-1,6.983078285596E-1, +7.208480482649E-1,7.433882679702E-1,7.659284876755E-1,7.884687073808E-1, +8.110089270862E-1,8.335491467915E-1,8.560893664968E-1,8.786295862021E-1, +9.011698059074E-1,9.237100256128E-1,9.462502453181E-1,9.687904650234E-1, +9.913306847287E-1,1.013870904434E0),.UNSPECIFIED.); +#11984=ORIENTED_EDGE('',*,*,#11983,.F.); +#11986=ORIENTED_EDGE('',*,*,#11985,.F.); +#11987=ORIENTED_EDGE('',*,*,#11820,.F.); +#11988=ORIENTED_EDGE('',*,*,#11836,.F.); +#11989=ORIENTED_EDGE('',*,*,#11487,.F.); +#11991=ORIENTED_EDGE('',*,*,#11990,.F.); +#11993=ORIENTED_EDGE('',*,*,#11992,.T.); +#11995=ORIENTED_EDGE('',*,*,#11994,.F.); +#11996=EDGE_LOOP('',(#11984,#11986,#11987,#11988,#11989,#11991,#11993,#11995)); +#11997=FACE_OUTER_BOUND('',#11996,.F.); +#11998=ADVANCED_FACE('',(#11997),#11982,.F.); +#11999=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#12000=DIRECTION('',(1.E0,0.E0,0.E0)); +#12001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12002=AXIS2_PLACEMENT_3D('',#11999,#12000,#12001); +#12003=PLANE('',#12002); +#12005=ORIENTED_EDGE('',*,*,#12004,.T.); +#12006=ORIENTED_EDGE('',*,*,#11983,.T.); +#12008=ORIENTED_EDGE('',*,*,#12007,.F.); +#12010=ORIENTED_EDGE('',*,*,#12009,.T.); +#12011=EDGE_LOOP('',(#12005,#12006,#12008,#12010)); +#12012=FACE_OUTER_BOUND('',#12011,.F.); +#12013=ADVANCED_FACE('',(#12012),#12003,.F.); +#12014=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#12015=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12016=DIRECTION('',(0.E0,1.E0,0.E0)); +#12017=AXIS2_PLACEMENT_3D('',#12014,#12015,#12016); +#12018=CYLINDRICAL_SURFACE('',#12017,1.218503937008E-1); +#12019=ORIENTED_EDGE('',*,*,#11794,.T.); +#12020=ORIENTED_EDGE('',*,*,#11822,.T.); +#12021=ORIENTED_EDGE('',*,*,#11985,.T.); +#12022=ORIENTED_EDGE('',*,*,#12004,.F.); +#12024=ORIENTED_EDGE('',*,*,#12023,.T.); +#12025=EDGE_LOOP('',(#12019,#12020,#12021,#12022,#12024)); +#12026=FACE_OUTER_BOUND('',#12025,.F.); +#12027=ADVANCED_FACE('',(#12026),#12018,.T.); +#12028=CARTESIAN_POINT('',(1.219986244824E-1,4.142785090156E-1, +-7.248332299867E-1)); +#12029=CARTESIAN_POINT('',(1.206899855124E-1,4.317070247527E-1, +-7.241618735653E-1)); +#12030=CARTESIAN_POINT('',(1.104891354962E-1,4.659830044158E-1, +-7.228191607225E-1)); +#12031=CARTESIAN_POINT('',(7.520166832971E-2,5.063966164210E-1, +-7.208050914582E-1)); +#12032=CARTESIAN_POINT('',(2.631174127701E-2,5.284883359106E-1, +-7.187910221939E-1)); +#12033=CARTESIAN_POINT('',(-2.733755936471E-2,5.282652280872E-1, +-7.167769529297E-1)); +#12034=CARTESIAN_POINT('',(-7.604196979573E-2,5.057668283263E-1, +-7.147628836654E-1)); +#12035=CARTESIAN_POINT('',(-1.109917468603E-1,4.650629160482E-1, +-7.127488144012E-1)); +#12036=CARTESIAN_POINT('',(-1.258650944565E-1,4.135160444853E-1, +-7.107347451369E-1)); +#12037=CARTESIAN_POINT('',(-1.179716903135E-1,3.604501396506E-1, +-7.087206758727E-1)); +#12038=CARTESIAN_POINT('',(-8.873931021981E-2,3.154638735755E-1, +-7.067066066084E-1)); +#12039=CARTESIAN_POINT('',(-4.345557074028E-2,2.866944610281E-1, +-7.046925373442E-1)); +#12040=CARTESIAN_POINT('',(9.688506877464E-3,2.793457756077E-1, +-7.026784680799E-1)); +#12041=CARTESIAN_POINT('',(6.108010630143E-2,2.947470635949E-1, +-7.006643988157E-1)); +#12042=CARTESIAN_POINT('',(1.014234032740E-1,3.301125065054E-1, +-6.986503295514E-1)); +#12043=CARTESIAN_POINT('',(1.234210145083E-1,3.790451261260E-1, +-6.966362602871E-1)); +#12044=CARTESIAN_POINT('',(1.230939642487E-1,4.326938838102E-1, +-6.946221910229E-1)); +#12045=CARTESIAN_POINT('',(1.005014100574E-1,4.813546756044E-1, +-6.926081217586E-1)); +#12046=CARTESIAN_POINT('',(5.972994226589E-2,5.162256316719E-1, +-6.905940524944E-1)); +#12047=CARTESIAN_POINT('',(8.154392629489E-3,5.309992176492E-1, +-6.885799832301E-1)); +#12048=CARTESIAN_POINT('',(-4.489614127848E-2,5.230031551783E-1, +-6.865659139659E-1)); +#12049=CARTESIAN_POINT('',(-8.982576352030E-2,4.936837894268E-1, +-6.845518447016E-1)); +#12050=CARTESIAN_POINT('',(-1.185075063270E-1,4.483444710311E-1, +-6.825377754374E-1)); +#12051=CARTESIAN_POINT('',(-1.257533536683E-1,3.951862744895E-1, +-6.805237061731E-1)); +#12052=CARTESIAN_POINT('',(-1.102526609971E-1,3.438245700173E-1, +-6.785096369089E-1)); +#12053=CARTESIAN_POINT('',(-7.480922702486E-2,3.035497743061E-1, +-6.764955676446E-1)); +#12054=CARTESIAN_POINT('',(-2.583413823227E-2,2.816468803728E-1, +-6.744814983804E-1)); +#12055=CARTESIAN_POINT('',(2.781388880847E-2,2.820777298553E-1, +-6.724674291161E-1)); +#12056=CARTESIAN_POINT('',(7.643086741633E-2,3.047643951601E-1, +-6.704533598519E-1)); +#12057=CARTESIAN_POINT('',(1.112229083402E-1,3.456032427881E-1, +-6.684392905876E-1)); +#12058=CARTESIAN_POINT('',(1.258965442019E-1,3.972073283536E-1, +-6.664252213234E-1)); +#12059=CARTESIAN_POINT('',(1.177983497069E-1,4.502421120635E-1, +-6.644111520591E-1)); +#12060=CARTESIAN_POINT('',(8.839025576604E-2,4.951155940445E-1, +-6.623970827949E-1)); +#12061=CARTESIAN_POINT('',(5.813171256956E-2,5.141765669091E-1, +-6.610543699520E-1)); +#12062=CARTESIAN_POINT('',(4.170075754920E-2,5.201338661187E-1, +-6.603830135306E-1)); +#12063=CARTESIAN_POINT('',(1.170914911061E-1,4.139100515942E-1, +-7.219921199429E-1)); +#12064=CARTESIAN_POINT('',(1.158354893359E-1,4.306375426137E-1, +-7.213207635215E-1)); +#12065=CARTESIAN_POINT('',(1.060449466638E-1,4.635348444063E-1, +-7.199780506786E-1)); +#12066=CARTESIAN_POINT('',(7.217684228621E-2,5.023229054254E-1, +-7.179639814144E-1)); +#12067=CARTESIAN_POINT('',(2.525340783800E-2,5.235260328141E-1, +-7.159499121501E-1)); +#12068=CARTESIAN_POINT('',(-2.623796459012E-2,5.233118990250E-1, +-7.139358428859E-1)); +#12069=CARTESIAN_POINT('',(-7.298334442544E-2,5.017184492080E-1, +-7.119217736216E-1)); +#12070=CARTESIAN_POINT('',(-1.065273415622E-1,4.626517646227E-1, +-7.099077043574E-1)); +#12071=CARTESIAN_POINT('',(-1.208024406067E-1,4.131782555667E-1, +-7.078936350931E-1)); +#12072=CARTESIAN_POINT('',(-1.132265317394E-1,3.622468130987E-1, +-7.058795658288E-1)); +#12073=CARTESIAN_POINT('',(-8.516996152587E-2,3.190700232260E-1, +-7.038654965646E-1)); +#12074=CARTESIAN_POINT('',(-4.170766347934E-2,2.914577986807E-1, +-7.018514273003E-1)); +#12075=CARTESIAN_POINT('',(9.298807438872E-3,2.844046983921E-1, +-6.998373580361E-1)); +#12076=CARTESIAN_POINT('',(5.862328984524E-2,2.991865025561E-1, +-6.978232887718E-1)); +#12077=CARTESIAN_POINT('',(9.734386410333E-2,3.331294462703E-1, +-6.958092195076E-1)); +#12078=CARTESIAN_POINT('',(1.184566685397E-1,3.800938560694E-1, +-6.937951502433E-1)); +#12079=CARTESIAN_POINT('',(1.181427731764E-1,4.315847073792E-1, +-6.917810809791E-1)); +#12080=CARTESIAN_POINT('',(9.645895608926E-2,4.782882230440E-1, +-6.897670117148E-1)); +#12081=CARTESIAN_POINT('',(5.732743326637E-2,5.117565695894E-1, +-6.877529424506E-1)); +#12082=CARTESIAN_POINT('',(7.826399650887E-3,5.259359197125E-1, +-6.857388731863E-1)); +#12083=CARTESIAN_POINT('',(-4.309029015293E-2,5.182614817288E-1, +-6.837248039221E-1)); +#12084=CARTESIAN_POINT('',(-8.621271456922E-2,4.901214246691E-1, +-6.817107346578E-1)); +#12085=CARTESIAN_POINT('',(-1.137407957014E-1,4.466057832493E-1, +-6.796966653935E-1)); +#12086=CARTESIAN_POINT('',(-1.206951943524E-1,3.955857613106E-1, +-6.776825961293E-1)); +#12087=CARTESIAN_POINT('',(-1.058179838448E-1,3.462899713957E-1, +-6.756685268650E-1)); +#12088=CARTESIAN_POINT('',(-7.180018609233E-2,3.076351430824E-1, +-6.736544576008E-1)); +#12089=CARTESIAN_POINT('',(-2.479501535281E-2,2.866132461465E-1, +-6.716403883365E-1)); +#12090=CARTESIAN_POINT('',(2.669513470221E-2,2.870267656313E-1, +-6.696263190723E-1)); +#12091=CARTESIAN_POINT('',(7.335659947220E-2,3.088009084140E-1, +-6.676122498080E-1)); +#12092=CARTESIAN_POINT('',(1.067492050667E-1,3.479971008638E-1, +-6.655981805438E-1)); +#12093=CARTESIAN_POINT('',(1.208326253534E-1,3.975255226117E-1, +-6.635841112795E-1)); +#12094=CARTESIAN_POINT('',(1.130601633875E-1,4.484270957354E-1, +-6.615700420153E-1)); +#12095=CARTESIAN_POINT('',(8.483494703987E-2,4.914956380126E-1, +-6.595559727510E-1)); +#12096=CARTESIAN_POINT('',(5.579348893649E-2,5.097899240681E-1, +-6.582132599082E-1)); +#12097=CARTESIAN_POINT('',(4.002343389041E-2,5.155076036761E-1, +-6.575419034868E-1)); +#12098=CARTESIAN_POINT('',(1.121843577299E-1,4.135415941731E-1, +-7.191510098991E-1)); +#12099=CARTESIAN_POINT('',(1.109809931594E-1,4.295680604751E-1, +-7.184796534776E-1)); +#12100=CARTESIAN_POINT('',(1.016007578311E-1,4.610866843972E-1, +-7.171369406348E-1)); +#12101=CARTESIAN_POINT('',(6.915201624234E-2,4.982491944301E-1, +-7.151228713705E-1)); +#12102=CARTESIAN_POINT('',(2.419507439851E-2,5.185637297177E-1, +-7.131088021063E-1)); +#12103=CARTESIAN_POINT('',(-2.513836981604E-2,5.183585699628E-1, +-7.110947328420E-1)); +#12104=CARTESIAN_POINT('',(-6.992471905559E-2,4.976700700894E-1, +-7.090806635778E-1)); +#12105=CARTESIAN_POINT('',(-1.020629362643E-1,4.602406131967E-1, +-7.070665943135E-1)); +#12106=CARTESIAN_POINT('',(-1.157397867569E-1,4.128404666474E-1, +-7.050525250493E-1)); +#12107=CARTESIAN_POINT('',(-1.084813731652E-1,3.640434865462E-1, +-7.030384557850E-1)); +#12108=CARTESIAN_POINT('',(-8.160061283147E-2,3.226761728761E-1, +-7.010243865208E-1)); +#12109=CARTESIAN_POINT('',(-3.995975621779E-2,2.962211363331E-1, +-6.990103172565E-1)); +#12110=CARTESIAN_POINT('',(8.909108000943E-3,2.894636211766E-1, +-6.969962479923E-1)); +#12111=CARTESIAN_POINT('',(5.616647338965E-2,3.036259415177E-1, +-6.949821787280E-1)); +#12112=CARTESIAN_POINT('',(9.326432493311E-2,3.361463860358E-1, +-6.929681094637E-1)); +#12113=CARTESIAN_POINT('',(1.134923225713E-1,3.811425860134E-1, +-6.909540401995E-1)); +#12114=CARTESIAN_POINT('',(1.131915821039E-1,4.304755309489E-1, +-6.889399709352E-1)); +#12115=CARTESIAN_POINT('',(9.241650212070E-2,4.752217704842E-1, +-6.869259016710E-1)); +#12116=CARTESIAN_POINT('',(5.492492426625E-2,5.072875075073E-1, +-6.849118324067E-1)); +#12117=CARTESIAN_POINT('',(7.498406671616E-3,5.208726217758E-1, +-6.828977631425E-1)); +#12118=CARTESIAN_POINT('',(-4.128443902800E-2,5.135198082790E-1, +-6.808836938782E-1)); +#12119=CARTESIAN_POINT('',(-8.259966561858E-2,4.865590599110E-1, +-6.788696246140E-1)); +#12120=CARTESIAN_POINT('',(-1.089740850760E-1,4.448670954669E-1, +-6.768555553497E-1)); +#12121=CARTESIAN_POINT('',(-1.156370350364E-1,3.959852481313E-1, +-6.748414860855E-1)); +#12122=CARTESIAN_POINT('',(-1.013833066924E-1,3.487553727736E-1, +-6.728274168212E-1)); +#12123=CARTESIAN_POINT('',(-6.879114515939E-2,3.117205118585E-1, +-6.708133475570E-1)); +#12124=CARTESIAN_POINT('',(-2.375589247291E-2,2.915796119201E-1, +-6.687992782927E-1)); +#12125=CARTESIAN_POINT('',(2.557638059633E-2,2.919758014074E-1, +-6.667852090285E-1)); +#12126=CARTESIAN_POINT('',(7.028233152833E-2,3.128374216680E-1, +-6.647711397642E-1)); +#12127=CARTESIAN_POINT('',(1.022755017932E-1,3.503909589397E-1, +-6.627570705E-1)); +#12128=CARTESIAN_POINT('',(1.157687065050E-1,3.978437168700E-1, +-6.607430012357E-1)); +#12129=CARTESIAN_POINT('',(1.083219770680E-1,4.466120794075E-1, +-6.587289319715E-1)); +#12130=CARTESIAN_POINT('',(8.127963831367E-2,4.878756819808E-1, +-6.567148627072E-1)); +#12131=CARTESIAN_POINT('',(5.345526530343E-2,5.054032812271E-1, +-6.553721498644E-1)); +#12132=CARTESIAN_POINT('',(3.834611023167E-2,5.108813412336E-1, +-6.547007934429E-1)); +#12133=CARTESIAN_POINT('',(1.072772243537E-1,4.131731367517E-1, +-7.163098998552E-1)); +#12134=CARTESIAN_POINT('',(1.061264969829E-1,4.284985783361E-1, +-7.156385434338E-1)); +#12135=CARTESIAN_POINT('',(9.715656899860E-2,4.586385243877E-1, +-7.142958305910E-1)); +#12136=CARTESIAN_POINT('',(6.612719019885E-2,4.941754834346E-1, +-7.122817613267E-1)); +#12137=CARTESIAN_POINT('',(2.313674095951E-2,5.136014266211E-1, +-7.102676920625E-1)); +#12138=CARTESIAN_POINT('',(-2.403877504145E-2,5.134052409006E-1, +-7.082536227982E-1)); +#12139=CARTESIAN_POINT('',(-6.686609368529E-2,4.936216909712E-1, +-7.062395535339E-1)); +#12140=CARTESIAN_POINT('',(-9.759853096612E-2,4.578294617713E-1, +-7.042254842697E-1)); +#12141=CARTESIAN_POINT('',(-1.106771329071E-1,4.125026777288E-1, +-7.022114150054E-1)); +#12142=CARTESIAN_POINT('',(-1.037362145911E-1,3.658401599943E-1, +-7.001973457412E-1)); +#12143=CARTESIAN_POINT('',(-7.803126413754E-2,3.262823225266E-1, +-6.981832764769E-1)); +#12144=CARTESIAN_POINT('',(-3.821184895686E-2,3.009844739858E-1, +-6.961692072127E-1)); +#12145=CARTESIAN_POINT('',(8.519408562333E-3,2.945225439610E-1, +-6.941551379484E-1)); +#12146=CARTESIAN_POINT('',(5.370965693345E-2,3.080653804788E-1, +-6.921410686842E-1)); +#12147=CARTESIAN_POINT('',(8.918478576246E-2,3.391633258007E-1, +-6.901269994199E-1)); +#12148=CARTESIAN_POINT('',(1.085279766027E-1,3.821913159567E-1, +-6.881129301557E-1)); +#12149=CARTESIAN_POINT('',(1.082403910315E-1,4.293663545179E-1, +-6.860988608914E-1)); +#12150=CARTESIAN_POINT('',(8.837404815257E-2,4.721553179237E-1, +-6.840847916272E-1)); +#12151=CARTESIAN_POINT('',(5.252241526675E-2,5.028184454248E-1, +-6.820707223629E-1)); +#12152=CARTESIAN_POINT('',(7.170413693032E-3,5.158093238391E-1, +-6.800566530986E-1)); +#12153=CARTESIAN_POINT('',(-3.947858790243E-2,5.087781348294E-1, +-6.780425838344E-1)); +#12154=CARTESIAN_POINT('',(-7.898661666749E-2,4.829966951533E-1, +-6.760285145701E-1)); +#12155=CARTESIAN_POINT('',(-1.042073744503E-1,4.431284076851E-1, +-6.740144453059E-1)); +#12156=CARTESIAN_POINT('',(-1.105788757204E-1,3.963847349525E-1, +-6.720003760416E-1)); +#12157=CARTESIAN_POINT('',(-9.694862954015E-2,3.512207741520E-1, +-6.699863067774E-1)); +#12158=CARTESIAN_POINT('',(-6.578210422687E-2,3.158058806348E-1, +-6.679722375131E-1)); +#12159=CARTESIAN_POINT('',(-2.271676959346E-2,2.965459776938E-1, +-6.659581682489E-1)); +#12160=CARTESIAN_POINT('',(2.445762649006E-2,2.969248371835E-1, +-6.639440989846E-1)); +#12161=CARTESIAN_POINT('',(6.720806358419E-2,3.168739349219E-1, +-6.619300297204E-1)); +#12162=CARTESIAN_POINT('',(9.780179851964E-2,3.527848170153E-1, +-6.599159604561E-1)); +#12163=CARTESIAN_POINT('',(1.107047876565E-1,3.981619111281E-1, +-6.579018911919E-1)); +#12164=CARTESIAN_POINT('',(1.035837907486E-1,4.447970630794E-1, +-6.558878219276E-1)); +#12165=CARTESIAN_POINT('',(7.772432958750E-2,4.842557259489E-1, +-6.538737526634E-1)); +#12166=CARTESIAN_POINT('',(5.111704167036E-2,5.010166383860E-1, +-6.525310398205E-1)); +#12167=CARTESIAN_POINT('',(3.666878657288E-2,5.062550787911E-1, +-6.518596833991E-1)); +#12168=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#12028,#12029,#12030,#12031,#12032, +#12033,#12034,#12035,#12036,#12037,#12038,#12039,#12040,#12041,#12042,#12043, +#12044,#12045,#12046,#12047,#12048,#12049,#12050,#12051,#12052,#12053,#12054, +#12055,#12056,#12057,#12058,#12059,#12060,#12061,#12062),(#12063,#12064,#12065, +#12066,#12067,#12068,#12069,#12070,#12071,#12072,#12073,#12074,#12075,#12076, +#12077,#12078,#12079,#12080,#12081,#12082,#12083,#12084,#12085,#12086,#12087, +#12088,#12089,#12090,#12091,#12092,#12093,#12094,#12095,#12096,#12097),(#12098, +#12099,#12100,#12101,#12102,#12103,#12104,#12105,#12106,#12107,#12108,#12109, +#12110,#12111,#12112,#12113,#12114,#12115,#12116,#12117,#12118,#12119,#12120, +#12121,#12122,#12123,#12124,#12125,#12126,#12127,#12128,#12129,#12130,#12131, +#12132),(#12133,#12134,#12135,#12136,#12137,#12138,#12139,#12140,#12141,#12142, +#12143,#12144,#12145,#12146,#12147,#12148,#12149,#12150,#12151,#12152,#12153, +#12154,#12155,#12156,#12157,#12158,#12159,#12160,#12161,#12162,#12163,#12164, +#12165,#12166,#12167)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(-3.568022467212E-2, +1.035680224672E0),(3.708644019980E-1,3.909103891893E-1,4.109563763805E-1, +4.310023635717E-1,4.510483507630E-1,4.710943379542E-1,4.911403251454E-1, +5.111863123367E-1,5.312322995279E-1,5.512782867192E-1,5.713242739104E-1, +5.913702611016E-1,6.114162482929E-1,6.314622354841E-1,6.515082226754E-1, +6.715542098666E-1,6.916001970578E-1,7.116461842491E-1,7.316921714403E-1, +7.517381586316E-1,7.717841458228E-1,7.918301330140E-1,8.118761202053E-1, +8.319221073965E-1,8.519680945878E-1,8.720140817790E-1,8.920600689702E-1, +9.121060561615E-1,9.321520433527E-1,9.521980305440E-1,9.722440177352E-1, +9.922900049264E-1,1.012335992118E0),.UNSPECIFIED.); +#12170=ORIENTED_EDGE('',*,*,#12169,.F.); +#12172=ORIENTED_EDGE('',*,*,#12171,.T.); +#12174=ORIENTED_EDGE('',*,*,#12173,.T.); +#12176=ORIENTED_EDGE('',*,*,#12175,.T.); +#12177=ORIENTED_EDGE('',*,*,#11796,.F.); +#12178=ORIENTED_EDGE('',*,*,#12023,.F.); +#12179=ORIENTED_EDGE('',*,*,#12009,.F.); +#12181=ORIENTED_EDGE('',*,*,#12180,.F.); +#12183=ORIENTED_EDGE('',*,*,#12182,.T.); +#12185=ORIENTED_EDGE('',*,*,#12184,.T.); +#12186=EDGE_LOOP('',(#12170,#12172,#12174,#12176,#12177,#12178,#12179,#12181, +#12183,#12185)); +#12187=FACE_OUTER_BOUND('',#12186,.F.); +#12188=ADVANCED_FACE('',(#12187),#12168,.F.); +#12189=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#12190=DIRECTION('',(1.E0,0.E0,0.E0)); +#12191=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12192=AXIS2_PLACEMENT_3D('',#12189,#12190,#12191); +#12193=PLANE('',#12192); +#12195=ORIENTED_EDGE('',*,*,#12194,.T.); +#12196=ORIENTED_EDGE('',*,*,#12169,.T.); +#12197=EDGE_LOOP('',(#12195,#12196)); +#12198=FACE_OUTER_BOUND('',#12197,.F.); +#12199=ADVANCED_FACE('',(#12198),#12193,.F.); +#12200=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.104137645253E-1)); +#12201=DIRECTION('',(0.E0,0.E0,1.E0)); +#12202=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12203=AXIS2_PLACEMENT_3D('',#12200,#12201,#12202); +#12204=CONICAL_SURFACE('',#12203,1.130114323251E-1,4.5E1); +#12205=ORIENTED_EDGE('',*,*,#12194,.F.); +#12206=ORIENTED_EDGE('',*,*,#12184,.F.); +#12208=ORIENTED_EDGE('',*,*,#12207,.F.); +#12210=ORIENTED_EDGE('',*,*,#12209,.T.); +#12212=ORIENTED_EDGE('',*,*,#12211,.T.); +#12213=ORIENTED_EDGE('',*,*,#12171,.F.); +#12214=EDGE_LOOP('',(#12205,#12206,#12208,#12210,#12212,#12213)); +#12215=FACE_OUTER_BOUND('',#12214,.F.); +#12216=ADVANCED_FACE('',(#12215),#12204,.T.); +#12217=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.100393700787E-1)); +#12218=DIRECTION('',(0.E0,0.E0,1.E0)); +#12219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12220=AXIS2_PLACEMENT_3D('',#12217,#12218,#12219); +#12221=CONICAL_SURFACE('',#12220,1.133858267717E-1,4.5E1); +#12222=ORIENTED_EDGE('',*,*,#12173,.F.); +#12223=ORIENTED_EDGE('',*,*,#12211,.F.); +#12225=ORIENTED_EDGE('',*,*,#12224,.F.); +#12226=ORIENTED_EDGE('',*,*,#12207,.T.); +#12227=ORIENTED_EDGE('',*,*,#12182,.F.); +#12229=ORIENTED_EDGE('',*,*,#12228,.T.); +#12230=ORIENTED_EDGE('',*,*,#11992,.F.); +#12232=ORIENTED_EDGE('',*,*,#12231,.F.); +#12233=EDGE_LOOP('',(#12222,#12223,#12225,#12226,#12227,#12229,#12230,#12232)); +#12234=FACE_OUTER_BOUND('',#12233,.F.); +#12235=ADVANCED_FACE('',(#12234),#12221,.T.); +#12236=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#12237=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12238=DIRECTION('',(0.E0,1.E0,0.E0)); +#12239=AXIS2_PLACEMENT_3D('',#12236,#12237,#12238); +#12240=CYLINDRICAL_SURFACE('',#12239,1.049212598425E-1); +#12242=ORIENTED_EDGE('',*,*,#12241,.F.); +#12244=ORIENTED_EDGE('',*,*,#12243,.T.); +#12245=ORIENTED_EDGE('',*,*,#12224,.T.); +#12247=ORIENTED_EDGE('',*,*,#12246,.F.); +#12248=EDGE_LOOP('',(#12242,#12244,#12245,#12247)); +#12249=FACE_OUTER_BOUND('',#12248,.F.); +#12250=ADVANCED_FACE('',(#12249),#12240,.T.); +#12251=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.480314960662E-1)); +#12252=DIRECTION('',(0.E0,0.E0,1.E0)); +#12253=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12254=AXIS2_PLACEMENT_3D('',#12251,#12252,#12253); +#12255=CONICAL_SURFACE('',#12254,1.029527559023E-1,4.5E1); +#12256=ORIENTED_EDGE('',*,*,#12241,.T.); +#12258=ORIENTED_EDGE('',*,*,#12257,.T.); +#12260=ORIENTED_EDGE('',*,*,#12259,.F.); +#12262=ORIENTED_EDGE('',*,*,#12261,.F.); +#12263=EDGE_LOOP('',(#12256,#12258,#12260,#12262)); +#12264=FACE_OUTER_BOUND('',#12263,.F.); +#12265=ADVANCED_FACE('',(#12264),#12255,.T.); +#12266=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.480314960662E-1)); +#12267=DIRECTION('',(0.E0,0.E0,1.E0)); +#12268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12269=AXIS2_PLACEMENT_3D('',#12266,#12267,#12268); +#12270=CONICAL_SURFACE('',#12269,1.029527559023E-1,4.5E1); +#12272=ORIENTED_EDGE('',*,*,#12271,.T.); +#12273=ORIENTED_EDGE('',*,*,#12261,.T.); +#12275=ORIENTED_EDGE('',*,*,#12274,.F.); +#12276=ORIENTED_EDGE('',*,*,#12257,.F.); +#12277=EDGE_LOOP('',(#12272,#12273,#12275,#12276)); +#12278=FACE_OUTER_BOUND('',#12277,.F.); +#12279=ADVANCED_FACE('',(#12278),#12270,.T.); +#12280=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#12281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12282=DIRECTION('',(0.E0,1.E0,0.E0)); +#12283=AXIS2_PLACEMENT_3D('',#12280,#12281,#12282); +#12284=CYLINDRICAL_SURFACE('',#12283,1.049212598425E-1); +#12285=ORIENTED_EDGE('',*,*,#12271,.F.); +#12286=ORIENTED_EDGE('',*,*,#12246,.T.); +#12287=ORIENTED_EDGE('',*,*,#12209,.F.); +#12288=ORIENTED_EDGE('',*,*,#12243,.F.); +#12289=EDGE_LOOP('',(#12285,#12286,#12287,#12288)); +#12290=FACE_OUTER_BOUND('',#12289,.F.); +#12291=ADVANCED_FACE('',(#12290),#12284,.T.); +#12292=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.5E-1)); +#12293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12294=DIRECTION('',(0.E0,1.E0,0.E0)); +#12295=AXIS2_PLACEMENT_3D('',#12292,#12293,#12294); +#12296=PLANE('',#12295); +#12297=ORIENTED_EDGE('',*,*,#12259,.T.); +#12298=ORIENTED_EDGE('',*,*,#12274,.T.); +#12299=EDGE_LOOP('',(#12297,#12298)); +#12300=FACE_OUTER_BOUND('',#12299,.F.); +#12302=ORIENTED_EDGE('',*,*,#12301,.F.); +#12304=ORIENTED_EDGE('',*,*,#12303,.F.); +#12305=EDGE_LOOP('',(#12302,#12304)); +#12306=FACE_BOUND('',#12305,.F.); +#12307=ADVANCED_FACE('',(#12300,#12306),#12296,.T.); +#12308=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.480314960499E-1)); +#12309=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12310=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12311=AXIS2_PLACEMENT_3D('',#12308,#12309,#12310); +#12312=CONICAL_SURFACE('',#12311,9.350393699482E-2,4.5E1); +#12313=ORIENTED_EDGE('',*,*,#12301,.T.); +#12315=ORIENTED_EDGE('',*,*,#12314,.T.); +#12317=ORIENTED_EDGE('',*,*,#12316,.F.); +#12319=ORIENTED_EDGE('',*,*,#12318,.F.); +#12320=EDGE_LOOP('',(#12313,#12315,#12317,#12319)); +#12321=FACE_OUTER_BOUND('',#12320,.F.); +#12322=ADVANCED_FACE('',(#12321),#12312,.F.); +#12323=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.480314960499E-1)); +#12324=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12326=AXIS2_PLACEMENT_3D('',#12323,#12324,#12325); +#12327=CONICAL_SURFACE('',#12326,9.350393699482E-2,4.5E1); +#12328=ORIENTED_EDGE('',*,*,#12303,.T.); +#12329=ORIENTED_EDGE('',*,*,#12318,.T.); +#12331=ORIENTED_EDGE('',*,*,#12330,.F.); +#12332=ORIENTED_EDGE('',*,*,#12314,.F.); +#12333=EDGE_LOOP('',(#12328,#12329,#12331,#12332)); +#12334=FACE_OUTER_BOUND('',#12333,.F.); +#12335=ADVANCED_FACE('',(#12334),#12327,.F.); +#12336=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#12337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12338=DIRECTION('',(0.E0,1.E0,0.E0)); +#12339=AXIS2_PLACEMENT_3D('',#12336,#12337,#12338); +#12340=CYLINDRICAL_SURFACE('',#12339,9.153543307087E-2); +#12341=ORIENTED_EDGE('',*,*,#12330,.T.); +#12343=ORIENTED_EDGE('',*,*,#12342,.F.); +#12345=ORIENTED_EDGE('',*,*,#12344,.F.); +#12347=ORIENTED_EDGE('',*,*,#12346,.T.); +#12348=EDGE_LOOP('',(#12341,#12343,#12345,#12347)); +#12349=FACE_OUTER_BOUND('',#12348,.F.); +#12350=ADVANCED_FACE('',(#12349),#12340,.F.); +#12351=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#12352=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12353=DIRECTION('',(0.E0,1.E0,0.E0)); +#12354=AXIS2_PLACEMENT_3D('',#12351,#12352,#12353); +#12355=CYLINDRICAL_SURFACE('',#12354,9.153543307087E-2); +#12356=ORIENTED_EDGE('',*,*,#12316,.T.); +#12357=ORIENTED_EDGE('',*,*,#12346,.F.); +#12359=ORIENTED_EDGE('',*,*,#12358,.T.); +#12360=ORIENTED_EDGE('',*,*,#12342,.T.); +#12361=EDGE_LOOP('',(#12356,#12357,#12359,#12360)); +#12362=FACE_OUTER_BOUND('',#12361,.F.); +#12363=ADVANCED_FACE('',(#12362),#12355,.F.); +#12364=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.740157480315E-1)); +#12365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12366=DIRECTION('',(0.E0,1.E0,0.E0)); +#12367=AXIS2_PLACEMENT_3D('',#12364,#12365,#12366); +#12368=PLANE('',#12367); +#12369=ORIENTED_EDGE('',*,*,#12358,.F.); +#12370=ORIENTED_EDGE('',*,*,#12344,.T.); +#12371=EDGE_LOOP('',(#12369,#12370)); +#12372=FACE_OUTER_BOUND('',#12371,.F.); +#12374=ORIENTED_EDGE('',*,*,#12373,.F.); +#12376=ORIENTED_EDGE('',*,*,#12375,.F.); +#12377=EDGE_LOOP('',(#12374,#12376)); +#12378=FACE_BOUND('',#12377,.F.); +#12379=ADVANCED_FACE('',(#12372,#12378),#12368,.T.); +#12380=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.720472440945E-1)); +#12381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12382=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12383=AXIS2_PLACEMENT_3D('',#12380,#12381,#12382); +#12384=CONICAL_SURFACE('',#12383,8.267716535433E-2,4.5E1); +#12386=ORIENTED_EDGE('',*,*,#12385,.T.); +#12388=ORIENTED_EDGE('',*,*,#12387,.F.); +#12389=ORIENTED_EDGE('',*,*,#12373,.T.); +#12391=ORIENTED_EDGE('',*,*,#12390,.T.); +#12392=EDGE_LOOP('',(#12386,#12388,#12389,#12391)); +#12393=FACE_OUTER_BOUND('',#12392,.F.); +#12394=ADVANCED_FACE('',(#12393),#12384,.F.); +#12395=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.700787401575E-1)); +#12396=DIRECTION('',(0.E0,0.E0,1.E0)); +#12397=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12398=AXIS2_PLACEMENT_3D('',#12395,#12396,#12397); +#12399=PLANE('',#12398); +#12400=ORIENTED_EDGE('',*,*,#12385,.F.); +#12402=ORIENTED_EDGE('',*,*,#12401,.F.); +#12403=EDGE_LOOP('',(#12400,#12402)); +#12404=FACE_OUTER_BOUND('',#12403,.F.); +#12406=ORIENTED_EDGE('',*,*,#12405,.F.); +#12408=ORIENTED_EDGE('',*,*,#12407,.F.); +#12410=ORIENTED_EDGE('',*,*,#12409,.F.); +#12412=ORIENTED_EDGE('',*,*,#12411,.F.); +#12414=ORIENTED_EDGE('',*,*,#12413,.F.); +#12416=ORIENTED_EDGE('',*,*,#12415,.T.); +#12418=ORIENTED_EDGE('',*,*,#12417,.F.); +#12420=ORIENTED_EDGE('',*,*,#12419,.T.); +#12421=EDGE_LOOP('',(#12406,#12408,#12410,#12412,#12414,#12416,#12418,#12420)); +#12422=FACE_BOUND('',#12421,.F.); +#12423=ADVANCED_FACE('',(#12404,#12422),#12399,.F.); +#12424=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.720472440945E-1)); +#12425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12426=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12427=AXIS2_PLACEMENT_3D('',#12424,#12425,#12426); +#12428=CONICAL_SURFACE('',#12427,8.267716535433E-2,4.5E1); +#12429=ORIENTED_EDGE('',*,*,#12401,.T.); +#12430=ORIENTED_EDGE('',*,*,#12390,.F.); +#12431=ORIENTED_EDGE('',*,*,#12375,.T.); +#12432=ORIENTED_EDGE('',*,*,#12387,.T.); +#12433=EDGE_LOOP('',(#12429,#12430,#12431,#12432)); +#12434=FACE_OUTER_BOUND('',#12433,.F.); +#12435=ADVANCED_FACE('',(#12434),#12428,.F.); +#12436=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.883858267717E-1)); +#12437=DIRECTION('',(0.E0,0.E0,1.E0)); +#12438=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12439=AXIS2_PLACEMENT_3D('',#12436,#12437,#12438); +#12440=CYLINDRICAL_SURFACE('',#12439,2.5E-2); +#12442=ORIENTED_EDGE('',*,*,#12441,.T.); +#12444=ORIENTED_EDGE('',*,*,#12443,.F.); +#12445=ORIENTED_EDGE('',*,*,#12405,.T.); +#12447=ORIENTED_EDGE('',*,*,#12446,.T.); +#12448=EDGE_LOOP('',(#12442,#12444,#12445,#12447)); +#12449=FACE_OUTER_BOUND('',#12448,.F.); +#12450=ADVANCED_FACE('',(#12449),#12440,.F.); +#12451=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.834645669291E-1)); +#12452=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12453=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12454=AXIS2_PLACEMENT_3D('',#12451,#12452,#12453); +#12455=PLANE('',#12454); +#12456=ORIENTED_EDGE('',*,*,#12441,.F.); +#12458=ORIENTED_EDGE('',*,*,#12457,.F.); +#12460=ORIENTED_EDGE('',*,*,#12459,.T.); +#12462=ORIENTED_EDGE('',*,*,#12461,.T.); +#12464=ORIENTED_EDGE('',*,*,#12463,.F.); +#12465=EDGE_LOOP('',(#12456,#12458,#12460,#12462,#12464)); +#12466=FACE_OUTER_BOUND('',#12465,.F.); +#12467=ADVANCED_FACE('',(#12466),#12455,.T.); +#12468=CARTESIAN_POINT('',(-2.733298244439E-2,4.100393700787E-1, +-6.700787401575E-1)); +#12469=DIRECTION('',(0.E0,1.E0,0.E0)); +#12470=DIRECTION('',(1.E0,0.E0,0.E0)); +#12471=AXIS2_PLACEMENT_3D('',#12468,#12469,#12470); +#12472=PLANE('',#12471); +#12473=ORIENTED_EDGE('',*,*,#12446,.F.); +#12474=ORIENTED_EDGE('',*,*,#12419,.F.); +#12476=ORIENTED_EDGE('',*,*,#12475,.F.); +#12478=ORIENTED_EDGE('',*,*,#12477,.F.); +#12479=ORIENTED_EDGE('',*,*,#12457,.T.); +#12480=EDGE_LOOP('',(#12473,#12474,#12476,#12478,#12479)); +#12481=FACE_OUTER_BOUND('',#12480,.F.); +#12482=ADVANCED_FACE('',(#12481),#12472,.F.); +#12483=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.661417322835E-1)); +#12484=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12485=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12486=AXIS2_PLACEMENT_3D('',#12483,#12484,#12485); +#12487=CONICAL_SURFACE('',#12486,2.097381995744E-2,3.E1); +#12489=ORIENTED_EDGE('',*,*,#12488,.T.); +#12491=ORIENTED_EDGE('',*,*,#12490,.F.); +#12492=ORIENTED_EDGE('',*,*,#12475,.T.); +#12493=ORIENTED_EDGE('',*,*,#12417,.T.); +#12494=EDGE_LOOP('',(#12489,#12491,#12492,#12493)); +#12495=FACE_OUTER_BOUND('',#12494,.F.); +#12496=ADVANCED_FACE('',(#12495),#12487,.F.); +#12497=CARTESIAN_POINT('',(-2.733298244439E-2,4.100393700787E-1, +-6.700787401575E-1)); +#12498=DIRECTION('',(0.E0,1.E0,0.E0)); +#12499=DIRECTION('',(1.E0,0.E0,0.E0)); +#12500=AXIS2_PLACEMENT_3D('',#12497,#12498,#12499); +#12501=PLANE('',#12500); +#12503=ORIENTED_EDGE('',*,*,#12502,.T.); +#12505=ORIENTED_EDGE('',*,*,#12504,.T.); +#12507=ORIENTED_EDGE('',*,*,#12506,.T.); +#12508=ORIENTED_EDGE('',*,*,#12488,.F.); +#12509=ORIENTED_EDGE('',*,*,#12415,.F.); +#12510=EDGE_LOOP('',(#12503,#12505,#12507,#12508,#12509)); +#12511=FACE_OUTER_BOUND('',#12510,.F.); +#12512=ADVANCED_FACE('',(#12511),#12501,.F.); +#12513=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.883858267717E-1)); +#12514=DIRECTION('',(0.E0,0.E0,1.E0)); +#12515=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12516=AXIS2_PLACEMENT_3D('',#12513,#12514,#12515); +#12517=CYLINDRICAL_SURFACE('',#12516,2.5E-2); +#12518=ORIENTED_EDGE('',*,*,#12413,.T.); +#12520=ORIENTED_EDGE('',*,*,#12519,.T.); +#12522=ORIENTED_EDGE('',*,*,#12521,.T.); +#12523=ORIENTED_EDGE('',*,*,#12502,.F.); +#12524=EDGE_LOOP('',(#12518,#12520,#12522,#12523)); +#12525=FACE_OUTER_BOUND('',#12524,.F.); +#12526=ADVANCED_FACE('',(#12525),#12517,.F.); +#12527=CARTESIAN_POINT('',(2.733298244439E-2,4.001968503937E-1, +-6.700787401575E-1)); +#12528=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12529=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12530=AXIS2_PLACEMENT_3D('',#12527,#12528,#12529); +#12531=PLANE('',#12530); +#12532=ORIENTED_EDGE('',*,*,#12519,.F.); +#12533=ORIENTED_EDGE('',*,*,#12411,.T.); +#12535=ORIENTED_EDGE('',*,*,#12534,.F.); +#12537=ORIENTED_EDGE('',*,*,#12536,.F.); +#12539=ORIENTED_EDGE('',*,*,#12538,.T.); +#12540=EDGE_LOOP('',(#12532,#12533,#12535,#12537,#12539)); +#12541=FACE_OUTER_BOUND('',#12540,.F.); +#12542=ADVANCED_FACE('',(#12541),#12531,.F.); +#12543=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-6.661417322835E-1)); +#12544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12546=AXIS2_PLACEMENT_3D('',#12543,#12544,#12545); +#12547=CONICAL_SURFACE('',#12546,2.097381995744E-2,3.E1); +#12549=ORIENTED_EDGE('',*,*,#12548,.T.); +#12551=ORIENTED_EDGE('',*,*,#12550,.F.); +#12552=ORIENTED_EDGE('',*,*,#12534,.T.); +#12553=ORIENTED_EDGE('',*,*,#12409,.T.); +#12554=EDGE_LOOP('',(#12549,#12551,#12552,#12553)); +#12555=FACE_OUTER_BOUND('',#12554,.F.); +#12556=ADVANCED_FACE('',(#12555),#12547,.F.); +#12557=CARTESIAN_POINT('',(2.733298244439E-2,4.001968503937E-1, +-6.700787401575E-1)); +#12558=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12559=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12560=AXIS2_PLACEMENT_3D('',#12557,#12558,#12559); +#12561=PLANE('',#12560); +#12562=ORIENTED_EDGE('',*,*,#12443,.T.); +#12563=ORIENTED_EDGE('',*,*,#12463,.T.); +#12565=ORIENTED_EDGE('',*,*,#12564,.T.); +#12566=ORIENTED_EDGE('',*,*,#12548,.F.); +#12567=ORIENTED_EDGE('',*,*,#12407,.T.); +#12568=EDGE_LOOP('',(#12562,#12563,#12565,#12566,#12567)); +#12569=FACE_OUTER_BOUND('',#12568,.F.); +#12570=ADVANCED_FACE('',(#12569),#12561,.F.); +#12571=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,6.368110236220E-2)); +#12572=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12573=DIRECTION('',(1.E0,0.E0,0.E0)); +#12574=AXIS2_PLACEMENT_3D('',#12571,#12572,#12573); +#12575=CYLINDRICAL_SURFACE('',#12574,1.870078740157E-2); +#12576=ORIENTED_EDGE('',*,*,#12461,.F.); +#12578=ORIENTED_EDGE('',*,*,#12577,.F.); +#12580=ORIENTED_EDGE('',*,*,#12579,.F.); +#12582=ORIENTED_EDGE('',*,*,#12581,.T.); +#12584=ORIENTED_EDGE('',*,*,#12583,.F.); +#12585=ORIENTED_EDGE('',*,*,#12536,.T.); +#12586=ORIENTED_EDGE('',*,*,#12550,.T.); +#12587=ORIENTED_EDGE('',*,*,#12564,.F.); +#12588=EDGE_LOOP('',(#12576,#12578,#12580,#12582,#12584,#12585,#12586,#12587)); +#12589=FACE_OUTER_BOUND('',#12588,.F.); +#12590=ADVANCED_FACE('',(#12589),#12575,.F.); +#12591=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,6.368110236220E-2)); +#12592=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12593=DIRECTION('',(1.E0,0.E0,0.E0)); +#12594=AXIS2_PLACEMENT_3D('',#12591,#12592,#12593); +#12595=CYLINDRICAL_SURFACE('',#12594,1.870078740157E-2); +#12597=ORIENTED_EDGE('',*,*,#12596,.F.); +#12598=ORIENTED_EDGE('',*,*,#12581,.F.); +#12600=ORIENTED_EDGE('',*,*,#12599,.T.); +#12601=ORIENTED_EDGE('',*,*,#12577,.T.); +#12602=ORIENTED_EDGE('',*,*,#12459,.F.); +#12603=ORIENTED_EDGE('',*,*,#12477,.T.); +#12604=ORIENTED_EDGE('',*,*,#12490,.T.); +#12605=ORIENTED_EDGE('',*,*,#12506,.F.); +#12606=EDGE_LOOP('',(#12597,#12598,#12600,#12601,#12602,#12603,#12604,#12605)); +#12607=FACE_OUTER_BOUND('',#12606,.F.); +#12608=ADVANCED_FACE('',(#12607),#12595,.F.); +#12609=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.834645669291E-1)); +#12610=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12611=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12612=AXIS2_PLACEMENT_3D('',#12609,#12610,#12611); +#12613=PLANE('',#12612); +#12614=ORIENTED_EDGE('',*,*,#12521,.F.); +#12615=ORIENTED_EDGE('',*,*,#12538,.F.); +#12616=ORIENTED_EDGE('',*,*,#12583,.T.); +#12617=ORIENTED_EDGE('',*,*,#12596,.T.); +#12618=ORIENTED_EDGE('',*,*,#12504,.F.); +#12619=EDGE_LOOP('',(#12614,#12615,#12616,#12617,#12618)); +#12620=FACE_OUTER_BOUND('',#12619,.F.); +#12621=ADVANCED_FACE('',(#12620),#12613,.T.); +#12622=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.388379649153E-1)); +#12623=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12624=DIRECTION('',(1.E0,0.E0,0.E0)); +#12625=AXIS2_PLACEMENT_3D('',#12622,#12623,#12624); +#12626=CONICAL_SURFACE('',#12625,9.596222020379E-3,6.E1); +#12628=ORIENTED_EDGE('',*,*,#12627,.F.); +#12630=ORIENTED_EDGE('',*,*,#12629,.T.); +#12631=ORIENTED_EDGE('',*,*,#12599,.F.); +#12632=EDGE_LOOP('',(#12628,#12630,#12631)); +#12633=FACE_OUTER_BOUND('',#12632,.F.); +#12634=ADVANCED_FACE('',(#12633),#12626,.F.); +#12635=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.388379649153E-1)); +#12636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12637=DIRECTION('',(1.E0,0.E0,0.E0)); +#12638=AXIS2_PLACEMENT_3D('',#12635,#12636,#12637); +#12639=CONICAL_SURFACE('',#12638,9.596222020379E-3,6.E1); +#12640=ORIENTED_EDGE('',*,*,#12627,.T.); +#12641=ORIENTED_EDGE('',*,*,#12579,.T.); +#12642=ORIENTED_EDGE('',*,*,#12629,.F.); +#12643=EDGE_LOOP('',(#12640,#12641,#12642)); +#12644=FACE_OUTER_BOUND('',#12643,.F.); +#12645=ADVANCED_FACE('',(#12644),#12639,.F.); +#12646=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#12647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12648=DIRECTION('',(0.E0,1.E0,0.E0)); +#12649=AXIS2_PLACEMENT_3D('',#12646,#12647,#12648); +#12650=CYLINDRICAL_SURFACE('',#12649,1.218503937008E-1); +#12651=ORIENTED_EDGE('',*,*,#12007,.T.); +#12652=ORIENTED_EDGE('',*,*,#11994,.T.); +#12653=ORIENTED_EDGE('',*,*,#12228,.F.); +#12654=ORIENTED_EDGE('',*,*,#12180,.T.); +#12655=EDGE_LOOP('',(#12651,#12652,#12653,#12654)); +#12656=FACE_OUTER_BOUND('',#12655,.F.); +#12657=ADVANCED_FACE('',(#12656),#12650,.T.); +#12658=CARTESIAN_POINT('',(-3.010837144496E-3,2.970891929580E-1, +-7.250265098743E-1)); +#12659=CARTESIAN_POINT('',(1.434222765803E-2,2.966055516016E-1, +-7.242716195765E-1)); +#12660=CARTESIAN_POINT('',(4.926745366984E-2,3.041642913416E-1, +-7.227618389810E-1)); +#12661=CARTESIAN_POINT('',(9.044803086554E-2,3.384961143095E-1, +-7.204971680878E-1)); +#12662=CARTESIAN_POINT('',(1.110235479469E-1,3.880024445467E-1, +-7.182324971946E-1)); +#12663=CARTESIAN_POINT('',(1.063124122876E-1,4.414071577558E-1, +-7.159678263014E-1)); +#12664=CARTESIAN_POINT('',(7.738636931193E-2,4.865463722216E-1, +-7.137031554081E-1)); +#12665=CARTESIAN_POINT('',(3.083421328835E-2,5.131387814422E-1, +-7.114384845149E-1)); +#12666=CARTESIAN_POINT('',(-2.274102884517E-2,5.151274715683E-1, +-7.091738136217E-1)); +#12667=CARTESIAN_POINT('',(-7.113657283082E-2,4.920594806874E-1, +-7.069091427284E-1)); +#12668=CARTESIAN_POINT('',(-1.033294389256E-1,4.491889735286E-1, +-7.046444718352E-1)); +#12669=CARTESIAN_POINT('',(-1.119871005158E-1,3.962805064680E-1, +-7.023798009420E-1)); +#12670=CARTESIAN_POINT('',(-9.513761441608E-2,3.453849682601E-1, +-7.001151300488E-1)); +#12671=CARTESIAN_POINT('',(-5.661876476156E-2,3.080947656073E-1, +-6.978504591555E-1)); +#12672=CARTESIAN_POINT('',(-5.203936971269E-3,2.929034366581E-1, +-6.955857882623E-1)); +#12673=CARTESIAN_POINT('',(4.739618438438E-2,3.032710895039E-1, +-6.933211173691E-1)); +#12674=CARTESIAN_POINT('',(8.920094203878E-2,3.368362981218E-1, +-6.910564464758E-1)); +#12675=CARTESIAN_POINT('',(1.106885238644E-1,3.859539611302E-1, +-6.887917755826E-1)); +#12676=CARTESIAN_POINT('',(1.069647329153E-1,4.394366160108E-1, +-6.865271046894E-1)); +#12677=CARTESIAN_POINT('',(7.887773193216E-2,4.851025920529E-1, +-6.842624337962E-1)); +#12678=CARTESIAN_POINT('',(3.282485848105E-2,5.125506127294E-1, +-6.819977629029E-1)); +#12679=CARTESIAN_POINT('',(-2.070448781787E-2,5.155288801380E-1, +-6.797330920097E-1)); +#12680=CARTESIAN_POINT('',(-6.951800142256E-2,4.933590384121E-1, +-6.774684211165E-1)); +#12681=CARTESIAN_POINT('',(-1.024974954731E-1,4.510906818854E-1, +-6.752037502233E-1)); +#12682=CARTESIAN_POINT('',(-1.121312761118E-1,3.983512160034E-1, +-6.729390793300E-1)); +#12683=CARTESIAN_POINT('',(-9.622506991980E-2,3.471530361918E-1, +-6.706744084368E-1)); +#12684=CARTESIAN_POINT('',(-5.840181330711E-2,3.091574819762E-1, +-6.684097375436E-1)); +#12685=CARTESIAN_POINT('',(-7.276450997212E-3,2.930187463764E-1, +-6.661450666503E-1)); +#12686=CARTESIAN_POINT('',(4.550623973315E-2,3.024127347654E-1, +-6.638803957571E-1)); +#12687=CARTESIAN_POINT('',(8.792410705858E-2,3.351997623279E-1, +-6.616157248639E-1)); +#12688=CARTESIAN_POINT('',(1.103153595001E-1,3.839120811624E-1, +-6.593510539707E-1)); +#12689=CARTESIAN_POINT('',(1.075812121039E-1,4.374541494333E-1, +-6.570863830774E-1)); +#12690=CARTESIAN_POINT('',(8.033979084588E-2,4.836322716808E-1, +-6.548217121842E-1)); +#12691=CARTESIAN_POINT('',(4.998866695887E-2,5.024926862368E-1, +-6.533119315887E-1)); +#12692=CARTESIAN_POINT('',(3.348307835141E-2,5.078711908360E-1, +-6.525570412910E-1)); +#12693=CARTESIAN_POINT('',(-3.010837144496E-3,2.970891929580E-1, +-7.220777592993E-1)); +#12694=CARTESIAN_POINT('',(1.434222765803E-2,2.966055516016E-1, +-7.213228690015E-1)); +#12695=CARTESIAN_POINT('',(4.926745366984E-2,3.041642913416E-1, +-7.198130884060E-1)); +#12696=CARTESIAN_POINT('',(9.044803086554E-2,3.384961143095E-1, +-7.175484175128E-1)); +#12697=CARTESIAN_POINT('',(1.110235479469E-1,3.880024445467E-1, +-7.152837466196E-1)); +#12698=CARTESIAN_POINT('',(1.063124122876E-1,4.414071577558E-1, +-7.130190757264E-1)); +#12699=CARTESIAN_POINT('',(7.738636931193E-2,4.865463722216E-1, +-7.107544048331E-1)); +#12700=CARTESIAN_POINT('',(3.083421328835E-2,5.131387814422E-1, +-7.084897339399E-1)); +#12701=CARTESIAN_POINT('',(-2.274102884517E-2,5.151274715683E-1, +-7.062250630467E-1)); +#12702=CARTESIAN_POINT('',(-7.113657283082E-2,4.920594806874E-1, +-7.039603921534E-1)); +#12703=CARTESIAN_POINT('',(-1.033294389256E-1,4.491889735286E-1, +-7.016957212602E-1)); +#12704=CARTESIAN_POINT('',(-1.119871005158E-1,3.962805064680E-1, +-6.994310503670E-1)); +#12705=CARTESIAN_POINT('',(-9.513761441608E-2,3.453849682601E-1, +-6.971663794738E-1)); +#12706=CARTESIAN_POINT('',(-5.661876476156E-2,3.080947656073E-1, +-6.949017085805E-1)); +#12707=CARTESIAN_POINT('',(-5.203936971269E-3,2.929034366581E-1, +-6.926370376873E-1)); +#12708=CARTESIAN_POINT('',(4.739618438438E-2,3.032710895039E-1, +-6.903723667941E-1)); +#12709=CARTESIAN_POINT('',(8.920094203878E-2,3.368362981218E-1, +-6.881076959009E-1)); +#12710=CARTESIAN_POINT('',(1.106885238644E-1,3.859539611302E-1, +-6.858430250076E-1)); +#12711=CARTESIAN_POINT('',(1.069647329153E-1,4.394366160108E-1, +-6.835783541144E-1)); +#12712=CARTESIAN_POINT('',(7.887773193216E-2,4.851025920529E-1, +-6.813136832212E-1)); +#12713=CARTESIAN_POINT('',(3.282485848105E-2,5.125506127294E-1, +-6.790490123279E-1)); +#12714=CARTESIAN_POINT('',(-2.070448781787E-2,5.155288801380E-1, +-6.767843414347E-1)); +#12715=CARTESIAN_POINT('',(-6.951800142256E-2,4.933590384121E-1, +-6.745196705415E-1)); +#12716=CARTESIAN_POINT('',(-1.024974954731E-1,4.510906818854E-1, +-6.722549996483E-1)); +#12717=CARTESIAN_POINT('',(-1.121312761118E-1,3.983512160034E-1, +-6.699903287550E-1)); +#12718=CARTESIAN_POINT('',(-9.622506991980E-2,3.471530361918E-1, +-6.677256578618E-1)); +#12719=CARTESIAN_POINT('',(-5.840181330711E-2,3.091574819762E-1, +-6.654609869686E-1)); +#12720=CARTESIAN_POINT('',(-7.276450997212E-3,2.930187463764E-1, +-6.631963160754E-1)); +#12721=CARTESIAN_POINT('',(4.550623973315E-2,3.024127347654E-1, +-6.609316451821E-1)); +#12722=CARTESIAN_POINT('',(8.792410705858E-2,3.351997623279E-1, +-6.586669742889E-1)); +#12723=CARTESIAN_POINT('',(1.103153595001E-1,3.839120811624E-1, +-6.564023033957E-1)); +#12724=CARTESIAN_POINT('',(1.075812121039E-1,4.374541494333E-1, +-6.541376325025E-1)); +#12725=CARTESIAN_POINT('',(8.033979084588E-2,4.836322716808E-1, +-6.518729616092E-1)); +#12726=CARTESIAN_POINT('',(4.998866695887E-2,5.024926862368E-1, +-6.503631810138E-1)); +#12727=CARTESIAN_POINT('',(3.348307835141E-2,5.078711908360E-1, +-6.496082907160E-1)); +#12728=CARTESIAN_POINT('',(-3.010837144496E-3,2.970891929580E-1, +-7.191290087243E-1)); +#12729=CARTESIAN_POINT('',(1.434222765803E-2,2.966055516016E-1, +-7.183741184265E-1)); +#12730=CARTESIAN_POINT('',(4.926745366984E-2,3.041642913416E-1, +-7.168643378311E-1)); +#12731=CARTESIAN_POINT('',(9.044803086554E-2,3.384961143095E-1, +-7.145996669378E-1)); +#12732=CARTESIAN_POINT('',(1.110235479469E-1,3.880024445467E-1, +-7.123349960446E-1)); +#12733=CARTESIAN_POINT('',(1.063124122876E-1,4.414071577558E-1, +-7.100703251514E-1)); +#12734=CARTESIAN_POINT('',(7.738636931193E-2,4.865463722216E-1, +-7.078056542581E-1)); +#12735=CARTESIAN_POINT('',(3.083421328835E-2,5.131387814422E-1, +-7.055409833649E-1)); +#12736=CARTESIAN_POINT('',(-2.274102884517E-2,5.151274715683E-1, +-7.032763124717E-1)); +#12737=CARTESIAN_POINT('',(-7.113657283082E-2,4.920594806874E-1, +-7.010116415785E-1)); +#12738=CARTESIAN_POINT('',(-1.033294389256E-1,4.491889735286E-1, +-6.987469706852E-1)); +#12739=CARTESIAN_POINT('',(-1.119871005158E-1,3.962805064680E-1, +-6.964822997920E-1)); +#12740=CARTESIAN_POINT('',(-9.513761441608E-2,3.453849682601E-1, +-6.942176288988E-1)); +#12741=CARTESIAN_POINT('',(-5.661876476156E-2,3.080947656073E-1, +-6.919529580055E-1)); +#12742=CARTESIAN_POINT('',(-5.203936971270E-3,2.929034366581E-1, +-6.896882871123E-1)); +#12743=CARTESIAN_POINT('',(4.739618438438E-2,3.032710895039E-1, +-6.874236162191E-1)); +#12744=CARTESIAN_POINT('',(8.920094203878E-2,3.368362981218E-1, +-6.851589453259E-1)); +#12745=CARTESIAN_POINT('',(1.106885238644E-1,3.859539611302E-1, +-6.828942744326E-1)); +#12746=CARTESIAN_POINT('',(1.069647329153E-1,4.394366160108E-1, +-6.806296035394E-1)); +#12747=CARTESIAN_POINT('',(7.887773193216E-2,4.851025920529E-1, +-6.783649326462E-1)); +#12748=CARTESIAN_POINT('',(3.282485848105E-2,5.125506127294E-1, +-6.761002617530E-1)); +#12749=CARTESIAN_POINT('',(-2.070448781787E-2,5.155288801380E-1, +-6.738355908597E-1)); +#12750=CARTESIAN_POINT('',(-6.951800142256E-2,4.933590384121E-1, +-6.715709199665E-1)); +#12751=CARTESIAN_POINT('',(-1.024974954731E-1,4.510906818854E-1, +-6.693062490733E-1)); +#12752=CARTESIAN_POINT('',(-1.121312761118E-1,3.983512160034E-1, +-6.670415781800E-1)); +#12753=CARTESIAN_POINT('',(-9.622506991980E-2,3.471530361918E-1, +-6.647769072868E-1)); +#12754=CARTESIAN_POINT('',(-5.840181330711E-2,3.091574819762E-1, +-6.625122363936E-1)); +#12755=CARTESIAN_POINT('',(-7.276450997213E-3,2.930187463764E-1, +-6.602475655004E-1)); +#12756=CARTESIAN_POINT('',(4.550623973315E-2,3.024127347654E-1, +-6.579828946071E-1)); +#12757=CARTESIAN_POINT('',(8.792410705858E-2,3.351997623279E-1, +-6.557182237139E-1)); +#12758=CARTESIAN_POINT('',(1.103153595001E-1,3.839120811624E-1, +-6.534535528207E-1)); +#12759=CARTESIAN_POINT('',(1.075812121039E-1,4.374541494333E-1, +-6.511888819275E-1)); +#12760=CARTESIAN_POINT('',(8.033979084588E-2,4.836322716808E-1, +-6.489242110342E-1)); +#12761=CARTESIAN_POINT('',(4.998866695887E-2,5.024926862368E-1, +-6.474144304388E-1)); +#12762=CARTESIAN_POINT('',(3.348307835141E-2,5.078711908360E-1, +-6.466595401410E-1)); +#12763=CARTESIAN_POINT('',(-3.010837144496E-3,2.970891929580E-1, +-7.161802581493E-1)); +#12764=CARTESIAN_POINT('',(1.434222765803E-2,2.966055516016E-1, +-7.154253678516E-1)); +#12765=CARTESIAN_POINT('',(4.926745366984E-2,3.041642913416E-1, +-7.139155872561E-1)); +#12766=CARTESIAN_POINT('',(9.044803086554E-2,3.384961143095E-1, +-7.116509163628E-1)); +#12767=CARTESIAN_POINT('',(1.110235479469E-1,3.880024445467E-1, +-7.093862454696E-1)); +#12768=CARTESIAN_POINT('',(1.063124122876E-1,4.414071577558E-1, +-7.071215745764E-1)); +#12769=CARTESIAN_POINT('',(7.738636931193E-2,4.865463722216E-1, +-7.048569036832E-1)); +#12770=CARTESIAN_POINT('',(3.083421328835E-2,5.131387814422E-1, +-7.025922327899E-1)); +#12771=CARTESIAN_POINT('',(-2.274102884517E-2,5.151274715683E-1, +-7.003275618967E-1)); +#12772=CARTESIAN_POINT('',(-7.113657283082E-2,4.920594806874E-1, +-6.980628910035E-1)); +#12773=CARTESIAN_POINT('',(-1.033294389256E-1,4.491889735286E-1, +-6.957982201102E-1)); +#12774=CARTESIAN_POINT('',(-1.119871005158E-1,3.962805064680E-1, +-6.935335492170E-1)); +#12775=CARTESIAN_POINT('',(-9.513761441608E-2,3.453849682601E-1, +-6.912688783238E-1)); +#12776=CARTESIAN_POINT('',(-5.661876476156E-2,3.080947656073E-1, +-6.890042074306E-1)); +#12777=CARTESIAN_POINT('',(-5.203936971270E-3,2.929034366581E-1, +-6.867395365373E-1)); +#12778=CARTESIAN_POINT('',(4.739618438438E-2,3.032710895039E-1, +-6.844748656441E-1)); +#12779=CARTESIAN_POINT('',(8.920094203878E-2,3.368362981218E-1, +-6.822101947509E-1)); +#12780=CARTESIAN_POINT('',(1.106885238644E-1,3.859539611302E-1, +-6.799455238576E-1)); +#12781=CARTESIAN_POINT('',(1.069647329153E-1,4.394366160108E-1, +-6.776808529644E-1)); +#12782=CARTESIAN_POINT('',(7.887773193216E-2,4.851025920529E-1, +-6.754161820712E-1)); +#12783=CARTESIAN_POINT('',(3.282485848105E-2,5.125506127294E-1, +-6.731515111780E-1)); +#12784=CARTESIAN_POINT('',(-2.070448781787E-2,5.155288801380E-1, +-6.708868402847E-1)); +#12785=CARTESIAN_POINT('',(-6.951800142256E-2,4.933590384121E-1, +-6.686221693915E-1)); +#12786=CARTESIAN_POINT('',(-1.024974954731E-1,4.510906818854E-1, +-6.663574984983E-1)); +#12787=CARTESIAN_POINT('',(-1.121312761118E-1,3.983512160034E-1, +-6.640928276051E-1)); +#12788=CARTESIAN_POINT('',(-9.622506991980E-2,3.471530361918E-1, +-6.618281567118E-1)); +#12789=CARTESIAN_POINT('',(-5.840181330711E-2,3.091574819762E-1, +-6.595634858186E-1)); +#12790=CARTESIAN_POINT('',(-7.276450997213E-3,2.930187463764E-1, +-6.572988149254E-1)); +#12791=CARTESIAN_POINT('',(4.550623973315E-2,3.024127347654E-1, +-6.550341440322E-1)); +#12792=CARTESIAN_POINT('',(8.792410705858E-2,3.351997623279E-1, +-6.527694731389E-1)); +#12793=CARTESIAN_POINT('',(1.103153595001E-1,3.839120811624E-1, +-6.505048022457E-1)); +#12794=CARTESIAN_POINT('',(1.075812121039E-1,4.374541494333E-1, +-6.482401313525E-1)); +#12795=CARTESIAN_POINT('',(8.033979084588E-2,4.836322716808E-1, +-6.459754604593E-1)); +#12796=CARTESIAN_POINT('',(4.998866695887E-2,5.024926862368E-1, +-6.444656798638E-1)); +#12797=CARTESIAN_POINT('',(3.348307835141E-2,5.078711908360E-1, +-6.437107895660E-1)); +#12798=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#12658,#12659,#12660,#12661,#12662, +#12663,#12664,#12665,#12666,#12667,#12668,#12669,#12670,#12671,#12672,#12673, +#12674,#12675,#12676,#12677,#12678,#12679,#12680,#12681,#12682,#12683,#12684, +#12685,#12686,#12687,#12688,#12689,#12690,#12691,#12692),(#12693,#12694,#12695, +#12696,#12697,#12698,#12699,#12700,#12701,#12702,#12703,#12704,#12705,#12706, +#12707,#12708,#12709,#12710,#12711,#12712,#12713,#12714,#12715,#12716,#12717, +#12718,#12719,#12720,#12721,#12722,#12723,#12724,#12725,#12726,#12727),(#12728, +#12729,#12730,#12731,#12732,#12733,#12734,#12735,#12736,#12737,#12738,#12739, +#12740,#12741,#12742,#12743,#12744,#12745,#12746,#12747,#12748,#12749,#12750, +#12751,#12752,#12753,#12754,#12755,#12756,#12757,#12758,#12759,#12760,#12761, +#12762),(#12763,#12764,#12765,#12766,#12767,#12768,#12769,#12770,#12771,#12772, +#12773,#12774,#12775,#12776,#12777,#12778,#12779,#12780,#12781,#12782,#12783, +#12784,#12785,#12786,#12787,#12788,#12789,#12790,#12791,#12792,#12793,#12794, +#12795,#12796,#12797)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(-7.362592222293E-2, +1.073625922223E0),(2.925838738638E-1,3.151240935691E-1,3.376643132744E-1, +3.602045329798E-1,3.827447526851E-1,4.052849723904E-1,4.278251920957E-1, +4.503654118010E-1,4.729056315064E-1,4.954458512117E-1,5.179860709170E-1, +5.405262906223E-1,5.630665103276E-1,5.856067300330E-1,6.081469497383E-1, +6.306871694436E-1,6.532273891489E-1,6.757676088542E-1,6.983078285596E-1, +7.208480482649E-1,7.433882679702E-1,7.659284876755E-1,7.884687073808E-1, +8.110089270862E-1,8.335491467915E-1,8.560893664968E-1,8.786295862021E-1, +9.011698059074E-1,9.237100256128E-1,9.462502453181E-1,9.687904650234E-1, +9.913306847287E-1,1.013870904434E0),.UNSPECIFIED.); +#12799=ORIENTED_EDGE('',*,*,#12231,.T.); +#12800=ORIENTED_EDGE('',*,*,#11990,.T.); +#12802=ORIENTED_EDGE('',*,*,#12801,.F.); +#12803=ORIENTED_EDGE('',*,*,#12175,.F.); +#12804=EDGE_LOOP('',(#12799,#12800,#12802,#12803)); +#12805=FACE_OUTER_BOUND('',#12804,.F.); +#12806=ADVANCED_FACE('',(#12805),#12798,.F.); +#12807=CARTESIAN_POINT('',(9.315451461442E-2,4.599045001116E-1, +-6.559601337365E-1)); +#12808=CARTESIAN_POINT('',(8.680991720793E-2,4.706923598915E-1, +-6.554159080147E-1)); +#12809=CARTESIAN_POINT('',(7.033678026634E-2,4.900385232716E-1, +-6.543274565712E-1)); +#12810=CARTESIAN_POINT('',(3.722109078659E-2,5.089130208074E-1, +-6.526947794058E-1)); +#12811=CARTESIAN_POINT('',(-3.417767288570E-4,5.153843865158E-1, +-6.510621022404E-1)); +#12812=CARTESIAN_POINT('',(-3.786390731722E-2,5.086801763100E-1, +-6.494294250751E-1)); +#12813=CARTESIAN_POINT('',(-7.086164124017E-2,4.896012581574E-1, +-6.477967479097E-1)); +#12814=CARTESIAN_POINT('',(-9.539210433840E-2,4.604274365113E-1, +-6.461640707444E-1)); +#12815=CARTESIAN_POINT('',(-1.085241351385E-1,4.246446840184E-1, +-6.445313935790E-1)); +#12816=CARTESIAN_POINT('',(-1.086885859448E-1,3.865286964310E-1, +-6.428987164137E-1)); +#12817=CARTESIAN_POINT('',(-9.586580581526E-2,3.506339630745E-1, +-6.412660392483E-1)); +#12818=CARTESIAN_POINT('',(-7.158799266165E-2,3.212495563973E-1, +-6.396333620830E-1)); +#12819=CARTESIAN_POINT('',(-3.875610940170E-2,3.018866276315E-1, +-6.380006849176E-1)); +#12820=CARTESIAN_POINT('',(-1.293247342674E-3,2.948588587974E-1, +-6.363680077523E-1)); +#12821=CARTESIAN_POINT('',(3.632414521876E-2,3.010060000341E-1, +-6.347353305869E-1)); +#12822=CARTESIAN_POINT('',(6.960115508685E-2,3.195935276464E-1, +-6.331026534216E-1)); +#12823=CARTESIAN_POINT('',(9.456150265934E-2,3.484004125449E-1, +-6.314699762562E-1)); +#12824=CARTESIAN_POINT('',(1.082226687340E-1,3.839845116621E-1, +-6.298372990908E-1)); +#12825=CARTESIAN_POINT('',(1.089522765971E-1,4.220938706289E-1, +-6.282046219255E-1)); +#12826=CARTESIAN_POINT('',(9.666314519256E-2,4.581747910415E-1, +-6.265719447601E-1)); +#12827=CARTESIAN_POINT('',(7.282370641172E-2,4.879159532096E-1, +-6.249392675948E-1)); +#12828=CARTESIAN_POINT('',(4.028254173872E-2,5.077635770025E-1, +-6.233065904294E-1)); +#12829=CARTESIAN_POINT('',(2.928004419346E-3,5.153460640715E-1, +-6.216739132641E-1)); +#12830=CARTESIAN_POINT('',(-3.477640100100E-2,5.097573808281E-1, +-6.200412360987E-1)); +#12831=CARTESIAN_POINT('',(-6.832536413562E-2,4.916653206611E-1, +-6.184085589334E-1)); +#12832=CARTESIAN_POINT('',(-9.371010960699E-2,4.632317091098E-1, +-6.167758817680E-1)); +#12833=CARTESIAN_POINT('',(-1.078974067843E-1,4.278540867010E-1, +-6.151432046027E-1)); +#12834=CARTESIAN_POINT('',(-1.091920113953E-1,3.897597358416E-1, +-6.135105274373E-1)); +#12835=CARTESIAN_POINT('',(-9.743923075777E-2,3.535005616125E-1, +-6.118778502719E-1)); +#12836=CARTESIAN_POINT('',(-7.404340805367E-2,3.234091833042E-1, +-6.102451731066E-1)); +#12837=CARTESIAN_POINT('',(-4.180011695293E-2,3.030812284762E-1, +-6.086124959412E-1)); +#12838=CARTESIAN_POINT('',(-4.562117701417E-3,2.949456903723E-1, +-6.069798187759E-1)); +#12839=CARTESIAN_POINT('',(3.322101032315E-2,2.999746868104E-1, +-6.053471416105E-1)); +#12840=CARTESIAN_POINT('',(6.703455014716E-2,3.175673015412E-1, +-6.037144644452E-1)); +#12841=CARTESIAN_POINT('',(9.283811204742E-2,3.456213879058E-1, +-6.020817872798E-1)); +#12842=CARTESIAN_POINT('',(1.075484208961E-1,3.807847549527E-1, +-6.004491101145E-1)); +#12843=CARTESIAN_POINT('',(1.094077376038E-1,4.188557217105E-1, +-5.988164329491E-1)); +#12844=CARTESIAN_POINT('',(9.819389187553E-2,4.552851772716E-1, +-5.971837557838E-1)); +#12845=CARTESIAN_POINT('',(7.524682940394E-2,4.857201553793E-1, +-5.955510786184E-1)); +#12846=CARTESIAN_POINT('',(4.330850136802E-2,5.065239716341E-1, +-5.939184014530E-1)); +#12847=CARTESIAN_POINT('',(6.195227888194E-3,5.152107719717E-1, +-5.922857242877E-1)); +#12848=CARTESIAN_POINT('',(-3.165831517661E-2,5.107425680894E-1, +-5.906530471223E-1)); +#12849=CARTESIAN_POINT('',(-6.572899693913E-2,4.936532669705E-1, +-5.890203699570E-1)); +#12850=CARTESIAN_POINT('',(-9.194570171102E-2,4.659848741839E-1, +-5.873876927916E-1)); +#12851=CARTESIAN_POINT('',(-1.071757878024E-1,4.310434940431E-1, +-5.857550156263E-1)); +#12852=CARTESIAN_POINT('',(-1.095994077897E-1,3.930042822393E-1, +-5.841223384609E-1)); +#12853=CARTESIAN_POINT('',(-9.892696261476E-2,3.564125552716E-1, +-5.824896612956E-1)); +#12854=CARTESIAN_POINT('',(-7.643370586030E-2,3.256406692542E-1, +-5.808569841302E-1)); +#12855=CARTESIAN_POINT('',(-4.480736332804E-2,3.043655658109E-1, +-5.792243069649E-1)); +#12856=CARTESIAN_POINT('',(-7.826975899663E-3,2.951294132494E-1, +-5.775916297995E-1)); +#12857=CARTESIAN_POINT('',(3.008865915890E-2,2.990358421298E-1, +-5.759589526341E-1)); +#12858=CARTESIAN_POINT('',(6.440899156995E-2,3.156180721271E-1, +-5.743262754688E-1)); +#12859=CARTESIAN_POINT('',(9.103307481653E-2,3.428946877488E-1, +-5.726935983034E-1)); +#12860=CARTESIAN_POINT('',(1.067795894358E-1,3.776063982489E-1, +-5.710609211381E-1)); +#12861=CARTESIAN_POINT('',(1.097669798103E-1,4.156054912273E-1, +-5.694282439727E-1)); +#12862=CARTESIAN_POINT('',(9.963828178919E-2,4.52351444E-1,-5.677955668074E-1)); +#12863=CARTESIAN_POINT('',(7.760377646724E-2,4.834534719484E-1, +-5.661628896420E-1)); +#12864=CARTESIAN_POINT('',(4.629637323769E-2,5.051951847604E-1, +-5.645302124767E-1)); +#12865=CARTESIAN_POINT('',(9.457003078848E-3,5.149786585873E-1, +-5.628975353113E-1)); +#12866=CARTESIAN_POINT('',(-2.851238785908E-2,5.116348642315E-1, +-5.612648581460E-1)); +#12867=CARTESIAN_POINT('',(-6.307482255518E-2,4.955633491466E-1, +-5.596321809806E-1)); +#12868=CARTESIAN_POINT('',(-9.010043844891E-2,4.686845164436E-1, +-5.579995038152E-1)); +#12869=CARTESIAN_POINT('',(-1.063598889461E-1,4.342100768123E-1, +-5.563668266499E-1)); +#12870=CARTESIAN_POINT('',(-1.099105062563E-1,3.962595734319E-1, +-5.547341494845E-1)); +#12871=CARTESIAN_POINT('',(-1.003273592187E-1,3.593670424833E-1, +-5.531014723192E-1)); +#12872=CARTESIAN_POINT('',(-8.594780616297E-2,3.384178961490E-1, +-5.520130208756E-1)); +#12873=CARTESIAN_POINT('',(-7.718833875344E-2,3.294790167124E-1, +-5.514687951538E-1)); +#12874=CARTESIAN_POINT('',(9.315451461442E-2,4.599045001116E-1, +-6.530113831615E-1)); +#12875=CARTESIAN_POINT('',(8.680991720793E-2,4.706923598915E-1, +-6.524671574397E-1)); +#12876=CARTESIAN_POINT('',(7.033678026634E-2,4.900385232716E-1, +-6.513787059962E-1)); +#12877=CARTESIAN_POINT('',(3.722109078659E-2,5.089130208074E-1, +-6.497460288308E-1)); +#12878=CARTESIAN_POINT('',(-3.417767288570E-4,5.153843865158E-1, +-6.481133516655E-1)); +#12879=CARTESIAN_POINT('',(-3.786390731722E-2,5.086801763100E-1, +-6.464806745001E-1)); +#12880=CARTESIAN_POINT('',(-7.086164124017E-2,4.896012581574E-1, +-6.448479973347E-1)); +#12881=CARTESIAN_POINT('',(-9.539210433840E-2,4.604274365113E-1, +-6.432153201694E-1)); +#12882=CARTESIAN_POINT('',(-1.085241351385E-1,4.246446840184E-1, +-6.415826430040E-1)); +#12883=CARTESIAN_POINT('',(-1.086885859448E-1,3.865286964310E-1, +-6.399499658387E-1)); +#12884=CARTESIAN_POINT('',(-9.586580581526E-2,3.506339630745E-1, +-6.383172886733E-1)); +#12885=CARTESIAN_POINT('',(-7.158799266165E-2,3.212495563973E-1, +-6.366846115080E-1)); +#12886=CARTESIAN_POINT('',(-3.875610940170E-2,3.018866276315E-1, +-6.350519343426E-1)); +#12887=CARTESIAN_POINT('',(-1.293247342674E-3,2.948588587974E-1, +-6.334192571773E-1)); +#12888=CARTESIAN_POINT('',(3.632414521876E-2,3.010060000341E-1, +-6.317865800119E-1)); +#12889=CARTESIAN_POINT('',(6.960115508685E-2,3.195935276464E-1, +-6.301539028466E-1)); +#12890=CARTESIAN_POINT('',(9.456150265934E-2,3.484004125449E-1, +-6.285212256812E-1)); +#12891=CARTESIAN_POINT('',(1.082226687340E-1,3.839845116621E-1, +-6.268885485159E-1)); +#12892=CARTESIAN_POINT('',(1.089522765971E-1,4.220938706289E-1, +-6.252558713505E-1)); +#12893=CARTESIAN_POINT('',(9.666314519256E-2,4.581747910415E-1, +-6.236231941851E-1)); +#12894=CARTESIAN_POINT('',(7.282370641172E-2,4.879159532096E-1, +-6.219905170198E-1)); +#12895=CARTESIAN_POINT('',(4.028254173872E-2,5.077635770025E-1, +-6.203578398544E-1)); +#12896=CARTESIAN_POINT('',(2.928004419346E-3,5.153460640715E-1, +-6.187251626891E-1)); +#12897=CARTESIAN_POINT('',(-3.477640100100E-2,5.097573808281E-1, +-6.170924855237E-1)); +#12898=CARTESIAN_POINT('',(-6.832536413562E-2,4.916653206611E-1, +-6.154598083584E-1)); +#12899=CARTESIAN_POINT('',(-9.371010960699E-2,4.632317091098E-1, +-6.138271311930E-1)); +#12900=CARTESIAN_POINT('',(-1.078974067843E-1,4.278540867010E-1, +-6.121944540277E-1)); +#12901=CARTESIAN_POINT('',(-1.091920113953E-1,3.897597358416E-1, +-6.105617768623E-1)); +#12902=CARTESIAN_POINT('',(-9.743923075777E-2,3.535005616125E-1, +-6.089290996969E-1)); +#12903=CARTESIAN_POINT('',(-7.404340805367E-2,3.234091833042E-1, +-6.072964225316E-1)); +#12904=CARTESIAN_POINT('',(-4.180011695293E-2,3.030812284762E-1, +-6.056637453662E-1)); +#12905=CARTESIAN_POINT('',(-4.562117701417E-3,2.949456903723E-1, +-6.040310682009E-1)); +#12906=CARTESIAN_POINT('',(3.322101032315E-2,2.999746868104E-1, +-6.023983910355E-1)); +#12907=CARTESIAN_POINT('',(6.703455014716E-2,3.175673015412E-1, +-6.007657138702E-1)); +#12908=CARTESIAN_POINT('',(9.283811204742E-2,3.456213879058E-1, +-5.991330367048E-1)); +#12909=CARTESIAN_POINT('',(1.075484208961E-1,3.807847549527E-1, +-5.975003595395E-1)); +#12910=CARTESIAN_POINT('',(1.094077376038E-1,4.188557217105E-1, +-5.958676823741E-1)); +#12911=CARTESIAN_POINT('',(9.819389187553E-2,4.552851772716E-1, +-5.942350052088E-1)); +#12912=CARTESIAN_POINT('',(7.524682940394E-2,4.857201553793E-1, +-5.926023280434E-1)); +#12913=CARTESIAN_POINT('',(4.330850136802E-2,5.065239716341E-1, +-5.909696508780E-1)); +#12914=CARTESIAN_POINT('',(6.195227888194E-3,5.152107719717E-1, +-5.893369737127E-1)); +#12915=CARTESIAN_POINT('',(-3.165831517661E-2,5.107425680894E-1, +-5.877042965473E-1)); +#12916=CARTESIAN_POINT('',(-6.572899693913E-2,4.936532669705E-1, +-5.860716193820E-1)); +#12917=CARTESIAN_POINT('',(-9.194570171102E-2,4.659848741839E-1, +-5.844389422166E-1)); +#12918=CARTESIAN_POINT('',(-1.071757878024E-1,4.310434940431E-1, +-5.828062650513E-1)); +#12919=CARTESIAN_POINT('',(-1.095994077897E-1,3.930042822393E-1, +-5.811735878859E-1)); +#12920=CARTESIAN_POINT('',(-9.892696261476E-2,3.564125552716E-1, +-5.795409107206E-1)); +#12921=CARTESIAN_POINT('',(-7.643370586030E-2,3.256406692542E-1, +-5.779082335552E-1)); +#12922=CARTESIAN_POINT('',(-4.480736332804E-2,3.043655658109E-1, +-5.762755563899E-1)); +#12923=CARTESIAN_POINT('',(-7.826975899663E-3,2.951294132494E-1, +-5.746428792245E-1)); +#12924=CARTESIAN_POINT('',(3.008865915890E-2,2.990358421298E-1, +-5.730102020591E-1)); +#12925=CARTESIAN_POINT('',(6.440899156995E-2,3.156180721271E-1, +-5.713775248938E-1)); +#12926=CARTESIAN_POINT('',(9.103307481653E-2,3.428946877488E-1, +-5.697448477284E-1)); +#12927=CARTESIAN_POINT('',(1.067795894358E-1,3.776063982489E-1, +-5.681121705631E-1)); +#12928=CARTESIAN_POINT('',(1.097669798103E-1,4.156054912273E-1, +-5.664794933977E-1)); +#12929=CARTESIAN_POINT('',(9.963828178919E-2,4.52351444E-1,-5.648468162324E-1)); +#12930=CARTESIAN_POINT('',(7.760377646724E-2,4.834534719484E-1, +-5.632141390670E-1)); +#12931=CARTESIAN_POINT('',(4.629637323769E-2,5.051951847604E-1, +-5.615814619017E-1)); +#12932=CARTESIAN_POINT('',(9.457003078848E-3,5.149786585873E-1, +-5.599487847363E-1)); +#12933=CARTESIAN_POINT('',(-2.851238785908E-2,5.116348642315E-1, +-5.583161075710E-1)); +#12934=CARTESIAN_POINT('',(-6.307482255518E-2,4.955633491466E-1, +-5.566834304056E-1)); +#12935=CARTESIAN_POINT('',(-9.010043844891E-2,4.686845164436E-1, +-5.550507532403E-1)); +#12936=CARTESIAN_POINT('',(-1.063598889461E-1,4.342100768123E-1, +-5.534180760749E-1)); +#12937=CARTESIAN_POINT('',(-1.099105062563E-1,3.962595734319E-1, +-5.517853989095E-1)); +#12938=CARTESIAN_POINT('',(-1.003273592187E-1,3.593670424833E-1, +-5.501527217442E-1)); +#12939=CARTESIAN_POINT('',(-8.594780616297E-2,3.384178961490E-1, +-5.490642703006E-1)); +#12940=CARTESIAN_POINT('',(-7.718833875344E-2,3.294790167124E-1, +-5.485200445788E-1)); +#12941=CARTESIAN_POINT('',(9.315451461442E-2,4.599045001116E-1, +-6.500626325865E-1)); +#12942=CARTESIAN_POINT('',(8.680991720793E-2,4.706923598915E-1, +-6.495184068647E-1)); +#12943=CARTESIAN_POINT('',(7.033678026634E-2,4.900385232716E-1, +-6.484299554212E-1)); +#12944=CARTESIAN_POINT('',(3.722109078659E-2,5.089130208074E-1, +-6.467972782558E-1)); +#12945=CARTESIAN_POINT('',(-3.417767288570E-4,5.153843865158E-1, +-6.451646010905E-1)); +#12946=CARTESIAN_POINT('',(-3.786390731722E-2,5.086801763100E-1, +-6.435319239251E-1)); +#12947=CARTESIAN_POINT('',(-7.086164124017E-2,4.896012581574E-1, +-6.418992467598E-1)); +#12948=CARTESIAN_POINT('',(-9.539210433840E-2,4.604274365113E-1, +-6.402665695944E-1)); +#12949=CARTESIAN_POINT('',(-1.085241351385E-1,4.246446840184E-1, +-6.386338924291E-1)); +#12950=CARTESIAN_POINT('',(-1.086885859448E-1,3.865286964310E-1, +-6.370012152637E-1)); +#12951=CARTESIAN_POINT('',(-9.586580581526E-2,3.506339630745E-1, +-6.353685380984E-1)); +#12952=CARTESIAN_POINT('',(-7.158799266165E-2,3.212495563973E-1, +-6.337358609330E-1)); +#12953=CARTESIAN_POINT('',(-3.875610940170E-2,3.018866276315E-1, +-6.321031837677E-1)); +#12954=CARTESIAN_POINT('',(-1.293247342674E-3,2.948588587974E-1, +-6.304705066023E-1)); +#12955=CARTESIAN_POINT('',(3.632414521876E-2,3.010060000341E-1, +-6.288378294370E-1)); +#12956=CARTESIAN_POINT('',(6.960115508685E-2,3.195935276464E-1, +-6.272051522716E-1)); +#12957=CARTESIAN_POINT('',(9.456150265934E-2,3.484004125449E-1, +-6.255724751062E-1)); +#12958=CARTESIAN_POINT('',(1.082226687340E-1,3.839845116621E-1, +-6.239397979409E-1)); +#12959=CARTESIAN_POINT('',(1.089522765971E-1,4.220938706289E-1, +-6.223071207755E-1)); +#12960=CARTESIAN_POINT('',(9.666314519257E-2,4.581747910415E-1, +-6.206744436102E-1)); +#12961=CARTESIAN_POINT('',(7.282370641172E-2,4.879159532096E-1, +-6.190417664448E-1)); +#12962=CARTESIAN_POINT('',(4.028254173872E-2,5.077635770025E-1, +-6.174090892795E-1)); +#12963=CARTESIAN_POINT('',(2.928004419346E-3,5.153460640715E-1, +-6.157764121141E-1)); +#12964=CARTESIAN_POINT('',(-3.477640100100E-2,5.097573808281E-1, +-6.141437349488E-1)); +#12965=CARTESIAN_POINT('',(-6.832536413562E-2,4.916653206611E-1, +-6.125110577834E-1)); +#12966=CARTESIAN_POINT('',(-9.371010960699E-2,4.632317091098E-1, +-6.108783806181E-1)); +#12967=CARTESIAN_POINT('',(-1.078974067843E-1,4.278540867010E-1, +-6.092457034527E-1)); +#12968=CARTESIAN_POINT('',(-1.091920113953E-1,3.897597358416E-1, +-6.076130262873E-1)); +#12969=CARTESIAN_POINT('',(-9.743923075777E-2,3.535005616125E-1, +-6.059803491220E-1)); +#12970=CARTESIAN_POINT('',(-7.404340805367E-2,3.234091833042E-1, +-6.043476719566E-1)); +#12971=CARTESIAN_POINT('',(-4.180011695293E-2,3.030812284762E-1, +-6.027149947913E-1)); +#12972=CARTESIAN_POINT('',(-4.562117701417E-3,2.949456903723E-1, +-6.010823176259E-1)); +#12973=CARTESIAN_POINT('',(3.322101032315E-2,2.999746868104E-1, +-5.994496404606E-1)); +#12974=CARTESIAN_POINT('',(6.703455014716E-2,3.175673015412E-1, +-5.978169632952E-1)); +#12975=CARTESIAN_POINT('',(9.283811204742E-2,3.456213879058E-1, +-5.961842861299E-1)); +#12976=CARTESIAN_POINT('',(1.075484208961E-1,3.807847549527E-1, +-5.945516089645E-1)); +#12977=CARTESIAN_POINT('',(1.094077376038E-1,4.188557217105E-1, +-5.929189317991E-1)); +#12978=CARTESIAN_POINT('',(9.819389187553E-2,4.552851772716E-1, +-5.912862546338E-1)); +#12979=CARTESIAN_POINT('',(7.524682940394E-2,4.857201553793E-1, +-5.896535774684E-1)); +#12980=CARTESIAN_POINT('',(4.330850136802E-2,5.065239716341E-1, +-5.880209003031E-1)); +#12981=CARTESIAN_POINT('',(6.195227888194E-3,5.152107719717E-1, +-5.863882231377E-1)); +#12982=CARTESIAN_POINT('',(-3.165831517661E-2,5.107425680894E-1, +-5.847555459724E-1)); +#12983=CARTESIAN_POINT('',(-6.572899693913E-2,4.936532669705E-1, +-5.831228688070E-1)); +#12984=CARTESIAN_POINT('',(-9.194570171102E-2,4.659848741839E-1, +-5.814901916417E-1)); +#12985=CARTESIAN_POINT('',(-1.071757878024E-1,4.310434940431E-1, +-5.798575144763E-1)); +#12986=CARTESIAN_POINT('',(-1.095994077897E-1,3.930042822393E-1, +-5.782248373109E-1)); +#12987=CARTESIAN_POINT('',(-9.892696261476E-2,3.564125552716E-1, +-5.765921601456E-1)); +#12988=CARTESIAN_POINT('',(-7.643370586030E-2,3.256406692542E-1, +-5.749594829802E-1)); +#12989=CARTESIAN_POINT('',(-4.480736332804E-2,3.043655658109E-1, +-5.733268058149E-1)); +#12990=CARTESIAN_POINT('',(-7.826975899663E-3,2.951294132494E-1, +-5.716941286495E-1)); +#12991=CARTESIAN_POINT('',(3.008865915890E-2,2.990358421298E-1, +-5.700614514842E-1)); +#12992=CARTESIAN_POINT('',(6.440899156995E-2,3.156180721271E-1, +-5.684287743188E-1)); +#12993=CARTESIAN_POINT('',(9.103307481653E-2,3.428946877488E-1, +-5.667960971535E-1)); +#12994=CARTESIAN_POINT('',(1.067795894358E-1,3.776063982489E-1, +-5.651634199881E-1)); +#12995=CARTESIAN_POINT('',(1.097669798103E-1,4.156054912273E-1, +-5.635307428227E-1)); +#12996=CARTESIAN_POINT('',(9.963828178919E-2,4.52351444E-1,-5.618980656574E-1)); +#12997=CARTESIAN_POINT('',(7.760377646724E-2,4.834534719484E-1, +-5.602653884920E-1)); +#12998=CARTESIAN_POINT('',(4.629637323769E-2,5.051951847604E-1, +-5.586327113267E-1)); +#12999=CARTESIAN_POINT('',(9.457003078847E-3,5.149786585873E-1, +-5.570000341613E-1)); +#13000=CARTESIAN_POINT('',(-2.851238785908E-2,5.116348642315E-1, +-5.553673569960E-1)); +#13001=CARTESIAN_POINT('',(-6.307482255518E-2,4.955633491466E-1, +-5.537346798306E-1)); +#13002=CARTESIAN_POINT('',(-9.010043844891E-2,4.686845164436E-1, +-5.521020026653E-1)); +#13003=CARTESIAN_POINT('',(-1.063598889461E-1,4.342100768123E-1, +-5.504693254999E-1)); +#13004=CARTESIAN_POINT('',(-1.099105062563E-1,3.962595734319E-1, +-5.488366483346E-1)); +#13005=CARTESIAN_POINT('',(-1.003273592187E-1,3.593670424833E-1, +-5.472039711692E-1)); +#13006=CARTESIAN_POINT('',(-8.594780616297E-2,3.384178961490E-1, +-5.461155197256E-1)); +#13007=CARTESIAN_POINT('',(-7.718833875344E-2,3.294790167124E-1, +-5.455712940039E-1)); +#13008=CARTESIAN_POINT('',(9.315451461442E-2,4.599045001116E-1, +-6.471138820115E-1)); +#13009=CARTESIAN_POINT('',(8.680991720793E-2,4.706923598915E-1, +-6.465696562897E-1)); +#13010=CARTESIAN_POINT('',(7.033678026634E-2,4.900385232716E-1, +-6.454812048462E-1)); +#13011=CARTESIAN_POINT('',(3.722109078659E-2,5.089130208074E-1, +-6.438485276808E-1)); +#13012=CARTESIAN_POINT('',(-3.417767288570E-4,5.153843865158E-1, +-6.422158505155E-1)); +#13013=CARTESIAN_POINT('',(-3.786390731722E-2,5.086801763100E-1, +-6.405831733501E-1)); +#13014=CARTESIAN_POINT('',(-7.086164124017E-2,4.896012581574E-1, +-6.389504961848E-1)); +#13015=CARTESIAN_POINT('',(-9.539210433840E-2,4.604274365113E-1, +-6.373178190194E-1)); +#13016=CARTESIAN_POINT('',(-1.085241351385E-1,4.246446840184E-1, +-6.356851418541E-1)); +#13017=CARTESIAN_POINT('',(-1.086885859448E-1,3.865286964310E-1, +-6.340524646887E-1)); +#13018=CARTESIAN_POINT('',(-9.586580581526E-2,3.506339630745E-1, +-6.324197875234E-1)); +#13019=CARTESIAN_POINT('',(-7.158799266165E-2,3.212495563973E-1, +-6.307871103580E-1)); +#13020=CARTESIAN_POINT('',(-3.875610940170E-2,3.018866276315E-1, +-6.291544331927E-1)); +#13021=CARTESIAN_POINT('',(-1.293247342674E-3,2.948588587974E-1, +-6.275217560273E-1)); +#13022=CARTESIAN_POINT('',(3.632414521876E-2,3.010060000341E-1, +-6.258890788620E-1)); +#13023=CARTESIAN_POINT('',(6.960115508685E-2,3.195935276464E-1, +-6.242564016966E-1)); +#13024=CARTESIAN_POINT('',(9.456150265934E-2,3.484004125449E-1, +-6.226237245312E-1)); +#13025=CARTESIAN_POINT('',(1.082226687340E-1,3.839845116621E-1, +-6.209910473659E-1)); +#13026=CARTESIAN_POINT('',(1.089522765971E-1,4.220938706289E-1, +-6.193583702005E-1)); +#13027=CARTESIAN_POINT('',(9.666314519257E-2,4.581747910415E-1, +-6.177256930352E-1)); +#13028=CARTESIAN_POINT('',(7.282370641172E-2,4.879159532096E-1, +-6.160930158698E-1)); +#13029=CARTESIAN_POINT('',(4.028254173872E-2,5.077635770025E-1, +-6.144603387045E-1)); +#13030=CARTESIAN_POINT('',(2.928004419346E-3,5.153460640715E-1, +-6.128276615391E-1)); +#13031=CARTESIAN_POINT('',(-3.477640100100E-2,5.097573808281E-1, +-6.111949843738E-1)); +#13032=CARTESIAN_POINT('',(-6.832536413562E-2,4.916653206611E-1, +-6.095623072084E-1)); +#13033=CARTESIAN_POINT('',(-9.371010960699E-2,4.632317091098E-1, +-6.079296300431E-1)); +#13034=CARTESIAN_POINT('',(-1.078974067843E-1,4.278540867010E-1, +-6.062969528777E-1)); +#13035=CARTESIAN_POINT('',(-1.091920113953E-1,3.897597358416E-1, +-6.046642757123E-1)); +#13036=CARTESIAN_POINT('',(-9.743923075777E-2,3.535005616125E-1, +-6.030315985470E-1)); +#13037=CARTESIAN_POINT('',(-7.404340805367E-2,3.234091833042E-1, +-6.013989213816E-1)); +#13038=CARTESIAN_POINT('',(-4.180011695293E-2,3.030812284762E-1, +-5.997662442163E-1)); +#13039=CARTESIAN_POINT('',(-4.562117701417E-3,2.949456903723E-1, +-5.981335670509E-1)); +#13040=CARTESIAN_POINT('',(3.322101032315E-2,2.999746868104E-1, +-5.965008898856E-1)); +#13041=CARTESIAN_POINT('',(6.703455014716E-2,3.175673015412E-1, +-5.948682127202E-1)); +#13042=CARTESIAN_POINT('',(9.283811204742E-2,3.456213879058E-1, +-5.932355355549E-1)); +#13043=CARTESIAN_POINT('',(1.075484208961E-1,3.807847549527E-1, +-5.916028583895E-1)); +#13044=CARTESIAN_POINT('',(1.094077376038E-1,4.188557217105E-1, +-5.899701812241E-1)); +#13045=CARTESIAN_POINT('',(9.819389187553E-2,4.552851772716E-1, +-5.883375040588E-1)); +#13046=CARTESIAN_POINT('',(7.524682940394E-2,4.857201553793E-1, +-5.867048268934E-1)); +#13047=CARTESIAN_POINT('',(4.330850136802E-2,5.065239716341E-1, +-5.850721497281E-1)); +#13048=CARTESIAN_POINT('',(6.195227888194E-3,5.152107719717E-1, +-5.834394725627E-1)); +#13049=CARTESIAN_POINT('',(-3.165831517661E-2,5.107425680894E-1, +-5.818067953974E-1)); +#13050=CARTESIAN_POINT('',(-6.572899693913E-2,4.936532669705E-1, +-5.801741182320E-1)); +#13051=CARTESIAN_POINT('',(-9.194570171102E-2,4.659848741839E-1, +-5.785414410667E-1)); +#13052=CARTESIAN_POINT('',(-1.071757878024E-1,4.310434940431E-1, +-5.769087639013E-1)); +#13053=CARTESIAN_POINT('',(-1.095994077897E-1,3.930042822393E-1, +-5.752760867359E-1)); +#13054=CARTESIAN_POINT('',(-9.892696261476E-2,3.564125552716E-1, +-5.736434095706E-1)); +#13055=CARTESIAN_POINT('',(-7.643370586030E-2,3.256406692542E-1, +-5.720107324052E-1)); +#13056=CARTESIAN_POINT('',(-4.480736332804E-2,3.043655658109E-1, +-5.703780552399E-1)); +#13057=CARTESIAN_POINT('',(-7.826975899663E-3,2.951294132494E-1, +-5.687453780745E-1)); +#13058=CARTESIAN_POINT('',(3.008865915890E-2,2.990358421298E-1, +-5.671127009092E-1)); +#13059=CARTESIAN_POINT('',(6.440899156995E-2,3.156180721271E-1, +-5.654800237438E-1)); +#13060=CARTESIAN_POINT('',(9.103307481653E-2,3.428946877488E-1, +-5.638473465785E-1)); +#13061=CARTESIAN_POINT('',(1.067795894358E-1,3.776063982489E-1, +-5.622146694131E-1)); +#13062=CARTESIAN_POINT('',(1.097669798103E-1,4.156054912273E-1, +-5.605819922477E-1)); +#13063=CARTESIAN_POINT('',(9.963828178919E-2,4.52351444E-1,-5.589493150824E-1)); +#13064=CARTESIAN_POINT('',(7.760377646724E-2,4.834534719484E-1, +-5.573166379170E-1)); +#13065=CARTESIAN_POINT('',(4.629637323769E-2,5.051951847604E-1, +-5.556839607517E-1)); +#13066=CARTESIAN_POINT('',(9.457003078847E-3,5.149786585873E-1, +-5.540512835863E-1)); +#13067=CARTESIAN_POINT('',(-2.851238785908E-2,5.116348642315E-1, +-5.524186064210E-1)); +#13068=CARTESIAN_POINT('',(-6.307482255518E-2,4.955633491466E-1, +-5.507859292556E-1)); +#13069=CARTESIAN_POINT('',(-9.010043844891E-2,4.686845164436E-1, +-5.491532520903E-1)); +#13070=CARTESIAN_POINT('',(-1.063598889461E-1,4.342100768123E-1, +-5.475205749249E-1)); +#13071=CARTESIAN_POINT('',(-1.099105062563E-1,3.962595734319E-1, +-5.458878977596E-1)); +#13072=CARTESIAN_POINT('',(-1.003273592187E-1,3.593670424833E-1, +-5.442552205942E-1)); +#13073=CARTESIAN_POINT('',(-8.594780616297E-2,3.384178961490E-1, +-5.431667691506E-1)); +#13074=CARTESIAN_POINT('',(-7.718833875344E-2,3.294790167124E-1, +-5.426225434289E-1)); +#13075=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#12807,#12808,#12809,#12810,#12811, +#12812,#12813,#12814,#12815,#12816,#12817,#12818,#12819,#12820,#12821,#12822, +#12823,#12824,#12825,#12826,#12827,#12828,#12829,#12830,#12831,#12832,#12833, +#12834,#12835,#12836,#12837,#12838,#12839,#12840,#12841,#12842,#12843,#12844, +#12845,#12846,#12847,#12848,#12849,#12850,#12851,#12852,#12853,#12854,#12855, +#12856,#12857,#12858,#12859,#12860,#12861,#12862,#12863,#12864,#12865,#12866, +#12867,#12868,#12869,#12870,#12871,#12872,#12873),(#12874,#12875,#12876,#12877, +#12878,#12879,#12880,#12881,#12882,#12883,#12884,#12885,#12886,#12887,#12888, +#12889,#12890,#12891,#12892,#12893,#12894,#12895,#12896,#12897,#12898,#12899, +#12900,#12901,#12902,#12903,#12904,#12905,#12906,#12907,#12908,#12909,#12910, +#12911,#12912,#12913,#12914,#12915,#12916,#12917,#12918,#12919,#12920,#12921, +#12922,#12923,#12924,#12925,#12926,#12927,#12928,#12929,#12930,#12931,#12932, +#12933,#12934,#12935,#12936,#12937,#12938,#12939,#12940),(#12941,#12942,#12943, +#12944,#12945,#12946,#12947,#12948,#12949,#12950,#12951,#12952,#12953,#12954, +#12955,#12956,#12957,#12958,#12959,#12960,#12961,#12962,#12963,#12964,#12965, +#12966,#12967,#12968,#12969,#12970,#12971,#12972,#12973,#12974,#12975,#12976, +#12977,#12978,#12979,#12980,#12981,#12982,#12983,#12984,#12985,#12986,#12987, +#12988,#12989,#12990,#12991,#12992,#12993,#12994,#12995,#12996,#12997,#12998, +#12999,#13000,#13001,#13002,#13003,#13004,#13005,#13006,#13007),(#13008,#13009, +#13010,#13011,#13012,#13013,#13014,#13015,#13016,#13017,#13018,#13019,#13020, +#13021,#13022,#13023,#13024,#13025,#13026,#13027,#13028,#13029,#13030,#13031, +#13032,#13033,#13034,#13035,#13036,#13037,#13038,#13039,#13040,#13041,#13042, +#13043,#13044,#13045,#13046,#13047,#13048,#13049,#13050,#13051,#13052,#13053, +#13054,#13055,#13056,#13057,#13058,#13059,#13060,#13061,#13062,#13063,#13064, +#13065,#13066,#13067,#13068,#13069,#13070,#13071,#13072,#13073,#13074)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,4),(-7.362592222461E-2,1.073625922223E0),(-1.999999999997E-2, +-3.749999999971E-3,1.250000000003E-2,2.875000000003E-2,4.500000000003E-2, +6.125000000003E-2,7.750000000003E-2,9.375000000003E-2,1.1E-1,1.2625E-1,1.425E-1, +1.5875E-1,1.75E-1,1.9125E-1,2.075E-1,2.2375E-1,2.4E-1,2.5625E-1,2.725E-1, +2.8875E-1,3.05E-1,3.2125E-1,3.375E-1,3.5375E-1,3.7E-1,3.8625E-1,4.025E-1, +4.1875E-1,4.35E-1,4.5125E-1,4.675E-1,4.8375E-1,5.E-1,5.1625E-1,5.325E-1, +5.4875E-1,5.65E-1,5.8125E-1,5.975E-1,6.1375E-1,6.3E-1,6.4625E-1,6.625E-1, +6.7875E-1,6.95E-1,7.1125E-1,7.275E-1,7.4375E-1,7.6E-1,7.7625E-1,7.925E-1, +8.0875E-1,8.25E-1,8.4125E-1,8.575E-1,8.7375E-1,8.9E-1,9.0625E-1,9.225E-1, +9.3875E-1,9.55E-1,9.7125E-1,9.875E-1,1.00375E0,1.02E0),.UNSPECIFIED.); +#13076=ORIENTED_EDGE('',*,*,#12801,.T.); +#13077=ORIENTED_EDGE('',*,*,#11485,.T.); +#13079=ORIENTED_EDGE('',*,*,#13078,.F.); +#13080=ORIENTED_EDGE('',*,*,#11798,.F.); +#13081=EDGE_LOOP('',(#13076,#13077,#13079,#13080)); +#13082=FACE_OUTER_BOUND('',#13081,.F.); +#13083=ADVANCED_FACE('',(#13082),#13075,.F.); +#13084=CARTESIAN_POINT('',(-1.077258960767E-1,4.137461704526E-1, +-5.554876927916E-1)); +#13085=CARTESIAN_POINT('',(-1.087250779647E-1,4.012708560102E-1, +-5.549434670698E-1)); +#13086=CARTESIAN_POINT('',(-1.063452381707E-1,3.759731359396E-1, +-5.538550156263E-1)); +#13087=CARTESIAN_POINT('',(-9.006847889094E-2,3.415063018191E-1, +-5.522223384609E-1)); +#13088=CARTESIAN_POINT('',(-6.302935083906E-2,3.146412102634E-1, +-5.505896612956E-1)); +#13089=CARTESIAN_POINT('',(-2.845884337061E-2,2.985870291340E-1, +-5.489569841302E-1)); +#13090=CARTESIAN_POINT('',(9.512223889367E-3,2.952623316963E-1, +-5.473243069648E-1)); +#13091=CARTESIAN_POINT('',(4.634667159183E-2,3.050643188265E-1, +-5.456916297995E-1)); +#13092=CARTESIAN_POINT('',(7.764314231461E-2,3.268217664982E-1, +-5.440589526341E-1)); +#13093=CARTESIAN_POINT('',(9.966201126252E-2,3.579348660557E-1, +-5.424262754688E-1)); +#13094=CARTESIAN_POINT('',(1.097722374761E-1,3.946859054817E-1, +-5.407935983034E-1)); +#13095=CARTESIAN_POINT('',(1.067657470519E-1,4.326834920184E-1, +-5.391609211381E-1)); +#13096=CARTESIAN_POINT('',(9.100178641403E-2,4.673872830762E-1, +-5.375282439727E-1)); +#13097=CARTESIAN_POINT('',(6.436399580899E-2,4.946505125275E-1, +-5.358955668074E-1)); +#13098=CARTESIAN_POINT('',(3.003533259609E-2,5.112154891503E-1, +-5.342628896420E-1)); +#13099=CARTESIAN_POINT('',(-7.882261257805E-3,5.151028590610E-1, +-5.326302124767E-1)); +#13100=CARTESIAN_POINT('',(-4.485800141796E-2,5.058481192970E-1, +-5.309975353113E-1)); +#13101=CARTESIAN_POINT('',(-7.647364592189E-2,4.845571214083E-1, +-5.293648581460E-1)); +#13102=CARTESIAN_POINT('',(-9.895143219842E-2,4.537739329348E-1, +-5.277321809806E-1)); +#13103=CARTESIAN_POINT('',(-1.096054830178E-1,4.171768460339E-1, +-5.260995038152E-1)); +#13104=CARTESIAN_POINT('',(-1.071627427440E-1,3.791388572799E-1, +-5.244668266499E-1)); +#13105=CARTESIAN_POINT('',(-9.191509512411E-2,3.442051370292E-1, +-5.228341494845E-1)); +#13106=CARTESIAN_POINT('',(-6.568448601362E-2,3.165499256905E-1, +-5.212014723192E-1)); +#13107=CARTESIAN_POINT('',(-3.160521853596E-2,2.994777525225E-1, +-5.195687951538E-1)); +#13108=CARTESIAN_POINT('',(6.250565710938E-3,2.950285764699E-1, +-5.179361179885E-1)); +#13109=CARTESIAN_POINT('',(4.335946804029E-2,3.037340308753E-1, +-5.163034408231E-1)); +#13110=CARTESIAN_POINT('',(7.528733490322E-2,3.245538984560E-1, +-5.146707636578E-1)); +#13111=CARTESIAN_POINT('',(9.821909618791E-2,3.550004071701E-1, +-5.130380864924E-1)); +#13112=CARTESIAN_POINT('',(1.094146290586E-1,3.914354948225E-1, +-5.114054093271E-1)); +#13113=CARTESIAN_POINT('',(1.075361760314E-1,4.295055221763E-1, +-5.097727321617E-1)); +#13114=CARTESIAN_POINT('',(9.280819400570E-2,4.646614905735E-1, +-5.081400549963E-1)); +#13115=CARTESIAN_POINT('',(6.699053384390E-2,4.927026031097E-1, +-5.065073778310E-1)); +#13116=CARTESIAN_POINT('',(3.316815527923E-2,5.102782190797E-1, +-5.048747006656E-1)); +#13117=CARTESIAN_POINT('',(-4.617495821401E-3,5.152882230116E-1, +-5.032420235003E-1)); +#13118=CARTESIAN_POINT('',(-4.185140100129E-2,5.071339680762E-1, +-5.016093463349E-1)); +#13119=CARTESIAN_POINT('',(-7.408447008452E-2,4.867898085710E-1, +-4.999766691696E-1)); +#13120=CARTESIAN_POINT('',(-9.746516425709E-2,4.566866740414E-1, +-4.983439920042E-1)); +#13121=CARTESIAN_POINT('',(-1.091997175617E-1,4.204215968012E-1, +-4.967113148389E-1)); +#13122=CARTESIAN_POINT('',(-1.078859648057E-1,3.823279014936E-1, +-4.950786376735E-1)); +#13123=CARTESIAN_POINT('',(-9.368088668871E-2,3.469574148672E-1, +-4.934459605081E-1)); +#13124=CARTESIAN_POINT('',(-6.828185213270E-2,3.185365666722E-1, +-4.918132833428E-1)); +#13125=CARTESIAN_POINT('',(-3.472379917535E-2,3.004613723384E-1, +-4.901806061774E-1)); +#13126=CARTESIAN_POINT('',(2.983410660270E-3,2.948916421016E-1, +-4.885479290121E-1)); +#13127=CARTESIAN_POINT('',(4.033413188704E-2,3.024929046505E-1, +-4.869152518467E-1)); +#13128=CARTESIAN_POINT('',(7.286531594559E-2,3.223568829088E-1, +-4.852825746814E-1)); +#13129=CARTESIAN_POINT('',(9.668980217665E-2,3.521100243282E-1, +-4.836498975160E-1)); +#13130=CARTESIAN_POINT('',(1.089607957806E-1,3.881971173736E-1, +-4.820172203507E-1)); +#13131=CARTESIAN_POINT('',(1.082120321572E-1,4.263061047852E-1, +-4.803845431853E-1)); +#13132=CARTESIAN_POINT('',(9.453298128993E-2,4.618833325561E-1, +-4.787518660200E-1)); +#13133=CARTESIAN_POINT('',(6.955815695156E-2,4.906776673768E-1, +-4.771191888546E-1)); +#13134=CARTESIAN_POINT('',(3.627180817726E-2,5.092484657919E-1, +-4.754865116892E-1)); +#13135=CARTESIAN_POINT('',(-1.348669521856E-3,5.153766976891E-1, +-4.738538345239E-1)); +#13136=CARTESIAN_POINT('',(-3.880799430696E-2,5.083300988542E-1, +-4.722211573585E-1)); +#13137=CARTESIAN_POINT('',(-7.163014054778E-2,4.889506694340E-1, +-4.705884801932E-1)); +#13138=CARTESIAN_POINT('',(-9.589318042943E-2,4.595540630969E-1, +-4.689558030278E-1)); +#13139=CARTESIAN_POINT('',(-1.086979162477E-1,4.236528888904E-1, +-4.673231258625E-1)); +#13140=CARTESIAN_POINT('',(-1.085143063939E-1,3.855369886031E-1, +-4.656904486971E-1)); +#13141=CARTESIAN_POINT('',(-9.536429044744E-2,3.497608421701E-1, +-4.640577715318E-1)); +#13142=CARTESIAN_POINT('',(-7.081916770185E-2,3.205993520979E-1, +-4.624250943664E-1)); +#13143=CARTESIAN_POINT('',(-3.781184180931E-2,3.015370320313E-1, +-4.607924172011E-1)); +#13144=CARTESIAN_POINT('',(-2.863685559185E-4,2.948516489936E-1, +-4.591597400357E-1)); +#13145=CARTESIAN_POINT('',(3.727332531553E-2,3.013420232666E-1, +-4.575270628704E-1)); +#13146=CARTESIAN_POINT('',(7.037920989524E-2,3.202326856310E-1, +-4.558943857050E-1)); +#13147=CARTESIAN_POINT('',(9.507549499707E-2,3.492661334503E-1, +-4.542617085396E-1)); +#13148=CARTESIAN_POINT('',(1.084110590454E-1,3.849740916454E-1, +-4.526290313743E-1)); +#13149=CARTESIAN_POINT('',(1.086656937495E-1,4.103822289126E-1, +-4.515405799307E-1)); +#13150=CARTESIAN_POINT('',(1.066261087256E-1,4.227301812858E-1, +-4.509963542089E-1)); +#13151=CARTESIAN_POINT('',(-1.077258960767E-1,4.137461704526E-1, +-5.525389422166E-1)); +#13152=CARTESIAN_POINT('',(-1.087250779647E-1,4.012708560102E-1, +-5.519947164948E-1)); +#13153=CARTESIAN_POINT('',(-1.063452381707E-1,3.759731359396E-1, +-5.509062650513E-1)); +#13154=CARTESIAN_POINT('',(-9.006847889094E-2,3.415063018191E-1, +-5.492735878859E-1)); +#13155=CARTESIAN_POINT('',(-6.302935083906E-2,3.146412102634E-1, +-5.476409107206E-1)); +#13156=CARTESIAN_POINT('',(-2.845884337061E-2,2.985870291340E-1, +-5.460082335552E-1)); +#13157=CARTESIAN_POINT('',(9.512223889367E-3,2.952623316963E-1, +-5.443755563899E-1)); +#13158=CARTESIAN_POINT('',(4.634667159183E-2,3.050643188265E-1, +-5.427428792245E-1)); +#13159=CARTESIAN_POINT('',(7.764314231461E-2,3.268217664982E-1, +-5.411102020592E-1)); +#13160=CARTESIAN_POINT('',(9.966201126252E-2,3.579348660557E-1, +-5.394775248938E-1)); +#13161=CARTESIAN_POINT('',(1.097722374761E-1,3.946859054817E-1, +-5.378448477284E-1)); +#13162=CARTESIAN_POINT('',(1.067657470519E-1,4.326834920184E-1, +-5.362121705631E-1)); +#13163=CARTESIAN_POINT('',(9.100178641403E-2,4.673872830762E-1, +-5.345794933977E-1)); +#13164=CARTESIAN_POINT('',(6.436399580899E-2,4.946505125275E-1, +-5.329468162324E-1)); +#13165=CARTESIAN_POINT('',(3.003533259609E-2,5.112154891503E-1, +-5.313141390670E-1)); +#13166=CARTESIAN_POINT('',(-7.882261257805E-3,5.151028590610E-1, +-5.296814619017E-1)); +#13167=CARTESIAN_POINT('',(-4.485800141796E-2,5.058481192970E-1, +-5.280487847363E-1)); +#13168=CARTESIAN_POINT('',(-7.647364592189E-2,4.845571214083E-1, +-5.264161075710E-1)); +#13169=CARTESIAN_POINT('',(-9.895143219842E-2,4.537739329348E-1, +-5.247834304056E-1)); +#13170=CARTESIAN_POINT('',(-1.096054830178E-1,4.171768460339E-1, +-5.231507532403E-1)); +#13171=CARTESIAN_POINT('',(-1.071627427440E-1,3.791388572799E-1, +-5.215180760749E-1)); +#13172=CARTESIAN_POINT('',(-9.191509512411E-2,3.442051370292E-1, +-5.198853989095E-1)); +#13173=CARTESIAN_POINT('',(-6.568448601362E-2,3.165499256905E-1, +-5.182527217442E-1)); +#13174=CARTESIAN_POINT('',(-3.160521853596E-2,2.994777525225E-1, +-5.166200445788E-1)); +#13175=CARTESIAN_POINT('',(6.250565710938E-3,2.950285764699E-1, +-5.149873674135E-1)); +#13176=CARTESIAN_POINT('',(4.335946804029E-2,3.037340308753E-1, +-5.133546902481E-1)); +#13177=CARTESIAN_POINT('',(7.528733490322E-2,3.245538984560E-1, +-5.117220130828E-1)); +#13178=CARTESIAN_POINT('',(9.821909618791E-2,3.550004071701E-1, +-5.100893359174E-1)); +#13179=CARTESIAN_POINT('',(1.094146290586E-1,3.914354948225E-1, +-5.084566587521E-1)); +#13180=CARTESIAN_POINT('',(1.075361760314E-1,4.295055221763E-1, +-5.068239815867E-1)); +#13181=CARTESIAN_POINT('',(9.280819400570E-2,4.646614905735E-1, +-5.051913044214E-1)); +#13182=CARTESIAN_POINT('',(6.699053384390E-2,4.927026031097E-1, +-5.035586272560E-1)); +#13183=CARTESIAN_POINT('',(3.316815527923E-2,5.102782190797E-1, +-5.019259500906E-1)); +#13184=CARTESIAN_POINT('',(-4.617495821401E-3,5.152882230116E-1, +-5.002932729253E-1)); +#13185=CARTESIAN_POINT('',(-4.185140100129E-2,5.071339680762E-1, +-4.986605957599E-1)); +#13186=CARTESIAN_POINT('',(-7.408447008452E-2,4.867898085710E-1, +-4.970279185946E-1)); +#13187=CARTESIAN_POINT('',(-9.746516425709E-2,4.566866740414E-1, +-4.953952414292E-1)); +#13188=CARTESIAN_POINT('',(-1.091997175617E-1,4.204215968012E-1, +-4.937625642639E-1)); +#13189=CARTESIAN_POINT('',(-1.078859648057E-1,3.823279014936E-1, +-4.921298870985E-1)); +#13190=CARTESIAN_POINT('',(-9.368088668871E-2,3.469574148672E-1, +-4.904972099332E-1)); +#13191=CARTESIAN_POINT('',(-6.828185213270E-2,3.185365666722E-1, +-4.888645327678E-1)); +#13192=CARTESIAN_POINT('',(-3.472379917535E-2,3.004613723384E-1, +-4.872318556025E-1)); +#13193=CARTESIAN_POINT('',(2.983410660270E-3,2.948916421016E-1, +-4.855991784371E-1)); +#13194=CARTESIAN_POINT('',(4.033413188704E-2,3.024929046505E-1, +-4.839665012717E-1)); +#13195=CARTESIAN_POINT('',(7.286531594559E-2,3.223568829088E-1, +-4.823338241064E-1)); +#13196=CARTESIAN_POINT('',(9.668980217665E-2,3.521100243282E-1, +-4.807011469410E-1)); +#13197=CARTESIAN_POINT('',(1.089607957806E-1,3.881971173736E-1, +-4.790684697757E-1)); +#13198=CARTESIAN_POINT('',(1.082120321572E-1,4.263061047852E-1, +-4.774357926103E-1)); +#13199=CARTESIAN_POINT('',(9.453298128993E-2,4.618833325561E-1, +-4.758031154450E-1)); +#13200=CARTESIAN_POINT('',(6.955815695156E-2,4.906776673768E-1, +-4.741704382796E-1)); +#13201=CARTESIAN_POINT('',(3.627180817726E-2,5.092484657919E-1, +-4.725377611143E-1)); +#13202=CARTESIAN_POINT('',(-1.348669521856E-3,5.153766976891E-1, +-4.709050839489E-1)); +#13203=CARTESIAN_POINT('',(-3.880799430696E-2,5.083300988542E-1, +-4.692724067835E-1)); +#13204=CARTESIAN_POINT('',(-7.163014054778E-2,4.889506694340E-1, +-4.676397296182E-1)); +#13205=CARTESIAN_POINT('',(-9.589318042943E-2,4.595540630969E-1, +-4.660070524528E-1)); +#13206=CARTESIAN_POINT('',(-1.086979162477E-1,4.236528888904E-1, +-4.643743752875E-1)); +#13207=CARTESIAN_POINT('',(-1.085143063939E-1,3.855369886031E-1, +-4.627416981221E-1)); +#13208=CARTESIAN_POINT('',(-9.536429044744E-2,3.497608421701E-1, +-4.611090209568E-1)); +#13209=CARTESIAN_POINT('',(-7.081916770185E-2,3.205993520979E-1, +-4.594763437914E-1)); +#13210=CARTESIAN_POINT('',(-3.781184180931E-2,3.015370320313E-1, +-4.578436666261E-1)); +#13211=CARTESIAN_POINT('',(-2.863685559185E-4,2.948516489936E-1, +-4.562109894607E-1)); +#13212=CARTESIAN_POINT('',(3.727332531553E-2,3.013420232666E-1, +-4.545783122954E-1)); +#13213=CARTESIAN_POINT('',(7.037920989524E-2,3.202326856310E-1, +-4.529456351300E-1)); +#13214=CARTESIAN_POINT('',(9.507549499707E-2,3.492661334503E-1, +-4.513129579647E-1)); +#13215=CARTESIAN_POINT('',(1.084110590454E-1,3.849740916454E-1, +-4.496802807993E-1)); +#13216=CARTESIAN_POINT('',(1.086656937495E-1,4.103822289126E-1, +-4.485918293557E-1)); +#13217=CARTESIAN_POINT('',(1.066261087256E-1,4.227301812858E-1, +-4.480476036340E-1)); +#13218=CARTESIAN_POINT('',(-1.077258960767E-1,4.137461704526E-1, +-5.495901916416E-1)); +#13219=CARTESIAN_POINT('',(-1.087250779647E-1,4.012708560102E-1, +-5.490459659199E-1)); +#13220=CARTESIAN_POINT('',(-1.063452381707E-1,3.759731359396E-1, +-5.479575144763E-1)); +#13221=CARTESIAN_POINT('',(-9.006847889094E-2,3.415063018191E-1, +-5.463248373109E-1)); +#13222=CARTESIAN_POINT('',(-6.302935083906E-2,3.146412102634E-1, +-5.446921601456E-1)); +#13223=CARTESIAN_POINT('',(-2.845884337061E-2,2.985870291340E-1, +-5.430594829802E-1)); +#13224=CARTESIAN_POINT('',(9.512223889367E-3,2.952623316963E-1, +-5.414268058149E-1)); +#13225=CARTESIAN_POINT('',(4.634667159183E-2,3.050643188265E-1, +-5.397941286495E-1)); +#13226=CARTESIAN_POINT('',(7.764314231461E-2,3.268217664982E-1, +-5.381614514842E-1)); +#13227=CARTESIAN_POINT('',(9.966201126252E-2,3.579348660557E-1, +-5.365287743188E-1)); +#13228=CARTESIAN_POINT('',(1.097722374761E-1,3.946859054817E-1, +-5.348960971535E-1)); +#13229=CARTESIAN_POINT('',(1.067657470519E-1,4.326834920184E-1, +-5.332634199881E-1)); +#13230=CARTESIAN_POINT('',(9.100178641403E-2,4.673872830762E-1, +-5.316307428227E-1)); +#13231=CARTESIAN_POINT('',(6.436399580899E-2,4.946505125275E-1, +-5.299980656574E-1)); +#13232=CARTESIAN_POINT('',(3.003533259609E-2,5.112154891503E-1, +-5.283653884920E-1)); +#13233=CARTESIAN_POINT('',(-7.882261257805E-3,5.151028590610E-1, +-5.267327113267E-1)); +#13234=CARTESIAN_POINT('',(-4.485800141796E-2,5.058481192970E-1, +-5.251000341613E-1)); +#13235=CARTESIAN_POINT('',(-7.647364592189E-2,4.845571214083E-1, +-5.234673569960E-1)); +#13236=CARTESIAN_POINT('',(-9.895143219842E-2,4.537739329348E-1, +-5.218346798306E-1)); +#13237=CARTESIAN_POINT('',(-1.096054830178E-1,4.171768460339E-1, +-5.202020026653E-1)); +#13238=CARTESIAN_POINT('',(-1.071627427440E-1,3.791388572799E-1, +-5.185693254999E-1)); +#13239=CARTESIAN_POINT('',(-9.191509512411E-2,3.442051370292E-1, +-5.169366483346E-1)); +#13240=CARTESIAN_POINT('',(-6.568448601362E-2,3.165499256905E-1, +-5.153039711692E-1)); +#13241=CARTESIAN_POINT('',(-3.160521853596E-2,2.994777525225E-1, +-5.136712940039E-1)); +#13242=CARTESIAN_POINT('',(6.250565710938E-3,2.950285764699E-1, +-5.120386168385E-1)); +#13243=CARTESIAN_POINT('',(4.335946804029E-2,3.037340308753E-1, +-5.104059396731E-1)); +#13244=CARTESIAN_POINT('',(7.528733490322E-2,3.245538984560E-1, +-5.087732625078E-1)); +#13245=CARTESIAN_POINT('',(9.821909618791E-2,3.550004071701E-1, +-5.071405853424E-1)); +#13246=CARTESIAN_POINT('',(1.094146290586E-1,3.914354948225E-1, +-5.055079081771E-1)); +#13247=CARTESIAN_POINT('',(1.075361760314E-1,4.295055221763E-1, +-5.038752310117E-1)); +#13248=CARTESIAN_POINT('',(9.280819400570E-2,4.646614905735E-1, +-5.022425538464E-1)); +#13249=CARTESIAN_POINT('',(6.699053384390E-2,4.927026031097E-1, +-5.006098766810E-1)); +#13250=CARTESIAN_POINT('',(3.316815527923E-2,5.102782190797E-1, +-4.989771995157E-1)); +#13251=CARTESIAN_POINT('',(-4.617495821401E-3,5.152882230116E-1, +-4.973445223503E-1)); +#13252=CARTESIAN_POINT('',(-4.185140100129E-2,5.071339680762E-1, +-4.957118451849E-1)); +#13253=CARTESIAN_POINT('',(-7.408447008452E-2,4.867898085710E-1, +-4.940791680196E-1)); +#13254=CARTESIAN_POINT('',(-9.746516425709E-2,4.566866740414E-1, +-4.924464908542E-1)); +#13255=CARTESIAN_POINT('',(-1.091997175617E-1,4.204215968012E-1, +-4.908138136889E-1)); +#13256=CARTESIAN_POINT('',(-1.078859648057E-1,3.823279014936E-1, +-4.891811365235E-1)); +#13257=CARTESIAN_POINT('',(-9.368088668871E-2,3.469574148672E-1, +-4.875484593582E-1)); +#13258=CARTESIAN_POINT('',(-6.828185213270E-2,3.185365666722E-1, +-4.859157821928E-1)); +#13259=CARTESIAN_POINT('',(-3.472379917535E-2,3.004613723384E-1, +-4.842831050275E-1)); +#13260=CARTESIAN_POINT('',(2.983410660270E-3,2.948916421016E-1, +-4.826504278621E-1)); +#13261=CARTESIAN_POINT('',(4.033413188704E-2,3.024929046505E-1, +-4.810177506968E-1)); +#13262=CARTESIAN_POINT('',(7.286531594559E-2,3.223568829088E-1, +-4.793850735314E-1)); +#13263=CARTESIAN_POINT('',(9.668980217665E-2,3.521100243282E-1, +-4.777523963660E-1)); +#13264=CARTESIAN_POINT('',(1.089607957806E-1,3.881971173736E-1, +-4.761197192007E-1)); +#13265=CARTESIAN_POINT('',(1.082120321572E-1,4.263061047852E-1, +-4.744870420353E-1)); +#13266=CARTESIAN_POINT('',(9.453298128993E-2,4.618833325561E-1, +-4.728543648700E-1)); +#13267=CARTESIAN_POINT('',(6.955815695156E-2,4.906776673768E-1, +-4.712216877046E-1)); +#13268=CARTESIAN_POINT('',(3.627180817726E-2,5.092484657919E-1, +-4.695890105393E-1)); +#13269=CARTESIAN_POINT('',(-1.348669521856E-3,5.153766976891E-1, +-4.679563333739E-1)); +#13270=CARTESIAN_POINT('',(-3.880799430696E-2,5.083300988542E-1, +-4.663236562086E-1)); +#13271=CARTESIAN_POINT('',(-7.163014054778E-2,4.889506694340E-1, +-4.646909790432E-1)); +#13272=CARTESIAN_POINT('',(-9.589318042943E-2,4.595540630969E-1, +-4.630583018779E-1)); +#13273=CARTESIAN_POINT('',(-1.086979162477E-1,4.236528888904E-1, +-4.614256247125E-1)); +#13274=CARTESIAN_POINT('',(-1.085143063939E-1,3.855369886031E-1, +-4.597929475471E-1)); +#13275=CARTESIAN_POINT('',(-9.536429044744E-2,3.497608421701E-1, +-4.581602703818E-1)); +#13276=CARTESIAN_POINT('',(-7.081916770185E-2,3.205993520979E-1, +-4.565275932164E-1)); +#13277=CARTESIAN_POINT('',(-3.781184180931E-2,3.015370320313E-1, +-4.548949160511E-1)); +#13278=CARTESIAN_POINT('',(-2.863685559185E-4,2.948516489936E-1, +-4.532622388857E-1)); +#13279=CARTESIAN_POINT('',(3.727332531553E-2,3.013420232666E-1, +-4.516295617204E-1)); +#13280=CARTESIAN_POINT('',(7.037920989524E-2,3.202326856310E-1, +-4.499968845550E-1)); +#13281=CARTESIAN_POINT('',(9.507549499707E-2,3.492661334503E-1, +-4.483642073897E-1)); +#13282=CARTESIAN_POINT('',(1.084110590454E-1,3.849740916454E-1, +-4.467315302243E-1)); +#13283=CARTESIAN_POINT('',(1.086656937495E-1,4.103822289126E-1, +-4.456430787807E-1)); +#13284=CARTESIAN_POINT('',(1.066261087256E-1,4.227301812858E-1, +-4.450988530590E-1)); +#13285=CARTESIAN_POINT('',(-1.077258960767E-1,4.137461704526E-1, +-5.466414410666E-1)); +#13286=CARTESIAN_POINT('',(-1.087250779647E-1,4.012708560102E-1, +-5.460972153449E-1)); +#13287=CARTESIAN_POINT('',(-1.063452381707E-1,3.759731359396E-1, +-5.450087639013E-1)); +#13288=CARTESIAN_POINT('',(-9.006847889094E-2,3.415063018191E-1, +-5.433760867359E-1)); +#13289=CARTESIAN_POINT('',(-6.302935083906E-2,3.146412102634E-1, +-5.417434095706E-1)); +#13290=CARTESIAN_POINT('',(-2.845884337061E-2,2.985870291340E-1, +-5.401107324052E-1)); +#13291=CARTESIAN_POINT('',(9.512223889367E-3,2.952623316963E-1, +-5.384780552399E-1)); +#13292=CARTESIAN_POINT('',(4.634667159183E-2,3.050643188265E-1, +-5.368453780745E-1)); +#13293=CARTESIAN_POINT('',(7.764314231461E-2,3.268217664982E-1, +-5.352127009092E-1)); +#13294=CARTESIAN_POINT('',(9.966201126252E-2,3.579348660557E-1, +-5.335800237438E-1)); +#13295=CARTESIAN_POINT('',(1.097722374761E-1,3.946859054817E-1, +-5.319473465785E-1)); +#13296=CARTESIAN_POINT('',(1.067657470519E-1,4.326834920184E-1, +-5.303146694131E-1)); +#13297=CARTESIAN_POINT('',(9.100178641403E-2,4.673872830762E-1, +-5.286819922478E-1)); +#13298=CARTESIAN_POINT('',(6.436399580899E-2,4.946505125275E-1, +-5.270493150824E-1)); +#13299=CARTESIAN_POINT('',(3.003533259609E-2,5.112154891503E-1, +-5.254166379171E-1)); +#13300=CARTESIAN_POINT('',(-7.882261257805E-3,5.151028590610E-1, +-5.237839607517E-1)); +#13301=CARTESIAN_POINT('',(-4.485800141796E-2,5.058481192970E-1, +-5.221512835863E-1)); +#13302=CARTESIAN_POINT('',(-7.647364592189E-2,4.845571214083E-1, +-5.205186064210E-1)); +#13303=CARTESIAN_POINT('',(-9.895143219842E-2,4.537739329348E-1, +-5.188859292556E-1)); +#13304=CARTESIAN_POINT('',(-1.096054830178E-1,4.171768460339E-1, +-5.172532520903E-1)); +#13305=CARTESIAN_POINT('',(-1.071627427440E-1,3.791388572799E-1, +-5.156205749249E-1)); +#13306=CARTESIAN_POINT('',(-9.191509512411E-2,3.442051370292E-1, +-5.139878977596E-1)); +#13307=CARTESIAN_POINT('',(-6.568448601362E-2,3.165499256905E-1, +-5.123552205942E-1)); +#13308=CARTESIAN_POINT('',(-3.160521853596E-2,2.994777525225E-1, +-5.107225434289E-1)); +#13309=CARTESIAN_POINT('',(6.250565710938E-3,2.950285764699E-1, +-5.090898662635E-1)); +#13310=CARTESIAN_POINT('',(4.335946804029E-2,3.037340308753E-1, +-5.074571890982E-1)); +#13311=CARTESIAN_POINT('',(7.528733490322E-2,3.245538984560E-1, +-5.058245119328E-1)); +#13312=CARTESIAN_POINT('',(9.821909618791E-2,3.550004071701E-1, +-5.041918347674E-1)); +#13313=CARTESIAN_POINT('',(1.094146290586E-1,3.914354948225E-1, +-5.025591576021E-1)); +#13314=CARTESIAN_POINT('',(1.075361760314E-1,4.295055221763E-1, +-5.009264804367E-1)); +#13315=CARTESIAN_POINT('',(9.280819400570E-2,4.646614905735E-1, +-4.992938032714E-1)); +#13316=CARTESIAN_POINT('',(6.699053384390E-2,4.927026031097E-1, +-4.976611261060E-1)); +#13317=CARTESIAN_POINT('',(3.316815527923E-2,5.102782190797E-1, +-4.960284489407E-1)); +#13318=CARTESIAN_POINT('',(-4.617495821401E-3,5.152882230116E-1, +-4.943957717753E-1)); +#13319=CARTESIAN_POINT('',(-4.185140100129E-2,5.071339680762E-1, +-4.927630946100E-1)); +#13320=CARTESIAN_POINT('',(-7.408447008452E-2,4.867898085710E-1, +-4.911304174446E-1)); +#13321=CARTESIAN_POINT('',(-9.746516425709E-2,4.566866740414E-1, +-4.894977402792E-1)); +#13322=CARTESIAN_POINT('',(-1.091997175617E-1,4.204215968012E-1, +-4.878650631139E-1)); +#13323=CARTESIAN_POINT('',(-1.078859648057E-1,3.823279014936E-1, +-4.862323859485E-1)); +#13324=CARTESIAN_POINT('',(-9.368088668871E-2,3.469574148672E-1, +-4.845997087832E-1)); +#13325=CARTESIAN_POINT('',(-6.828185213270E-2,3.185365666722E-1, +-4.829670316178E-1)); +#13326=CARTESIAN_POINT('',(-3.472379917535E-2,3.004613723384E-1, +-4.813343544525E-1)); +#13327=CARTESIAN_POINT('',(2.983410660270E-3,2.948916421016E-1, +-4.797016772871E-1)); +#13328=CARTESIAN_POINT('',(4.033413188704E-2,3.024929046505E-1, +-4.780690001218E-1)); +#13329=CARTESIAN_POINT('',(7.286531594559E-2,3.223568829088E-1, +-4.764363229564E-1)); +#13330=CARTESIAN_POINT('',(9.668980217665E-2,3.521100243282E-1, +-4.748036457911E-1)); +#13331=CARTESIAN_POINT('',(1.089607957806E-1,3.881971173736E-1, +-4.731709686257E-1)); +#13332=CARTESIAN_POINT('',(1.082120321572E-1,4.263061047852E-1, +-4.715382914603E-1)); +#13333=CARTESIAN_POINT('',(9.453298128993E-2,4.618833325561E-1, +-4.699056142950E-1)); +#13334=CARTESIAN_POINT('',(6.955815695156E-2,4.906776673768E-1, +-4.682729371296E-1)); +#13335=CARTESIAN_POINT('',(3.627180817726E-2,5.092484657919E-1, +-4.666402599643E-1)); +#13336=CARTESIAN_POINT('',(-1.348669521856E-3,5.153766976891E-1, +-4.650075827989E-1)); +#13337=CARTESIAN_POINT('',(-3.880799430696E-2,5.083300988542E-1, +-4.633749056336E-1)); +#13338=CARTESIAN_POINT('',(-7.163014054778E-2,4.889506694340E-1, +-4.617422284682E-1)); +#13339=CARTESIAN_POINT('',(-9.589318042943E-2,4.595540630969E-1, +-4.601095513029E-1)); +#13340=CARTESIAN_POINT('',(-1.086979162477E-1,4.236528888904E-1, +-4.584768741375E-1)); +#13341=CARTESIAN_POINT('',(-1.085143063939E-1,3.855369886031E-1, +-4.568441969722E-1)); +#13342=CARTESIAN_POINT('',(-9.536429044744E-2,3.497608421701E-1, +-4.552115198068E-1)); +#13343=CARTESIAN_POINT('',(-7.081916770185E-2,3.205993520979E-1, +-4.535788426414E-1)); +#13344=CARTESIAN_POINT('',(-3.781184180931E-2,3.015370320313E-1, +-4.519461654761E-1)); +#13345=CARTESIAN_POINT('',(-2.863685559185E-4,2.948516489936E-1, +-4.503134883107E-1)); +#13346=CARTESIAN_POINT('',(3.727332531553E-2,3.013420232666E-1, +-4.486808111454E-1)); +#13347=CARTESIAN_POINT('',(7.037920989524E-2,3.202326856310E-1, +-4.470481339800E-1)); +#13348=CARTESIAN_POINT('',(9.507549499707E-2,3.492661334503E-1, +-4.454154568147E-1)); +#13349=CARTESIAN_POINT('',(1.084110590454E-1,3.849740916454E-1, +-4.437827796493E-1)); +#13350=CARTESIAN_POINT('',(1.086656937495E-1,4.103822289126E-1, +-4.426943282058E-1)); +#13351=CARTESIAN_POINT('',(1.066261087256E-1,4.227301812858E-1, +-4.421501024840E-1)); +#13352=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#13084,#13085,#13086,#13087,#13088, +#13089,#13090,#13091,#13092,#13093,#13094,#13095,#13096,#13097,#13098,#13099, +#13100,#13101,#13102,#13103,#13104,#13105,#13106,#13107,#13108,#13109,#13110, +#13111,#13112,#13113,#13114,#13115,#13116,#13117,#13118,#13119,#13120,#13121, +#13122,#13123,#13124,#13125,#13126,#13127,#13128,#13129,#13130,#13131,#13132, +#13133,#13134,#13135,#13136,#13137,#13138,#13139,#13140,#13141,#13142,#13143, +#13144,#13145,#13146,#13147,#13148,#13149,#13150),(#13151,#13152,#13153,#13154, +#13155,#13156,#13157,#13158,#13159,#13160,#13161,#13162,#13163,#13164,#13165, +#13166,#13167,#13168,#13169,#13170,#13171,#13172,#13173,#13174,#13175,#13176, +#13177,#13178,#13179,#13180,#13181,#13182,#13183,#13184,#13185,#13186,#13187, +#13188,#13189,#13190,#13191,#13192,#13193,#13194,#13195,#13196,#13197,#13198, +#13199,#13200,#13201,#13202,#13203,#13204,#13205,#13206,#13207,#13208,#13209, +#13210,#13211,#13212,#13213,#13214,#13215,#13216,#13217),(#13218,#13219,#13220, +#13221,#13222,#13223,#13224,#13225,#13226,#13227,#13228,#13229,#13230,#13231, +#13232,#13233,#13234,#13235,#13236,#13237,#13238,#13239,#13240,#13241,#13242, +#13243,#13244,#13245,#13246,#13247,#13248,#13249,#13250,#13251,#13252,#13253, +#13254,#13255,#13256,#13257,#13258,#13259,#13260,#13261,#13262,#13263,#13264, +#13265,#13266,#13267,#13268,#13269,#13270,#13271,#13272,#13273,#13274,#13275, +#13276,#13277,#13278,#13279,#13280,#13281,#13282,#13283,#13284),(#13285,#13286, +#13287,#13288,#13289,#13290,#13291,#13292,#13293,#13294,#13295,#13296,#13297, +#13298,#13299,#13300,#13301,#13302,#13303,#13304,#13305,#13306,#13307,#13308, +#13309,#13310,#13311,#13312,#13313,#13314,#13315,#13316,#13317,#13318,#13319, +#13320,#13321,#13322,#13323,#13324,#13325,#13326,#13327,#13328,#13329,#13330, +#13331,#13332,#13333,#13334,#13335,#13336,#13337,#13338,#13339,#13340,#13341, +#13342,#13343,#13344,#13345,#13346,#13347,#13348,#13349,#13350,#13351)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,4),(-7.362592222294E-2,1.073625922223E0),(-2.E-2,-3.75E-3,1.25E-2,2.875E-2, +4.5E-2,6.125E-2,7.75E-2,9.375E-2,1.1E-1,1.2625E-1,1.425E-1,1.5875E-1,1.75E-1, +1.9125E-1,2.075E-1,2.2375E-1,2.4E-1,2.5625E-1,2.725E-1,2.8875E-1,3.05E-1, +3.2125E-1,3.375E-1,3.5375E-1,3.7E-1,3.8625E-1,4.025E-1,4.1875E-1,4.35E-1, +4.5125E-1,4.675E-1,4.8375E-1,5.E-1,5.1625E-1,5.325E-1,5.4875E-1,5.65E-1, +5.8125E-1,5.975E-1,6.1375E-1,6.3E-1,6.4625E-1,6.625E-1,6.7875E-1,6.95E-1, +7.1125E-1,7.275E-1,7.4375E-1,7.6E-1,7.7625E-1,7.925E-1,8.0875E-1,8.25E-1, +8.4125E-1,8.575E-1,8.7375E-1,8.9E-1,9.0625E-1,9.225E-1,9.3875E-1,9.55E-1, +9.7125E-1,9.875E-1,1.00375E0,1.02E0),.UNSPECIFIED.); +#13353=ORIENTED_EDGE('',*,*,#13078,.T.); +#13354=ORIENTED_EDGE('',*,*,#10844,.T.); +#13355=ORIENTED_EDGE('',*,*,#10189,.F.); +#13356=ORIENTED_EDGE('',*,*,#11155,.F.); +#13357=EDGE_LOOP('',(#13353,#13354,#13355,#13356)); +#13358=FACE_OUTER_BOUND('',#13357,.F.); +#13359=ADVANCED_FACE('',(#13358),#13352,.F.); +#13360=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#13361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13362=DIRECTION('',(0.E0,1.E0,0.E0)); +#13363=AXIS2_PLACEMENT_3D('',#13360,#13361,#13362); +#13364=CYLINDRICAL_SURFACE('',#13363,1.218503937008E-1); +#13365=ORIENTED_EDGE('',*,*,#11802,.T.); +#13366=ORIENTED_EDGE('',*,*,#11183,.T.); +#13367=ORIENTED_EDGE('',*,*,#11479,.T.); +#13369=ORIENTED_EDGE('',*,*,#13368,.F.); +#13370=EDGE_LOOP('',(#13365,#13366,#13367,#13369)); +#13371=FACE_OUTER_BOUND('',#13370,.F.); +#13372=ADVANCED_FACE('',(#13371),#13364,.T.); +#13373=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#13374=DIRECTION('',(1.E0,0.E0,0.E0)); +#13375=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13376=AXIS2_PLACEMENT_3D('',#13373,#13374,#13375); +#13377=PLANE('',#13376); +#13378=ORIENTED_EDGE('',*,*,#13368,.T.); +#13379=ORIENTED_EDGE('',*,*,#11477,.T.); +#13380=ORIENTED_EDGE('',*,*,#11516,.F.); +#13381=ORIENTED_EDGE('',*,*,#11804,.T.); +#13382=EDGE_LOOP('',(#13378,#13379,#13380,#13381)); +#13383=FACE_OUTER_BOUND('',#13382,.F.); +#13384=ADVANCED_FACE('',(#13383),#13377,.F.); +#13385=CARTESIAN_POINT('',(9.424003203496E-2,3.271027678769E-1, +-4.626870076993E-1)); +#13386=CARTESIAN_POINT('',(1.032746835228E-1,3.380163371812E-1, +-4.621427819775E-1)); +#13387=CARTESIAN_POINT('',(1.175115553125E-1,3.630108710056E-1, +-4.610543305339E-1)); +#13388=CARTESIAN_POINT('',(1.248273975178E-1,4.055365235488E-1, +-4.594216533686E-1)); +#13389=CARTESIAN_POINT('',(1.172270351705E-1,4.480115244868E-1, +-4.577889762032E-1)); +#13390=CARTESIAN_POINT('',(9.561932559562E-2,4.853613544423E-1, +-4.561562990379E-1)); +#13391=CARTESIAN_POINT('',(6.258599600508E-2,5.131228487266E-1, +-4.545236218725E-1)); +#13392=CARTESIAN_POINT('',(2.207425795687E-2,5.279788379539E-1, +-4.528909447072E-1)); +#13393=CARTESIAN_POINT('',(-2.107514240094E-2,5.281541596600E-1, +-4.512582675418E-1)); +#13394=CARTESIAN_POINT('',(-6.170626710497E-2,5.136278688860E-1, +-4.496255903765E-1)); +#13395=CARTESIAN_POINT('',(-9.496409217882E-2,4.861357152003E-1, +-4.479929132111E-1)); +#13396=CARTESIAN_POINT('',(-1.168746301621E-1,4.489627443627E-1, +-4.463602360457E-1)); +#13397=CARTESIAN_POINT('',(-1.248197845570E-1,4.065507654042E-1, +-4.447275588804E-1)); +#13398=CARTESIAN_POINT('',(-1.178501865322E-1,3.639675980671E-1, +-4.430948817150E-1)); +#13399=CARTESIAN_POINT('',(-9.679863539087E-2,3.263015174146E-1, +-4.414622045497E-1)); +#13400=CARTESIAN_POINT('',(-6.418058710964E-2,2.980532543974E-1, +-4.398295273843E-1)); +#13401=CARTESIAN_POINT('',(-2.389358177942E-2,2.825982021915E-1, +-4.381968502190E-1)); +#13402=CARTESIAN_POINT('',(1.924847459768E-2,2.817830894871E-1, +-4.365641730536E-1)); +#13403=CARTESIAN_POINT('',(6.009052513816E-2,2.957053143386E-1, +-4.349314958883E-1)); +#13404=CARTESIAN_POINT('',(9.375234132594E-2,3.227013060430E-1, +-4.332988187229E-1)); +#13405=CARTESIAN_POINT('',(1.162116629393E-1,3.595453056879E-1, +-4.316661415576E-1)); +#13406=CARTESIAN_POINT('',(1.247848190965E-1,4.018348130233E-1, +-4.300334643922E-1)); +#13407=CARTESIAN_POINT('',(1.184474008708E-1,4.445166424990E-1, +-4.284007872268E-1)); +#13408=CARTESIAN_POINT('',(9.795666821231E-2,4.824907298782E-1, +-4.267681100615E-1)); +#13409=CARTESIAN_POINT('',(6.576106473501E-2,5.112195403983E-1, +-4.251354328961E-1)); +#13410=CARTESIAN_POINT('',(2.570765247680E-2,5.272702601217E-1, +-4.235027557308E-1)); +#13411=CARTESIAN_POINT('',(-1.741757466432E-2,5.287249838733E-1, +-4.218700785654E-1)); +#13412=CARTESIAN_POINT('',(-5.846157073518E-2,5.154098862882E-1, +-4.202374014001E-1)); +#13413=CARTESIAN_POINT('',(-9.251997668947E-2,4.889159922554E-1, +-4.186047242347E-1)); +#13414=CARTESIAN_POINT('',(-1.155231436787E-1,4.524090648905E-1, +-4.169720470694E-1)); +#13415=CARTESIAN_POINT('',(-1.247224165787E-1,4.102513275783E-1, +-4.153393699040E-1)); +#13416=CARTESIAN_POINT('',(-1.190185715910E-1,3.674802206308E-1, +-4.137066927387E-1)); +#13417=CARTESIAN_POINT('',(-9.909316281466E-2,3.292064760758E-1, +-4.120740155733E-1)); +#13418=CARTESIAN_POINT('',(-6.732708314844E-2,3.000034347991E-1, +-4.104413384079E-1)); +#13419=CARTESIAN_POINT('',(-2.751607070491E-2,2.833605767096E-1, +-4.088086612426E-1)); +#13420=CARTESIAN_POINT('',(1.558284504238E-2,2.812665617683E-1, +-4.071759840772E-1)); +#13421=CARTESIAN_POINT('',(5.681976209638E-2,2.939716044304E-1, +-4.055433069119E-1)); +#13422=CARTESIAN_POINT('',(9.126726922630E-2,3.199575754475E-1, +-4.039106297465E-1)); +#13423=CARTESIAN_POINT('',(1.148092237709E-1,3.561194035731E-1, +-4.022779525812E-1)); +#13424=CARTESIAN_POINT('',(1.246325907240E-1,3.981361014309E-1, +-4.006452754158E-1)); +#13425=CARTESIAN_POINT('',(1.195635731069E-1,4.409870815546E-1, +-3.990125982505E-1)); +#13426=CARTESIAN_POINT('',(1.002078693112E-1,4.795520678471E-1, +-3.973799210851E-1)); +#13427=CARTESIAN_POINT('',(6.887829802179E-2,5.092229188626E-1, +-3.957472439197E-1)); +#13428=CARTESIAN_POINT('',(2.931843883797E-2,5.264542559704E-1, +-3.941145667544E-1)); +#13429=CARTESIAN_POINT('',(-1.374468914285E-2,5.291871016801E-1, +-3.924818895890E-1)); +#13430=CARTESIAN_POINT('',(-5.516546021426E-2,5.170949074617E-1, +-3.908492124237E-1)); +#13431=CARTESIAN_POINT('',(-8.999449437537E-2,4.916225731248E-1, +-3.892165352583E-1)); +#13432=CARTESIAN_POINT('',(-1.140700601888E-1,4.558137953193E-1, +-3.875838580930E-1)); +#13433=CARTESIAN_POINT('',(-1.245153612825E-1,4.139473753359E-1, +-3.859511809276E-1)); +#13434=CARTESIAN_POINT('',(-1.200822855862E-1,3.710259438939E-1, +-3.843185037623E-1)); +#13435=CARTESIAN_POINT('',(-1.013005426061E-1,3.321781953388E-1, +-3.826858265969E-1)); +#13436=CARTESIAN_POINT('',(-7.041436828186E-2,3.020460584618E-1, +-3.810531494316E-1)); +#13437=CARTESIAN_POINT('',(-3.111436058051E-2,2.842300310753E-1, +-3.794204722662E-1)); +#13438=CARTESIAN_POINT('',(1.190351113019E-2,2.808589554820E-1, +-3.777877951008E-1)); +#13439=CARTESIAN_POINT('',(5.349902882782E-2,2.923356424845E-1, +-3.761551179355E-1)); +#13440=CARTESIAN_POINT('',(8.870193198957E-2,3.172887394168E-1, +-3.745224407701E-1)); +#13441=CARTESIAN_POINT('',(1.133058154499E-1,3.527365934320E-1, +-3.728897636048E-1)); +#13442=CARTESIAN_POINT('',(1.243707540535E-1,3.944435302245E-1, +-3.712570864394E-1)); +#13443=CARTESIAN_POINT('',(1.205745948906E-1,4.374259754083E-1, +-3.696244092741E-1)); +#13444=CARTESIAN_POINT('',(1.023709427707E-1,4.765479454307E-1, +-3.679917321087E-1)); +#13445=CARTESIAN_POINT('',(7.193495498023E-2,5.071347396861E-1, +-3.663590549434E-1)); +#13446=CARTESIAN_POINT('',(3.290344555195E-2,5.255315519231E-1, +-3.647263777780E-1)); +#13447=CARTESIAN_POINT('',(-1.005973261831E-2,5.295400718852E-1, +-3.630937006127E-1)); +#13448=CARTESIAN_POINT('',(-5.182077170069E-2,5.186815808643E-1, +-3.614610234473E-1)); +#13449=CARTESIAN_POINT('',(-8.739010005705E-2,4.942525908798E-1, +-3.598283462820E-1)); +#13450=CARTESIAN_POINT('',(-1.041405567460E-1,4.708680352892E-1, +-3.587398948384E-1)); +#13451=CARTESIAN_POINT('',(-1.102758829120E-1,4.580974168508E-1, +-3.581956691166E-1)); +#13452=CARTESIAN_POINT('',(9.044942858728E-2,3.302407679320E-1, +-4.598458976554E-1)); +#13453=CARTESIAN_POINT('',(9.912068056916E-2,3.407153622854E-1, +-4.593016719337E-1)); +#13454=CARTESIAN_POINT('',(1.127849046833E-1,3.647045445195E-1, +-4.582132204901E-1)); +#13455=CARTESIAN_POINT('',(1.198064828048E-1,4.055196937694E-1, +-4.565805433247E-1)); +#13456=CARTESIAN_POINT('',(1.125118287547E-1,4.462862287666E-1, +-4.549478661594E-1)); +#13457=CARTESIAN_POINT('',(9.177324301859E-2,4.821337418070E-1, +-4.533151889940E-1)); +#13458=CARTESIAN_POINT('',(6.006860836088E-2,5.087785894427E-1, +-4.516825118287E-1)); +#13459=CARTESIAN_POINT('',(2.118636820864E-2,5.230370283215E-1, +-4.500498346633E-1)); +#13460=CARTESIAN_POINT('',(-2.022743993607E-2,5.232052980874E-1, +-4.484171574980E-1)); +#13461=CARTESIAN_POINT('',(-5.922426467161E-2,5.092632962478E-1, +-4.467844803326E-1)); +#13462=CARTESIAN_POINT('',(-9.114436496263E-2,4.828769555621E-1, +-4.451518031673E-1)); +#13463=CARTESIAN_POINT('',(-1.121735984830E-1,4.471991878603E-1, +-4.435191260019E-1)); +#13464=CARTESIAN_POINT('',(-1.197991760591E-1,4.064931399185E-1, +-4.418864488366E-1)); +#13465=CARTESIAN_POINT('',(-1.131099151875E-1,3.656227892839E-1, +-4.402537716712E-1)); +#13466=CARTESIAN_POINT('',(-9.290511760314E-2,3.294717460535E-1, +-4.386210945059E-1)); +#13467=CARTESIAN_POINT('',(-6.159906045351E-2,3.023597089147E-1, +-4.369884173405E-1)); +#13468=CARTESIAN_POINT('',(-2.293251362700E-2,2.875263030826E-1, +-4.353557401751E-1)); +#13469=CARTESIAN_POINT('',(1.847424593290E-2,2.867439765409E-1, +-4.337230630098E-1)); +#13470=CARTESIAN_POINT('',(5.767351246486E-2,3.001062097160E-1, +-4.320903858444E-1)); +#13471=CARTESIAN_POINT('',(8.998135419252E-2,3.260163454734E-1, +-4.304577086791E-1)); +#13472=CARTESIAN_POINT('',(1.115372976968E-1,3.613783741442E-1, +-4.288250315137E-1)); +#13473=CARTESIAN_POINT('',(1.197656170093E-1,4.019668766216E-1, +-4.271923543484E-1)); +#13474=CARTESIAN_POINT('',(1.136831078585E-1,4.429319209216E-1, +-4.255596771830E-1)); +#13475=CARTESIAN_POINT('',(9.401657103457E-2,4.793785819679E-1, +-4.239270000177E-1)); +#13476=CARTESIAN_POINT('',(6.311596675141E-2,5.069518376154E-1, +-4.222943228523E-1)); +#13477=CARTESIAN_POINT('',(2.467361721589E-2,5.223569515150E-1, +-4.206616456870E-1)); +#13478=CARTESIAN_POINT('',(-1.671699002800E-2,5.237531621193E-1, +-4.190289685216E-1)); +#13479=CARTESIAN_POINT('',(-5.611007926391E-2,5.109736358166E-1, +-4.173962913562E-1)); +#13480=CARTESIAN_POINT('',(-8.879855878411E-2,4.855454019274E-1, +-4.157636141909E-1)); +#13481=CARTESIAN_POINT('',(-1.108764726488E-1,4.505068875260E-1, +-4.141309370255E-1)); +#13482=CARTESIAN_POINT('',(-1.197057244992E-1,4.100448549049E-1, +-4.124982598602E-1)); +#13483=CARTESIAN_POINT('',(-1.142313044598E-1,3.689941241282E-1, +-4.108655826948E-1)); +#13484=CARTESIAN_POINT('',(-9.510735257565E-2,3.322598589742E-1, +-4.092329055295E-1)); +#13485=CARTESIAN_POINT('',(-6.461899542820E-2,3.042314474866E-1, +-4.076002283641E-1)); +#13486=CARTESIAN_POINT('',(-2.640929569398E-2,2.882580127185E-1, +-4.059675511988E-1)); +#13487=CARTESIAN_POINT('',(1.495605847554E-2,2.862482250433E-1, +-4.043348740334E-1)); +#13488=CARTESIAN_POINT('',(5.453430886124E-2,2.984422345757E-1, +-4.027021968680E-1)); +#13489=CARTESIAN_POINT('',(8.759623879564E-2,3.233829755647E-1, +-4.010695197027E-1)); +#13490=CARTESIAN_POINT('',(1.101912686402E-1,3.580902716045E-1, +-3.994368425373E-1)); +#13491=CARTESIAN_POINT('',(1.196195116970E-1,3.984169377813E-1, +-3.978041653720E-1)); +#13492=CARTESIAN_POINT('',(1.147543844569E-1,4.395443290067E-1, +-3.961714882066E-1)); +#13493=CARTESIAN_POINT('',(9.617722239086E-2,4.765581213231E-1, +-3.945388110413E-1)); +#13494=CARTESIAN_POINT('',(6.610781600562E-2,5.050355259047E-1, +-3.929061338759E-1)); +#13495=CARTESIAN_POINT('',(2.813916742915E-2,5.215737693830E-1, +-3.912734567106E-1)); +#13496=CARTESIAN_POINT('',(-1.319183846016E-2,5.241966922271E-1, +-3.896407795452E-1)); +#13497=CARTESIAN_POINT('',(-5.294654772918E-2,5.125908806223E-1, +-3.880081023799E-1)); +#13498=CARTESIAN_POINT('',(-8.637465858710E-2,4.881431163782E-1, +-3.863754252145E-1)); +#13499=CARTESIAN_POINT('',(-1.094818363301E-1,4.537746699655E-1, +-3.847427480491E-1)); +#13500=CARTESIAN_POINT('',(-1.195069975588E-1,4.135922370575E-1, +-3.831100708838E-1)); +#13501=CARTESIAN_POINT('',(-1.152522328377E-1,3.723972282672E-1, +-3.814773937184E-1)); +#13502=CARTESIAN_POINT('',(-9.722594524268E-2,3.351120471947E-1, +-3.798447165531E-1)); +#13503=CARTESIAN_POINT('',(-6.758210112940E-2,3.061919109873E-1, +-3.782120393877E-1)); +#13504=CARTESIAN_POINT('',(-2.986285206606E-2,2.890924951445E-1, +-3.765793622224E-1)); +#13505=CARTESIAN_POINT('',(1.142471788966E-2,2.858570138469E-1, +-3.749466850570E-1)); +#13506=CARTESIAN_POINT('',(5.134714497613E-2,2.968720756952E-1, +-3.733140078917E-1)); +#13507=CARTESIAN_POINT('',(8.513408675488E-2,3.208214877474E-1, +-3.716813307263E-1)); +#13508=CARTESIAN_POINT('',(1.087483316990E-1,3.548435277561E-1, +-3.700486535610E-1)); +#13509=CARTESIAN_POINT('',(1.193682068458E-1,3.948728923430E-1, +-3.684159763956E-1)); +#13510=CARTESIAN_POINT('',(1.157247400548E-1,4.361264607279E-1, +-3.667832992302E-1)); +#13511=CARTESIAN_POINT('',(9.825329085320E-2,4.736748332966E-1, +-3.651506220649E-1)); +#13512=CARTESIAN_POINT('',(6.904152548461E-2,5.030313392631E-1, +-3.635179448995E-1)); +#13513=CARTESIAN_POINT('',(3.157997492638E-2,5.206881791297E-1, +-3.618852677342E-1)); +#13514=CARTESIAN_POINT('',(-9.655101419428E-3,5.245354649617E-1, +-3.602525905688E-1)); +#13515=CARTESIAN_POINT('',(-4.973639214745E-2,5.141137334857E-1, +-3.586199134035E-1)); +#13516=CARTESIAN_POINT('',(-8.387502045216E-2,4.906673473019E-1, +-3.569872362381E-1)); +#13517=CARTESIAN_POINT('',(-9.995172589655E-2,4.682233853755E-1, +-3.558987847946E-1)); +#13518=CARTESIAN_POINT('',(-1.058402717080E-1,4.559664377119E-1, +-3.553545590728E-1)); +#13519=CARTESIAN_POINT('',(8.665882513960E-2,3.333787679871E-1, +-4.570047876116E-1)); +#13520=CARTESIAN_POINT('',(9.496667761557E-2,3.434143873897E-1, +-4.564605618898E-1)); +#13521=CARTESIAN_POINT('',(1.080582540542E-1,3.663982180333E-1, +-4.553721104463E-1)); +#13522=CARTESIAN_POINT('',(1.147855680918E-1,4.055028639901E-1, +-4.537394332809E-1)); +#13523=CARTESIAN_POINT('',(1.077966223389E-1,4.445609330464E-1, +-4.521067561156E-1)); +#13524=CARTESIAN_POINT('',(8.792716044158E-2,4.789061291718E-1, +-4.504740789502E-1)); +#13525=CARTESIAN_POINT('',(5.755122071670E-2,5.044343301587E-1, +-4.488414017849E-1)); +#13526=CARTESIAN_POINT('',(2.029847846045E-2,5.180952186890E-1, +-4.472087246195E-1)); +#13527=CARTESIAN_POINT('',(-1.937973747116E-2,5.182564365148E-1, +-4.455760474541E-1)); +#13528=CARTESIAN_POINT('',(-5.674226223819E-2,5.048987236096E-1, +-4.439433702888E-1)); +#13529=CARTESIAN_POINT('',(-8.732463774639E-2,4.796181959240E-1, +-4.423106931234E-1)); +#13530=CARTESIAN_POINT('',(-1.074725668038E-1,4.454356313580E-1, +-4.406780159581E-1)); +#13531=CARTESIAN_POINT('',(-1.147785675611E-1,4.064355144329E-1, +-4.390453387927E-1)); +#13532=CARTESIAN_POINT('',(-1.083696438429E-1,3.672779805009E-1, +-4.374126616274E-1)); +#13533=CARTESIAN_POINT('',(-8.901159981552E-2,3.326419746924E-1, +-4.357799844620E-1)); +#13534=CARTESIAN_POINT('',(-5.901753379754E-2,3.066661634321E-1, +-4.341473072967E-1)); +#13535=CARTESIAN_POINT('',(-2.197144547479E-2,2.924544039737E-1, +-4.325146301313E-1)); +#13536=CARTESIAN_POINT('',(1.770001726788E-2,2.917048635947E-1, +-4.308819529660E-1)); +#13537=CARTESIAN_POINT('',(5.525649979133E-2,3.045071050933E-1, +-4.292492758006E-1)); +#13538=CARTESIAN_POINT('',(8.621036705890E-2,3.293313849037E-1, +-4.276165986353E-1)); +#13539=CARTESIAN_POINT('',(1.068629324542E-1,3.632114426001E-1, +-4.259839214699E-1)); +#13540=CARTESIAN_POINT('',(1.147464149221E-1,4.020989402196E-1, +-4.243512443045E-1)); +#13541=CARTESIAN_POINT('',(1.089188148462E-1,4.413471993437E-1, +-4.227185671392E-1)); +#13542=CARTESIAN_POINT('',(9.007647385710E-2,4.762664340572E-1, +-4.210858899738E-1)); +#13543=CARTESIAN_POINT('',(6.047086876819E-2,5.026841348323E-1, +-4.194532128085E-1)); +#13544=CARTESIAN_POINT('',(2.363958195545E-2,5.174436429082E-1, +-4.178205356431E-1)); +#13545=CARTESIAN_POINT('',(-1.601640539118E-2,5.187813403654E-1, +-4.161878584778E-1)); +#13546=CARTESIAN_POINT('',(-5.375858779216E-2,5.065373853453E-1, +-4.145551813124E-1)); +#13547=CARTESIAN_POINT('',(-8.507714087837E-2,4.821748115997E-1, +-4.129225041471E-1)); +#13548=CARTESIAN_POINT('',(-1.062298016187E-1,4.486047101622E-1, +-4.112898269817E-1)); +#13549=CARTESIAN_POINT('',(-1.146890324196E-1,4.098383822321E-1, +-4.096571498163E-1)); +#13550=CARTESIAN_POINT('',(-1.094440373287E-1,3.705080276263E-1, +-4.080244726510E-1)); +#13551=CARTESIAN_POINT('',(-9.112154233705E-2,3.353132418732E-1, +-4.063917954856E-1)); +#13552=CARTESIAN_POINT('',(-6.191090770855E-2,3.084594601744E-1, +-4.047591183203E-1)); +#13553=CARTESIAN_POINT('',(-2.530252068376E-2,2.931554487275E-1, +-4.031264411549E-1)); +#13554=CARTESIAN_POINT('',(1.432927190797E-2,2.912298883182E-1, +-4.014937639896E-1)); +#13555=CARTESIAN_POINT('',(5.224885562541E-2,3.029128647206E-1, +-3.998610868242E-1)); +#13556=CARTESIAN_POINT('',(8.392520836446E-2,3.268083756814E-1, +-3.982284096589E-1)); +#13557=CARTESIAN_POINT('',(1.055733135092E-1,3.600611396352E-1, +-3.965957324935E-1)); +#13558=CARTESIAN_POINT('',(1.146064326701E-1,3.986977741308E-1, +-3.949630553282E-1)); +#13559=CARTESIAN_POINT('',(1.099451958071E-1,4.381015764579E-1, +-3.933303781628E-1)); +#13560=CARTESIAN_POINT('',(9.214657547096E-2,4.735641747983E-1, +-3.916977009974E-1)); +#13561=CARTESIAN_POINT('',(6.333733399014E-2,5.008481329464E-1, +-3.900650238321E-1)); +#13562=CARTESIAN_POINT('',(2.695989602114E-2,5.166932827953E-1, +-3.884323466667E-1)); +#13563=CARTESIAN_POINT('',(-1.263898777665E-2,5.192062827742E-1, +-3.867996695014E-1)); +#13564=CARTESIAN_POINT('',(-5.072763524336E-2,5.080868537834E-1, +-3.851669923360E-1)); +#13565=CARTESIAN_POINT('',(-8.275482279826E-2,4.846636596321E-1, +-3.835343151707E-1)); +#13566=CARTESIAN_POINT('',(-1.048936124711E-1,4.517355446125E-1, +-3.819016380053E-1)); +#13567=CARTESIAN_POINT('',(-1.144986338350E-1,4.132370987799E-1, +-3.802689608400E-1)); +#13568=CARTESIAN_POINT('',(-1.104221800894E-1,3.737685126413E-1, +-3.786362836746E-1)); +#13569=CARTESIAN_POINT('',(-9.315134787971E-2,3.380458990511E-1, +-3.770036065093E-1)); +#13570=CARTESIAN_POINT('',(-6.474983397755E-2,3.103377635132E-1, +-3.753709293439E-1)); +#13571=CARTESIAN_POINT('',(-2.861134355230E-2,2.939549592139E-1, +-3.737382521785E-1)); +#13572=CARTESIAN_POINT('',(1.094592464847E-2,2.908550722117E-1, +-3.721055750132E-1)); +#13573=CARTESIAN_POINT('',(4.919526112387E-2,3.014085089056E-1, +-3.704728978478E-1)); +#13574=CARTESIAN_POINT('',(8.156624151977E-2,3.243542360774E-1, +-3.688402206825E-1)); +#13575=CARTESIAN_POINT('',(1.041908479478E-1,3.569504620797E-1, +-3.672075435171E-1)); +#13576=CARTESIAN_POINT('',(1.143656596381E-1,3.953022544610E-1, +-3.655748663518E-1)); +#13577=CARTESIAN_POINT('',(1.108748852190E-1,4.348269460472E-1, +-3.639421891864E-1)); +#13578=CARTESIAN_POINT('',(9.413563893596E-2,4.708017211622E-1, +-3.623095120211E-1)); +#13579=CARTESIAN_POINT('',(6.614809598923E-2,4.989279388400E-1, +-3.606768348557E-1)); +#13580=CARTESIAN_POINT('',(3.025650430103E-2,5.158448063363E-1, +-3.590441576904E-1)); +#13581=CARTESIAN_POINT('',(-9.250470220396E-3,5.195308580382E-1, +-3.574114805250E-1)); +#13582=CARTESIAN_POINT('',(-4.765201259416E-2,5.095458861071E-1, +-3.557788033597E-1)); +#13583=CARTESIAN_POINT('',(-8.035994084730E-2,4.870821037240E-1, +-3.541461261943E-1)); +#13584=CARTESIAN_POINT('',(-9.576289504710E-2,4.655787354617E-1, +-3.530576747507E-1)); +#13585=CARTESIAN_POINT('',(-1.014046605040E-1,4.538354585730E-1, +-3.525134490289E-1)); +#13586=CARTESIAN_POINT('',(8.286822169192E-2,3.365167680423E-1, +-4.541636775678E-1)); +#13587=CARTESIAN_POINT('',(9.081267466198E-2,3.461134124940E-1, +-4.536194518460E-1)); +#13588=CARTESIAN_POINT('',(1.033316034250E-1,3.680918915471E-1, +-4.525310004024E-1)); +#13589=CARTESIAN_POINT('',(1.097646533788E-1,4.054860342107E-1, +-4.508983232371E-1)); +#13590=CARTESIAN_POINT('',(1.030814159231E-1,4.428356373262E-1, +-4.492656460717E-1)); +#13591=CARTESIAN_POINT('',(8.408107786455E-2,4.756785165366E-1, +-4.476329689064E-1)); +#13592=CARTESIAN_POINT('',(5.503383307250E-2,5.000900708747E-1, +-4.460002917410E-1)); +#13593=CARTESIAN_POINT('',(1.941058871222E-2,5.131534090566E-1, +-4.443676145757E-1)); +#13594=CARTESIAN_POINT('',(-1.853203500630E-2,5.133075749423E-1, +-4.427349374103E-1)); +#13595=CARTESIAN_POINT('',(-5.426025980482E-2,5.005341509713E-1, +-4.411022602450E-1)); +#13596=CARTESIAN_POINT('',(-8.350491053020E-2,4.763594362857E-1, +-4.394695830796E-1)); +#13597=CARTESIAN_POINT('',(-1.027715351247E-1,4.436720748555E-1, +-4.378369059143E-1)); +#13598=CARTESIAN_POINT('',(-1.097579590632E-1,4.063778889472E-1, +-4.362042287489E-1)); +#13599=CARTESIAN_POINT('',(-1.036293724982E-1,3.689331717178E-1, +-4.345715515835E-1)); +#13600=CARTESIAN_POINT('',(-8.511808202778E-2,3.358122033313E-1, +-4.329388744182E-1)); +#13601=CARTESIAN_POINT('',(-5.643600714140E-2,3.109726179494E-1, +-4.313061972528E-1)); +#13602=CARTESIAN_POINT('',(-2.101037732236E-2,2.973825048647E-1, +-4.296735200875E-1)); +#13603=CARTESIAN_POINT('',(1.692578860311E-2,2.966657506485E-1, +-4.280408429221E-1)); +#13604=CARTESIAN_POINT('',(5.283948711804E-2,3.089080004707E-1, +-4.264081657568E-1)); +#13605=CARTESIAN_POINT('',(8.243937992548E-2,3.326464243341E-1, +-4.247754885914E-1)); +#13606=CARTESIAN_POINT('',(1.021885672116E-1,3.650445110564E-1, +-4.231428114261E-1)); +#13607=CARTESIAN_POINT('',(1.097272128349E-1,4.022310038179E-1, +-4.215101342607E-1)); +#13608=CARTESIAN_POINT('',(1.041545218338E-1,4.397624777663E-1, +-4.198774570954E-1)); +#13609=CARTESIAN_POINT('',(8.613637667935E-2,4.731542861469E-1, +-4.182447799300E-1)); +#13610=CARTESIAN_POINT('',(5.782577078458E-2,4.984164320494E-1, +-4.166121027646E-1)); +#13611=CARTESIAN_POINT('',(2.260554669453E-2,5.125303343014E-1, +-4.149794255993E-1)); +#13612=CARTESIAN_POINT('',(-1.531582075488E-2,5.138095186115E-1, +-4.133467484339E-1)); +#13613=CARTESIAN_POINT('',(-5.140709632090E-2,5.021011348738E-1, +-4.117140712686E-1)); +#13614=CARTESIAN_POINT('',(-8.135572297303E-2,4.788042212717E-1, +-4.100813941032E-1)); +#13615=CARTESIAN_POINT('',(-1.015831305888E-1,4.467025327977E-1, +-4.084487169379E-1)); +#13616=CARTESIAN_POINT('',(-1.096723403401E-1,4.096319095587E-1, +-4.068160397725E-1)); +#13617=CARTESIAN_POINT('',(-1.046567701974E-1,3.720219311237E-1, +-4.051833626072E-1)); +#13618=CARTESIAN_POINT('',(-8.713573209803E-2,3.383666247716E-1, +-4.035506854418E-1)); +#13619=CARTESIAN_POINT('',(-5.920281998830E-2,3.126874728619E-1, +-4.019180082765E-1)); +#13620=CARTESIAN_POINT('',(-2.419574567281E-2,2.980528847364E-1, +-4.002853311111E-1)); +#13621=CARTESIAN_POINT('',(1.370248534116E-2,2.962115515932E-1, +-3.986526539457E-1)); +#13622=CARTESIAN_POINT('',(4.996340239028E-2,3.073834948659E-1, +-3.970199767804E-1)); +#13623=CARTESIAN_POINT('',(8.025417793381E-2,3.302337757986E-1, +-3.953872996150E-1)); +#13624=CARTESIAN_POINT('',(1.009553583786E-1,3.620320076666E-1, +-3.937546224497E-1)); +#13625=CARTESIAN_POINT('',(1.095933536431E-1,3.989786104812E-1, +-3.921219452843E-1)); +#13626=CARTESIAN_POINT('',(1.051360071571E-1,4.366588239100E-1, +-3.904892681190E-1)); +#13627=CARTESIAN_POINT('',(8.811592855055E-2,4.705702282743E-1, +-3.888565909536E-1)); +#13628=CARTESIAN_POINT('',(6.056685197395E-2,4.966607399885E-1, +-3.872239137883E-1)); +#13629=CARTESIAN_POINT('',(2.578062461230E-2,5.118127962079E-1, +-3.855912366229E-1)); +#13630=CARTESIAN_POINT('',(-1.208613709399E-2,5.142158733212E-1, +-3.839585594575E-1)); +#13631=CARTESIAN_POINT('',(-4.850872275831E-2,5.035828269440E-1, +-3.823258822922E-1)); +#13632=CARTESIAN_POINT('',(-7.913498701E-2,4.811842028854E-1, +-3.806932051268E-1)); +#13633=CARTESIAN_POINT('',(-1.003053886124E-1,4.496964192587E-1, +-3.790605279615E-1)); +#13634=CARTESIAN_POINT('',(-1.094902701113E-1,4.128819605015E-1, +-3.774278507961E-1)); +#13635=CARTESIAN_POINT('',(-1.055921273409E-1,3.751397970146E-1, +-3.757951736308E-1)); +#13636=CARTESIAN_POINT('',(-8.907675051629E-2,3.409797509069E-1, +-3.741624964654E-1)); +#13637=CARTESIAN_POINT('',(-6.191756682507E-2,3.144836160386E-1, +-3.725298193001E-1)); +#13638=CARTESIAN_POINT('',(-2.735983503783E-2,2.988174232831E-1, +-3.708971421347E-1)); +#13639=CARTESIAN_POINT('',(1.046713140796E-2,2.958531305765E-1, +-3.692644649694E-1)); +#13640=CARTESIAN_POINT('',(4.704337727220E-2,3.059449421163E-1, +-3.676317878040E-1)); +#13641=CARTESIAN_POINT('',(7.799839628509E-2,3.278869844080E-1, +-3.659991106387E-1)); +#13642=CARTESIAN_POINT('',(9.963336419691E-2,3.590573964038E-1, +-3.643664334733E-1)); +#13643=CARTESIAN_POINT('',(1.093631124305E-1,3.957316165794E-1, +-3.627337563079E-1)); +#13644=CARTESIAN_POINT('',(1.060250303832E-1,4.335274313668E-1, +-3.611010791426E-1)); +#13645=CARTESIAN_POINT('',(9.001798701849E-2,4.679286090282E-1, +-3.594684019772E-1)); +#13646=CARTESIAN_POINT('',(6.325466649360E-2,4.948245384170E-1, +-3.578357248119E-1)); +#13647=CARTESIAN_POINT('',(2.893303367545E-2,5.110014335429E-1, +-3.562030476465E-1)); +#13648=CARTESIAN_POINT('',(-8.845839021521E-3,5.145262511147E-1, +-3.545703704812E-1)); +#13649=CARTESIAN_POINT('',(-4.556763304093E-2,5.049780387285E-1, +-3.529376933158E-1)); +#13650=CARTESIAN_POINT('',(-7.684486124242E-2,4.834968601461E-1, +-3.513050161505E-1)); +#13651=CARTESIAN_POINT('',(-9.157406419760E-2,4.629340855480E-1, +-3.502165647069E-1)); +#13652=CARTESIAN_POINT('',(-9.696904929999E-2,4.517044794342E-1, +-3.496723389851E-1)); +#13653=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#13385,#13386,#13387,#13388,#13389, +#13390,#13391,#13392,#13393,#13394,#13395,#13396,#13397,#13398,#13399,#13400, +#13401,#13402,#13403,#13404,#13405,#13406,#13407,#13408,#13409,#13410,#13411, +#13412,#13413,#13414,#13415,#13416,#13417,#13418,#13419,#13420,#13421,#13422, +#13423,#13424,#13425,#13426,#13427,#13428,#13429,#13430,#13431,#13432,#13433, +#13434,#13435,#13436,#13437,#13438,#13439,#13440,#13441,#13442,#13443,#13444, +#13445,#13446,#13447,#13448,#13449,#13450,#13451),(#13452,#13453,#13454,#13455, +#13456,#13457,#13458,#13459,#13460,#13461,#13462,#13463,#13464,#13465,#13466, +#13467,#13468,#13469,#13470,#13471,#13472,#13473,#13474,#13475,#13476,#13477, +#13478,#13479,#13480,#13481,#13482,#13483,#13484,#13485,#13486,#13487,#13488, +#13489,#13490,#13491,#13492,#13493,#13494,#13495,#13496,#13497,#13498,#13499, +#13500,#13501,#13502,#13503,#13504,#13505,#13506,#13507,#13508,#13509,#13510, +#13511,#13512,#13513,#13514,#13515,#13516,#13517,#13518),(#13519,#13520,#13521, +#13522,#13523,#13524,#13525,#13526,#13527,#13528,#13529,#13530,#13531,#13532, +#13533,#13534,#13535,#13536,#13537,#13538,#13539,#13540,#13541,#13542,#13543, +#13544,#13545,#13546,#13547,#13548,#13549,#13550,#13551,#13552,#13553,#13554, +#13555,#13556,#13557,#13558,#13559,#13560,#13561,#13562,#13563,#13564,#13565, +#13566,#13567,#13568,#13569,#13570,#13571,#13572,#13573,#13574,#13575,#13576, +#13577,#13578,#13579,#13580,#13581,#13582,#13583,#13584,#13585),(#13586,#13587, +#13588,#13589,#13590,#13591,#13592,#13593,#13594,#13595,#13596,#13597,#13598, +#13599,#13600,#13601,#13602,#13603,#13604,#13605,#13606,#13607,#13608,#13609, +#13610,#13611,#13612,#13613,#13614,#13615,#13616,#13617,#13618,#13619,#13620, +#13621,#13622,#13623,#13624,#13625,#13626,#13627,#13628,#13629,#13630,#13631, +#13632,#13633,#13634,#13635,#13636,#13637,#13638,#13639,#13640,#13641,#13642, +#13643,#13644,#13645,#13646,#13647,#13648,#13649,#13650,#13651,#13652)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,4),(-3.568022467212E-2,1.035680224672E0),(-2.E-2,-3.75E-3,1.25E-2,2.875E-2, +4.5E-2,6.125E-2,7.75E-2,9.375E-2,1.1E-1,1.2625E-1,1.425E-1,1.5875E-1,1.75E-1, +1.9125E-1,2.075E-1,2.2375E-1,2.4E-1,2.5625E-1,2.725E-1,2.8875E-1,3.05E-1, +3.2125E-1,3.375E-1,3.5375E-1,3.7E-1,3.8625E-1,4.025E-1,4.1875E-1,4.35E-1, +4.5125E-1,4.675E-1,4.8375E-1,5.E-1,5.1625E-1,5.325E-1,5.4875E-1,5.65E-1, +5.8125E-1,5.975E-1,6.1375E-1,6.3E-1,6.4625E-1,6.625E-1,6.7875E-1,6.95E-1, +7.1125E-1,7.275E-1,7.4375E-1,7.6E-1,7.7625E-1,7.925E-1,8.0875E-1,8.25E-1, +8.4125E-1,8.575E-1,8.7375E-1,8.9E-1,9.0625E-1,9.225E-1,9.3875E-1,9.55E-1, +9.7125E-1,9.875E-1,1.00375E0,1.02E0),.UNSPECIFIED.); +#13655=ORIENTED_EDGE('',*,*,#13654,.F.); +#13657=ORIENTED_EDGE('',*,*,#13656,.F.); +#13658=ORIENTED_EDGE('',*,*,#11157,.T.); +#13659=ORIENTED_EDGE('',*,*,#10187,.T.); +#13661=ORIENTED_EDGE('',*,*,#13660,.F.); +#13663=ORIENTED_EDGE('',*,*,#13662,.F.); +#13665=ORIENTED_EDGE('',*,*,#13664,.F.); +#13667=ORIENTED_EDGE('',*,*,#13666,.F.); +#13669=ORIENTED_EDGE('',*,*,#13668,.F.); +#13671=ORIENTED_EDGE('',*,*,#13670,.F.); +#13672=EDGE_LOOP('',(#13655,#13657,#13658,#13659,#13661,#13663,#13665,#13667, +#13669,#13671)); +#13673=FACE_OUTER_BOUND('',#13672,.F.); +#13674=ADVANCED_FACE('',(#13673),#13653,.F.); +#13675=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#13676=DIRECTION('',(1.E0,0.E0,0.E0)); +#13677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13678=AXIS2_PLACEMENT_3D('',#13675,#13676,#13677); +#13679=PLANE('',#13678); +#13681=ORIENTED_EDGE('',*,*,#13680,.T.); +#13682=ORIENTED_EDGE('',*,*,#10839,.T.); +#13684=ORIENTED_EDGE('',*,*,#13683,.F.); +#13685=ORIENTED_EDGE('',*,*,#13654,.T.); +#13686=EDGE_LOOP('',(#13681,#13682,#13684,#13685)); +#13687=FACE_OUTER_BOUND('',#13686,.F.); +#13688=ADVANCED_FACE('',(#13687),#13679,.F.); +#13689=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#13690=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13691=DIRECTION('',(0.E0,1.E0,0.E0)); +#13692=AXIS2_PLACEMENT_3D('',#13689,#13690,#13691); +#13693=CYLINDRICAL_SURFACE('',#13692,1.218503937008E-1); +#13694=ORIENTED_EDGE('',*,*,#13670,.T.); +#13696=ORIENTED_EDGE('',*,*,#13695,.T.); +#13697=ORIENTED_EDGE('',*,*,#10542,.T.); +#13698=ORIENTED_EDGE('',*,*,#10841,.T.); +#13699=ORIENTED_EDGE('',*,*,#13680,.F.); +#13700=EDGE_LOOP('',(#13694,#13696,#13697,#13698,#13699)); +#13701=FACE_OUTER_BOUND('',#13700,.F.); +#13702=ADVANCED_FACE('',(#13701),#13693,.T.); +#13703=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#13704=DIRECTION('',(1.E0,0.E0,0.E0)); +#13705=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13706=AXIS2_PLACEMENT_3D('',#13703,#13704,#13705); +#13707=PLANE('',#13706); +#13709=ORIENTED_EDGE('',*,*,#13708,.T.); +#13710=ORIENTED_EDGE('',*,*,#10544,.T.); +#13711=ORIENTED_EDGE('',*,*,#13695,.F.); +#13712=ORIENTED_EDGE('',*,*,#13668,.T.); +#13713=EDGE_LOOP('',(#13709,#13710,#13711,#13712)); +#13714=FACE_OUTER_BOUND('',#13713,.F.); +#13715=ADVANCED_FACE('',(#13714),#13707,.F.); +#13716=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#13717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13718=DIRECTION('',(0.E0,1.E0,0.E0)); +#13719=AXIS2_PLACEMENT_3D('',#13716,#13717,#13718); +#13720=CYLINDRICAL_SURFACE('',#13719,1.218503937008E-1); +#13721=ORIENTED_EDGE('',*,*,#13666,.T.); +#13723=ORIENTED_EDGE('',*,*,#13722,.T.); +#13724=ORIENTED_EDGE('',*,*,#10546,.T.); +#13725=ORIENTED_EDGE('',*,*,#13708,.F.); +#13726=EDGE_LOOP('',(#13721,#13723,#13724,#13725)); +#13727=FACE_OUTER_BOUND('',#13726,.F.); +#13728=ADVANCED_FACE('',(#13727),#13720,.T.); +#13729=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#13730=DIRECTION('',(1.E0,0.E0,0.E0)); +#13731=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13732=AXIS2_PLACEMENT_3D('',#13729,#13730,#13731); +#13733=PLANE('',#13732); +#13735=ORIENTED_EDGE('',*,*,#13734,.T.); +#13736=ORIENTED_EDGE('',*,*,#10548,.T.); +#13737=ORIENTED_EDGE('',*,*,#13722,.F.); +#13738=ORIENTED_EDGE('',*,*,#13664,.T.); +#13739=EDGE_LOOP('',(#13735,#13736,#13737,#13738)); +#13740=FACE_OUTER_BOUND('',#13739,.F.); +#13741=ADVANCED_FACE('',(#13740),#13733,.F.); +#13742=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#13743=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13744=DIRECTION('',(0.E0,1.E0,0.E0)); +#13745=AXIS2_PLACEMENT_3D('',#13742,#13743,#13744); +#13746=CYLINDRICAL_SURFACE('',#13745,1.218503937008E-1); +#13747=ORIENTED_EDGE('',*,*,#13662,.T.); +#13749=ORIENTED_EDGE('',*,*,#13748,.F.); +#13751=ORIENTED_EDGE('',*,*,#13750,.T.); +#13752=ORIENTED_EDGE('',*,*,#10550,.T.); +#13753=ORIENTED_EDGE('',*,*,#13734,.F.); +#13754=EDGE_LOOP('',(#13747,#13749,#13751,#13752,#13753)); +#13755=FACE_OUTER_BOUND('',#13754,.F.); +#13756=ADVANCED_FACE('',(#13755),#13746,.T.); +#13757=CARTESIAN_POINT('',(-7.490097578650E-2,5.018518742880E-1, +-3.603764903972E-1)); +#13758=CARTESIAN_POINT('',(-9.018696474416E-2,4.900159295171E-1, +-3.596338763965E-1)); +#13759=CARTESIAN_POINT('',(-1.150266722523E-1,4.589725083881E-1, +-3.581486483953E-1)); +#13760=CARTESIAN_POINT('',(-1.269288523987E-1,4.005207916235E-1, +-3.559208063933E-1)); +#13761=CARTESIAN_POINT('',(-1.108292053648E-1,3.430832912396E-1, +-3.536929643914E-1)); +#13762=CARTESIAN_POINT('',(-8.380876756686E-2,3.139182264241E-1, +-3.522077363901E-1)); +#13763=CARTESIAN_POINT('',(-6.770656805904E-2,3.032191508546E-1, +-3.514651223895E-1)); +#13764=CARTESIAN_POINT('',(-7.188824445651E-2,4.979609658109E-1, +-3.575353803534E-1)); +#13765=CARTESIAN_POINT('',(-8.655938724750E-2,4.866010965686E-1, +-3.567927663527E-1)); +#13766=CARTESIAN_POINT('',(-1.103999707222E-1,4.568063305665E-1, +-3.553075383514E-1)); +#13767=CARTESIAN_POINT('',(-1.218234111640E-1,4.007057089183E-1, +-3.530796963495E-1)); +#13768=CARTESIAN_POINT('',(-1.063713379502E-1,3.455785089691E-1, +-3.508518543476E-1)); +#13769=CARTESIAN_POINT('',(-8.043773939100E-2,3.175865464208E-1, +-3.493666263463E-1)); +#13770=CARTESIAN_POINT('',(-6.498321637109E-2,3.073178182511E-1, +-3.486240123457E-1)); +#13771=CARTESIAN_POINT('',(-6.887551312652E-2,4.940700573339E-1, +-3.546942703095E-1)); +#13772=CARTESIAN_POINT('',(-8.293180975083E-2,4.831862636200E-1, +-3.539516563089E-1)); +#13773=CARTESIAN_POINT('',(-1.057732691920E-1,4.546401527448E-1, +-3.524664283076E-1)); +#13774=CARTESIAN_POINT('',(-1.167179699293E-1,4.008906262130E-1, +-3.502385863057E-1)); +#13775=CARTESIAN_POINT('',(-1.019134705356E-1,3.480737266986E-1, +-3.480107443038E-1)); +#13776=CARTESIAN_POINT('',(-7.706671121508E-2,3.212548664175E-1, +-3.465255163025E-1)); +#13777=CARTESIAN_POINT('',(-6.225986468307E-2,3.114164856476E-1, +-3.457829023018E-1)); +#13778=CARTESIAN_POINT('',(-6.586278179653E-2,4.901791488568E-1, +-3.518531602657E-1)); +#13779=CARTESIAN_POINT('',(-7.930423225416E-2,4.797714306714E-1, +-3.511105462651E-1)); +#13780=CARTESIAN_POINT('',(-1.011465676619E-1,4.524739749231E-1, +-3.496253182638E-1)); +#13781=CARTESIAN_POINT('',(-1.116125286946E-1,4.010755435078E-1, +-3.473974762619E-1)); +#13782=CARTESIAN_POINT('',(-9.745560312097E-2,3.505689444281E-1, +-3.451696342599E-1)); +#13783=CARTESIAN_POINT('',(-7.369568303921E-2,3.249231864143E-1, +-3.436844062586E-1)); +#13784=CARTESIAN_POINT('',(-5.953651299512E-2,3.155151530441E-1, +-3.429417922580E-1)); +#13785=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#13757,#13758,#13759,#13760,#13761, +#13762,#13763),(#13764,#13765,#13766,#13767,#13768,#13769,#13770),(#13771, +#13772,#13773,#13774,#13775,#13776,#13777),(#13778,#13779,#13780,#13781,#13782, +#13783,#13784)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,4),(-3.568022467212E-2, +1.035680224672E0),(-1.705666350641E-3,2.046799620769E-2,4.264165876601E-2, +6.481532132434E-2,8.698898388266E-2),.UNSPECIFIED.); +#13786=ORIENTED_EDGE('',*,*,#10359,.T.); +#13787=ORIENTED_EDGE('',*,*,#10392,.F.); +#13789=ORIENTED_EDGE('',*,*,#13788,.F.); +#13790=ORIENTED_EDGE('',*,*,#13748,.T.); +#13791=ORIENTED_EDGE('',*,*,#13660,.T.); +#13792=ORIENTED_EDGE('',*,*,#10342,.T.); +#13793=EDGE_LOOP('',(#13786,#13787,#13789,#13790,#13791,#13792)); +#13794=FACE_OUTER_BOUND('',#13793,.F.); +#13795=ADVANCED_FACE('',(#13794),#13785,.F.); +#13796=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#13797=DIRECTION('',(1.E0,0.E0,0.E0)); +#13798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13799=AXIS2_PLACEMENT_3D('',#13796,#13797,#13798); +#13800=PLANE('',#13799); +#13801=ORIENTED_EDGE('',*,*,#10390,.T.); +#13802=ORIENTED_EDGE('',*,*,#10552,.T.); +#13803=ORIENTED_EDGE('',*,*,#13750,.F.); +#13804=ORIENTED_EDGE('',*,*,#13788,.T.); +#13805=EDGE_LOOP('',(#13801,#13802,#13803,#13804)); +#13806=FACE_OUTER_BOUND('',#13805,.F.); +#13807=ADVANCED_FACE('',(#13806),#13800,.F.); +#13808=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#13809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13810=DIRECTION('',(0.E0,1.E0,0.E0)); +#13811=AXIS2_PLACEMENT_3D('',#13808,#13809,#13810); +#13812=CYLINDRICAL_SURFACE('',#13811,1.218503937008E-1); +#13813=ORIENTED_EDGE('',*,*,#13656,.T.); +#13814=ORIENTED_EDGE('',*,*,#13683,.T.); +#13815=ORIENTED_EDGE('',*,*,#10837,.T.); +#13817=ORIENTED_EDGE('',*,*,#13816,.F.); +#13818=ORIENTED_EDGE('',*,*,#11159,.T.); +#13819=EDGE_LOOP('',(#13813,#13814,#13815,#13817,#13818)); +#13820=FACE_OUTER_BOUND('',#13819,.F.); +#13821=ADVANCED_FACE('',(#13820),#13812,.T.); +#13822=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#13823=DIRECTION('',(1.E0,0.E0,0.E0)); +#13824=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13825=AXIS2_PLACEMENT_3D('',#13822,#13823,#13824); +#13826=PLANE('',#13825); +#13827=ORIENTED_EDGE('',*,*,#13816,.T.); +#13828=ORIENTED_EDGE('',*,*,#10835,.T.); +#13830=ORIENTED_EDGE('',*,*,#13829,.F.); +#13831=ORIENTED_EDGE('',*,*,#11161,.T.); +#13832=EDGE_LOOP('',(#13827,#13828,#13830,#13831)); +#13833=FACE_OUTER_BOUND('',#13832,.F.); +#13834=ADVANCED_FACE('',(#13833),#13826,.F.); +#13835=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-5.344488188976E-2)); +#13836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13837=DIRECTION('',(0.E0,1.E0,0.E0)); +#13838=AXIS2_PLACEMENT_3D('',#13835,#13836,#13837); +#13839=CYLINDRICAL_SURFACE('',#13838,1.218503937008E-1); +#13840=ORIENTED_EDGE('',*,*,#11163,.T.); +#13841=ORIENTED_EDGE('',*,*,#13829,.T.); +#13842=ORIENTED_EDGE('',*,*,#10833,.T.); +#13844=ORIENTED_EDGE('',*,*,#13843,.F.); +#13845=EDGE_LOOP('',(#13840,#13841,#13842,#13844)); +#13846=FACE_OUTER_BOUND('',#13845,.F.); +#13847=ADVANCED_FACE('',(#13846),#13839,.T.); +#13848=CARTESIAN_POINT('',(-1.084645669291E-1,6.019685039370E-1,-7.5E-1)); +#13849=DIRECTION('',(1.E0,0.E0,0.E0)); +#13850=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13851=AXIS2_PLACEMENT_3D('',#13848,#13849,#13850); +#13852=PLANE('',#13851); +#13853=ORIENTED_EDGE('',*,*,#13843,.T.); +#13854=ORIENTED_EDGE('',*,*,#10831,.T.); +#13855=ORIENTED_EDGE('',*,*,#10875,.F.); +#13856=ORIENTED_EDGE('',*,*,#11165,.T.); +#13857=EDGE_LOOP('',(#13853,#13854,#13855,#13856)); +#13858=FACE_OUTER_BOUND('',#13857,.F.); +#13859=ADVANCED_FACE('',(#13858),#13852,.F.); +#13860=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#13861=DIRECTION('',(0.E0,0.E0,1.E0)); +#13862=DIRECTION('',(0.E0,1.E0,0.E0)); +#13863=AXIS2_PLACEMENT_3D('',#13860,#13861,#13862); +#13864=CYLINDRICAL_SURFACE('',#13863,1.100393700787E-1); +#13866=ORIENTED_EDGE('',*,*,#13865,.T.); +#13867=ORIENTED_EDGE('',*,*,#10363,.F.); +#13869=ORIENTED_EDGE('',*,*,#13868,.T.); +#13871=ORIENTED_EDGE('',*,*,#13870,.F.); +#13873=ORIENTED_EDGE('',*,*,#13872,.T.); +#13875=ORIENTED_EDGE('',*,*,#13874,.T.); +#13876=EDGE_LOOP('',(#13866,#13867,#13869,#13871,#13873,#13875)); +#13877=FACE_OUTER_BOUND('',#13876,.F.); +#13878=ADVANCED_FACE('',(#13877),#13864,.F.); +#13879=CARTESIAN_POINT('',(-1.083755186739E-1,4.211008656935E-1, +-3.717195841513E-1)); +#13880=CARTESIAN_POINT('',(-1.109107349141E-1,4.039101268551E-1, +-3.710178793152E-1)); +#13881=CARTESIAN_POINT('',(-1.076426014570E-1,3.683167206437E-1, +-3.696144696430E-1)); +#13882=CARTESIAN_POINT('',(-7.882342269945E-2,3.230906566556E-1, +-3.675093551347E-1)); +#13883=CARTESIAN_POINT('',(-3.248857360809E-2,2.960953036944E-1, +-3.654042406264E-1)); +#13884=CARTESIAN_POINT('',(2.106532974437E-2,2.933246418752E-1, +-3.632991261181E-1)); +#13885=CARTESIAN_POINT('',(6.993840205513E-2,3.153955605142E-1, +-3.611940116098E-1)); +#13886=CARTESIAN_POINT('',(1.032706429990E-1,3.574034120649E-1, +-3.590888971015E-1)); +#13887=CARTESIAN_POINT('',(1.136553995745E-1,4.100138348264E-1, +-3.569837825932E-1)); +#13888=CARTESIAN_POINT('',(9.878509950822E-2,4.615363871569E-1, +-3.548786680849E-1)); +#13889=CARTESIAN_POINT('',(6.196403403968E-2,5.005223892662E-1, +-3.527735535765E-1)); +#13890=CARTESIAN_POINT('',(1.137410526899E-2,5.183088689417E-1, +-3.506684390682E-1)); +#13891=CARTESIAN_POINT('',(-4.174321487340E-2,5.109435326199E-1, +-3.485633245599E-1)); +#13892=CARTESIAN_POINT('',(-8.558495448945E-2,4.800630450249E-1, +-3.464582100516E-1)); +#13893=CARTESIAN_POINT('',(-1.104088523981E-1,4.325291494994E-1, +-3.443530955433E-1)); +#13894=CARTESIAN_POINT('',(-1.107000079426E-1,3.789046909915E-1, +-3.422479810350E-1)); +#13895=CARTESIAN_POINT('',(-8.638943305875E-2,3.311036671852E-1, +-3.401428665267E-1)); +#13896=CARTESIAN_POINT('',(-5.739323038264E-2,3.102041099556E-1, +-3.387394568545E-1)); +#13897=CARTESIAN_POINT('',(-4.129867116477E-2,3.036532331611E-1, +-3.380377520184E-1)); +#13898=CARTESIAN_POINT('',(-1.138929567262E-1,4.219145537313E-1, +-3.749395329544E-1)); +#13899=CARTESIAN_POINT('',(-1.165572417702E-1,4.038486279709E-1, +-3.742378281183E-1)); +#13900=CARTESIAN_POINT('',(-1.131227264206E-1,3.664431481819E-1, +-3.728344184461E-1)); +#13901=CARTESIAN_POINT('',(-8.283635253028E-2,3.189146084183E-1, +-3.707293039378E-1)); +#13902=CARTESIAN_POINT('',(-3.414257900050E-2,2.905449119754E-1, +-3.686241894295E-1)); +#13903=CARTESIAN_POINT('',(2.213777353369E-2,2.876331947302E-1, +-3.665190749212E-1)); +#13904=CARTESIAN_POINT('',(7.349899217310E-2,3.108277520640E-1, +-3.644139604129E-1)); +#13905=CARTESIAN_POINT('',(1.085281899279E-1,3.549742389955E-1, +-3.623088459046E-1)); +#13906=CARTESIAN_POINT('',(1.194416383315E-1,4.102630779902E-1, +-3.602037313963E-1)); +#13907=CARTESIAN_POINT('',(1.038142857461E-1,4.644086626646E-1, +-3.580986168880E-1)); +#13908=CARTESIAN_POINT('',(6.511864610956E-2,5.053794566732E-1, +-3.559935023797E-1)); +#13909=CARTESIAN_POINT('',(1.195316520789E-2,5.240714526590E-1, +-3.538883878714E-1)); +#13910=CARTESIAN_POINT('',(-4.386837750228E-2,5.163311443195E-1, +-3.517832733631E-1)); +#13911=CARTESIAN_POINT('',(-8.994211642406E-2,4.838785195905E-1, +-3.496781588548E-1)); +#13912=CARTESIAN_POINT('',(-1.160298082282E-1,4.339246557257E-1, +-3.475730443465E-1)); +#13913=CARTESIAN_POINT('',(-1.163357866100E-1,3.775701560468E-1, +-3.454679298382E-1)); +#13914=CARTESIAN_POINT('',(-9.078755129719E-2,3.273355643008E-1, +-3.433628153299E-1)); +#13915=CARTESIAN_POINT('',(-6.031514113458E-2,3.053720028224E-1, +-3.419594056577E-1)); +#13916=CARTESIAN_POINT('',(-4.340120190772E-2,2.984876184485E-1, +-3.412577008216E-1)); +#13917=CARTESIAN_POINT('',(-1.194103947786E-1,4.227282417692E-1, +-3.781594817576E-1)); +#13918=CARTESIAN_POINT('',(-1.222037486264E-1,4.037871290867E-1, +-3.774577769215E-1)); +#13919=CARTESIAN_POINT('',(-1.186028513843E-1,3.645695757201E-1, +-3.760543672492E-1)); +#13920=CARTESIAN_POINT('',(-8.684928236112E-2,3.147385601810E-1, +-3.739492527409E-1)); +#13921=CARTESIAN_POINT('',(-3.579658439292E-2,2.849945202564E-1, +-3.718441382326E-1)); +#13922=CARTESIAN_POINT('',(2.321021732302E-2,2.819417475852E-1, +-3.697390237243E-1)); +#13923=CARTESIAN_POINT('',(7.705958229106E-2,3.062599436139E-1, +-3.676339092160E-1)); +#13924=CARTESIAN_POINT('',(1.137857368568E-1,3.525450659261E-1, +-3.655287947077E-1)); +#13925=CARTESIAN_POINT('',(1.252278770886E-1,4.105123211539E-1, +-3.634236801994E-1)); +#13926=CARTESIAN_POINT('',(1.088434719839E-1,4.672809381724E-1, +-3.613185656911E-1)); +#13927=CARTESIAN_POINT('',(6.827325817947E-2,5.102365240803E-1, +-3.592134511828E-1)); +#13928=CARTESIAN_POINT('',(1.253222514684E-2,5.298340363762E-1, +-3.571083366745E-1)); +#13929=CARTESIAN_POINT('',(-4.599354013111E-2,5.217187560192E-1, +-3.550032221662E-1)); +#13930=CARTESIAN_POINT('',(-9.429927835864E-2,4.876939941561E-1, +-3.528981076579E-1)); +#13931=CARTESIAN_POINT('',(-1.216507640584E-1,4.353201619520E-1, +-3.507929931496E-1)); +#13932=CARTESIAN_POINT('',(-1.219715652775E-1,3.762356211021E-1, +-3.486878786413E-1)); +#13933=CARTESIAN_POINT('',(-9.518566953567E-2,3.235674614164E-1, +-3.465827641330E-1)); +#13934=CARTESIAN_POINT('',(-6.323705188659E-2,3.005398956892E-1, +-3.451793544608E-1)); +#13935=CARTESIAN_POINT('',(-4.550373265075E-2,2.933220037359E-1, +-3.444776496247E-1)); +#13936=CARTESIAN_POINT('',(-1.249278328309E-1,4.235419298071E-1, +-3.813794305607E-1)); +#13937=CARTESIAN_POINT('',(-1.278502554825E-1,4.037256302025E-1, +-3.806777257246E-1)); +#13938=CARTESIAN_POINT('',(-1.240829763479E-1,3.626960032583E-1, +-3.792743160524E-1)); +#13939=CARTESIAN_POINT('',(-9.086221219196E-2,3.105625119437E-1, +-3.771692015441E-1)); +#13940=CARTESIAN_POINT('',(-3.745058978533E-2,2.794441285374E-1, +-3.750640870358E-1)); +#13941=CARTESIAN_POINT('',(2.428266111234E-2,2.762503004403E-1, +-3.729589725275E-1)); +#13942=CARTESIAN_POINT('',(8.062017240903E-2,3.016921351637E-1, +-3.708538580192E-1)); +#13943=CARTESIAN_POINT('',(1.190432837857E-1,3.501158928566E-1, +-3.687487435109E-1)); +#13944=CARTESIAN_POINT('',(1.310141158457E-1,4.107615643176E-1, +-3.666436290026E-1)); +#13945=CARTESIAN_POINT('',(1.138726582217E-1,4.701532136802E-1, +-3.645385144943E-1)); +#13946=CARTESIAN_POINT('',(7.142787024934E-2,5.150935914874E-1, +-3.624333999860E-1)); +#13947=CARTESIAN_POINT('',(1.311128508575E-2,5.355966200934E-1, +-3.603282854777E-1)); +#13948=CARTESIAN_POINT('',(-4.811870275998E-2,5.271063677189E-1, +-3.582231709694E-1)); +#13949=CARTESIAN_POINT('',(-9.865644029325E-2,4.915094687217E-1, +-3.561180564611E-1)); +#13950=CARTESIAN_POINT('',(-1.272717198886E-1,4.367156681783E-1, +-3.540129419528E-1)); +#13951=CARTESIAN_POINT('',(-1.276073439450E-1,3.749010861574E-1, +-3.519078274445E-1)); +#13952=CARTESIAN_POINT('',(-9.958378777411E-2,3.197993585320E-1, +-3.498027129362E-1)); +#13953=CARTESIAN_POINT('',(-6.615896263853E-2,2.957077885560E-1, +-3.483993032640E-1)); +#13954=CARTESIAN_POINT('',(-4.760626339371E-2,2.881563890233E-1, +-3.476975984279E-1)); +#13955=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#13879,#13880,#13881,#13882,#13883, +#13884,#13885,#13886,#13887,#13888,#13889,#13890,#13891,#13892,#13893,#13894, +#13895,#13896,#13897),(#13898,#13899,#13900,#13901,#13902,#13903,#13904,#13905, +#13906,#13907,#13908,#13909,#13910,#13911,#13912,#13913,#13914,#13915,#13916),( +#13917,#13918,#13919,#13920,#13921,#13922,#13923,#13924,#13925,#13926,#13927, +#13928,#13929,#13930,#13931,#13932,#13933,#13934,#13935),(#13936,#13937,#13938, +#13939,#13940,#13941,#13942,#13943,#13944,#13945,#13946,#13947,#13948,#13949, +#13950,#13951,#13952,#13953,#13954)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,4),(-3.122019658811E-2,1.031220196588E0),( +-8.301664953861E-3,1.867874614619E-2,4.565915724623E-2,7.263956834628E-2, +9.961997944633E-2,1.266003905464E-1,1.535808016464E-1,1.805612127465E-1, +2.075416238465E-1,2.345220349466E-1,2.615024460466E-1,2.884828571467E-1, +3.154632682467E-1,3.424436793467E-1,3.694240904468E-1,3.964045015468E-1, +4.233849126469E-1),.UNSPECIFIED.); +#13956=ORIENTED_EDGE('',*,*,#9764,.F.); +#13957=ORIENTED_EDGE('',*,*,#10373,.F.); +#13959=ORIENTED_EDGE('',*,*,#13958,.F.); +#13961=ORIENTED_EDGE('',*,*,#13960,.T.); +#13963=ORIENTED_EDGE('',*,*,#13962,.T.); +#13965=ORIENTED_EDGE('',*,*,#13964,.T.); +#13966=ORIENTED_EDGE('',*,*,#10365,.F.); +#13967=ORIENTED_EDGE('',*,*,#13865,.F.); +#13969=ORIENTED_EDGE('',*,*,#13968,.F.); +#13970=EDGE_LOOP('',(#13956,#13957,#13959,#13961,#13963,#13965,#13966,#13967, +#13969)); +#13971=FACE_OUTER_BOUND('',#13970,.F.); +#13972=ADVANCED_FACE('',(#13971),#13955,.F.); +#13973=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#13974=DIRECTION('',(0.E0,0.E0,1.E0)); +#13975=DIRECTION('',(0.E0,1.E0,0.E0)); +#13976=AXIS2_PLACEMENT_3D('',#13973,#13974,#13975); +#13977=CYLINDRICAL_SURFACE('',#13976,1.100393700787E-1); +#13978=ORIENTED_EDGE('',*,*,#10371,.F.); +#13980=ORIENTED_EDGE('',*,*,#13979,.T.); +#13982=ORIENTED_EDGE('',*,*,#13981,.T.); +#13983=ORIENTED_EDGE('',*,*,#13958,.T.); +#13984=EDGE_LOOP('',(#13978,#13980,#13982,#13983)); +#13985=FACE_OUTER_BOUND('',#13984,.F.); +#13986=ADVANCED_FACE('',(#13985),#13977,.F.); +#13987=CARTESIAN_POINT('',(1.417264623690E-2,2.796368899598E-1, +-3.820924240852E-1)); +#13988=CARTESIAN_POINT('',(2.912291472958E-2,2.813254682668E-1, +-3.815653624867E-1)); +#13989=CARTESIAN_POINT('',(5.840868183596E-2,2.901028970174E-1, +-3.805112392896E-1)); +#13990=CARTESIAN_POINT('',(9.495810202839E-2,3.178076331927E-1, +-3.789300544941E-1)); +#13991=CARTESIAN_POINT('',(1.195036094096E-1,3.565484871047E-1, +-3.773488696985E-1)); +#13992=CARTESIAN_POINT('',(1.289438740076E-1,4.014286758258E-1, +-3.757676849029E-1)); +#13993=CARTESIAN_POINT('',(1.220852845989E-1,4.467752088045E-1, +-3.741865001074E-1)); +#13994=CARTESIAN_POINT('',(9.979487308667E-2,4.868561974967E-1, +-3.726053153118E-1)); +#13995=CARTESIAN_POINT('',(6.489017073205E-2,5.166053163073E-1, +-3.710241305162E-1)); +#13996=CARTESIAN_POINT('',(2.178321312294E-2,5.322622137042E-1, +-3.694429457207E-1)); +#13997=CARTESIAN_POINT('',(-2.407718931224E-2,5.318478239185E-1, +-3.678617609251E-1)); +#13998=CARTESIAN_POINT('',(-6.689418461083E-2,5.154145275336E-1, +-3.662805761295E-1)); +#13999=CARTESIAN_POINT('',(-1.012556070452E-1,4.850395255054E-1, +-3.646993913340E-1)); +#14000=CARTESIAN_POINT('',(-1.228181213979E-1,4.445622939220E-1, +-3.631182065384E-1)); +#14001=CARTESIAN_POINT('',(-1.288560968237E-1,3.990992013294E-1, +-3.615370217429E-1)); +#14002=CARTESIAN_POINT('',(-1.186066295357E-1,3.543970300763E-1, +-3.599558369473E-1)); +#14003=CARTESIAN_POINT('',(-9.336412004835E-2,3.161056702451E-1, +-3.583746521517E-1)); +#14004=CARTESIAN_POINT('',(-6.867042854273E-2,2.980801047808E-1, +-3.573205289547E-1)); +#14005=CARTESIAN_POINT('',(-5.513489960570E-2,2.915111413088E-1, +-3.567934673562E-1)); +#14006=CARTESIAN_POINT('',(1.354671128007E-2,2.851787683701E-1, +-3.853123728883E-1)); +#14007=CARTESIAN_POINT('',(2.783670112703E-2,2.867927706119E-1, +-3.847853112898E-1)); +#14008=CARTESIAN_POINT('',(5.582906225523E-2,2.951825441996E-1, +-3.837311880928E-1)); +#14009=CARTESIAN_POINT('',(9.076427721258E-2,3.216637006317E-1, +-3.821500032972E-1)); +#14010=CARTESIAN_POINT('',(1.142257321983E-1,3.586935646329E-1, +-3.805688185016E-1)); +#14011=CARTESIAN_POINT('',(1.232490674865E-1,4.015916197058E-1, +-3.789876337061E-1)); +#14012=CARTESIAN_POINT('',(1.166933876964E-1,4.449354229411E-1, +-3.774064489105E-1)); +#14013=CARTESIAN_POINT('',(9.538743226487E-2,4.832462346665E-1, +-3.758252641149E-1)); +#14014=CARTESIAN_POINT('',(6.202429617786E-2,5.116814835698E-1, +-3.742440793194E-1)); +#14015=CARTESIAN_POINT('',(2.082115746038E-2,5.266468940536E-1, +-3.726628945238E-1)); +#14016=CARTESIAN_POINT('',(-2.301382018548E-2,5.262508057938E-1, +-3.710817097283E-1)); +#14017=CARTESIAN_POINT('',(-6.393980277862E-2,5.105432859852E-1, +-3.695005249327E-1)); +#14018=CARTESIAN_POINT('',(-9.678365290441E-2,4.815097959982E-1, +-3.679193401371E-1)); +#14019=CARTESIAN_POINT('',(-1.173938587563E-1,4.428202414499E-1, +-3.663381553416E-1)); +#14020=CARTESIAN_POINT('',(-1.231651669821E-1,3.993650264565E-1, +-3.647569705460E-1)); +#14021=CARTESIAN_POINT('',(-1.133683674428E-1,3.566371267096E-1, +-3.631757857504E-1)); +#14022=CARTESIAN_POINT('',(-8.924069345072E-2,3.200369048820E-1, +-3.615946009549E-1)); +#14023=CARTESIAN_POINT('',(-6.563759889278E-2,3.028074385620E-1, +-3.605404777578E-1)); +#14024=CARTESIAN_POINT('',(-5.269986662543E-2,2.965285933783E-1, +-3.600134161593E-1)); +#14025=CARTESIAN_POINT('',(1.292077632324E-2,2.907206467804E-1, +-3.885323216915E-1)); +#14026=CARTESIAN_POINT('',(2.655048752449E-2,2.922600729570E-1, +-3.880052600930E-1)); +#14027=CARTESIAN_POINT('',(5.324944267450E-2,3.002621913817E-1, +-3.869511368959E-1)); +#14028=CARTESIAN_POINT('',(8.657045239679E-2,3.255197680708E-1, +-3.853699521003E-1)); +#14029=CARTESIAN_POINT('',(1.089478549871E-1,3.608386421611E-1, +-3.837887673048E-1)); +#14030=CARTESIAN_POINT('',(1.175542609655E-1,4.017545635859E-1, +-3.822075825092E-1)); +#14031=CARTESIAN_POINT('',(1.113014907938E-1,4.430956370776E-1, +-3.806263977137E-1)); +#14032=CARTESIAN_POINT('',(9.097999144305E-2,4.796362718363E-1, +-3.790452129181E-1)); +#14033=CARTESIAN_POINT('',(5.915842162364E-2,5.067576508322E-1, +-3.774640281225E-1)); +#14034=CARTESIAN_POINT('',(1.985910179778E-2,5.210315744030E-1, +-3.758828433270E-1)); +#14035=CARTESIAN_POINT('',(-2.195045105875E-2,5.206537876691E-1, +-3.743016585314E-1)); +#14036=CARTESIAN_POINT('',(-6.098542094644E-2,5.056720444368E-1, +-3.727204737358E-1)); +#14037=CARTESIAN_POINT('',(-9.231169876367E-2,4.779800664911E-1, +-3.711392889403E-1)); +#14038=CARTESIAN_POINT('',(-1.119695961148E-1,4.410781889778E-1, +-3.695581041447E-1)); +#14039=CARTESIAN_POINT('',(-1.174742371406E-1,3.996308515835E-1, +-3.679769193492E-1)); +#14040=CARTESIAN_POINT('',(-1.081301053499E-1,3.588772233429E-1, +-3.663957345536E-1)); +#14041=CARTESIAN_POINT('',(-8.511726685305E-2,3.239681395189E-1, +-3.648145497580E-1)); +#14042=CARTESIAN_POINT('',(-6.260476924278E-2,3.075347723431E-1, +-3.637604265610E-1)); +#14043=CARTESIAN_POINT('',(-5.026483364509E-2,3.015460454477E-1, +-3.632333649625E-1)); +#14044=CARTESIAN_POINT('',(1.229484136641E-2,2.962625251907E-1, +-3.917522704946E-1)); +#14045=CARTESIAN_POINT('',(2.526427392193E-2,2.977273753021E-1, +-3.912252088961E-1)); +#14046=CARTESIAN_POINT('',(5.066982309376E-2,3.053418385639E-1, +-3.901710856990E-1)); +#14047=CARTESIAN_POINT('',(8.237662758098E-2,3.293758355098E-1, +-3.885899009035E-1)); +#14048=CARTESIAN_POINT('',(1.036699777758E-1,3.629837196893E-1, +-3.870087161079E-1)); +#14049=CARTESIAN_POINT('',(1.118594544444E-1,4.019175074660E-1, +-3.854275313124E-1)); +#14050=CARTESIAN_POINT('',(1.059095938913E-1,4.412558512142E-1, +-3.838463465168E-1)); +#14051=CARTESIAN_POINT('',(8.657255062125E-2,4.760263090061E-1, +-3.822651617212E-1)); +#14052=CARTESIAN_POINT('',(5.629254706945E-2,5.018338180946E-1, +-3.806839769257E-1)); +#14053=CARTESIAN_POINT('',(1.889704613521E-2,5.154162547523E-1, +-3.791027921301E-1)); +#14054=CARTESIAN_POINT('',(-2.088708193199E-2,5.150567695444E-1, +-3.775216073345E-1)); +#14055=CARTESIAN_POINT('',(-5.803103911423E-2,5.008008028884E-1, +-3.759404225390E-1)); +#14056=CARTESIAN_POINT('',(-8.783974462290E-2,4.744503369840E-1, +-3.743592377434E-1)); +#14057=CARTESIAN_POINT('',(-1.065453334732E-1,4.393361365058E-1, +-3.727780529479E-1)); +#14058=CARTESIAN_POINT('',(-1.117833072991E-1,3.998966767106E-1, +-3.711968681523E-1)); +#14059=CARTESIAN_POINT('',(-1.028918432571E-1,3.611173199762E-1, +-3.696156833567E-1)); +#14060=CARTESIAN_POINT('',(-8.099384025542E-2,3.278993741558E-1, +-3.680344985612E-1)); +#14061=CARTESIAN_POINT('',(-5.957193959284E-2,3.122621061242E-1, +-3.669803753641E-1)); +#14062=CARTESIAN_POINT('',(-4.782980066483E-2,3.065634975172E-1, +-3.664533137656E-1)); +#14063=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#13987,#13988,#13989,#13990,#13991, +#13992,#13993,#13994,#13995,#13996,#13997,#13998,#13999,#14000,#14001,#14002, +#14003,#14004,#14005),(#14006,#14007,#14008,#14009,#14010,#14011,#14012,#14013, +#14014,#14015,#14016,#14017,#14018,#14019,#14020,#14021,#14022,#14023,#14024),( +#14025,#14026,#14027,#14028,#14029,#14030,#14031,#14032,#14033,#14034,#14035, +#14036,#14037,#14038,#14039,#14040,#14041,#14042,#14043),(#14044,#14045,#14046, +#14047,#14048,#14049,#14050,#14051,#14052,#14053,#14054,#14055,#14056,#14057, +#14058,#14059,#14060,#14061,#14062)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,4),(-3.122019658811E-2,1.031220196588E0),( +9.543698624930E-2,1.157023996704E-1,1.359678130914E-1,1.562332265125E-1, +1.764986399336E-1,1.967640533546E-1,2.170294667757E-1,2.372948801968E-1, +2.575602936179E-1,2.778257070389E-1,2.980911204600E-1,3.183565338811E-1, +3.386219473021E-1,3.588873607232E-1,3.791527741443E-1,3.994181875653E-1, +4.196836009864E-1),.UNSPECIFIED.); +#14064=ORIENTED_EDGE('',*,*,#10369,.F.); +#14066=ORIENTED_EDGE('',*,*,#14065,.F.); +#14068=ORIENTED_EDGE('',*,*,#14067,.T.); +#14070=ORIENTED_EDGE('',*,*,#14069,.F.); +#14071=ORIENTED_EDGE('',*,*,#13979,.F.); +#14072=EDGE_LOOP('',(#14064,#14066,#14068,#14070,#14071)); +#14073=FACE_OUTER_BOUND('',#14072,.F.); +#14074=ADVANCED_FACE('',(#14073),#14063,.F.); +#14075=CARTESIAN_POINT('',(-1.244778253538E-1,4.232217394342E-1, +-3.805190251396E-1)); +#14076=CARTESIAN_POINT('',(-1.273103068156E-1,4.037460238822E-1, +-3.798268873742E-1)); +#14077=CARTESIAN_POINT('',(-1.236620440837E-1,3.634591803264E-1, +-3.784426118433E-1)); +#14078=CARTESIAN_POINT('',(-9.144361324227E-2,3.120252838429E-1, +-3.763661985471E-1)); +#14079=CARTESIAN_POINT('',(-3.944533435655E-2,2.807312744606E-1, +-3.742897852509E-1)); +#14080=CARTESIAN_POINT('',(2.108483241470E-2,2.763411401943E-1, +-3.722133719546E-1)); +#14081=CARTESIAN_POINT('',(7.705438338786E-2,2.998057859655E-1, +-3.701369586584E-1)); +#14082=CARTESIAN_POINT('',(1.163570346204E-1,3.460494480712E-1, +-3.680605453622E-1)); +#14083=CARTESIAN_POINT('',(1.304916233945E-1,4.050697014746E-1, +-3.659841320659E-1)); +#14084=CARTESIAN_POINT('',(1.164008293157E-1,4.641004205358E-1, +-3.639077187697E-1)); +#14085=CARTESIAN_POINT('',(7.713249846169E-2,5.103732469308E-1, +-3.618313054735E-1)); +#14086=CARTESIAN_POINT('',(2.118037758886E-2,5.338793542532E-1, +-3.597548921772E-1)); +#14087=CARTESIAN_POINT('',(-3.935304817915E-2,5.295343521084E-1, +-3.576784788810E-1)); +#14088=CARTESIAN_POINT('',(-9.137446305496E-2,4.982781106257E-1, +-3.556020655848E-1)); +#14089=CARTESIAN_POINT('',(-1.236313026018E-1,4.468711979516E-1, +-3.535256522885E-1)); +#14090=CARTESIAN_POINT('',(-1.291475773086E-1,3.864335710652E-1, +-3.514492389923E-1)); +#14091=CARTESIAN_POINT('',(-1.067257275018E-1,3.300355777977E-1, +-3.493728256961E-1)); +#14092=CARTESIAN_POINT('',(-7.639656354945E-2,3.032684076335E-1, +-3.479885501652E-1)); +#14093=CARTESIAN_POINT('',(-5.901653236948E-2,2.940347212799E-1, +-3.472964123998E-1)); +#14094=CARTESIAN_POINT('',(-1.244778253538E-1,4.232217394342E-1, +-3.840224341539E-1)); +#14095=CARTESIAN_POINT('',(-1.273103068156E-1,4.037460238822E-1, +-3.833302963885E-1)); +#14096=CARTESIAN_POINT('',(-1.236620440837E-1,3.634591803264E-1, +-3.819460208577E-1)); +#14097=CARTESIAN_POINT('',(-9.144361324227E-2,3.120252838429E-1, +-3.798696075615E-1)); +#14098=CARTESIAN_POINT('',(-3.944533435655E-2,2.807312744606E-1, +-3.777931942652E-1)); +#14099=CARTESIAN_POINT('',(2.108483241470E-2,2.763411401943E-1, +-3.757167809690E-1)); +#14100=CARTESIAN_POINT('',(7.705438338786E-2,2.998057859655E-1, +-3.736403676728E-1)); +#14101=CARTESIAN_POINT('',(1.163570346204E-1,3.460494480712E-1, +-3.715639543765E-1)); +#14102=CARTESIAN_POINT('',(1.304916233945E-1,4.050697014746E-1, +-3.694875410803E-1)); +#14103=CARTESIAN_POINT('',(1.164008293157E-1,4.641004205358E-1, +-3.674111277841E-1)); +#14104=CARTESIAN_POINT('',(7.713249846169E-2,5.103732469308E-1, +-3.653347144878E-1)); +#14105=CARTESIAN_POINT('',(2.118037758886E-2,5.338793542532E-1, +-3.632583011916E-1)); +#14106=CARTESIAN_POINT('',(-3.935304817915E-2,5.295343521084E-1, +-3.611818878954E-1)); +#14107=CARTESIAN_POINT('',(-9.137446305496E-2,4.982781106257E-1, +-3.591054745991E-1)); +#14108=CARTESIAN_POINT('',(-1.236313026018E-1,4.468711979516E-1, +-3.570290613029E-1)); +#14109=CARTESIAN_POINT('',(-1.291475773086E-1,3.864335710652E-1, +-3.549526480067E-1)); +#14110=CARTESIAN_POINT('',(-1.067257275018E-1,3.300355777977E-1, +-3.528762347104E-1)); +#14111=CARTESIAN_POINT('',(-7.639656354945E-2,3.032684076335E-1, +-3.514919591796E-1)); +#14112=CARTESIAN_POINT('',(-5.901653236948E-2,2.940347212799E-1, +-3.507998214142E-1)); +#14113=CARTESIAN_POINT('',(-1.244778253538E-1,4.232217394342E-1, +-3.875258431683E-1)); +#14114=CARTESIAN_POINT('',(-1.273103068156E-1,4.037460238822E-1, +-3.868337054029E-1)); +#14115=CARTESIAN_POINT('',(-1.236620440837E-1,3.634591803264E-1, +-3.854494298721E-1)); +#14116=CARTESIAN_POINT('',(-9.144361324227E-2,3.120252838429E-1, +-3.833730165758E-1)); +#14117=CARTESIAN_POINT('',(-3.944533435655E-2,2.807312744606E-1, +-3.812966032796E-1)); +#14118=CARTESIAN_POINT('',(2.108483241470E-2,2.763411401943E-1, +-3.792201899834E-1)); +#14119=CARTESIAN_POINT('',(7.705438338786E-2,2.998057859655E-1, +-3.771437766871E-1)); +#14120=CARTESIAN_POINT('',(1.163570346204E-1,3.460494480712E-1, +-3.750673633909E-1)); +#14121=CARTESIAN_POINT('',(1.304916233945E-1,4.050697014746E-1, +-3.729909500947E-1)); +#14122=CARTESIAN_POINT('',(1.164008293157E-1,4.641004205358E-1, +-3.709145367984E-1)); +#14123=CARTESIAN_POINT('',(7.713249846169E-2,5.103732469308E-1, +-3.688381235022E-1)); +#14124=CARTESIAN_POINT('',(2.118037758886E-2,5.338793542532E-1, +-3.667617102060E-1)); +#14125=CARTESIAN_POINT('',(-3.935304817915E-2,5.295343521084E-1, +-3.646852969097E-1)); +#14126=CARTESIAN_POINT('',(-9.137446305496E-2,4.982781106257E-1, +-3.626088836135E-1)); +#14127=CARTESIAN_POINT('',(-1.236313026018E-1,4.468711979516E-1, +-3.605324703173E-1)); +#14128=CARTESIAN_POINT('',(-1.291475773086E-1,3.864335710652E-1, +-3.584560570210E-1)); +#14129=CARTESIAN_POINT('',(-1.067257275018E-1,3.300355777977E-1, +-3.563796437248E-1)); +#14130=CARTESIAN_POINT('',(-7.639656354945E-2,3.032684076335E-1, +-3.549953681940E-1)); +#14131=CARTESIAN_POINT('',(-5.901653236948E-2,2.940347212799E-1, +-3.543032304286E-1)); +#14132=CARTESIAN_POINT('',(-1.244778253538E-1,4.232217394342E-1, +-3.910292521827E-1)); +#14133=CARTESIAN_POINT('',(-1.273103068156E-1,4.037460238822E-1, +-3.903371144173E-1)); +#14134=CARTESIAN_POINT('',(-1.236620440837E-1,3.634591803264E-1, +-3.889528388865E-1)); +#14135=CARTESIAN_POINT('',(-9.144361324227E-2,3.120252838429E-1, +-3.868764255902E-1)); +#14136=CARTESIAN_POINT('',(-3.944533435655E-2,2.807312744606E-1, +-3.848000122940E-1)); +#14137=CARTESIAN_POINT('',(2.108483241470E-2,2.763411401943E-1, +-3.827235989978E-1)); +#14138=CARTESIAN_POINT('',(7.705438338786E-2,2.998057859655E-1, +-3.806471857015E-1)); +#14139=CARTESIAN_POINT('',(1.163570346204E-1,3.460494480712E-1, +-3.785707724053E-1)); +#14140=CARTESIAN_POINT('',(1.304916233945E-1,4.050697014746E-1, +-3.764943591090E-1)); +#14141=CARTESIAN_POINT('',(1.164008293157E-1,4.641004205358E-1, +-3.744179458128E-1)); +#14142=CARTESIAN_POINT('',(7.713249846169E-2,5.103732469308E-1, +-3.723415325166E-1)); +#14143=CARTESIAN_POINT('',(2.118037758886E-2,5.338793542532E-1, +-3.702651192203E-1)); +#14144=CARTESIAN_POINT('',(-3.935304817915E-2,5.295343521084E-1, +-3.681887059241E-1)); +#14145=CARTESIAN_POINT('',(-9.137446305496E-2,4.982781106257E-1, +-3.661122926279E-1)); +#14146=CARTESIAN_POINT('',(-1.236313026018E-1,4.468711979516E-1, +-3.640358793316E-1)); +#14147=CARTESIAN_POINT('',(-1.291475773086E-1,3.864335710652E-1, +-3.619594660354E-1)); +#14148=CARTESIAN_POINT('',(-1.067257275018E-1,3.300355777977E-1, +-3.598830527392E-1)); +#14149=CARTESIAN_POINT('',(-7.639656354945E-2,3.032684076335E-1, +-3.584987772083E-1)); +#14150=CARTESIAN_POINT('',(-5.901653236948E-2,2.940347212799E-1, +-3.578066394429E-1)); +#14151=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14075,#14076,#14077,#14078,#14079, +#14080,#14081,#14082,#14083,#14084,#14085,#14086,#14087,#14088,#14089,#14090, +#14091,#14092,#14093),(#14094,#14095,#14096,#14097,#14098,#14099,#14100,#14101, +#14102,#14103,#14104,#14105,#14106,#14107,#14108,#14109,#14110,#14111,#14112),( +#14113,#14114,#14115,#14116,#14117,#14118,#14119,#14120,#14121,#14122,#14123, +#14124,#14125,#14126,#14127,#14128,#14129,#14130,#14131),(#14132,#14133,#14134, +#14135,#14136,#14137,#14138,#14139,#14140,#14141,#14142,#14143,#14144,#14145, +#14146,#14147,#14148,#14149,#14150)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,4),(-6.055771948868E-2,1.060557719489E0),( +-8.188479734998E-3,1.842407940374E-2,4.503663854249E-2,7.164919768123E-2, +9.826175681997E-2,1.248743159587E-1,1.514868750975E-1,1.780994342362E-1, +2.047119933749E-1,2.313245525137E-1,2.579371116524E-1,2.845496707912E-1, +3.111622299299E-1,3.377747890687E-1,3.643873482074E-1,3.909999073461E-1, +4.176124664849E-1),.UNSPECIFIED.); +#14152=ORIENTED_EDGE('',*,*,#10367,.F.); +#14153=ORIENTED_EDGE('',*,*,#13964,.F.); +#14155=ORIENTED_EDGE('',*,*,#14154,.T.); +#14157=ORIENTED_EDGE('',*,*,#14156,.T.); +#14158=ORIENTED_EDGE('',*,*,#14065,.T.); +#14159=EDGE_LOOP('',(#14152,#14153,#14155,#14157,#14158)); +#14160=FACE_OUTER_BOUND('',#14159,.F.); +#14161=ADVANCED_FACE('',(#14160),#14151,.F.); +#14162=CARTESIAN_POINT('',(-1.100393700787E-1,4.051181102362E-1, +-3.575513704974E-1)); +#14163=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14164=DIRECTION('',(1.E0,0.E0,0.E0)); +#14165=AXIS2_PLACEMENT_3D('',#14162,#14163,#14164); +#14166=PLANE('',#14165); +#14168=ORIENTED_EDGE('',*,*,#14167,.F.); +#14169=ORIENTED_EDGE('',*,*,#14154,.F.); +#14170=ORIENTED_EDGE('',*,*,#13962,.F.); +#14172=ORIENTED_EDGE('',*,*,#14171,.F.); +#14173=EDGE_LOOP('',(#14168,#14169,#14170,#14172)); +#14174=FACE_OUTER_BOUND('',#14173,.F.); +#14175=ADVANCED_FACE('',(#14174),#14166,.T.); +#14176=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.818897637795E-1)); +#14177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14178=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14179=AXIS2_PLACEMENT_3D('',#14176,#14177,#14178); +#14180=PLANE('',#14179); +#14182=ORIENTED_EDGE('',*,*,#14181,.F.); +#14184=ORIENTED_EDGE('',*,*,#14183,.T.); +#14186=ORIENTED_EDGE('',*,*,#14185,.T.); +#14188=ORIENTED_EDGE('',*,*,#14187,.F.); +#14190=ORIENTED_EDGE('',*,*,#14189,.T.); +#14192=ORIENTED_EDGE('',*,*,#14191,.T.); +#14193=EDGE_LOOP('',(#14182,#14184,#14186,#14188,#14190,#14192)); +#14194=FACE_OUTER_BOUND('',#14193,.F.); +#14195=ORIENTED_EDGE('',*,*,#14156,.F.); +#14196=ORIENTED_EDGE('',*,*,#14167,.T.); +#14198=ORIENTED_EDGE('',*,*,#14197,.F.); +#14199=ORIENTED_EDGE('',*,*,#14067,.F.); +#14200=EDGE_LOOP('',(#14195,#14196,#14198,#14199)); +#14201=FACE_BOUND('',#14200,.F.); +#14202=ADVANCED_FACE('',(#14194,#14201),#14180,.T.); +#14203=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.748569765766E-1)); +#14204=DIRECTION('',(0.E0,0.E0,1.E0)); +#14205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14206=AXIS2_PLACEMENT_3D('',#14203,#14204,#14205); +#14207=CONICAL_SURFACE('',#14206,1.696614597149E-1,6.E1); +#14209=ORIENTED_EDGE('',*,*,#14208,.T.); +#14211=ORIENTED_EDGE('',*,*,#14210,.T.); +#14212=ORIENTED_EDGE('',*,*,#14181,.T.); +#14213=EDGE_LOOP('',(#14209,#14211,#14212)); +#14214=FACE_OUTER_BOUND('',#14213,.F.); +#14215=ADVANCED_FACE('',(#14214),#14207,.T.); +#14216=CARTESIAN_POINT('',(0.E0,2.232755057670E-1,-3.188976377953E-1)); +#14217=DIRECTION('',(-5.E-1,-8.660254037844E-1,0.E0)); +#14218=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#14219=AXIS2_PLACEMENT_3D('',#14216,#14217,#14218); +#14220=PLANE('',#14219); +#14222=ORIENTED_EDGE('',*,*,#14221,.F.); +#14224=ORIENTED_EDGE('',*,*,#14223,.F.); +#14226=ORIENTED_EDGE('',*,*,#14225,.T.); +#14227=ORIENTED_EDGE('',*,*,#14208,.F.); +#14229=ORIENTED_EDGE('',*,*,#14228,.F.); +#14231=ORIENTED_EDGE('',*,*,#14230,.F.); +#14233=ORIENTED_EDGE('',*,*,#14232,.F.); +#14234=EDGE_LOOP('',(#14222,#14224,#14226,#14227,#14229,#14231,#14233)); +#14235=FACE_OUTER_BOUND('',#14234,.F.); +#14236=ADVANCED_FACE('',(#14235),#14220,.T.); +#14237=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14238=DIRECTION('',(0.E0,0.E0,1.E0)); +#14239=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14240=AXIS2_PLACEMENT_3D('',#14237,#14238,#14239); +#14241=PLANE('',#14240); +#14243=ORIENTED_EDGE('',*,*,#14242,.T.); +#14245=ORIENTED_EDGE('',*,*,#14244,.T.); +#14246=EDGE_LOOP('',(#14243,#14245)); +#14247=FACE_OUTER_BOUND('',#14246,.F.); +#14249=ORIENTED_EDGE('',*,*,#14248,.T.); +#14251=ORIENTED_EDGE('',*,*,#14250,.T.); +#14253=ORIENTED_EDGE('',*,*,#14252,.T.); +#14255=ORIENTED_EDGE('',*,*,#14254,.T.); +#14257=ORIENTED_EDGE('',*,*,#14256,.T.); +#14259=ORIENTED_EDGE('',*,*,#14258,.T.); +#14261=ORIENTED_EDGE('',*,*,#14260,.T.); +#14263=ORIENTED_EDGE('',*,*,#14262,.T.); +#14264=ORIENTED_EDGE('',*,*,#14221,.T.); +#14266=ORIENTED_EDGE('',*,*,#14265,.T.); +#14268=ORIENTED_EDGE('',*,*,#14267,.T.); +#14270=ORIENTED_EDGE('',*,*,#14269,.T.); +#14271=EDGE_LOOP('',(#14249,#14251,#14253,#14255,#14257,#14259,#14261,#14263, +#14264,#14266,#14268,#14270)); +#14272=FACE_BOUND('',#14271,.F.); +#14273=ADVANCED_FACE('',(#14247,#14272),#14241,.F.); +#14274=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14275=DIRECTION('',(0.E0,0.E0,1.E0)); +#14276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14277=AXIS2_PLACEMENT_3D('',#14274,#14275,#14276); +#14278=CYLINDRICAL_SURFACE('',#14277,2.007874015748E-1); +#14279=ORIENTED_EDGE('',*,*,#14242,.F.); +#14281=ORIENTED_EDGE('',*,*,#14280,.T.); +#14283=ORIENTED_EDGE('',*,*,#14282,.T.); +#14285=ORIENTED_EDGE('',*,*,#14284,.F.); +#14286=EDGE_LOOP('',(#14279,#14281,#14283,#14285)); +#14287=FACE_OUTER_BOUND('',#14286,.F.); +#14288=ADVANCED_FACE('',(#14287),#14278,.T.); +#14289=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14290=DIRECTION('',(0.E0,0.E0,1.E0)); +#14291=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14292=AXIS2_PLACEMENT_3D('',#14289,#14290,#14291); +#14293=CYLINDRICAL_SURFACE('',#14292,2.007874015748E-1); +#14294=ORIENTED_EDGE('',*,*,#14244,.F.); +#14295=ORIENTED_EDGE('',*,*,#14284,.T.); +#14297=ORIENTED_EDGE('',*,*,#14296,.T.); +#14298=ORIENTED_EDGE('',*,*,#14280,.F.); +#14299=EDGE_LOOP('',(#14294,#14295,#14297,#14298)); +#14300=FACE_OUTER_BOUND('',#14299,.F.); +#14301=ADVANCED_FACE('',(#14300),#14293,.T.); +#14302=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.011811023622E-1)); +#14303=DIRECTION('',(0.E0,0.E0,1.E0)); +#14304=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14305=AXIS2_PLACEMENT_3D('',#14302,#14303,#14304); +#14306=PLANE('',#14305); +#14307=ORIENTED_EDGE('',*,*,#14282,.F.); +#14308=ORIENTED_EDGE('',*,*,#14296,.F.); +#14309=EDGE_LOOP('',(#14307,#14308)); +#14310=FACE_OUTER_BOUND('',#14309,.F.); +#14312=ORIENTED_EDGE('',*,*,#14311,.F.); +#14314=ORIENTED_EDGE('',*,*,#14313,.T.); +#14316=ORIENTED_EDGE('',*,*,#14315,.F.); +#14318=ORIENTED_EDGE('',*,*,#14317,.F.); +#14320=ORIENTED_EDGE('',*,*,#14319,.T.); +#14322=ORIENTED_EDGE('',*,*,#14321,.F.); +#14324=ORIENTED_EDGE('',*,*,#14323,.F.); +#14326=ORIENTED_EDGE('',*,*,#14325,.T.); +#14328=ORIENTED_EDGE('',*,*,#14327,.F.); +#14330=ORIENTED_EDGE('',*,*,#14329,.F.); +#14332=ORIENTED_EDGE('',*,*,#14331,.T.); +#14334=ORIENTED_EDGE('',*,*,#14333,.F.); +#14336=ORIENTED_EDGE('',*,*,#14335,.F.); +#14338=ORIENTED_EDGE('',*,*,#14337,.T.); +#14340=ORIENTED_EDGE('',*,*,#14339,.F.); +#14342=ORIENTED_EDGE('',*,*,#14341,.F.); +#14344=ORIENTED_EDGE('',*,*,#14343,.T.); +#14346=ORIENTED_EDGE('',*,*,#14345,.F.); +#14348=ORIENTED_EDGE('',*,*,#14347,.F.); +#14350=ORIENTED_EDGE('',*,*,#14349,.T.); +#14352=ORIENTED_EDGE('',*,*,#14351,.F.); +#14354=ORIENTED_EDGE('',*,*,#14353,.F.); +#14356=ORIENTED_EDGE('',*,*,#14355,.T.); +#14358=ORIENTED_EDGE('',*,*,#14357,.F.); +#14360=ORIENTED_EDGE('',*,*,#14359,.F.); +#14362=ORIENTED_EDGE('',*,*,#14361,.T.); +#14364=ORIENTED_EDGE('',*,*,#14363,.F.); +#14366=ORIENTED_EDGE('',*,*,#14365,.F.); +#14368=ORIENTED_EDGE('',*,*,#14367,.T.); +#14370=ORIENTED_EDGE('',*,*,#14369,.F.); +#14371=EDGE_LOOP('',(#14312,#14314,#14316,#14318,#14320,#14322,#14324,#14326, +#14328,#14330,#14332,#14334,#14336,#14338,#14340,#14342,#14344,#14346,#14348, +#14350,#14352,#14354,#14356,#14358,#14360,#14362,#14364,#14366,#14368,#14370)); +#14372=FACE_BOUND('',#14371,.F.); +#14373=ADVANCED_FACE('',(#14310,#14372),#14306,.T.); +#14374=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14375=DIRECTION('',(0.E0,0.E0,1.E0)); +#14376=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14377=AXIS2_PLACEMENT_3D('',#14374,#14375,#14376); +#14378=CYLINDRICAL_SURFACE('',#14377,1.555118110236E-1); +#14380=ORIENTED_EDGE('',*,*,#14379,.T.); +#14382=ORIENTED_EDGE('',*,*,#14381,.T.); +#14383=ORIENTED_EDGE('',*,*,#14311,.T.); +#14385=ORIENTED_EDGE('',*,*,#14384,.T.); +#14387=ORIENTED_EDGE('',*,*,#14386,.T.); +#14388=EDGE_LOOP('',(#14380,#14382,#14383,#14385,#14387)); +#14389=FACE_OUTER_BOUND('',#14388,.F.); +#14390=ADVANCED_FACE('',(#14389),#14378,.F.); +#14391=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#14392=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14393=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14394=AXIS2_PLACEMENT_3D('',#14391,#14392,#14393); +#14395=PLANE('',#14394); +#14397=ORIENTED_EDGE('',*,*,#14396,.F.); +#14399=ORIENTED_EDGE('',*,*,#14398,.F.); +#14401=ORIENTED_EDGE('',*,*,#14400,.F.); +#14403=ORIENTED_EDGE('',*,*,#14402,.F.); +#14405=ORIENTED_EDGE('',*,*,#14404,.F.); +#14406=ORIENTED_EDGE('',*,*,#14379,.F.); +#14408=ORIENTED_EDGE('',*,*,#14407,.F.); +#14410=ORIENTED_EDGE('',*,*,#14409,.F.); +#14412=ORIENTED_EDGE('',*,*,#14411,.F.); +#14414=ORIENTED_EDGE('',*,*,#14413,.F.); +#14416=ORIENTED_EDGE('',*,*,#14415,.F.); +#14418=ORIENTED_EDGE('',*,*,#14417,.F.); +#14420=ORIENTED_EDGE('',*,*,#14419,.F.); +#14422=ORIENTED_EDGE('',*,*,#14421,.F.); +#14424=ORIENTED_EDGE('',*,*,#14423,.F.); +#14426=ORIENTED_EDGE('',*,*,#14425,.F.); +#14428=ORIENTED_EDGE('',*,*,#14427,.F.); +#14430=ORIENTED_EDGE('',*,*,#14429,.F.); +#14432=ORIENTED_EDGE('',*,*,#14431,.F.); +#14434=ORIENTED_EDGE('',*,*,#14433,.F.); +#14436=ORIENTED_EDGE('',*,*,#14435,.F.); +#14438=ORIENTED_EDGE('',*,*,#14437,.F.); +#14440=ORIENTED_EDGE('',*,*,#14439,.F.); +#14442=ORIENTED_EDGE('',*,*,#14441,.F.); +#14444=ORIENTED_EDGE('',*,*,#14443,.F.); +#14446=ORIENTED_EDGE('',*,*,#14445,.F.); +#14448=ORIENTED_EDGE('',*,*,#14447,.F.); +#14450=ORIENTED_EDGE('',*,*,#14449,.F.); +#14452=ORIENTED_EDGE('',*,*,#14451,.F.); +#14454=ORIENTED_EDGE('',*,*,#14453,.F.); +#14456=ORIENTED_EDGE('',*,*,#14455,.F.); +#14458=ORIENTED_EDGE('',*,*,#14457,.F.); +#14460=ORIENTED_EDGE('',*,*,#14459,.F.); +#14462=ORIENTED_EDGE('',*,*,#14461,.F.); +#14464=ORIENTED_EDGE('',*,*,#14463,.F.); +#14466=ORIENTED_EDGE('',*,*,#14465,.F.); +#14468=ORIENTED_EDGE('',*,*,#14467,.F.); +#14470=ORIENTED_EDGE('',*,*,#14469,.F.); +#14472=ORIENTED_EDGE('',*,*,#14471,.F.); +#14474=ORIENTED_EDGE('',*,*,#14473,.F.); +#14476=ORIENTED_EDGE('',*,*,#14475,.F.); +#14478=ORIENTED_EDGE('',*,*,#14477,.F.); +#14480=ORIENTED_EDGE('',*,*,#14479,.F.); +#14482=ORIENTED_EDGE('',*,*,#14481,.F.); +#14484=ORIENTED_EDGE('',*,*,#14483,.F.); +#14486=ORIENTED_EDGE('',*,*,#14485,.F.); +#14488=ORIENTED_EDGE('',*,*,#14487,.F.); +#14490=ORIENTED_EDGE('',*,*,#14489,.F.); +#14492=ORIENTED_EDGE('',*,*,#14491,.F.); +#14494=ORIENTED_EDGE('',*,*,#14493,.F.); +#14495=EDGE_LOOP('',(#14397,#14399,#14401,#14403,#14405,#14406,#14408,#14410, +#14412,#14414,#14416,#14418,#14420,#14422,#14424,#14426,#14428,#14430,#14432, +#14434,#14436,#14438,#14440,#14442,#14444,#14446,#14448,#14450,#14452,#14454, +#14456,#14458,#14460,#14462,#14464,#14466,#14468,#14470,#14472,#14474,#14476, +#14478,#14480,#14482,#14484,#14486,#14488,#14490,#14492,#14494)); +#14496=FACE_OUTER_BOUND('',#14495,.F.); +#14498=ORIENTED_EDGE('',*,*,#14497,.F.); +#14500=ORIENTED_EDGE('',*,*,#14499,.F.); +#14502=ORIENTED_EDGE('',*,*,#14501,.F.); +#14504=ORIENTED_EDGE('',*,*,#14503,.F.); +#14505=EDGE_LOOP('',(#14498,#14500,#14502,#14504)); +#14506=FACE_BOUND('',#14505,.F.); +#14508=ORIENTED_EDGE('',*,*,#14507,.T.); +#14510=ORIENTED_EDGE('',*,*,#14509,.T.); +#14511=EDGE_LOOP('',(#14508,#14510)); +#14512=FACE_BOUND('',#14511,.F.); +#14513=ADVANCED_FACE('',(#14496,#14506,#14512),#14395,.F.); +#14514=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14515=DIRECTION('',(0.E0,0.E0,1.E0)); +#14516=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14517=AXIS2_PLACEMENT_3D('',#14514,#14515,#14516); +#14518=CYLINDRICAL_SURFACE('',#14517,1.555118110236E-1); +#14519=ORIENTED_EDGE('',*,*,#14396,.T.); +#14521=ORIENTED_EDGE('',*,*,#14520,.T.); +#14522=ORIENTED_EDGE('',*,*,#14317,.T.); +#14524=ORIENTED_EDGE('',*,*,#14523,.T.); +#14526=ORIENTED_EDGE('',*,*,#14525,.T.); +#14527=EDGE_LOOP('',(#14519,#14521,#14522,#14524,#14526)); +#14528=FACE_OUTER_BOUND('',#14527,.F.); +#14529=ADVANCED_FACE('',(#14528),#14518,.F.); +#14530=CARTESIAN_POINT('',(5.581796653045E-2,2.905912441239E-1, +-3.097269014274E-1)); +#14531=CARTESIAN_POINT('',(6.225127704414E-2,2.831673460632E-1, +-3.136098725700E-1)); +#14532=CARTESIAN_POINT('',(6.868458755782E-2,2.757434480026E-1, +-3.174928437127E-1)); +#14533=CARTESIAN_POINT('',(7.511789807151E-2,2.683195499419E-1, +-3.213758148553E-1)); +#14534=CARTESIAN_POINT('',(5.586199918707E-2,2.906127668838E-1, +-3.096451929011E-1)); +#14535=CARTESIAN_POINT('',(6.228034435341E-2,2.831815538982E-1, +-3.135235724122E-1)); +#14536=CARTESIAN_POINT('',(6.869868951975E-2,2.757503409126E-1, +-3.174019519233E-1)); +#14537=CARTESIAN_POINT('',(7.511703468608E-2,2.683191279270E-1, +-3.212803314345E-1)); +#14538=CARTESIAN_POINT('',(5.893955350178E-2,2.921191968153E-1, +-3.039322245793E-1)); +#14539=CARTESIAN_POINT('',(6.431193249580E-2,2.841759945720E-1, +-3.074897912843E-1)); +#14540=CARTESIAN_POINT('',(6.968431148982E-2,2.762327923286E-1, +-3.110473579893E-1)); +#14541=CARTESIAN_POINT('',(7.505669048384E-2,2.682895900852E-1, +-3.146049246943E-1)); +#14542=CARTESIAN_POINT('',(6.200051667579E-2,2.937699505324E-1, +-2.980974619655E-1)); +#14543=CARTESIAN_POINT('',(6.633256831655E-2,2.852657078100E-1, +-3.013435006380E-1)); +#14544=CARTESIAN_POINT('',(7.066461995731E-2,2.767614650877E-1, +-3.045895393105E-1)); +#14545=CARTESIAN_POINT('',(7.499667159807E-2,2.682572223653E-1, +-3.078355779830E-1)); +#14546=CARTESIAN_POINT('',(6.494968056547E-2,2.955136488137E-1, +-2.923228302461E-1)); +#14547=CARTESIAN_POINT('',(6.827940199536E-2,2.864167766101E-1, +-2.952692660919E-1)); +#14548=CARTESIAN_POINT('',(7.160912342525E-2,2.773199044065E-1, +-2.982157019377E-1)); +#14549=CARTESIAN_POINT('',(7.493884485514E-2,2.682230322029E-1, +-3.011621377835E-1)); +#14550=CARTESIAN_POINT('',(6.499152633011E-2,2.955384217861E-1, +-2.922408623066E-1)); +#14551=CARTESIAN_POINT('',(6.830702567006E-2,2.864331300102E-1, +-2.951830471723E-1)); +#14552=CARTESIAN_POINT('',(7.162252501E-2,2.773278382343E-1, +-2.981252320379E-1)); +#14553=CARTESIAN_POINT('',(7.493802434995E-2,2.682225464584E-1, +-3.010674169036E-1)); +#14554=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14530,#14531,#14532,#14533), +(#14534,#14535,#14536,#14537),(#14538,#14539,#14540,#14541),(#14542,#14543, +#14544,#14545),(#14546,#14547,#14548,#14549),(#14550,#14551,#14552,#14553)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.451130230077E-2,0.E0,1.E0, +1.014398086174E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#14555=ORIENTED_EDGE('',*,*,#14493,.T.); +#14557=ORIENTED_EDGE('',*,*,#14556,.F.); +#14559=ORIENTED_EDGE('',*,*,#14558,.F.); +#14561=ORIENTED_EDGE('',*,*,#14560,.T.); +#14562=ORIENTED_EDGE('',*,*,#14520,.F.); +#14563=EDGE_LOOP('',(#14555,#14557,#14559,#14561,#14562)); +#14564=FACE_OUTER_BOUND('',#14563,.F.); +#14565=ADVANCED_FACE('',(#14564),#14554,.F.); +#14566=CARTESIAN_POINT('',(7.003627953680E-2,2.986983616775E-1, +-3.190060586543E-1)); +#14567=CARTESIAN_POINT('',(7.583275277204E-2,2.930541820101E-1, +-3.195311427596E-1)); +#14568=CARTESIAN_POINT('',(8.162922600728E-2,2.874100023428E-1, +-3.200562268650E-1)); +#14569=CARTESIAN_POINT('',(8.742569924252E-2,2.817658226754E-1, +-3.205813109704E-1)); +#14570=CARTESIAN_POINT('',(6.551670059907E-2,2.957234952371E-1, +-3.158129192701E-1)); +#14571=CARTESIAN_POINT('',(7.115815544201E-2,2.898939144079E-1, +-3.172393968437E-1)); +#14572=CARTESIAN_POINT('',(7.679961028495E-2,2.840643335787E-1, +-3.186658744173E-1)); +#14573=CARTESIAN_POINT('',(8.244106512790E-2,2.782347527495E-1, +-3.200923519908E-1)); +#14574=CARTESIAN_POINT('',(6.073513628658E-2,2.929939845594E-1, +-3.125795773839E-1)); +#14575=CARTESIAN_POINT('',(6.621088619177E-2,2.869810024733E-1, +-3.149187972170E-1)); +#14576=CARTESIAN_POINT('',(7.168663609696E-2,2.809680203872E-1, +-3.172580170502E-1)); +#14577=CARTESIAN_POINT('',(7.716238600215E-2,2.749550383011E-1, +-3.195972368834E-1)); +#14578=CARTESIAN_POINT('',(5.579630762166E-2,2.905855954322E-1, +-3.093823249835E-1)); +#14579=CARTESIAN_POINT('',(6.109794173693E-2,2.843947797120E-1, +-3.126240993510E-1)); +#14580=CARTESIAN_POINT('',(6.639957585219E-2,2.782039639917E-1, +-3.158658737185E-1)); +#14581=CARTESIAN_POINT('',(7.170120996746E-2,2.720131482714E-1, +-3.191076480860E-1)); +#14582=CARTESIAN_POINT('',(5.572083282637E-2,2.905488834551E-1, +-3.093334970024E-1)); +#14583=CARTESIAN_POINT('',(6.101980544409E-2,2.843553527125E-1, +-3.125890550536E-1)); +#14584=CARTESIAN_POINT('',(6.631877806180E-2,2.781618219700E-1, +-3.158446131048E-1)); +#14585=CARTESIAN_POINT('',(7.161775067951E-2,2.719682912274E-1, +-3.191001711559E-1)); +#14586=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14566,#14567,#14568,#14569), +(#14570,#14571,#14572,#14573),(#14574,#14575,#14576,#14577),(#14578,#14579, +#14580,#14581),(#14582,#14583,#14584,#14585)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(5.242702766179E-1,1.E0,1.007379258055E0),(-2.015631900769E-2, +8.437855249287E-1),.UNSPECIFIED.); +#14587=ORIENTED_EDGE('',*,*,#14491,.T.); +#14589=ORIENTED_EDGE('',*,*,#14588,.F.); +#14590=ORIENTED_EDGE('',*,*,#14556,.T.); +#14591=EDGE_LOOP('',(#14587,#14589,#14590)); +#14592=FACE_OUTER_BOUND('',#14591,.F.); +#14593=ADVANCED_FACE('',(#14592),#14586,.F.); +#14594=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14595=DIRECTION('',(0.E0,0.E0,1.E0)); +#14596=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14597=AXIS2_PLACEMENT_3D('',#14594,#14595,#14596); +#14598=CYLINDRICAL_SURFACE('',#14597,1.279527559055E-1); +#14599=ORIENTED_EDGE('',*,*,#14489,.T.); +#14601=ORIENTED_EDGE('',*,*,#14600,.T.); +#14603=ORIENTED_EDGE('',*,*,#14602,.T.); +#14605=ORIENTED_EDGE('',*,*,#14604,.T.); +#14606=ORIENTED_EDGE('',*,*,#14558,.T.); +#14607=ORIENTED_EDGE('',*,*,#14588,.T.); +#14608=EDGE_LOOP('',(#14599,#14601,#14603,#14605,#14606,#14607)); +#14609=FACE_OUTER_BOUND('',#14608,.F.); +#14610=ADVANCED_FACE('',(#14609),#14598,.F.); +#14611=CARTESIAN_POINT('',(9.167282006767E-2,3.166413194417E-1, +-3.123184843123E-1)); +#14612=CARTESIAN_POINT('',(9.674536509207E-2,3.082287675906E-1, +-3.084678076460E-1)); +#14613=CARTESIAN_POINT('',(1.018179101165E-1,2.998162157395E-1, +-3.046171309797E-1)); +#14614=CARTESIAN_POINT('',(1.068904551409E-1,2.914036638883E-1, +-3.007664543134E-1)); +#14615=CARTESIAN_POINT('',(9.163874452978E-2,3.166060934823E-1, +-3.124001853940E-1)); +#14616=CARTESIAN_POINT('',(9.672287078275E-2,3.082055138527E-1, +-3.085542017407E-1)); +#14617=CARTESIAN_POINT('',(1.018069970357E-1,2.998049342230E-1, +-3.047082180874E-1)); +#14618=CARTESIAN_POINT('',(1.068911232887E-1,2.914043545934E-1, +-3.008622344341E-1)); +#14619=CARTESIAN_POINT('',(8.947383025319E-2,3.143706122531E-1, +-3.175883862620E-1)); +#14620=CARTESIAN_POINT('',(9.529374436487E-2,3.067298040216E-1, +-3.140402108531E-1)); +#14621=CARTESIAN_POINT('',(1.011136584765E-1,2.990889957902E-1, +-3.104920354442E-1)); +#14622=CARTESIAN_POINT('',(1.069335725882E-1,2.914481875587E-1, +-3.069438600352E-1)); +#14623=CARTESIAN_POINT('',(8.722896645688E-2,3.122126465169E-1, +-3.228097005754E-1)); +#14624=CARTESIAN_POINT('',(9.381184081305E-2,3.053052645487E-1, +-3.195480791680E-1)); +#14625=CARTESIAN_POINT('',(1.003947151692E-1,2.983978825805E-1, +-3.162864577605E-1)); +#14626=CARTESIAN_POINT('',(1.069775895254E-1,2.914905006123E-1, +-3.130248363531E-1)); +#14627=CARTESIAN_POINT('',(8.494749553872E-2,3.101690019837E-1, +-3.279678336828E-1)); +#14628=CARTESIAN_POINT('',(9.230577177557E-2,3.039561920137E-1, +-3.249817789270E-1)); +#14629=CARTESIAN_POINT('',(9.966404801243E-2,2.977433820437E-1, +-3.219957241712E-1)); +#14630=CARTESIAN_POINT('',(1.070223242493E-1,2.915305720738E-1, +-3.190096694155E-1)); +#14631=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14611,#14612,#14613,#14614), +(#14615,#14616,#14617,#14618),(#14619,#14620,#14621,#14622),(#14623,#14624, +#14625,#14626),(#14627,#14628,#14629,#14630)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(-1.462549325997E-2,0.E0,9.140319786107E-1),(-2.E-2,1.02E0), +.UNSPECIFIED.); +#14632=ORIENTED_EDGE('',*,*,#14487,.T.); +#14634=ORIENTED_EDGE('',*,*,#14633,.F.); +#14636=ORIENTED_EDGE('',*,*,#14635,.F.); +#14637=ORIENTED_EDGE('',*,*,#14600,.F.); +#14638=EDGE_LOOP('',(#14632,#14634,#14636,#14637)); +#14639=FACE_OUTER_BOUND('',#14638,.F.); +#14640=ADVANCED_FACE('',(#14639),#14631,.F.); +#14641=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14642=DIRECTION('',(0.E0,0.E0,1.E0)); +#14643=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14644=AXIS2_PLACEMENT_3D('',#14641,#14642,#14643); +#14645=CYLINDRICAL_SURFACE('',#14644,1.555118110236E-1); +#14646=ORIENTED_EDGE('',*,*,#14485,.T.); +#14648=ORIENTED_EDGE('',*,*,#14647,.T.); +#14649=ORIENTED_EDGE('',*,*,#14323,.T.); +#14651=ORIENTED_EDGE('',*,*,#14650,.T.); +#14652=ORIENTED_EDGE('',*,*,#14633,.T.); +#14653=EDGE_LOOP('',(#14646,#14648,#14649,#14651,#14652)); +#14654=FACE_OUTER_BOUND('',#14653,.F.); +#14655=ADVANCED_FACE('',(#14654),#14645,.F.); +#14656=CARTESIAN_POINT('',(1.124748864067E-1,3.452729067784E-1, +-3.097269014274E-1)); +#14657=CARTESIAN_POINT('',(1.220432017369E-1,3.430482521262E-1, +-3.136098725700E-1)); +#14658=CARTESIAN_POINT('',(1.316115170672E-1,3.408235974740E-1, +-3.174928437127E-1)); +#14659=CARTESIAN_POINT('',(1.411798323974E-1,3.385989428218E-1, +-3.213758148553E-1)); +#14660=CARTESIAN_POINT('',(1.124978588133E-1,3.453162008031E-1, +-3.096451929011E-1)); +#14661=CARTESIAN_POINT('',(1.220583665282E-1,3.430768318418E-1, +-3.135235724122E-1)); +#14662=CARTESIAN_POINT('',(1.316188742431E-1,3.408374628806E-1, +-3.174019519233E-1)); +#14663=CARTESIAN_POINT('',(1.411793819581E-1,3.385980939193E-1, +-3.212803314345E-1)); +#14664=CARTESIAN_POINT('',(1.141021952577E-1,3.483438692579E-1, +-3.039322245793E-1)); +#14665=CARTESIAN_POINT('',(1.231174382987E-1,3.450754887956E-1, +-3.074897912843E-1)); +#14666=CARTESIAN_POINT('',(1.321326813397E-1,3.418071083334E-1, +-3.110473579893E-1)); +#14667=CARTESIAN_POINT('',(1.411479243807E-1,3.385387278712E-1, +-3.146049246943E-1)); +#14668=CARTESIAN_POINT('',(1.156082777946E-1,3.514785460800E-1, +-2.980974619655E-1)); +#14669=CARTESIAN_POINT('',(1.241116496466E-1,3.471447852599E-1, +-3.013435006380E-1)); +#14670=CARTESIAN_POINT('',(1.326150214986E-1,3.428110244398E-1, +-3.045895393105E-1)); +#14671=CARTESIAN_POINT('',(1.411183933506E-1,3.384772636198E-1, +-3.078355779830E-1)); +#14672=CARTESIAN_POINT('',(1.169692813663E-1,3.546227026636E-1, +-2.923228302461E-1)); +#14673=CARTESIAN_POINT('',(1.250100899129E-1,3.492203396060E-1, +-2.952692660919E-1)); +#14674=CARTESIAN_POINT('',(1.330508984595E-1,3.438179765483E-1, +-2.982157019377E-1)); +#14675=CARTESIAN_POINT('',(1.410917070060E-1,3.384156134907E-1, +-3.011621377835E-1)); +#14676=CARTESIAN_POINT('',(1.169885741132E-1,3.546673407426E-1, +-2.922408623066E-1)); +#14677=CARTESIAN_POINT('',(1.250228256478E-1,3.492498065731E-1, +-2.951830471723E-1)); +#14678=CARTESIAN_POINT('',(1.330570771823E-1,3.438322724037E-1, +-2.981252320379E-1)); +#14679=CARTESIAN_POINT('',(1.410913287169E-1,3.384147382342E-1, +-3.010674169036E-1)); +#14680=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14656,#14657,#14658,#14659), +(#14660,#14661,#14662,#14663),(#14664,#14665,#14666,#14667),(#14668,#14669, +#14670,#14671),(#14672,#14673,#14674,#14675),(#14676,#14677,#14678,#14679)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.451130230078E-2,0.E0,1.E0, +1.014398086174E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#14681=ORIENTED_EDGE('',*,*,#14483,.T.); +#14683=ORIENTED_EDGE('',*,*,#14682,.F.); +#14685=ORIENTED_EDGE('',*,*,#14684,.F.); +#14687=ORIENTED_EDGE('',*,*,#14686,.T.); +#14688=ORIENTED_EDGE('',*,*,#14647,.F.); +#14689=EDGE_LOOP('',(#14681,#14683,#14685,#14687,#14688)); +#14690=FACE_OUTER_BOUND('',#14689,.F.); +#14691=ADVANCED_FACE('',(#14690),#14680,.F.); +#14692=CARTESIAN_POINT('',(1.192124991236E-1,3.601890173523E-1, +-3.190060586543E-1)); +#14693=CARTESIAN_POINT('',(1.272195100481E-1,3.590298615651E-1, +-3.195311427596E-1)); +#14694=CARTESIAN_POINT('',(1.352265209726E-1,3.578707057778E-1, +-3.200562268650E-1)); +#14695=CARTESIAN_POINT('',(1.432335318971E-1,3.567115499906E-1, +-3.205813109704E-1)); +#14696=CARTESIAN_POINT('',(1.173046655767E-1,3.551257579999E-1, +-3.158129192701E-1)); +#14697=CARTESIAN_POINT('',(1.252952400561E-1,3.537254919971E-1, +-3.172393968437E-1)); +#14698=CARTESIAN_POINT('',(1.332858145355E-1,3.523252259943E-1, +-3.186658744173E-1)); +#14699=CARTESIAN_POINT('',(1.412763890149E-1,3.509249599915E-1, +-3.200923519908E-1)); +#14700=CARTESIAN_POINT('',(1.150406649105E-1,3.501070044895E-1, +-3.125795773839E-1)); +#14701=CARTESIAN_POINT('',(1.230049818333E-1,3.484609648345E-1, +-3.149187972170E-1)); +#14702=CARTESIAN_POINT('',(1.309692987560E-1,3.468149251794E-1, +-3.172580170502E-1)); +#14703=CARTESIAN_POINT('',(1.389336156788E-1,3.451688855244E-1, +-3.195972368834E-1)); +#14704=CARTESIAN_POINT('',(1.124606841990E-1,3.452556061037E-1, +-3.093823249835E-1)); +#14705=CARTESIAN_POINT('',(1.203886664763E-1,3.433633533229E-1, +-3.126240993510E-1)); +#14706=CARTESIAN_POINT('',(1.283166487535E-1,3.414711005422E-1, +-3.158658737185E-1)); +#14707=CARTESIAN_POINT('',(1.362446310308E-1,3.395788477614E-1, +-3.191076480860E-1)); +#14708=CARTESIAN_POINT('',(1.124212025658E-1,3.451815425187E-1, +-3.093334970024E-1)); +#14709=CARTESIAN_POINT('',(1.203486274963E-1,3.432855288498E-1, +-3.125890550536E-1)); +#14710=CARTESIAN_POINT('',(1.282760524269E-1,3.413895151808E-1, +-3.158446131048E-1)); +#14711=CARTESIAN_POINT('',(1.362034773574E-1,3.394935015119E-1, +-3.191001711559E-1)); +#14712=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14692,#14693,#14694,#14695), +(#14696,#14697,#14698,#14699),(#14700,#14701,#14702,#14703),(#14704,#14705, +#14706,#14707),(#14708,#14709,#14710,#14711)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(5.242702766180E-1,1.E0,1.007379258055E0),(-2.015631900769E-2, +8.437855249287E-1),.UNSPECIFIED.); +#14713=ORIENTED_EDGE('',*,*,#14481,.T.); +#14715=ORIENTED_EDGE('',*,*,#14714,.F.); +#14716=ORIENTED_EDGE('',*,*,#14682,.T.); +#14717=EDGE_LOOP('',(#14713,#14715,#14716)); +#14718=FACE_OUTER_BOUND('',#14717,.F.); +#14719=ADVANCED_FACE('',(#14718),#14712,.F.); +#14720=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14721=DIRECTION('',(0.E0,0.E0,1.E0)); +#14722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14723=AXIS2_PLACEMENT_3D('',#14720,#14721,#14722); +#14724=CYLINDRICAL_SURFACE('',#14723,1.279527559055E-1); +#14725=ORIENTED_EDGE('',*,*,#14479,.T.); +#14727=ORIENTED_EDGE('',*,*,#14726,.T.); +#14729=ORIENTED_EDGE('',*,*,#14728,.T.); +#14731=ORIENTED_EDGE('',*,*,#14730,.T.); +#14732=ORIENTED_EDGE('',*,*,#14684,.T.); +#14733=ORIENTED_EDGE('',*,*,#14714,.T.); +#14734=EDGE_LOOP('',(#14725,#14727,#14729,#14731,#14732,#14733)); +#14735=FACE_OUTER_BOUND('',#14734,.F.); +#14736=ADVANCED_FACE('',(#14735),#14724,.F.); +#14737=CARTESIAN_POINT('',(1.261702221562E-1,3.874228145476E-1, +-3.123184843123E-1)); +#14738=CARTESIAN_POINT('',(1.352187711979E-1,3.835984842909E-1, +-3.084678076460E-1)); +#14739=CARTESIAN_POINT('',(1.442673202396E-1,3.797741540342E-1, +-3.046171309797E-1)); +#14740=CARTESIAN_POINT('',(1.533158692813E-1,3.759498237775E-1, +-3.007664543134E-1)); +#14741=CARTESIAN_POINT('',(1.261633597664E-1,3.873742870491E-1, +-3.124001853940E-1)); +#14742=CARTESIAN_POINT('',(1.352142411236E-1,3.835664497984E-1, +-3.085542017407E-1)); +#14743=CARTESIAN_POINT('',(1.442651224808E-1,3.797586125477E-1, +-3.047082180874E-1)); +#14744=CARTESIAN_POINT('',(1.533160038380E-1,3.759507752970E-1, +-3.008622344341E-1)); +#14745=CARTESIAN_POINT('',(1.257258902236E-1,3.842932400598E-1, +-3.175883862620E-1)); +#14746=CARTESIAN_POINT('',(1.349254540398E-1,3.815325560343E-1, +-3.140402108531E-1)); +#14747=CARTESIAN_POINT('',(1.441250178560E-1,3.787718720087E-1, +-3.104920354442E-1)); +#14748=CARTESIAN_POINT('',(1.533245816722E-1,3.760111879831E-1, +-3.069438600352E-1)); +#14749=CARTESIAN_POINT('',(1.251781776970E-1,3.812279112731E-1, +-3.228097005754E-1)); +#14750=CARTESIAN_POINT('',(1.345638921758E-1,3.795090383384E-1, +-3.195480791680E-1)); +#14751=CARTESIAN_POINT('',(1.439496066547E-1,3.777901654038E-1, +-3.162864577605E-1)); +#14752=CARTESIAN_POINT('',(1.533353211335E-1,3.760712924691E-1, +-3.130248363531E-1)); +#14753=CARTESIAN_POINT('',(1.245336530696E-1,3.782335531560E-1, +-3.279678336828E-1)); +#14754=CARTESIAN_POINT('',(1.341384216701E-1,3.775323705618E-1, +-3.249817789270E-1)); +#14755=CARTESIAN_POINT('',(1.437431902707E-1,3.768311879676E-1, +-3.219957241712E-1)); +#14756=CARTESIAN_POINT('',(1.533479588713E-1,3.761300053734E-1, +-3.190096694155E-1)); +#14757=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14737,#14738,#14739,#14740), +(#14741,#14742,#14743,#14744),(#14745,#14746,#14747,#14748),(#14749,#14750, +#14751,#14752),(#14753,#14754,#14755,#14756)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(-1.462549325997E-2,0.E0,9.140319786107E-1),(-2.E-2,1.02E0), +.UNSPECIFIED.); +#14758=ORIENTED_EDGE('',*,*,#14477,.T.); +#14760=ORIENTED_EDGE('',*,*,#14759,.F.); +#14762=ORIENTED_EDGE('',*,*,#14761,.F.); +#14763=ORIENTED_EDGE('',*,*,#14726,.F.); +#14764=EDGE_LOOP('',(#14758,#14760,#14762,#14763)); +#14765=FACE_OUTER_BOUND('',#14764,.F.); +#14766=ADVANCED_FACE('',(#14765),#14757,.F.); +#14767=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14768=DIRECTION('',(0.E0,0.E0,1.E0)); +#14769=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14770=AXIS2_PLACEMENT_3D('',#14767,#14768,#14769); +#14771=CYLINDRICAL_SURFACE('',#14770,1.555118110236E-1); +#14772=ORIENTED_EDGE('',*,*,#14475,.T.); +#14774=ORIENTED_EDGE('',*,*,#14773,.T.); +#14775=ORIENTED_EDGE('',*,*,#14329,.T.); +#14777=ORIENTED_EDGE('',*,*,#14776,.T.); +#14778=ORIENTED_EDGE('',*,*,#14759,.T.); +#14779=EDGE_LOOP('',(#14772,#14774,#14775,#14777,#14778)); +#14780=FACE_OUTER_BOUND('',#14779,.F.); +#14781=ADVANCED_FACE('',(#14780),#14771,.F.); +#14782=CARTESIAN_POINT('',(1.261702225564E-1,4.228134030901E-1, +-3.097269014274E-1)); +#14783=CARTESIAN_POINT('',(1.352187714621E-1,4.266377343103E-1, +-3.136098725700E-1)); +#14784=CARTESIAN_POINT('',(1.442673203678E-1,4.304620655304E-1, +-3.174928437127E-1)); +#14785=CARTESIAN_POINT('',(1.533158692735E-1,4.342863967506E-1, +-3.213758148553E-1)); +#14786=CARTESIAN_POINT('',(1.261633600345E-1,4.228619315337E-1, +-3.096451929011E-1)); +#14787=CARTESIAN_POINT('',(1.352142413006E-1,4.266697694266E-1, +-3.135235724122E-1)); +#14788=CARTESIAN_POINT('',(1.442651225667E-1,4.304776073195E-1, +-3.174019519233E-1)); +#14789=CARTESIAN_POINT('',(1.533160038327E-1,4.342854452125E-1, +-3.212803314345E-1)); +#14790=CARTESIAN_POINT('',(1.256816766161E-1,4.262543720687E-1, +-3.039322245793E-1)); +#14791=CARTESIAN_POINT('',(1.348962672793E-1,4.289092236360E-1, +-3.074897912843E-1)); +#14792=CARTESIAN_POINT('',(1.441108579425E-1,4.315640752033E-1, +-3.110473579893E-1)); +#14793=CARTESIAN_POINT('',(1.533254486057E-1,4.342189267706E-1, +-3.146049246943E-1)); +#14794=CARTESIAN_POINT('',(1.250576061767E-1,4.296756319935E-1, +-2.980974619655E-1)); +#14795=CARTESIAN_POINT('',(1.344842992114E-1,4.311677024099E-1, +-3.013435006380E-1)); +#14796=CARTESIAN_POINT('',(1.439109922462E-1,4.326597728263E-1, +-3.045895393105E-1)); +#14797=CARTESIAN_POINT('',(1.533376852809E-1,4.341518432427E-1, +-3.078355779830E-1)); +#14798=CARTESIAN_POINT('',(1.243105923248E-1,4.330192859304E-1, +-2.923228302461E-1)); +#14799=CARTESIAN_POINT('',(1.339911724204E-1,4.333749510872E-1, +-2.952692660919E-1)); +#14800=CARTESIAN_POINT('',(1.436717525159E-1,4.337306162440E-1, +-2.982157019377E-1)); +#14801=CARTESIAN_POINT('',(1.533523326114E-1,4.340862814008E-1, +-3.011621377835E-1)); +#14802=CARTESIAN_POINT('',(1.242999628805E-1,4.330667388870E-1, +-2.922408623066E-1)); +#14803=CARTESIAN_POINT('',(1.339841555976E-1,4.334062762416E-1, +-2.951830471723E-1)); +#14804=CARTESIAN_POINT('',(1.436683483147E-1,4.337458135961E-1, +-2.981252320379E-1)); +#14805=CARTESIAN_POINT('',(1.533525410318E-1,4.340853509506E-1, +-3.010674169036E-1)); +#14806=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14782,#14783,#14784,#14785), +(#14786,#14787,#14788,#14789),(#14790,#14791,#14792,#14793),(#14794,#14795, +#14796,#14797),(#14798,#14799,#14800,#14801),(#14802,#14803,#14804,#14805)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.451130230077E-2,0.E0,1.E0, +1.014398086174E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#14807=ORIENTED_EDGE('',*,*,#14473,.T.); +#14809=ORIENTED_EDGE('',*,*,#14808,.F.); +#14811=ORIENTED_EDGE('',*,*,#14810,.F.); +#14813=ORIENTED_EDGE('',*,*,#14812,.T.); +#14814=ORIENTED_EDGE('',*,*,#14773,.F.); +#14815=EDGE_LOOP('',(#14807,#14809,#14811,#14813,#14814)); +#14816=FACE_OUTER_BOUND('',#14815,.F.); +#14817=ADVANCED_FACE('',(#14816),#14806,.F.); +#14818=CARTESIAN_POINT('',(1.228535959289E-1,4.388410594250E-1, +-3.190060586543E-1)); +#14819=CARTESIAN_POINT('',(1.300127385178E-1,4.426096856304E-1, +-3.195311427596E-1)); +#14820=CARTESIAN_POINT('',(1.371718811068E-1,4.463783118358E-1, +-3.200562268650E-1)); +#14821=CARTESIAN_POINT('',(1.443310236957E-1,4.501469380412E-1, +-3.205813109704E-1)); +#14822=CARTESIAN_POINT('',(1.242862353430E-1,4.336234001393E-1, +-3.158129192701E-1)); +#14823=CARTESIAN_POINT('',(1.315738015973E-1,4.371873029828E-1, +-3.172393968437E-1)); +#14824=CARTESIAN_POINT('',(1.388613678517E-1,4.407512058262E-1, +-3.186658744173E-1)); +#14825=CARTESIAN_POINT('',(1.461489341061E-1,4.443151086697E-1, +-3.200923519908E-1)); +#14826=CARTESIAN_POINT('',(1.254045696271E-1,4.282323970561E-1, +-3.125795773839E-1)); +#14827=CARTESIAN_POINT('',(1.328153552001E-1,4.315820310335E-1, +-3.149187972170E-1)); +#14828=CARTESIAN_POINT('',(1.402261407731E-1,4.349316650110E-1, +-3.172580170502E-1)); +#14829=CARTESIAN_POINT('',(1.476369263461E-1,4.382812989884E-1, +-3.195972368834E-1)); +#14830=CARTESIAN_POINT('',(1.261689018105E-1,4.227910587021E-1, +-3.093823249835E-1)); +#14831=CARTESIAN_POINT('',(1.336950124820E-1,4.259201451078E-1, +-3.126240993510E-1)); +#14832=CARTESIAN_POINT('',(1.412211231535E-1,4.290492315135E-1, +-3.158658737185E-1)); +#14833=CARTESIAN_POINT('',(1.487472338251E-1,4.321783179193E-1, +-3.191076480860E-1)); +#14834=CARTESIAN_POINT('',(1.261804939812E-1,4.227079332814E-1, +-3.093334970024E-1)); +#14835=CARTESIAN_POINT('',(1.337083643444E-1,4.258336494645E-1, +-3.125890550536E-1)); +#14836=CARTESIAN_POINT('',(1.412362347075E-1,4.289593656476E-1, +-3.158446131048E-1)); +#14837=CARTESIAN_POINT('',(1.487641050707E-1,4.320850818307E-1, +-3.191001711559E-1)); +#14838=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14818,#14819,#14820,#14821), +(#14822,#14823,#14824,#14825),(#14826,#14827,#14828,#14829),(#14830,#14831, +#14832,#14833),(#14834,#14835,#14836,#14837)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(5.242702766180E-1,1.E0,1.007379258055E0),(-2.015631900769E-2, +8.437855249287E-1),.UNSPECIFIED.); +#14839=ORIENTED_EDGE('',*,*,#14471,.T.); +#14841=ORIENTED_EDGE('',*,*,#14840,.F.); +#14842=ORIENTED_EDGE('',*,*,#14808,.T.); +#14843=EDGE_LOOP('',(#14839,#14841,#14842)); +#14844=FACE_OUTER_BOUND('',#14843,.F.); +#14845=ADVANCED_FACE('',(#14844),#14838,.F.); +#14846=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14847=DIRECTION('',(0.E0,0.E0,1.E0)); +#14848=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14849=AXIS2_PLACEMENT_3D('',#14846,#14847,#14848); +#14850=CYLINDRICAL_SURFACE('',#14849,1.279527559055E-1); +#14851=ORIENTED_EDGE('',*,*,#14469,.T.); +#14853=ORIENTED_EDGE('',*,*,#14852,.T.); +#14855=ORIENTED_EDGE('',*,*,#14854,.T.); +#14857=ORIENTED_EDGE('',*,*,#14856,.T.); +#14858=ORIENTED_EDGE('',*,*,#14810,.T.); +#14859=ORIENTED_EDGE('',*,*,#14840,.T.); +#14860=EDGE_LOOP('',(#14851,#14853,#14855,#14857,#14858,#14859)); +#14861=FACE_OUTER_BOUND('',#14860,.F.); +#14862=ADVANCED_FACE('',(#14861),#14850,.F.); +#14863=CARTESIAN_POINT('',(1.124748877492E-1,4.649633111655E-1, +-3.123184843123E-1)); +#14864=CARTESIAN_POINT('',(1.220432026231E-1,4.671879666771E-1, +-3.084678076460E-1)); +#14865=CARTESIAN_POINT('',(1.316115174971E-1,4.694126221887E-1, +-3.046171309797E-1)); +#14866=CARTESIAN_POINT('',(1.411798323711E-1,4.716372777003E-1, +-3.007664543134E-1)); +#14867=CARTESIAN_POINT('',(1.124978597071E-1,4.649200179830E-1, +-3.124001853940E-1)); +#14868=CARTESIAN_POINT('',(1.220583671183E-1,4.671593875174E-1, +-3.085542017407E-1)); +#14869=CARTESIAN_POINT('',(1.316188745294E-1,4.693987570518E-1, +-3.047082180874E-1)); +#14870=CARTESIAN_POINT('',(1.411793819405E-1,4.716381265862E-1, +-3.008622344341E-1)); +#14871=CARTESIAN_POINT('',(1.139549333944E-1,4.621702604626E-1, +-3.175883862620E-1)); +#14872=CARTESIAN_POINT('',(1.230202262190E-1,4.653441881085E-1, +-3.140402108531E-1)); +#14873=CARTESIAN_POINT('',(1.320855190436E-1,4.685181157544E-1, +-3.104920354441E-1)); +#14874=CARTESIAN_POINT('',(1.411508118682E-1,4.716920434003E-1, +-3.069438600352E-1)); +#14875=CARTESIAN_POINT('',(1.153135797066E-1,4.593684200352E-1, +-3.228097005754E-1)); +#14876=CARTESIAN_POINT('',(1.239171103859E-1,4.634946071728E-1, +-3.195480791680E-1)); +#14877=CARTESIAN_POINT('',(1.325206410652E-1,4.676207943103E-1, +-3.162864577605E-1)); +#14878=CARTESIAN_POINT('',(1.411241717445E-1,4.717469814479E-1, +-3.130248363531E-1)); +#14879=CARTESIAN_POINT('',(1.165521878710E-1,4.565670913605E-1, +-3.279678336828E-1)); +#14880=CARTESIAN_POINT('',(1.247347536840E-1,4.616453640607E-1, +-3.249817789270E-1)); +#14881=CARTESIAN_POINT('',(1.329173194969E-1,4.667236367609E-1, +-3.219957241712E-1)); +#14882=CARTESIAN_POINT('',(1.410998853099E-1,4.718019094611E-1, +-3.190096694155E-1)); +#14883=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14863,#14864,#14865,#14866), +(#14867,#14868,#14869,#14870),(#14871,#14872,#14873,#14874),(#14875,#14876, +#14877,#14878),(#14879,#14880,#14881,#14882)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(-1.462549325997E-2,0.E0,9.140319786107E-1),(-2.E-2,1.02E0), +.UNSPECIFIED.); +#14884=ORIENTED_EDGE('',*,*,#14467,.T.); +#14886=ORIENTED_EDGE('',*,*,#14885,.F.); +#14888=ORIENTED_EDGE('',*,*,#14887,.F.); +#14889=ORIENTED_EDGE('',*,*,#14852,.F.); +#14890=EDGE_LOOP('',(#14884,#14886,#14888,#14889)); +#14891=FACE_OUTER_BOUND('',#14890,.F.); +#14892=ADVANCED_FACE('',(#14891),#14883,.F.); +#14893=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14894=DIRECTION('',(0.E0,0.E0,1.E0)); +#14895=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14896=AXIS2_PLACEMENT_3D('',#14893,#14894,#14895); +#14897=CYLINDRICAL_SURFACE('',#14896,1.555118110236E-1); +#14898=ORIENTED_EDGE('',*,*,#14465,.T.); +#14900=ORIENTED_EDGE('',*,*,#14899,.T.); +#14901=ORIENTED_EDGE('',*,*,#14335,.T.); +#14903=ORIENTED_EDGE('',*,*,#14902,.T.); +#14904=ORIENTED_EDGE('',*,*,#14885,.T.); +#14905=EDGE_LOOP('',(#14898,#14900,#14901,#14903,#14904)); +#14906=FACE_OUTER_BOUND('',#14905,.F.); +#14907=ADVANCED_FACE('',(#14906),#14897,.F.); +#14908=CARTESIAN_POINT('',(9.167282205766E-2,4.935948989726E-1, +-3.097269014274E-1)); +#14909=CARTESIAN_POINT('',(9.674536640572E-2,5.020074515232E-1, +-3.136098725700E-1)); +#14910=CARTESIAN_POINT('',(1.018179107538E-1,5.104200040738E-1, +-3.174928437127E-1)); +#14911=CARTESIAN_POINT('',(1.068904551019E-1,5.188325566245E-1, +-3.213758148553E-1)); +#14912=CARTESIAN_POINT('',(9.163874585737E-2,4.936301256190E-1, +-3.096451929011E-1)); +#14913=CARTESIAN_POINT('',(9.672287165913E-2,5.020307057146E-1, +-3.135235724122E-1)); +#14914=CARTESIAN_POINT('',(1.018069974609E-1,5.104312858103E-1, +-3.174019519233E-1)); +#14915=CARTESIAN_POINT('',(1.068911232626E-1,5.188318659059E-1, +-3.212803314345E-1)); +#14916=CARTESIAN_POINT('',(8.925502927028E-2,4.960915412546E-1, +-3.039322245793E-1)); +#14917=CARTESIAN_POINT('',(9.514930711471E-2,5.036555617878E-1, +-3.074897912843E-1)); +#14918=CARTESIAN_POINT('',(1.010435849591E-1,5.112195823210E-1, +-3.110473579893E-1)); +#14919=CARTESIAN_POINT('',(1.069378628036E-1,5.187836028542E-1, +-3.146049246943E-1)); +#14920=CARTESIAN_POINT('',(8.673917955096E-2,4.984925792753E-1, +-2.980974619655E-1)); +#14921=CARTESIAN_POINT('',(9.348851743071E-2,5.052405607426E-1, +-3.013435006380E-1)); +#14922=CARTESIAN_POINT('',(1.002378553105E-1,5.119885422100E-1, +-3.045895393105E-1)); +#14923=CARTESIAN_POINT('',(1.069871931902E-1,5.187365236774E-1, +-3.078355779830E-1)); +#14924=CARTESIAN_POINT('',(8.416948217693E-2,5.007585684082E-1, +-2.923228302461E-1)); +#14925=CARTESIAN_POINT('',(9.179218125570E-2,5.067364097781E-1, +-2.952692660919E-1)); +#14926=CARTESIAN_POINT('',(9.941488033447E-2,5.127142511480E-1, +-2.982157019377E-1)); +#14927=CARTESIAN_POINT('',(1.070375794132E-1,5.186920925179E-1, +-3.011621377835E-1)); +#14928=CARTESIAN_POINT('',(8.413299062773E-2,5.007907108258E-1, +-2.922408623066E-1)); +#14929=CARTESIAN_POINT('',(9.176809206309E-2,5.067576279754E-1, +-2.951830471723E-1)); +#14930=CARTESIAN_POINT('',(9.940319349845E-2,5.127245451249E-1, +-2.981252320379E-1)); +#14931=CARTESIAN_POINT('',(1.070382949338E-1,5.186914622744E-1, +-3.010674169036E-1)); +#14932=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14908,#14909,#14910,#14911), +(#14912,#14913,#14914,#14915),(#14916,#14917,#14918,#14919),(#14920,#14921, +#14922,#14923),(#14924,#14925,#14926,#14927),(#14928,#14929,#14930,#14931)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.451130230078E-2,0.E0,1.E0, +1.014398086174E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#14933=ORIENTED_EDGE('',*,*,#14463,.T.); +#14935=ORIENTED_EDGE('',*,*,#14934,.F.); +#14937=ORIENTED_EDGE('',*,*,#14936,.F.); +#14939=ORIENTED_EDGE('',*,*,#14938,.T.); +#14940=ORIENTED_EDGE('',*,*,#14899,.F.); +#14941=EDGE_LOOP('',(#14933,#14935,#14937,#14939,#14940)); +#14942=FACE_OUTER_BOUND('',#14941,.F.); +#14943=ADVANCED_FACE('',(#14942),#14932,.F.); +#14944=CARTESIAN_POINT('',(7.956879472959E-2,5.046120811085E-1, +-3.190060586543E-1)); +#14945=CARTESIAN_POINT('',(8.314551984425E-2,5.118690021869E-1, +-3.195311427596E-1)); +#14946=CARTESIAN_POINT('',(8.672224495891E-2,5.191259232654E-1, +-3.200562268650E-1)); +#14947=CARTESIAN_POINT('',(9.029897007356E-2,5.263828443438E-1, +-3.205813109704E-1)); +#14948=CARTESIAN_POINT('',(8.379468754198E-2,5.012329903949E-1, +-3.158129192701E-1)); +#14949=CARTESIAN_POINT('',(8.759564295742E-2,5.083997723310E-1, +-3.172393968437E-1)); +#14950=CARTESIAN_POINT('',(9.139659837286E-2,5.155665542671E-1, +-3.186658744173E-1)); +#14951=CARTESIAN_POINT('',(9.519755378830E-2,5.227333362032E-1, +-3.200923519908E-1)); +#14952=CARTESIAN_POINT('',(8.786819109059E-2,4.975289176832E-1, +-3.125795773839E-1)); +#14953=CARTESIAN_POINT('',(9.189477710829E-2,5.045947789636E-1, +-3.149187972170E-1)); +#14954=CARTESIAN_POINT('',(9.592136312599E-2,5.116606402440E-1, +-3.172580170502E-1)); +#14955=CARTESIAN_POINT('',(9.994794914370E-2,5.187265015244E-1, +-3.195972368834E-1)); +#14956=CARTESIAN_POINT('',(9.168488725353E-2,4.935760456679E-1, +-3.093823249835E-1)); +#14957=CARTESIAN_POINT('',(9.593440784592E-2,5.005312666069E-1, +-3.126240993510E-1)); +#14958=CARTESIAN_POINT('',(1.001839284383E-1,5.074864875458E-1, +-3.158658737185E-1)); +#14959=CARTESIAN_POINT('',(1.044334490307E-1,5.144417084848E-1, +-3.191076480860E-1)); +#14960=CARTESIAN_POINT('',(9.174312541302E-2,4.935156094969E-1, +-3.093334970024E-1)); +#14961=CARTESIAN_POINT('',(9.599605059300E-2,5.004691381893E-1, +-3.125890550536E-1)); +#14962=CARTESIAN_POINT('',(1.002489757730E-1,5.074226668817E-1, +-3.158446131048E-1)); +#14963=CARTESIAN_POINT('',(1.045019009530E-1,5.143761955740E-1, +-3.191001711559E-1)); +#14964=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14944,#14945,#14946,#14947), +(#14948,#14949,#14950,#14951),(#14952,#14953,#14954,#14955),(#14956,#14957, +#14958,#14959),(#14960,#14961,#14962,#14963)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(5.242702766179E-1,1.E0,1.007379258055E0),(-2.015631900769E-2, +8.437855249287E-1),.UNSPECIFIED.); +#14965=ORIENTED_EDGE('',*,*,#14461,.T.); +#14967=ORIENTED_EDGE('',*,*,#14966,.F.); +#14968=ORIENTED_EDGE('',*,*,#14934,.T.); +#14969=EDGE_LOOP('',(#14965,#14967,#14968)); +#14970=FACE_OUTER_BOUND('',#14969,.F.); +#14971=ADVANCED_FACE('',(#14970),#14964,.F.); +#14972=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#14973=DIRECTION('',(0.E0,0.E0,1.E0)); +#14974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14975=AXIS2_PLACEMENT_3D('',#14972,#14973,#14974); +#14976=CYLINDRICAL_SURFACE('',#14975,1.279527559055E-1); +#14977=ORIENTED_EDGE('',*,*,#14459,.T.); +#14979=ORIENTED_EDGE('',*,*,#14978,.T.); +#14981=ORIENTED_EDGE('',*,*,#14980,.T.); +#14983=ORIENTED_EDGE('',*,*,#14982,.T.); +#14984=ORIENTED_EDGE('',*,*,#14936,.T.); +#14985=ORIENTED_EDGE('',*,*,#14966,.T.); +#14986=EDGE_LOOP('',(#14977,#14979,#14981,#14983,#14984,#14985)); +#14987=FACE_OUTER_BOUND('',#14986,.F.); +#14988=ADVANCED_FACE('',(#14987),#14976,.F.); +#14989=CARTESIAN_POINT('',(5.581796910278E-2,5.196449750920E-1, +-3.123184843123E-1)); +#14990=CARTESIAN_POINT('',(6.225127874221E-2,5.270688735797E-1, +-3.084678076460E-1)); +#14991=CARTESIAN_POINT('',(6.868458838164E-2,5.344927720674E-1, +-3.046171309797E-1)); +#14992=CARTESIAN_POINT('',(7.511789802107E-2,5.419166705551E-1, +-3.007664543134E-1)); +#14993=CARTESIAN_POINT('',(5.586200090138E-2,5.196234527497E-1, +-3.124001853940E-1)); +#14994=CARTESIAN_POINT('',(6.228034548507E-2,5.270546660204E-1, +-3.085542017407E-1)); +#14995=CARTESIAN_POINT('',(6.869869006877E-2,5.344858792912E-1, +-3.047082180874E-1)); +#14996=CARTESIAN_POINT('',(7.511703465247E-2,5.419170925619E-1, +-3.008622344341E-1)); +#14997=CARTESIAN_POINT('',(5.865706519426E-2,5.182552986102E-1, +-3.175883862620E-1)); +#14998=CARTESIAN_POINT('',(6.412545328626E-2,5.261515054447E-1, +-3.140402108531E-1)); +#14999=CARTESIAN_POINT('',(6.959384137826E-2,5.340477122791E-1, +-3.104920354441E-1)); +#15000=CARTESIAN_POINT('',(7.506222947026E-2,5.419439191136E-1, +-3.069438600352E-1)); +#15001=CARTESIAN_POINT('',(6.140311363276E-2,5.167871543543E-1, +-3.228097005754E-1)); +#15002=CARTESIAN_POINT('',(6.593820421625E-2,5.251823383215E-1, +-3.195480791680E-1)); +#15003=CARTESIAN_POINT('',(7.047329479974E-2,5.335775222887E-1, +-3.162864577605E-1)); +#15004=CARTESIAN_POINT('',(7.500838538323E-2,5.419727062559E-1, +-3.130248363531E-1)); +#15005=CARTESIAN_POINT('',(6.405174836891E-2,5.152488674620E-1, +-3.279678336828E-1)); +#15006=CARTESIAN_POINT('',(6.768664936887E-2,5.241668678894E-1, +-3.249817789270E-1)); +#15007=CARTESIAN_POINT('',(7.132155036883E-2,5.330848683167E-1, +-3.219957241712E-1)); +#15008=CARTESIAN_POINT('',(7.495645136879E-2,5.420028687440E-1, +-3.190096694155E-1)); +#15009=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#14989,#14990,#14991,#14992), +(#14993,#14994,#14995,#14996),(#14997,#14998,#14999,#15000),(#15001,#15002, +#15003,#15004),(#15005,#15006,#15007,#15008)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(-1.462549325997E-2,0.E0,9.140319786107E-1),(-2.E-2,1.02E0), +.UNSPECIFIED.); +#15010=ORIENTED_EDGE('',*,*,#14457,.T.); +#15012=ORIENTED_EDGE('',*,*,#15011,.F.); +#15014=ORIENTED_EDGE('',*,*,#15013,.F.); +#15015=ORIENTED_EDGE('',*,*,#14978,.F.); +#15016=EDGE_LOOP('',(#15010,#15012,#15014,#15015)); +#15017=FACE_OUTER_BOUND('',#15016,.F.); +#15018=ADVANCED_FACE('',(#15017),#15009,.F.); +#15019=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#15020=DIRECTION('',(0.E0,0.E0,1.E0)); +#15021=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15022=AXIS2_PLACEMENT_3D('',#15019,#15020,#15021); +#15023=CYLINDRICAL_SURFACE('',#15022,1.555118110236E-1); +#15024=ORIENTED_EDGE('',*,*,#14455,.T.); +#15026=ORIENTED_EDGE('',*,*,#15025,.T.); +#15027=ORIENTED_EDGE('',*,*,#14341,.T.); +#15029=ORIENTED_EDGE('',*,*,#15028,.T.); +#15030=ORIENTED_EDGE('',*,*,#15011,.T.); +#15031=EDGE_LOOP('',(#15024,#15026,#15027,#15029,#15030)); +#15032=FACE_OUTER_BOUND('',#15031,.F.); +#15033=ADVANCED_FACE('',(#15032),#15023,.F.); +#15034=CARTESIAN_POINT('',(2.215951937753E-2,5.305812687732E-1, +-3.097269014274E-1)); +#15035=CARTESIAN_POINT('',(2.131851963644E-2,5.403687335121E-1, +-3.136098725700E-1)); +#15036=CARTESIAN_POINT('',(2.047751989534E-2,5.501561982510E-1, +-3.174928437127E-1)); +#15037=CARTESIAN_POINT('',(1.963652015425E-2,5.599436629900E-1, +-3.213758148553E-1)); +#15038=CARTESIAN_POINT('',(2.211124544914E-2,5.305897382408E-1, +-3.096451929011E-1)); +#15039=CARTESIAN_POINT('',(2.128665253338E-2,5.403743244679E-1, +-3.135235724122E-1)); +#15040=CARTESIAN_POINT('',(2.046205961762E-2,5.501589106949E-1, +-3.174019519233E-1)); +#15041=CARTESIAN_POINT('',(1.963746670186E-2,5.599434969220E-1, +-3.212803314345E-1)); +#15042=CARTESIAN_POINT('',(1.873599441006E-2,5.311799518647E-1, +-3.039322245793E-1)); +#15043=CARTESIAN_POINT('',(1.905854563830E-2,5.407639426117E-1, +-3.074897912843E-1)); +#15044=CARTESIAN_POINT('',(1.938109686654E-2,5.503479333588E-1, +-3.110473579893E-1)); +#15045=CARTESIAN_POINT('',(1.970364809479E-2,5.599319241058E-1, +-3.146049246943E-1)); +#15046=CARTESIAN_POINT('',(1.528933449308E-2,5.316436530655E-1, +-2.980974619655E-1)); +#15047=CARTESIAN_POINT('',(1.678329954931E-2,5.410700460188E-1, +-3.013435006380E-1)); +#15048=CARTESIAN_POINT('',(1.827726460555E-2,5.504964389721E-1, +-3.045895393105E-1)); +#15049=CARTESIAN_POINT('',(1.977122966178E-2,5.599228319254E-1, +-3.078355779830E-1)); +#15050=CARTESIAN_POINT('',(1.187849065291E-2,5.319664465638E-1, +-2.923228302461E-1)); +#15051=CARTESIAN_POINT('',(1.453169675286E-2,5.412831319229E-1, +-2.952692660919E-1)); +#15052=CARTESIAN_POINT('',(1.718490285282E-2,5.505998172820E-1, +-2.982157019377E-1)); +#15053=CARTESIAN_POINT('',(1.983810895277E-2,5.599165026411E-1, +-3.011621377835E-1)); +#15054=CARTESIAN_POINT('',(1.183007553037E-2,5.319710011315E-1, +-2.922408623066E-1)); +#15055=CARTESIAN_POINT('',(1.449973644321E-2,5.412861385330E-1, +-2.951830471723E-1)); +#15056=CARTESIAN_POINT('',(1.716939735606E-2,5.506012759344E-1, +-2.981252320379E-1)); +#15057=CARTESIAN_POINT('',(1.983905826890E-2,5.599164133359E-1, +-3.010674169036E-1)); +#15058=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15034,#15035,#15036,#15037), +(#15038,#15039,#15040,#15041),(#15042,#15043,#15044,#15045),(#15046,#15047, +#15048,#15049),(#15050,#15051,#15052,#15053),(#15054,#15055,#15056,#15057)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.451130230078E-2,0.E0,1.E0, +1.014398086174E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#15059=ORIENTED_EDGE('',*,*,#14453,.T.); +#15061=ORIENTED_EDGE('',*,*,#15060,.F.); +#15063=ORIENTED_EDGE('',*,*,#15062,.F.); +#15065=ORIENTED_EDGE('',*,*,#15064,.T.); +#15066=ORIENTED_EDGE('',*,*,#15025,.F.); +#15067=EDGE_LOOP('',(#15059,#15061,#15063,#15065,#15066)); +#15068=FACE_OUTER_BOUND('',#15067,.F.); +#15069=ADVANCED_FACE('',(#15068),#15058,.F.); +#15070=CARTESIAN_POINT('',(5.891418387422E-3,5.323797875945E-1, +-3.190060586543E-1)); +#15071=CARTESIAN_POINT('',(4.519538602438E-3,5.403531063477E-1, +-3.195311427596E-1)); +#15072=CARTESIAN_POINT('',(3.147658817453E-3,5.483264251009E-1, +-3.200562268650E-1)); +#15073=CARTESIAN_POINT('',(1.775779032468E-3,5.562997438540E-1, +-3.205813109704E-1)); +#15074=CARTESIAN_POINT('',(1.129641717665E-2,5.321299632546E-1, +-3.158129192701E-1)); +#15075=CARTESIAN_POINT('',(1.015892597418E-2,5.401621571737E-1, +-3.172393968437E-1)); +#15076=CARTESIAN_POINT('',(9.021434771704E-3,5.481943510927E-1, +-3.186658744173E-1)); +#15077=CARTESIAN_POINT('',(7.883943569233E-3,5.562265450118E-1, +-3.200923519908E-1)); +#15078=CARTESIAN_POINT('',(1.676915008749E-2,5.315276507934E-1, +-3.125795773839E-1)); +#15079=CARTESIAN_POINT('',(1.587351754975E-2,5.396108205275E-1, +-3.149187972170E-1)); +#15080=CARTESIAN_POINT('',(1.497788501202E-2,5.476939902615E-1, +-3.172580170502E-1)); +#15081=CARTESIAN_POINT('',(1.408225247428E-2,5.557771599955E-1, +-3.195972368834E-1)); +#15082=CARTESIAN_POINT('',(2.218036202046E-2,5.305731078735E-1, +-3.093823249835E-1)); +#15083=CARTESIAN_POINT('',(2.153012010329E-2,5.386978053463E-1, +-3.126240993510E-1)); +#15084=CARTESIAN_POINT('',(2.087987818612E-2,5.468225028190E-1, +-3.158658737185E-1)); +#15085=CARTESIAN_POINT('',(2.022963626895E-2,5.549472002918E-1, +-3.191076480860E-1)); +#15086=CARTESIAN_POINT('',(2.226300117124E-2,5.305584455153E-1, +-3.093334970024E-1)); +#15087=CARTESIAN_POINT('',(2.161650830088E-2,5.386837750983E-1, +-3.125890550536E-1)); +#15088=CARTESIAN_POINT('',(2.097001543052E-2,5.468091046812E-1, +-3.158446131048E-1)); +#15089=CARTESIAN_POINT('',(2.032352256016E-2,5.549344342641E-1, +-3.191001711559E-1)); +#15090=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15070,#15071,#15072,#15073), +(#15074,#15075,#15076,#15077),(#15078,#15079,#15080,#15081),(#15082,#15083, +#15084,#15085),(#15086,#15087,#15088,#15089)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(5.242702766180E-1,1.E0,1.007379258055E0),(-2.015631900769E-2, +8.437855249287E-1),.UNSPECIFIED.); +#15091=ORIENTED_EDGE('',*,*,#14451,.T.); +#15093=ORIENTED_EDGE('',*,*,#15092,.F.); +#15094=ORIENTED_EDGE('',*,*,#15060,.T.); +#15095=EDGE_LOOP('',(#15091,#15093,#15094)); +#15096=FACE_OUTER_BOUND('',#15095,.F.); +#15097=ADVANCED_FACE('',(#15096),#15090,.F.); +#15098=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#15099=DIRECTION('',(0.E0,0.E0,1.E0)); +#15100=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15101=AXIS2_PLACEMENT_3D('',#15098,#15099,#15100); +#15102=CYLINDRICAL_SURFACE('',#15101,1.279527559055E-1); +#15103=ORIENTED_EDGE('',*,*,#14449,.T.); +#15105=ORIENTED_EDGE('',*,*,#15104,.T.); +#15107=ORIENTED_EDGE('',*,*,#15106,.T.); +#15109=ORIENTED_EDGE('',*,*,#15108,.T.); +#15110=ORIENTED_EDGE('',*,*,#15062,.T.); +#15111=ORIENTED_EDGE('',*,*,#15092,.T.); +#15112=EDGE_LOOP('',(#15103,#15105,#15107,#15109,#15110,#15111)); +#15113=FACE_OUTER_BOUND('',#15112,.F.); +#15114=ADVANCED_FACE('',(#15113),#15102,.F.); +#15115=CARTESIAN_POINT('',(-2.215951655786E-2,5.305812692685E-1, +-3.123184843123E-1)); +#15116=CARTESIAN_POINT('',(-2.131851777509E-2,5.403687338391E-1, +-3.084678076460E-1)); +#15117=CARTESIAN_POINT('',(-2.047751899231E-2,5.501561984097E-1, +-3.046171309797E-1)); +#15118=CARTESIAN_POINT('',(-1.963652020953E-2,5.599436629802E-1, +-3.007664543134E-1)); +#15119=CARTESIAN_POINT('',(-2.211124356915E-2,5.305897385698E-1, +-3.124001853940E-1)); +#15120=CARTESIAN_POINT('',(-2.128665129234E-2,5.403743246850E-1, +-3.085542017407E-1)); +#15121=CARTESIAN_POINT('',(-2.046205901553E-2,5.501589108003E-1, +-3.047082180874E-1)); +#15122=CARTESIAN_POINT('',(-1.963746673872E-2,5.599434969155E-1, +-3.008622344341E-1)); +#15123=CARTESIAN_POINT('',(-1.904580822974E-2,5.311257761905E-1, +-3.175883862620E-1)); +#15124=CARTESIAN_POINT('',(-1.926306325783E-2,5.407281795850E-1, +-3.140402108531E-1)); +#15125=CARTESIAN_POINT('',(-1.948031828592E-2,5.503305829795E-1, +-3.104920354442E-1)); +#15126=CARTESIAN_POINT('',(-1.969757331401E-2,5.599329863739E-1, +-3.069438600352E-1)); +#15127=CARTESIAN_POINT('',(-1.596125483376E-2,5.315521093115E-1, +-3.228097005754E-1)); +#15128=CARTESIAN_POINT('',(-1.722685480689E-2,5.410096151747E-1, +-3.195480791680E-1)); +#15129=CARTESIAN_POINT('',(-1.849245478002E-2,5.504671210378E-1, +-3.162864577605E-1)); +#15130=CARTESIAN_POINT('',(-1.975805475314E-2,5.599246269010E-1, +-3.130248363531E-1)); +#15131=CARTESIAN_POINT('',(-1.291428197126E-2,5.318644375101E-1, +-3.279678336828E-1)); +#15132=CARTESIAN_POINT('',(-1.521545442053E-2,5.412157926129E-1, +-3.249817789270E-1)); +#15133=CARTESIAN_POINT('',(-1.751662686980E-2,5.505671477158E-1, +-3.219957241712E-1)); +#15134=CARTESIAN_POINT('',(-1.981779931908E-2,5.599185028187E-1, +-3.190096694155E-1)); +#15135=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15115,#15116,#15117,#15118), +(#15119,#15120,#15121,#15122),(#15123,#15124,#15125,#15126),(#15127,#15128, +#15129,#15130),(#15131,#15132,#15133,#15134)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(-1.462549325997E-2,0.E0,9.140319786107E-1),(-2.E-2,1.02E0), +.UNSPECIFIED.); +#15136=ORIENTED_EDGE('',*,*,#14447,.T.); +#15138=ORIENTED_EDGE('',*,*,#15137,.F.); +#15140=ORIENTED_EDGE('',*,*,#15139,.F.); +#15141=ORIENTED_EDGE('',*,*,#15104,.F.); +#15142=EDGE_LOOP('',(#15136,#15138,#15140,#15141)); +#15143=FACE_OUTER_BOUND('',#15142,.F.); +#15144=ADVANCED_FACE('',(#15143),#15135,.F.); +#15145=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#15146=DIRECTION('',(0.E0,0.E0,1.E0)); +#15147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15148=AXIS2_PLACEMENT_3D('',#15145,#15146,#15147); +#15149=CYLINDRICAL_SURFACE('',#15148,1.555118110236E-1); +#15150=ORIENTED_EDGE('',*,*,#14445,.T.); +#15152=ORIENTED_EDGE('',*,*,#15151,.T.); +#15153=ORIENTED_EDGE('',*,*,#14347,.T.); +#15155=ORIENTED_EDGE('',*,*,#15154,.T.); +#15156=ORIENTED_EDGE('',*,*,#15137,.T.); +#15157=EDGE_LOOP('',(#15150,#15152,#15153,#15155,#15156)); +#15158=FACE_OUTER_BOUND('',#15157,.F.); +#15159=ADVANCED_FACE('',(#15158),#15149,.F.); +#15160=CARTESIAN_POINT('',(-5.581796653045E-2,5.196449763486E-1, +-3.097269014274E-1)); +#15161=CARTESIAN_POINT('',(-6.225127704414E-2,5.270688744092E-1, +-3.136098725700E-1)); +#15162=CARTESIAN_POINT('',(-6.868458755782E-2,5.344927724699E-1, +-3.174928437127E-1)); +#15163=CARTESIAN_POINT('',(-7.511789807151E-2,5.419166705305E-1, +-3.213758148553E-1)); +#15164=CARTESIAN_POINT('',(-5.586199918707E-2,5.196234535886E-1, +-3.096451929011E-1)); +#15165=CARTESIAN_POINT('',(-6.228034435341E-2,5.270546665742E-1, +-3.135235724122E-1)); +#15166=CARTESIAN_POINT('',(-6.869868951975E-2,5.344858795598E-1, +-3.174019519233E-1)); +#15167=CARTESIAN_POINT('',(-7.511703468608E-2,5.419170925454E-1, +-3.212803314345E-1)); +#15168=CARTESIAN_POINT('',(-5.893955350178E-2,5.181170236571E-1, +-3.039322245793E-1)); +#15169=CARTESIAN_POINT('',(-6.431193249580E-2,5.260602259005E-1, +-3.074897912843E-1)); +#15170=CARTESIAN_POINT('',(-6.968431148982E-2,5.340034281438E-1, +-3.110473579893E-1)); +#15171=CARTESIAN_POINT('',(-7.505669048384E-2,5.419466303872E-1, +-3.146049246943E-1)); +#15172=CARTESIAN_POINT('',(-6.200051667579E-2,5.164662699401E-1, +-2.980974619655E-1)); +#15173=CARTESIAN_POINT('',(-6.633256831655E-2,5.249705126624E-1, +-3.013435006380E-1)); +#15174=CARTESIAN_POINT('',(-7.066461995731E-2,5.334747553848E-1, +-3.045895393105E-1)); +#15175=CARTESIAN_POINT('',(-7.499667159807E-2,5.419789981071E-1, +-3.078355779830E-1)); +#15176=CARTESIAN_POINT('',(-6.494968056547E-2,5.147225716587E-1, +-2.923228302461E-1)); +#15177=CARTESIAN_POINT('',(-6.827940199536E-2,5.238194438623E-1, +-2.952692660919E-1)); +#15178=CARTESIAN_POINT('',(-7.160912342525E-2,5.329163160659E-1, +-2.982157019377E-1)); +#15179=CARTESIAN_POINT('',(-7.493884485514E-2,5.420131882695E-1, +-3.011621377835E-1)); +#15180=CARTESIAN_POINT('',(-6.499152633011E-2,5.146977986864E-1, +-2.922408623066E-1)); +#15181=CARTESIAN_POINT('',(-6.830702567006E-2,5.238030904623E-1, +-2.951830471723E-1)); +#15182=CARTESIAN_POINT('',(-7.162252501E-2,5.329083822382E-1, +-2.981252320379E-1)); +#15183=CARTESIAN_POINT('',(-7.493802434995E-2,5.420136740141E-1, +-3.010674169036E-1)); +#15184=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15160,#15161,#15162,#15163), +(#15164,#15165,#15166,#15167),(#15168,#15169,#15170,#15171),(#15172,#15173, +#15174,#15175),(#15176,#15177,#15178,#15179),(#15180,#15181,#15182,#15183)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.451130230078E-2,0.E0,1.E0, +1.014398086174E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#15185=ORIENTED_EDGE('',*,*,#14443,.T.); +#15187=ORIENTED_EDGE('',*,*,#15186,.F.); +#15189=ORIENTED_EDGE('',*,*,#15188,.F.); +#15191=ORIENTED_EDGE('',*,*,#15190,.T.); +#15192=ORIENTED_EDGE('',*,*,#15151,.F.); +#15193=EDGE_LOOP('',(#15185,#15187,#15189,#15191,#15192)); +#15194=FACE_OUTER_BOUND('',#15193,.F.); +#15195=ADVANCED_FACE('',(#15194),#15184,.F.); +#15196=CARTESIAN_POINT('',(-7.003627953680E-2,5.115378587949E-1, +-3.190060586543E-1)); +#15197=CARTESIAN_POINT('',(-7.583275277204E-2,5.171820384623E-1, +-3.195311427596E-1)); +#15198=CARTESIAN_POINT('',(-8.162922600728E-2,5.228262181297E-1, +-3.200562268650E-1)); +#15199=CARTESIAN_POINT('',(-8.742569924252E-2,5.284703977970E-1, +-3.205813109704E-1)); +#15200=CARTESIAN_POINT('',(-6.551670059907E-2,5.145127252353E-1, +-3.158129192701E-1)); +#15201=CARTESIAN_POINT('',(-7.115815544201E-2,5.203423060645E-1, +-3.172393968437E-1)); +#15202=CARTESIAN_POINT('',(-7.679961028495E-2,5.261718868938E-1, +-3.186658744173E-1)); +#15203=CARTESIAN_POINT('',(-8.244106512790E-2,5.320014677230E-1, +-3.200923519908E-1)); +#15204=CARTESIAN_POINT('',(-6.073513628658E-2,5.172422359130E-1, +-3.125795773839E-1)); +#15205=CARTESIAN_POINT('',(-6.621088619177E-2,5.232552179991E-1, +-3.149187972170E-1)); +#15206=CARTESIAN_POINT('',(-7.168663609696E-2,5.292682000852E-1, +-3.172580170502E-1)); +#15207=CARTESIAN_POINT('',(-7.716238600215E-2,5.352811821713E-1, +-3.195972368834E-1)); +#15208=CARTESIAN_POINT('',(-5.579630762166E-2,5.196506250402E-1, +-3.093823249835E-1)); +#15209=CARTESIAN_POINT('',(-6.109794173693E-2,5.258414407605E-1, +-3.126240993510E-1)); +#15210=CARTESIAN_POINT('',(-6.639957585219E-2,5.320322564808E-1, +-3.158658737185E-1)); +#15211=CARTESIAN_POINT('',(-7.170120996746E-2,5.382230722010E-1, +-3.191076480860E-1)); +#15212=CARTESIAN_POINT('',(-5.572083282637E-2,5.196873370174E-1, +-3.093334970024E-1)); +#15213=CARTESIAN_POINT('',(-6.101980544409E-2,5.258808677599E-1, +-3.125890550536E-1)); +#15214=CARTESIAN_POINT('',(-6.631877806180E-2,5.320743985025E-1, +-3.158446131048E-1)); +#15215=CARTESIAN_POINT('',(-7.161775067951E-2,5.382679292450E-1, +-3.191001711559E-1)); +#15216=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15196,#15197,#15198,#15199), +(#15200,#15201,#15202,#15203),(#15204,#15205,#15206,#15207),(#15208,#15209, +#15210,#15211),(#15212,#15213,#15214,#15215)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(5.242702766179E-1,1.E0,1.007379258055E0),(-2.015631900769E-2, +8.437855249286E-1),.UNSPECIFIED.); +#15217=ORIENTED_EDGE('',*,*,#14441,.T.); +#15219=ORIENTED_EDGE('',*,*,#15218,.F.); +#15220=ORIENTED_EDGE('',*,*,#15186,.T.); +#15221=EDGE_LOOP('',(#15217,#15219,#15220)); +#15222=FACE_OUTER_BOUND('',#15221,.F.); +#15223=ADVANCED_FACE('',(#15222),#15216,.F.); +#15224=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#15225=DIRECTION('',(0.E0,0.E0,1.E0)); +#15226=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15227=AXIS2_PLACEMENT_3D('',#15224,#15225,#15226); +#15228=CYLINDRICAL_SURFACE('',#15227,1.279527559055E-1); +#15229=ORIENTED_EDGE('',*,*,#14439,.T.); +#15231=ORIENTED_EDGE('',*,*,#15230,.T.); +#15233=ORIENTED_EDGE('',*,*,#15232,.T.); +#15235=ORIENTED_EDGE('',*,*,#15234,.T.); +#15236=ORIENTED_EDGE('',*,*,#15188,.T.); +#15237=ORIENTED_EDGE('',*,*,#15218,.T.); +#15238=EDGE_LOOP('',(#15229,#15231,#15233,#15235,#15236,#15237)); +#15239=FACE_OUTER_BOUND('',#15238,.F.); +#15240=ADVANCED_FACE('',(#15239),#15228,.F.); +#15241=CARTESIAN_POINT('',(-9.167282006767E-2,4.935949010307E-1, +-3.123184843123E-1)); +#15242=CARTESIAN_POINT('',(-9.674536509207E-2,5.020074528818E-1, +-3.084678076460E-1)); +#15243=CARTESIAN_POINT('',(-1.018179101165E-1,5.104200047330E-1, +-3.046171309797E-1)); +#15244=CARTESIAN_POINT('',(-1.068904551409E-1,5.188325565841E-1, +-3.007664543134E-1)); +#15245=CARTESIAN_POINT('',(-9.163874452978E-2,4.936301269902E-1, +-3.124001853940E-1)); +#15246=CARTESIAN_POINT('',(-9.672287078275E-2,5.020307066198E-1, +-3.085542017407E-1)); +#15247=CARTESIAN_POINT('',(-1.018069970357E-1,5.104312862494E-1, +-3.047082180874E-1)); +#15248=CARTESIAN_POINT('',(-1.068911232887E-1,5.188318658790E-1, +-3.008622344341E-1)); +#15249=CARTESIAN_POINT('',(-8.947383025319E-2,4.958656082193E-1, +-3.175883862620E-1)); +#15250=CARTESIAN_POINT('',(-9.529374436487E-2,5.035064164508E-1, +-3.140402108531E-1)); +#15251=CARTESIAN_POINT('',(-1.011136584765E-1,5.111472246823E-1, +-3.104920354442E-1)); +#15252=CARTESIAN_POINT('',(-1.069335725882E-1,5.187880329138E-1, +-3.069438600352E-1)); +#15253=CARTESIAN_POINT('',(-8.722896645688E-2,4.980235739556E-1, +-3.228097005754E-1)); +#15254=CARTESIAN_POINT('',(-9.381184081305E-2,5.049309559237E-1, +-3.195480791680E-1)); +#15255=CARTESIAN_POINT('',(-1.003947151692E-1,5.118383378919E-1, +-3.162864577605E-1)); +#15256=CARTESIAN_POINT('',(-1.069775895254E-1,5.187457198601E-1, +-3.130248363531E-1)); +#15257=CARTESIAN_POINT('',(-8.494749553872E-2,5.000672184887E-1, +-3.279678336828E-1)); +#15258=CARTESIAN_POINT('',(-9.230577177557E-2,5.062800284587E-1, +-3.249817789270E-1)); +#15259=CARTESIAN_POINT('',(-9.966404801243E-2,5.124928384287E-1, +-3.219957241712E-1)); +#15260=CARTESIAN_POINT('',(-1.070223242493E-1,5.187056483987E-1, +-3.190096694155E-1)); +#15261=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15241,#15242,#15243,#15244), +(#15245,#15246,#15247,#15248),(#15249,#15250,#15251,#15252),(#15253,#15254, +#15255,#15256),(#15257,#15258,#15259,#15260)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(-1.462549325996E-2,0.E0,9.140319786107E-1),(-2.E-2,1.02E0), +.UNSPECIFIED.); +#15262=ORIENTED_EDGE('',*,*,#14437,.T.); +#15264=ORIENTED_EDGE('',*,*,#15263,.F.); +#15266=ORIENTED_EDGE('',*,*,#15265,.F.); +#15267=ORIENTED_EDGE('',*,*,#15230,.F.); +#15268=EDGE_LOOP('',(#15262,#15264,#15266,#15267)); +#15269=FACE_OUTER_BOUND('',#15268,.F.); +#15270=ADVANCED_FACE('',(#15269),#15261,.F.); +#15271=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#15272=DIRECTION('',(0.E0,0.E0,1.E0)); +#15273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15274=AXIS2_PLACEMENT_3D('',#15271,#15272,#15273); +#15275=CYLINDRICAL_SURFACE('',#15274,1.555118110236E-1); +#15276=ORIENTED_EDGE('',*,*,#14435,.T.); +#15278=ORIENTED_EDGE('',*,*,#15277,.T.); +#15279=ORIENTED_EDGE('',*,*,#14353,.T.); +#15281=ORIENTED_EDGE('',*,*,#15280,.T.); +#15282=ORIENTED_EDGE('',*,*,#15263,.T.); +#15283=EDGE_LOOP('',(#15276,#15278,#15279,#15281,#15282)); +#15284=FACE_OUTER_BOUND('',#15283,.F.); +#15285=ADVANCED_FACE('',(#15284),#15275,.F.); +#15286=CARTESIAN_POINT('',(-1.124748864067E-1,4.649633136941E-1, +-3.097269014274E-1)); +#15287=CARTESIAN_POINT('',(-1.220432017369E-1,4.671879683463E-1, +-3.136098725700E-1)); +#15288=CARTESIAN_POINT('',(-1.316115170672E-1,4.694126229985E-1, +-3.174928437127E-1)); +#15289=CARTESIAN_POINT('',(-1.411798323974E-1,4.716372776507E-1, +-3.213758148553E-1)); +#15290=CARTESIAN_POINT('',(-1.124978588133E-1,4.649200196693E-1, +-3.096451929011E-1)); +#15291=CARTESIAN_POINT('',(-1.220583665282E-1,4.671593886306E-1, +-3.135235724122E-1)); +#15292=CARTESIAN_POINT('',(-1.316188742431E-1,4.693987575919E-1, +-3.174019519233E-1)); +#15293=CARTESIAN_POINT('',(-1.411793819581E-1,4.716381265531E-1, +-3.212803314345E-1)); +#15294=CARTESIAN_POINT('',(-1.141021952577E-1,4.618923512146E-1, +-3.039322245793E-1)); +#15295=CARTESIAN_POINT('',(-1.231174382987E-1,4.651607316768E-1, +-3.074897912843E-1)); +#15296=CARTESIAN_POINT('',(-1.321326813397E-1,4.684291121390E-1, +-3.110473579893E-1)); +#15297=CARTESIAN_POINT('',(-1.411479243807E-1,4.716974926013E-1, +-3.146049246943E-1)); +#15298=CARTESIAN_POINT('',(-1.156082777946E-1,4.587576743925E-1, +-2.980974619655E-1)); +#15299=CARTESIAN_POINT('',(-1.241116496466E-1,4.630914352125E-1, +-3.013435006380E-1)); +#15300=CARTESIAN_POINT('',(-1.326150214986E-1,4.674251960326E-1, +-3.045895393105E-1)); +#15301=CARTESIAN_POINT('',(-1.411183933506E-1,4.717589568527E-1, +-3.078355779830E-1)); +#15302=CARTESIAN_POINT('',(-1.169692813663E-1,4.556135178088E-1, +-2.923228302461E-1)); +#15303=CARTESIAN_POINT('',(-1.250100899129E-1,4.610158808665E-1, +-2.952692660919E-1)); +#15304=CARTESIAN_POINT('',(-1.330508984595E-1,4.664182439241E-1, +-2.982157019377E-1)); +#15305=CARTESIAN_POINT('',(-1.410917070060E-1,4.718206069818E-1, +-3.011621377835E-1)); +#15306=CARTESIAN_POINT('',(-1.169885741132E-1,4.555688797299E-1, +-2.922408623066E-1)); +#15307=CARTESIAN_POINT('',(-1.250228256478E-1,4.609864138993E-1, +-2.951830471723E-1)); +#15308=CARTESIAN_POINT('',(-1.330570771823E-1,4.664039480688E-1, +-2.981252320379E-1)); +#15309=CARTESIAN_POINT('',(-1.410913287169E-1,4.718214822382E-1, +-3.010674169036E-1)); +#15310=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15286,#15287,#15288,#15289), +(#15290,#15291,#15292,#15293),(#15294,#15295,#15296,#15297),(#15298,#15299, +#15300,#15301),(#15302,#15303,#15304,#15305),(#15306,#15307,#15308,#15309)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.451130230078E-2,0.E0,1.E0, +1.014398086174E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#15311=ORIENTED_EDGE('',*,*,#14433,.T.); +#15313=ORIENTED_EDGE('',*,*,#15312,.F.); +#15315=ORIENTED_EDGE('',*,*,#15314,.F.); +#15317=ORIENTED_EDGE('',*,*,#15316,.T.); +#15318=ORIENTED_EDGE('',*,*,#15277,.F.); +#15319=EDGE_LOOP('',(#15311,#15313,#15315,#15317,#15318)); +#15320=FACE_OUTER_BOUND('',#15319,.F.); +#15321=ADVANCED_FACE('',(#15320),#15310,.F.); +#15322=CARTESIAN_POINT('',(-1.192124991236E-1,4.500472031202E-1, +-3.190060586543E-1)); +#15323=CARTESIAN_POINT('',(-1.272195100481E-1,4.512063589074E-1, +-3.195311427596E-1)); +#15324=CARTESIAN_POINT('',(-1.352265209726E-1,4.523655146946E-1, +-3.200562268650E-1)); +#15325=CARTESIAN_POINT('',(-1.432335318971E-1,4.535246704818E-1, +-3.205813109704E-1)); +#15326=CARTESIAN_POINT('',(-1.173046655767E-1,4.551104624726E-1, +-3.158129192701E-1)); +#15327=CARTESIAN_POINT('',(-1.252952400561E-1,4.565107284754E-1, +-3.172393968437E-1)); +#15328=CARTESIAN_POINT('',(-1.332858145355E-1,4.579109944781E-1, +-3.186658744173E-1)); +#15329=CARTESIAN_POINT('',(-1.412763890149E-1,4.593112604809E-1, +-3.200923519908E-1)); +#15330=CARTESIAN_POINT('',(-1.150406649105E-1,4.601292159830E-1, +-3.125795773839E-1)); +#15331=CARTESIAN_POINT('',(-1.230049818333E-1,4.617752556380E-1, +-3.149187972170E-1)); +#15332=CARTESIAN_POINT('',(-1.309692987560E-1,4.634212952930E-1, +-3.172580170502E-1)); +#15333=CARTESIAN_POINT('',(-1.389336156788E-1,4.650673349480E-1, +-3.195972368834E-1)); +#15334=CARTESIAN_POINT('',(-1.124606841990E-1,4.649806143688E-1, +-3.093823249835E-1)); +#15335=CARTESIAN_POINT('',(-1.203886664763E-1,4.668728671495E-1, +-3.126240993510E-1)); +#15336=CARTESIAN_POINT('',(-1.283166487535E-1,4.687651199303E-1, +-3.158658737185E-1)); +#15337=CARTESIAN_POINT('',(-1.362446310308E-1,4.706573727110E-1, +-3.191076480860E-1)); +#15338=CARTESIAN_POINT('',(-1.124212025658E-1,4.650546779538E-1, +-3.093334970024E-1)); +#15339=CARTESIAN_POINT('',(-1.203486274963E-1,4.669506916227E-1, +-3.125890550536E-1)); +#15340=CARTESIAN_POINT('',(-1.282760524269E-1,4.688467052916E-1, +-3.158446131048E-1)); +#15341=CARTESIAN_POINT('',(-1.362034773574E-1,4.707427189605E-1, +-3.191001711559E-1)); +#15342=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15322,#15323,#15324,#15325), +(#15326,#15327,#15328,#15329),(#15330,#15331,#15332,#15333),(#15334,#15335, +#15336,#15337),(#15338,#15339,#15340,#15341)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(5.242702766180E-1,1.E0,1.007379258055E0),(-2.015631900769E-2, +8.437855249287E-1),.UNSPECIFIED.); +#15343=ORIENTED_EDGE('',*,*,#14431,.T.); +#15345=ORIENTED_EDGE('',*,*,#15344,.F.); +#15346=ORIENTED_EDGE('',*,*,#15312,.T.); +#15347=EDGE_LOOP('',(#15343,#15345,#15346)); +#15348=FACE_OUTER_BOUND('',#15347,.F.); +#15349=ADVANCED_FACE('',(#15348),#15342,.F.); +#15350=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#15351=DIRECTION('',(0.E0,0.E0,1.E0)); +#15352=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15353=AXIS2_PLACEMENT_3D('',#15350,#15351,#15352); +#15354=CYLINDRICAL_SURFACE('',#15353,1.279527559055E-1); +#15355=ORIENTED_EDGE('',*,*,#14429,.T.); +#15357=ORIENTED_EDGE('',*,*,#15356,.T.); +#15359=ORIENTED_EDGE('',*,*,#15358,.T.); +#15361=ORIENTED_EDGE('',*,*,#15360,.T.); +#15362=ORIENTED_EDGE('',*,*,#15314,.T.); +#15363=ORIENTED_EDGE('',*,*,#15344,.T.); +#15364=EDGE_LOOP('',(#15355,#15357,#15359,#15361,#15362,#15363)); +#15365=FACE_OUTER_BOUND('',#15364,.F.); +#15366=ADVANCED_FACE('',(#15365),#15354,.F.); +#15367=CARTESIAN_POINT('',(-1.261702221562E-1,4.228134059249E-1, +-3.123184843123E-1)); +#15368=CARTESIAN_POINT('',(-1.352187711979E-1,4.266377361816E-1, +-3.084678076460E-1)); +#15369=CARTESIAN_POINT('',(-1.442673202396E-1,4.304620664383E-1, +-3.046171309797E-1)); +#15370=CARTESIAN_POINT('',(-1.533158692813E-1,4.342863966950E-1, +-3.007664543134E-1)); +#15371=CARTESIAN_POINT('',(-1.261633597664E-1,4.228619334234E-1, +-3.124001853940E-1)); +#15372=CARTESIAN_POINT('',(-1.352142411236E-1,4.266697706740E-1, +-3.085542017407E-1)); +#15373=CARTESIAN_POINT('',(-1.442651224808E-1,4.304776079247E-1, +-3.047082180874E-1)); +#15374=CARTESIAN_POINT('',(-1.533160038380E-1,4.342854451754E-1, +-3.008622344341E-1)); +#15375=CARTESIAN_POINT('',(-1.257258902236E-1,4.259429804126E-1, +-3.175883862620E-1)); +#15376=CARTESIAN_POINT('',(-1.349254540398E-1,4.287036644382E-1, +-3.140402108531E-1)); +#15377=CARTESIAN_POINT('',(-1.441250178560E-1,4.314643484638E-1, +-3.104920354441E-1)); +#15378=CARTESIAN_POINT('',(-1.533245816722E-1,4.342250324893E-1, +-3.069438600352E-1)); +#15379=CARTESIAN_POINT('',(-1.251781776970E-1,4.290083091994E-1, +-3.228097005754E-1)); +#15380=CARTESIAN_POINT('',(-1.345638921758E-1,4.307271821340E-1, +-3.195480791680E-1)); +#15381=CARTESIAN_POINT('',(-1.439496066547E-1,4.324460550687E-1, +-3.162864577605E-1)); +#15382=CARTESIAN_POINT('',(-1.533353211335E-1,4.341649280033E-1, +-3.130248363531E-1)); +#15383=CARTESIAN_POINT('',(-1.245336530696E-1,4.320026673164E-1, +-3.279678336828E-1)); +#15384=CARTESIAN_POINT('',(-1.341384216701E-1,4.327038499106E-1, +-3.249817789270E-1)); +#15385=CARTESIAN_POINT('',(-1.437431902707E-1,4.334050325049E-1, +-3.219957241712E-1)); +#15386=CARTESIAN_POINT('',(-1.533479588713E-1,4.341062150991E-1, +-3.190096694155E-1)); +#15387=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15367,#15368,#15369,#15370), +(#15371,#15372,#15373,#15374),(#15375,#15376,#15377,#15378),(#15379,#15380, +#15381,#15382),(#15383,#15384,#15385,#15386)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(-1.462549325997E-2,0.E0,9.140319786107E-1),(-2.E-2,1.02E0), +.UNSPECIFIED.); +#15388=ORIENTED_EDGE('',*,*,#14427,.T.); +#15390=ORIENTED_EDGE('',*,*,#15389,.F.); +#15392=ORIENTED_EDGE('',*,*,#15391,.F.); +#15393=ORIENTED_EDGE('',*,*,#15356,.F.); +#15394=EDGE_LOOP('',(#15388,#15390,#15392,#15393)); +#15395=FACE_OUTER_BOUND('',#15394,.F.); +#15396=ADVANCED_FACE('',(#15395),#15387,.F.); +#15397=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#15398=DIRECTION('',(0.E0,0.E0,1.E0)); +#15399=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15400=AXIS2_PLACEMENT_3D('',#15397,#15398,#15399); +#15401=CYLINDRICAL_SURFACE('',#15400,1.555118110236E-1); +#15402=ORIENTED_EDGE('',*,*,#14425,.T.); +#15404=ORIENTED_EDGE('',*,*,#15403,.T.); +#15405=ORIENTED_EDGE('',*,*,#14359,.T.); +#15407=ORIENTED_EDGE('',*,*,#15406,.T.); +#15408=ORIENTED_EDGE('',*,*,#15389,.T.); +#15409=EDGE_LOOP('',(#15402,#15404,#15405,#15407,#15408)); +#15410=FACE_OUTER_BOUND('',#15409,.F.); +#15411=ADVANCED_FACE('',(#15410),#15401,.F.); +#15412=CARTESIAN_POINT('',(-1.261702225564E-1,3.874228173823E-1, +-3.097269014274E-1)); +#15413=CARTESIAN_POINT('',(-1.352187714621E-1,3.835984861622E-1, +-3.136098725700E-1)); +#15414=CARTESIAN_POINT('',(-1.442673203678E-1,3.797741549420E-1, +-3.174928437127E-1)); +#15415=CARTESIAN_POINT('',(-1.533158692735E-1,3.759498237219E-1, +-3.213758148553E-1)); +#15416=CARTESIAN_POINT('',(-1.261633600345E-1,3.873742889387E-1, +-3.096451929011E-1)); +#15417=CARTESIAN_POINT('',(-1.352142413006E-1,3.835664510458E-1, +-3.135235724122E-1)); +#15418=CARTESIAN_POINT('',(-1.442651225667E-1,3.797586131529E-1, +-3.174019519233E-1)); +#15419=CARTESIAN_POINT('',(-1.533160038327E-1,3.759507752600E-1, +-3.212803314345E-1)); +#15420=CARTESIAN_POINT('',(-1.256816766161E-1,3.839818484038E-1, +-3.039322245793E-1)); +#15421=CARTESIAN_POINT('',(-1.348962672793E-1,3.813269968365E-1, +-3.074897912843E-1)); +#15422=CARTESIAN_POINT('',(-1.441108579425E-1,3.786721452692E-1, +-3.110473579893E-1)); +#15423=CARTESIAN_POINT('',(-1.533254486057E-1,3.760172937018E-1, +-3.146049246943E-1)); +#15424=CARTESIAN_POINT('',(-1.250576061767E-1,3.805605884789E-1, +-2.980974619655E-1)); +#15425=CARTESIAN_POINT('',(-1.344842992114E-1,3.790685180625E-1, +-3.013435006380E-1)); +#15426=CARTESIAN_POINT('',(-1.439109922462E-1,3.775764476462E-1, +-3.045895393105E-1)); +#15427=CARTESIAN_POINT('',(-1.533376852809E-1,3.760843772298E-1, +-3.078355779830E-1)); +#15428=CARTESIAN_POINT('',(-1.243105923248E-1,3.772169345420E-1, +-2.923228302461E-1)); +#15429=CARTESIAN_POINT('',(-1.339911724204E-1,3.768612693852E-1, +-2.952692660919E-1)); +#15430=CARTESIAN_POINT('',(-1.436717525159E-1,3.765056042285E-1, +-2.982157019377E-1)); +#15431=CARTESIAN_POINT('',(-1.533523326114E-1,3.761499390717E-1, +-3.011621377835E-1)); +#15432=CARTESIAN_POINT('',(-1.242999628805E-1,3.771694815854E-1, +-2.922408623066E-1)); +#15433=CARTESIAN_POINT('',(-1.339841555976E-1,3.768299442309E-1, +-2.951830471723E-1)); +#15434=CARTESIAN_POINT('',(-1.436683483147E-1,3.764904068763E-1, +-2.981252320379E-1)); +#15435=CARTESIAN_POINT('',(-1.533525410318E-1,3.761508695218E-1, +-3.010674169036E-1)); +#15436=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15412,#15413,#15414,#15415), +(#15416,#15417,#15418,#15419),(#15420,#15421,#15422,#15423),(#15424,#15425, +#15426,#15427),(#15428,#15429,#15430,#15431),(#15432,#15433,#15434,#15435)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.451130230078E-2,0.E0,1.E0, +1.014398086174E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#15437=ORIENTED_EDGE('',*,*,#14423,.T.); +#15439=ORIENTED_EDGE('',*,*,#15438,.F.); +#15441=ORIENTED_EDGE('',*,*,#15440,.F.); +#15443=ORIENTED_EDGE('',*,*,#15442,.T.); +#15444=ORIENTED_EDGE('',*,*,#15403,.F.); +#15445=EDGE_LOOP('',(#15437,#15439,#15441,#15443,#15444)); +#15446=FACE_OUTER_BOUND('',#15445,.F.); +#15447=ADVANCED_FACE('',(#15446),#15436,.F.); +#15448=CARTESIAN_POINT('',(-1.228535959289E-1,3.713951610474E-1, +-3.190060586543E-1)); +#15449=CARTESIAN_POINT('',(-1.300127385178E-1,3.676265348420E-1, +-3.195311427596E-1)); +#15450=CARTESIAN_POINT('',(-1.371718811068E-1,3.638579086367E-1, +-3.200562268650E-1)); +#15451=CARTESIAN_POINT('',(-1.443310236957E-1,3.600892824313E-1, +-3.205813109704E-1)); +#15452=CARTESIAN_POINT('',(-1.242862353430E-1,3.766128203331E-1, +-3.158129192701E-1)); +#15453=CARTESIAN_POINT('',(-1.315738015973E-1,3.730489174897E-1, +-3.172393968437E-1)); +#15454=CARTESIAN_POINT('',(-1.388613678517E-1,3.694850146462E-1, +-3.186658744173E-1)); +#15455=CARTESIAN_POINT('',(-1.461489341061E-1,3.659211118028E-1, +-3.200923519908E-1)); +#15456=CARTESIAN_POINT('',(-1.254045696271E-1,3.820038234164E-1, +-3.125795773839E-1)); +#15457=CARTESIAN_POINT('',(-1.328153552001E-1,3.786541894389E-1, +-3.149187972170E-1)); +#15458=CARTESIAN_POINT('',(-1.402261407731E-1,3.753045554614E-1, +-3.172580170502E-1)); +#15459=CARTESIAN_POINT('',(-1.476369263461E-1,3.719549214840E-1, +-3.195972368834E-1)); +#15460=CARTESIAN_POINT('',(-1.261689018105E-1,3.874451617704E-1, +-3.093823249835E-1)); +#15461=CARTESIAN_POINT('',(-1.336950124820E-1,3.843160753646E-1, +-3.126240993510E-1)); +#15462=CARTESIAN_POINT('',(-1.412211231535E-1,3.811869889589E-1, +-3.158658737185E-1)); +#15463=CARTESIAN_POINT('',(-1.487472338251E-1,3.780579025532E-1, +-3.191076480860E-1)); +#15464=CARTESIAN_POINT('',(-1.261804939812E-1,3.875282871911E-1, +-3.093334970024E-1)); +#15465=CARTESIAN_POINT('',(-1.337083643444E-1,3.844025710080E-1, +-3.125890550536E-1)); +#15466=CARTESIAN_POINT('',(-1.412362347075E-1,3.812768548248E-1, +-3.158446131048E-1)); +#15467=CARTESIAN_POINT('',(-1.487641050707E-1,3.781511386417E-1, +-3.191001711559E-1)); +#15468=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15448,#15449,#15450,#15451), +(#15452,#15453,#15454,#15455),(#15456,#15457,#15458,#15459),(#15460,#15461, +#15462,#15463),(#15464,#15465,#15466,#15467)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(5.242702766180E-1,1.E0,1.007379258055E0),(-2.015631900769E-2, +8.437855249287E-1),.UNSPECIFIED.); +#15469=ORIENTED_EDGE('',*,*,#14421,.T.); +#15471=ORIENTED_EDGE('',*,*,#15470,.F.); +#15472=ORIENTED_EDGE('',*,*,#15438,.T.); +#15473=EDGE_LOOP('',(#15469,#15471,#15472)); +#15474=FACE_OUTER_BOUND('',#15473,.F.); +#15475=ADVANCED_FACE('',(#15474),#15468,.F.); +#15476=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#15477=DIRECTION('',(0.E0,0.E0,1.E0)); +#15478=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15479=AXIS2_PLACEMENT_3D('',#15476,#15477,#15478); +#15480=CYLINDRICAL_SURFACE('',#15479,1.279527559055E-1); +#15481=ORIENTED_EDGE('',*,*,#14419,.T.); +#15483=ORIENTED_EDGE('',*,*,#15482,.T.); +#15485=ORIENTED_EDGE('',*,*,#15484,.T.); +#15487=ORIENTED_EDGE('',*,*,#15486,.T.); +#15488=ORIENTED_EDGE('',*,*,#15440,.T.); +#15489=ORIENTED_EDGE('',*,*,#15470,.T.); +#15490=EDGE_LOOP('',(#15481,#15483,#15485,#15487,#15488,#15489)); +#15491=FACE_OUTER_BOUND('',#15490,.F.); +#15492=ADVANCED_FACE('',(#15491),#15480,.F.); +#15493=CARTESIAN_POINT('',(-1.124748877492E-1,3.452729093070E-1, +-3.123184843123E-1)); +#15494=CARTESIAN_POINT('',(-1.220432026231E-1,3.430482537954E-1, +-3.084678076460E-1)); +#15495=CARTESIAN_POINT('',(-1.316115174971E-1,3.408235982838E-1, +-3.046171309797E-1)); +#15496=CARTESIAN_POINT('',(-1.411798323711E-1,3.385989427722E-1, +-3.007664543134E-1)); +#15497=CARTESIAN_POINT('',(-1.124978597071E-1,3.453162024894E-1, +-3.124001853940E-1)); +#15498=CARTESIAN_POINT('',(-1.220583671183E-1,3.430768329550E-1, +-3.085542017407E-1)); +#15499=CARTESIAN_POINT('',(-1.316188745294E-1,3.408374634206E-1, +-3.047082180874E-1)); +#15500=CARTESIAN_POINT('',(-1.411793819405E-1,3.385980938863E-1, +-3.008622344341E-1)); +#15501=CARTESIAN_POINT('',(-1.139549333944E-1,3.480659600098E-1, +-3.175883862620E-1)); +#15502=CARTESIAN_POINT('',(-1.230202262190E-1,3.448920323639E-1, +-3.140402108531E-1)); +#15503=CARTESIAN_POINT('',(-1.320855190436E-1,3.417181047180E-1, +-3.104920354442E-1)); +#15504=CARTESIAN_POINT('',(-1.411508118682E-1,3.385441770721E-1, +-3.069438600352E-1)); +#15505=CARTESIAN_POINT('',(-1.153135797066E-1,3.508678004373E-1, +-3.228097005754E-1)); +#15506=CARTESIAN_POINT('',(-1.239171103859E-1,3.467416132997E-1, +-3.195480791680E-1)); +#15507=CARTESIAN_POINT('',(-1.325206410652E-1,3.426154261621E-1, +-3.162864577605E-1)); +#15508=CARTESIAN_POINT('',(-1.411241717445E-1,3.384892390245E-1, +-3.130248363531E-1)); +#15509=CARTESIAN_POINT('',(-1.165521878710E-1,3.536691291119E-1, +-3.279678336828E-1)); +#15510=CARTESIAN_POINT('',(-1.247347536840E-1,3.485908564117E-1, +-3.249817789270E-1)); +#15511=CARTESIAN_POINT('',(-1.329173194969E-1,3.435125837115E-1, +-3.219957241712E-1)); +#15512=CARTESIAN_POINT('',(-1.410998853099E-1,3.384343110113E-1, +-3.190096694155E-1)); +#15513=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15493,#15494,#15495,#15496), +(#15497,#15498,#15499,#15500),(#15501,#15502,#15503,#15504),(#15505,#15506, +#15507,#15508),(#15509,#15510,#15511,#15512)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(-1.462549325996E-2,0.E0,9.140319786107E-1),(-2.E-2,1.02E0), +.UNSPECIFIED.); +#15514=ORIENTED_EDGE('',*,*,#14417,.T.); +#15516=ORIENTED_EDGE('',*,*,#15515,.F.); +#15518=ORIENTED_EDGE('',*,*,#15517,.F.); +#15519=ORIENTED_EDGE('',*,*,#15482,.F.); +#15520=EDGE_LOOP('',(#15514,#15516,#15518,#15519)); +#15521=FACE_OUTER_BOUND('',#15520,.F.); +#15522=ADVANCED_FACE('',(#15521),#15513,.F.); +#15523=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#15524=DIRECTION('',(0.E0,0.E0,1.E0)); +#15525=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15526=AXIS2_PLACEMENT_3D('',#15523,#15524,#15525); +#15527=CYLINDRICAL_SURFACE('',#15526,1.555118110236E-1); +#15528=ORIENTED_EDGE('',*,*,#14415,.T.); +#15530=ORIENTED_EDGE('',*,*,#15529,.T.); +#15531=ORIENTED_EDGE('',*,*,#14365,.T.); +#15533=ORIENTED_EDGE('',*,*,#15532,.T.); +#15534=ORIENTED_EDGE('',*,*,#15515,.T.); +#15535=EDGE_LOOP('',(#15528,#15530,#15531,#15533,#15534)); +#15536=FACE_OUTER_BOUND('',#15535,.F.); +#15537=ADVANCED_FACE('',(#15536),#15527,.F.); +#15538=CARTESIAN_POINT('',(-9.167282205766E-2,3.166413214999E-1, +-3.097269014274E-1)); +#15539=CARTESIAN_POINT('',(-9.674536640572E-2,3.082287689492E-1, +-3.136098725700E-1)); +#15540=CARTESIAN_POINT('',(-1.018179107538E-1,2.998162163986E-1, +-3.174928437127E-1)); +#15541=CARTESIAN_POINT('',(-1.068904551019E-1,2.914036638480E-1, +-3.213758148553E-1)); +#15542=CARTESIAN_POINT('',(-9.163874585737E-2,3.166060948534E-1, +-3.096451929011E-1)); +#15543=CARTESIAN_POINT('',(-9.672287165913E-2,3.082055147578E-1, +-3.135235724122E-1)); +#15544=CARTESIAN_POINT('',(-1.018069974609E-1,2.998049346622E-1, +-3.174019519233E-1)); +#15545=CARTESIAN_POINT('',(-1.068911232626E-1,2.914043545665E-1, +-3.212803314345E-1)); +#15546=CARTESIAN_POINT('',(-8.925502927028E-2,3.141446792179E-1, +-3.039322245793E-1)); +#15547=CARTESIAN_POINT('',(-9.514930711471E-2,3.065806586846E-1, +-3.074897912843E-1)); +#15548=CARTESIAN_POINT('',(-1.010435849591E-1,2.990166381514E-1, +-3.110473579893E-1)); +#15549=CARTESIAN_POINT('',(-1.069378628036E-1,2.914526176182E-1, +-3.146049246943E-1)); +#15550=CARTESIAN_POINT('',(-8.673917955096E-2,3.117436411972E-1, +-2.980974619655E-1)); +#15551=CARTESIAN_POINT('',(-9.348851743071E-2,3.049956597298E-1, +-3.013435006380E-1)); +#15552=CARTESIAN_POINT('',(-1.002378553105E-1,2.982476782624E-1, +-3.045895393105E-1)); +#15553=CARTESIAN_POINT('',(-1.069871931902E-1,2.914996967951E-1, +-3.078355779830E-1)); +#15554=CARTESIAN_POINT('',(-8.416948217693E-2,3.094776520643E-1, +-2.923228302461E-1)); +#15555=CARTESIAN_POINT('',(-9.179218125570E-2,3.034998106944E-1, +-2.952692660919E-1)); +#15556=CARTESIAN_POINT('',(-9.941488033447E-2,2.975219693244E-1, +-2.982157019377E-1)); +#15557=CARTESIAN_POINT('',(-1.070375794132E-1,2.915441279545E-1, +-3.011621377835E-1)); +#15558=CARTESIAN_POINT('',(-8.413299062773E-2,3.094455096466E-1, +-2.922408623066E-1)); +#15559=CARTESIAN_POINT('',(-9.176809206309E-2,3.034785924971E-1, +-2.951830471723E-1)); +#15560=CARTESIAN_POINT('',(-9.940319349845E-2,2.975116753475E-1, +-2.981252320379E-1)); +#15561=CARTESIAN_POINT('',(-1.070382949338E-1,2.915447581980E-1, +-3.010674169036E-1)); +#15562=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15538,#15539,#15540,#15541), +(#15542,#15543,#15544,#15545),(#15546,#15547,#15548,#15549),(#15550,#15551, +#15552,#15553),(#15554,#15555,#15556,#15557),(#15558,#15559,#15560,#15561)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.451130230078E-2,0.E0,1.E0, +1.014398086174E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#15563=ORIENTED_EDGE('',*,*,#14413,.T.); +#15565=ORIENTED_EDGE('',*,*,#15564,.F.); +#15567=ORIENTED_EDGE('',*,*,#15566,.F.); +#15569=ORIENTED_EDGE('',*,*,#15568,.T.); +#15570=ORIENTED_EDGE('',*,*,#15529,.F.); +#15571=EDGE_LOOP('',(#15563,#15565,#15567,#15569,#15570)); +#15572=FACE_OUTER_BOUND('',#15571,.F.); +#15573=ADVANCED_FACE('',(#15572),#15562,.F.); +#15574=CARTESIAN_POINT('',(-7.956879472959E-2,3.056241393639E-1, +-3.190060586543E-1)); +#15575=CARTESIAN_POINT('',(-8.314551984425E-2,2.983672182855E-1, +-3.195311427596E-1)); +#15576=CARTESIAN_POINT('',(-8.672224495891E-2,2.911102972071E-1, +-3.200562268650E-1)); +#15577=CARTESIAN_POINT('',(-9.029897007356E-2,2.838533761286E-1, +-3.205813109704E-1)); +#15578=CARTESIAN_POINT('',(-8.379468754198E-2,3.090032300775E-1, +-3.158129192701E-1)); +#15579=CARTESIAN_POINT('',(-8.759564295742E-2,3.018364481414E-1, +-3.172393968437E-1)); +#15580=CARTESIAN_POINT('',(-9.139659837286E-2,2.946696662053E-1, +-3.186658744173E-1)); +#15581=CARTESIAN_POINT('',(-9.519755378830E-2,2.875028842693E-1, +-3.200923519908E-1)); +#15582=CARTESIAN_POINT('',(-8.786819109059E-2,3.127073027892E-1, +-3.125795773839E-1)); +#15583=CARTESIAN_POINT('',(-9.189477710829E-2,3.056414415088E-1, +-3.149187972170E-1)); +#15584=CARTESIAN_POINT('',(-9.592136312599E-2,2.985755802284E-1, +-3.172580170502E-1)); +#15585=CARTESIAN_POINT('',(-9.994794914369E-2,2.915097189480E-1, +-3.195972368834E-1)); +#15586=CARTESIAN_POINT('',(-9.168488725353E-2,3.166601748045E-1, +-3.093823249835E-1)); +#15587=CARTESIAN_POINT('',(-9.593440784592E-2,3.097049538656E-1, +-3.126240993510E-1)); +#15588=CARTESIAN_POINT('',(-1.001839284383E-1,3.027497329266E-1, +-3.158658737185E-1)); +#15589=CARTESIAN_POINT('',(-1.044334490307E-1,2.957945119877E-1, +-3.191076480860E-1)); +#15590=CARTESIAN_POINT('',(-9.174312541302E-2,3.167206109755E-1, +-3.093334970024E-1)); +#15591=CARTESIAN_POINT('',(-9.599605059300E-2,3.097670822832E-1, +-3.125890550536E-1)); +#15592=CARTESIAN_POINT('',(-1.002489757730E-1,3.028135535908E-1, +-3.158446131048E-1)); +#15593=CARTESIAN_POINT('',(-1.045019009530E-1,2.958600248984E-1, +-3.191001711559E-1)); +#15594=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15574,#15575,#15576,#15577), +(#15578,#15579,#15580,#15581),(#15582,#15583,#15584,#15585),(#15586,#15587, +#15588,#15589),(#15590,#15591,#15592,#15593)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(5.242702766179E-1,1.E0,1.007379258055E0),(-2.015631900769E-2, +8.437855249286E-1),.UNSPECIFIED.); +#15595=ORIENTED_EDGE('',*,*,#14411,.T.); +#15597=ORIENTED_EDGE('',*,*,#15596,.F.); +#15598=ORIENTED_EDGE('',*,*,#15564,.T.); +#15599=EDGE_LOOP('',(#15595,#15597,#15598)); +#15600=FACE_OUTER_BOUND('',#15599,.F.); +#15601=ADVANCED_FACE('',(#15600),#15594,.F.); +#15602=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#15603=DIRECTION('',(0.E0,0.E0,1.E0)); +#15604=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15605=AXIS2_PLACEMENT_3D('',#15602,#15603,#15604); +#15606=CYLINDRICAL_SURFACE('',#15605,1.279527559055E-1); +#15607=ORIENTED_EDGE('',*,*,#14409,.T.); +#15609=ORIENTED_EDGE('',*,*,#15608,.T.); +#15611=ORIENTED_EDGE('',*,*,#15610,.T.); +#15613=ORIENTED_EDGE('',*,*,#15612,.T.); +#15614=ORIENTED_EDGE('',*,*,#15566,.T.); +#15615=ORIENTED_EDGE('',*,*,#15596,.T.); +#15616=EDGE_LOOP('',(#15607,#15609,#15611,#15613,#15614,#15615)); +#15617=FACE_OUTER_BOUND('',#15616,.F.); +#15618=ADVANCED_FACE('',(#15617),#15606,.F.); +#15619=CARTESIAN_POINT('',(-5.581796910278E-2,2.905912453805E-1, +-3.123184843123E-1)); +#15620=CARTESIAN_POINT('',(-6.225127874221E-2,2.831673468927E-1, +-3.084678076460E-1)); +#15621=CARTESIAN_POINT('',(-6.868458838164E-2,2.757434484050E-1, +-3.046171309797E-1)); +#15622=CARTESIAN_POINT('',(-7.511789802107E-2,2.683195499173E-1, +-3.007664543134E-1)); +#15623=CARTESIAN_POINT('',(-5.586200090138E-2,2.906127677227E-1, +-3.124001853940E-1)); +#15624=CARTESIAN_POINT('',(-6.228034548507E-2,2.831815544520E-1, +-3.085542017407E-1)); +#15625=CARTESIAN_POINT('',(-6.869869006877E-2,2.757503411813E-1, +-3.047082180874E-1)); +#15626=CARTESIAN_POINT('',(-7.511703465247E-2,2.683191279106E-1, +-3.008622344341E-1)); +#15627=CARTESIAN_POINT('',(-5.865706519426E-2,2.919809218622E-1, +-3.175883862620E-1)); +#15628=CARTESIAN_POINT('',(-6.412545328626E-2,2.840847150278E-1, +-3.140402108531E-1)); +#15629=CARTESIAN_POINT('',(-6.959384137826E-2,2.761885081933E-1, +-3.104920354441E-1)); +#15630=CARTESIAN_POINT('',(-7.506222947026E-2,2.682923013588E-1, +-3.069438600352E-1)); +#15631=CARTESIAN_POINT('',(-6.140311363276E-2,2.934490661182E-1, +-3.228097005754E-1)); +#15632=CARTESIAN_POINT('',(-6.593820421625E-2,2.850538821510E-1, +-3.195480791680E-1)); +#15633=CARTESIAN_POINT('',(-7.047329479974E-2,2.766586981838E-1, +-3.162864577605E-1)); +#15634=CARTESIAN_POINT('',(-7.500838538323E-2,2.682635142166E-1, +-3.130248363531E-1)); +#15635=CARTESIAN_POINT('',(-6.405174836891E-2,2.949873530104E-1, +-3.279678336828E-1)); +#15636=CARTESIAN_POINT('',(-6.768664936887E-2,2.860693525831E-1, +-3.249817789270E-1)); +#15637=CARTESIAN_POINT('',(-7.132155036883E-2,2.771513521558E-1, +-3.219957241712E-1)); +#15638=CARTESIAN_POINT('',(-7.495645136879E-2,2.682333517285E-1, +-3.190096694155E-1)); +#15639=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15619,#15620,#15621,#15622), +(#15623,#15624,#15625,#15626),(#15627,#15628,#15629,#15630),(#15631,#15632, +#15633,#15634),(#15635,#15636,#15637,#15638)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(-1.462549325996E-2,0.E0,9.140319786107E-1),(-2.E-2,1.02E0), +.UNSPECIFIED.); +#15640=ORIENTED_EDGE('',*,*,#14407,.T.); +#15641=ORIENTED_EDGE('',*,*,#14386,.F.); +#15643=ORIENTED_EDGE('',*,*,#15642,.F.); +#15644=ORIENTED_EDGE('',*,*,#15608,.F.); +#15645=EDGE_LOOP('',(#15640,#15641,#15643,#15644)); +#15646=FACE_OUTER_BOUND('',#15645,.F.); +#15647=ADVANCED_FACE('',(#15646),#15639,.F.); +#15648=CARTESIAN_POINT('',(-5.602599264902E-2,2.906931543144E-1, +-3.125174015925E-1)); +#15649=CARTESIAN_POINT('',(-6.238860147535E-2,2.832346201105E-1, +-3.086639025347E-1)); +#15650=CARTESIAN_POINT('',(-6.875121030168E-2,2.757760859067E-1, +-3.048104034769E-1)); +#15651=CARTESIAN_POINT('',(-7.511381912801E-2,2.683175517029E-1, +-3.009569044192E-1)); +#15652=CARTESIAN_POINT('',(-5.602549622755E-2,2.906929105752E-1, +-3.125177036410E-1)); +#15653=CARTESIAN_POINT('',(-6.238827377229E-2,2.832344592108E-1, +-3.086643149891E-1)); +#15654=CARTESIAN_POINT('',(-6.875105131702E-2,2.757760078465E-1, +-3.048109263372E-1)); +#15655=CARTESIAN_POINT('',(-7.511382886176E-2,2.683175564821E-1, +-3.009575376853E-1)); +#15656=CARTESIAN_POINT('',(-5.600017865352E-2,2.906804800366E-1, +-3.125331080978E-1)); +#15657=CARTESIAN_POINT('',(-6.237156086394E-2,2.832262534304E-1, +-3.086853501513E-1)); +#15658=CARTESIAN_POINT('',(-6.874294307436E-2,2.757720268243E-1, +-3.048375922048E-1)); +#15659=CARTESIAN_POINT('',(-7.511432528478E-2,2.683178002182E-1, +-3.009898342583E-1)); +#15660=CARTESIAN_POINT('',(-5.597436054801E-2,2.906678141299E-1, +-3.125488136821E-1)); +#15661=CARTESIAN_POINT('',(-6.235451753939E-2,2.832178922763E-1, +-3.087067971599E-1)); +#15662=CARTESIAN_POINT('',(-6.873467453077E-2,2.757679704228E-1, +-3.048647806377E-1)); +#15663=CARTESIAN_POINT('',(-7.511483152214E-2,2.683180485693E-1, +-3.010227641155E-1)); +#15664=CARTESIAN_POINT('',(-5.594903492031E-2,2.906554000078E-1, +-3.125642163296E-1)); +#15665=CARTESIAN_POINT('',(-6.233779931456E-2,2.832096973330E-1, +-3.087278311277E-1)); +#15666=CARTESIAN_POINT('',(-6.872656370882E-2,2.757639946582E-1, +-3.048914459258E-1)); +#15667=CARTESIAN_POINT('',(-7.511532810308E-2,2.683182919834E-1, +-3.010550607240E-1)); +#15668=CARTESIAN_POINT('',(-5.594853833782E-2,2.906551565968E-1, +-3.125645183419E-1)); +#15669=CARTESIAN_POINT('',(-6.233747150521E-2,2.832095366499E-1, +-3.087282435582E-1)); +#15670=CARTESIAN_POINT('',(-6.872640467260E-2,2.757639167031E-1, +-3.048919687745E-1)); +#15671=CARTESIAN_POINT('',(-7.511533783999E-2,2.683182967562E-1, +-3.010556939908E-1)); +#15672=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15648,#15649,#15650,#15651), +(#15652,#15653,#15654,#15655),(#15656,#15657,#15658,#15659),(#15660,#15661, +#15662,#15663),(#15664,#15665,#15666,#15667),(#15668,#15669,#15670,#15671)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-2.000000000001E-2,0.E0,1.E0,1.02E0), +(-2.E-2,1.02E0),.UNSPECIFIED.); +#15673=ORIENTED_EDGE('',*,*,#14384,.F.); +#15675=ORIENTED_EDGE('',*,*,#15674,.F.); +#15676=ORIENTED_EDGE('',*,*,#15610,.F.); +#15677=ORIENTED_EDGE('',*,*,#15642,.T.); +#15678=EDGE_LOOP('',(#15673,#15675,#15676,#15677)); +#15679=FACE_OUTER_BOUND('',#15678,.F.); +#15680=ADVANCED_FACE('',(#15679),#15672,.F.); +#15681=CARTESIAN_POINT('',(-8.449748738845E-2,3.097674540351E-1, +-2.922865781515E-1)); +#15682=CARTESIAN_POINT('',(-9.210629985325E-2,3.037832298937E-1, +-2.953095537002E-1)); +#15683=CARTESIAN_POINT('',(-9.971511231806E-2,2.977990057524E-1, +-2.983325292490E-1)); +#15684=CARTESIAN_POINT('',(-1.073239247829E-1,2.918147816110E-1, +-3.013555047977E-1)); +#15685=CARTESIAN_POINT('',(-8.441256303114E-2,3.096921877488E-1, +-2.923532944159E-1)); +#15686=CARTESIAN_POINT('',(-9.201774710500E-2,3.037027987674E-1, +-2.953535951558E-1)); +#15687=CARTESIAN_POINT('',(-9.962293117886E-2,2.977134097859E-1, +-2.983538958957E-1)); +#15688=CARTESIAN_POINT('',(-1.072281152527E-1,2.917240208045E-1, +-3.013541966357E-1)); +#15689=CARTESIAN_POINT('',(-7.582691463189E-2,3.021035304620E-1, +-2.990910072605E-1)); +#15690=CARTESIAN_POINT('',(-8.306522208485E-2,2.955928802209E-1, +-2.998013663801E-1)); +#15691=CARTESIAN_POINT('',(-9.030352953780E-2,2.890822299799E-1, +-3.005117254996E-1)); +#15692=CARTESIAN_POINT('',(-9.754183699075E-2,2.825715797388E-1, +-3.012220846191E-1)); +#15693=CARTESIAN_POINT('',(-6.611832032718E-2,2.956181708514E-1, +-3.059828484231E-1)); +#15694=CARTESIAN_POINT('',(-7.293603680405E-2,2.886087796798E-1, +-3.043508824416E-1)); +#15695=CARTESIAN_POINT('',(-7.975375328092E-2,2.815993885082E-1, +-3.027189164601E-1)); +#15696=CARTESIAN_POINT('',(-8.657146975779E-2,2.745899973367E-1, +-3.010869504787E-1)); +#15697=CARTESIAN_POINT('',(-5.582010260039E-2,2.905925022544E-1, +-3.126507117361E-1)); +#15698=CARTESIAN_POINT('',(-6.217401351750E-2,2.831251197401E-1, +-3.087525438443E-1)); +#15699=CARTESIAN_POINT('',(-6.852792443461E-2,2.756577372258E-1, +-3.048543759526E-1)); +#15700=CARTESIAN_POINT('',(-7.488183535172E-2,2.681903547114E-1, +-3.009562080608E-1)); +#15701=CARTESIAN_POINT('',(-5.571780131251E-2,2.905427484381E-1, +-3.127168903758E-1)); +#15702=CARTESIAN_POINT('',(-6.206710320079E-2,2.830708228202E-1, +-3.087962303973E-1)); +#15703=CARTESIAN_POINT('',(-6.841640508906E-2,2.755988972023E-1, +-3.048755704188E-1)); +#15704=CARTESIAN_POINT('',(-7.476570697734E-2,2.681269715845E-1, +-3.009549104404E-1)); +#15705=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15681,#15682,#15683,#15684), +(#15685,#15686,#15687,#15688),(#15689,#15690,#15691,#15692),(#15693,#15694, +#15695,#15696),(#15697,#15698,#15699,#15700),(#15701,#15702,#15703,#15704)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.000226286054E-2,0.E0,1.E0, +1.010026902698E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#15706=ORIENTED_EDGE('',*,*,#14367,.F.); +#15707=ORIENTED_EDGE('',*,*,#15568,.F.); +#15708=ORIENTED_EDGE('',*,*,#15612,.F.); +#15709=ORIENTED_EDGE('',*,*,#15674,.T.); +#15710=ORIENTED_EDGE('',*,*,#14369,.T.); +#15711=EDGE_LOOP('',(#15706,#15707,#15708,#15709,#15710)); +#15712=FACE_OUTER_BOUND('',#15711,.F.); +#15713=ADVANCED_FACE('',(#15712),#15705,.F.); +#15714=CARTESIAN_POINT('',(-1.125832817649E-1,3.454776285390E-1, +-3.125174015925E-1)); +#15715=CARTESIAN_POINT('',(-1.221147568427E-1,3.431833952492E-1, +-3.086639025347E-1)); +#15716=CARTESIAN_POINT('',(-1.316462319204E-1,3.408891619594E-1, +-3.048104034769E-1)); +#15717=CARTESIAN_POINT('',(-1.411777069982E-1,3.385949286696E-1, +-3.009569044192E-1)); +#15718=CARTESIAN_POINT('',(-1.125830234178E-1,3.454771395606E-1, +-3.125177036410E-1)); +#15719=CARTESIAN_POINT('',(-1.221145862998E-1,3.431830724595E-1, +-3.086643149891E-1)); +#15720=CARTESIAN_POINT('',(-1.316461491818E-1,3.408890053585E-1, +-3.048109263372E-1)); +#15721=CARTESIAN_POINT('',(-1.411777120638E-1,3.385949382574E-1, +-3.009575376853E-1)); +#15722=CARTESIAN_POINT('',(-1.125698475574E-1,3.454522017470E-1, +-3.125331080978E-1)); +#15723=CARTESIAN_POINT('',(-1.221058885096E-1,3.431666102427E-1, +-3.086853501513E-1)); +#15724=CARTESIAN_POINT('',(-1.316419294618E-1,3.408810187384E-1, +-3.048375922048E-1)); +#15725=CARTESIAN_POINT('',(-1.411779704141E-1,3.385954272341E-1, +-3.009898342583E-1)); +#15726=CARTESIAN_POINT('',(-1.125564051044E-1,3.454267793115E-1, +-3.125488136821E-1)); +#15727=CARTESIAN_POINT('',(-1.220970147335E-1,3.431498281121E-1, +-3.087067971599E-1)); +#15728=CARTESIAN_POINT('',(-1.316376243625E-1,3.408728769127E-1, +-3.048647806377E-1)); +#15729=CARTESIAN_POINT('',(-1.411782339916E-1,3.385959257133E-1, +-3.010227641155E-1)); +#15730=CARTESIAN_POINT('',(-1.125432130791E-1,3.454018500454E-1, +-3.125642163296E-1)); +#15731=CARTESIAN_POINT('',(-1.220883062723E-1,3.431333715377E-1, +-3.087278311277E-1)); +#15732=CARTESIAN_POINT('',(-1.316333994655E-1,3.408648930301E-1, +-3.048914459258E-1)); +#15733=CARTESIAN_POINT('',(-1.411784926587E-1,3.385964145224E-1, +-3.010550607240E-1)); +#15734=CARTESIAN_POINT('',(-1.125429544088E-1,3.454013612379E-1, +-3.125645183419E-1)); +#15735=CARTESIAN_POINT('',(-1.220881355161E-1,3.431330488609E-1, +-3.087282435582E-1)); +#15736=CARTESIAN_POINT('',(-1.316333166234E-1,3.408647364839E-1, +-3.048919687745E-1)); +#15737=CARTESIAN_POINT('',(-1.411784977307E-1,3.385964241069E-1, +-3.010556939908E-1)); +#15738=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15714,#15715,#15716,#15717), +(#15718,#15719,#15720,#15721),(#15722,#15723,#15724,#15725),(#15726,#15727, +#15728,#15729),(#15730,#15731,#15732,#15733),(#15734,#15735,#15736,#15737)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-2.000000000001E-2,0.E0,1.E0,1.02E0), +(-2.E-2,1.02E0),.UNSPECIFIED.); +#15739=ORIENTED_EDGE('',*,*,#15532,.F.); +#15741=ORIENTED_EDGE('',*,*,#15740,.F.); +#15742=ORIENTED_EDGE('',*,*,#15484,.F.); +#15743=ORIENTED_EDGE('',*,*,#15517,.T.); +#15744=EDGE_LOOP('',(#15739,#15741,#15742,#15743)); +#15745=FACE_OUTER_BOUND('',#15744,.F.); +#15746=ADVANCED_FACE('',(#15745),#15738,.F.); +#15747=CARTESIAN_POINT('',(-1.244056127907E-1,3.776441858874E-1, +-2.922865781515E-1)); +#15748=CARTESIAN_POINT('',(-1.340787100784E-1,3.772751946132E-1, +-2.953095537002E-1)); +#15749=CARTESIAN_POINT('',(-1.437518073661E-1,3.769062033389E-1, +-2.983325292490E-1)); +#15750=CARTESIAN_POINT('',(-1.534249046539E-1,3.765372120647E-1, +-3.013555047977E-1)); +#15751=CARTESIAN_POINT('',(-1.243811479555E-1,3.775333768979E-1, +-2.923532944159E-1)); +#15752=CARTESIAN_POINT('',(-1.340543456301E-1,3.771580744656E-1, +-2.953535951558E-1)); +#15753=CARTESIAN_POINT('',(-1.437275433047E-1,3.767827720333E-1, +-2.983538958957E-1)); +#15754=CARTESIAN_POINT('',(-1.534007409793E-1,3.764074696010E-1, +-3.013541966357E-1)); +#15755=CARTESIAN_POINT('',(-1.218957133306E-1,3.663475066779E-1, +-2.990910072605E-1)); +#15756=CARTESIAN_POINT('',(-1.315784912651E-1,3.653348503609E-1, +-2.998013663801E-1)); +#15757=CARTESIAN_POINT('',(-1.412612691996E-1,3.643221940439E-1, +-3.005117254996E-1)); +#15758=CARTESIAN_POINT('',(-1.509440471340E-1,3.633095377268E-1, +-3.012220846191E-1)); +#15759=CARTESIAN_POINT('',(-1.178532942815E-1,3.553941719856E-1, +-3.059828484231E-1)); +#15760=CARTESIAN_POINT('',(-1.274889595323E-1,3.537308086069E-1, +-3.043508824416E-1)); +#15761=CARTESIAN_POINT('',(-1.371246247832E-1,3.520674452283E-1, +-3.027189164601E-1)); +#15762=CARTESIAN_POINT('',(-1.467602900340E-1,3.504040818497E-1, +-3.010869504787E-1)); +#15763=CARTESIAN_POINT('',(-1.124758750130E-1,3.452751801778E-1, +-3.126507117361E-1)); +#15764=CARTESIAN_POINT('',(-1.220055142408E-1,3.429686759517E-1, +-3.087525438443E-1)); +#15765=CARTESIAN_POINT('',(-1.315351534687E-1,3.406621717255E-1, +-3.048543759526E-1)); +#15766=CARTESIAN_POINT('',(-1.410647926965E-1,3.383556674994E-1, +-3.009562080608E-1)); +#15767=CARTESIAN_POINT('',(-1.124223560921E-1,3.451747973065E-1, +-3.127168903758E-1)); +#15768=CARTESIAN_POINT('',(-1.219509369065E-1,3.428619085132E-1, +-3.087962303973E-1)); +#15769=CARTESIAN_POINT('',(-1.314795177209E-1,3.405490197200E-1, +-3.048755704188E-1)); +#15770=CARTESIAN_POINT('',(-1.410080985354E-1,3.382361309267E-1, +-3.009549104404E-1)); +#15771=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15747,#15748,#15749,#15750), +(#15751,#15752,#15753,#15754),(#15755,#15756,#15757,#15758),(#15759,#15760, +#15761,#15762),(#15763,#15764,#15765,#15766),(#15767,#15768,#15769,#15770)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.000226286054E-2,0.E0,1.E0, +1.010026902698E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#15772=ORIENTED_EDGE('',*,*,#14361,.F.); +#15773=ORIENTED_EDGE('',*,*,#15442,.F.); +#15774=ORIENTED_EDGE('',*,*,#15486,.F.); +#15775=ORIENTED_EDGE('',*,*,#15740,.T.); +#15776=ORIENTED_EDGE('',*,*,#14363,.T.); +#15777=EDGE_LOOP('',(#15772,#15773,#15774,#15775,#15776)); +#15778=FACE_OUTER_BOUND('',#15777,.F.); +#15779=ADVANCED_FACE('',(#15778),#15771,.F.); +#15780=CARTESIAN_POINT('',(-1.261375838115E-1,4.230427396665E-1, +-3.125174015925E-1)); +#15781=CARTESIAN_POINT('',(-1.351972256240E-1,4.267891264293E-1, +-3.086639025347E-1)); +#15782=CARTESIAN_POINT('',(-1.442568674364E-1,4.305355131921E-1, +-3.048104034769E-1)); +#15783=CARTESIAN_POINT('',(-1.533165092489E-1,4.342818999549E-1, +-3.009569044192E-1)); +#15784=CARTESIAN_POINT('',(-1.261376622186E-1,4.230421922221E-1, +-3.125177036410E-1)); +#15785=CARTESIAN_POINT('',(-1.351972773829E-1,4.267887650444E-1, +-3.086643149891E-1)); +#15786=CARTESIAN_POINT('',(-1.442568925472E-1,4.305353378668E-1, +-3.048109263372E-1)); +#15787=CARTESIAN_POINT('',(-1.533165077115E-1,4.342819106892E-1, +-3.009575376853E-1)); +#15788=CARTESIAN_POINT('',(-1.261416608027E-1,4.230142725307E-1, +-3.125331080978E-1)); +#15789=CARTESIAN_POINT('',(-1.351999169711E-1,4.267703343985E-1, +-3.086853501513E-1)); +#15790=CARTESIAN_POINT('',(-1.442581731395E-1,4.305263962663E-1, +-3.048375922048E-1)); +#15791=CARTESIAN_POINT('',(-1.533164293079E-1,4.342824581341E-1, +-3.009898342583E-1)); +#15792=CARTESIAN_POINT('',(-1.261457285625E-1,4.229858040727E-1, +-3.125488136821E-1)); +#15793=CARTESIAN_POINT('',(-1.352026022243E-1,4.267515414949E-1, +-3.087067971599E-1)); +#15794=CARTESIAN_POINT('',(-1.442594758861E-1,4.305172789170E-1, +-3.048647806377E-1)); +#15795=CARTESIAN_POINT('',(-1.533163495479E-1,4.342830163391E-1, +-3.010227641155E-1)); +#15796=CARTESIAN_POINT('',(-1.261497090448E-1,4.229578817948E-1, +-3.125642163296E-1)); +#15797=CARTESIAN_POINT('',(-1.352052298629E-1,4.267331091415E-1, +-3.087278311277E-1)); +#15798=CARTESIAN_POINT('',(-1.442607506811E-1,4.305083364881E-1, +-3.048914459258E-1)); +#15799=CARTESIAN_POINT('',(-1.533162714992E-1,4.342835638348E-1, +-3.010550607240E-1)); +#15800=CARTESIAN_POINT('',(-1.261497870900E-1,4.229573342987E-1, +-3.125645183419E-1)); +#15801=CARTESIAN_POINT('',(-1.352052813829E-1,4.267327477224E-1, +-3.087282435582E-1)); +#15802=CARTESIAN_POINT('',(-1.442607756759E-1,4.305081611462E-1, +-3.048919687745E-1)); +#15803=CARTESIAN_POINT('',(-1.533162699689E-1,4.342835745700E-1, +-3.010556939908E-1)); +#15804=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15780,#15781,#15782,#15783), +(#15784,#15785,#15786,#15787),(#15788,#15789,#15790,#15791),(#15792,#15793, +#15794,#15795),(#15796,#15797,#15798,#15799),(#15800,#15801,#15802,#15803)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-2.000000000004E-2,0.E0,1.E0,1.02E0), +(-2.E-2,1.02E0),.UNSPECIFIED.); +#15805=ORIENTED_EDGE('',*,*,#15406,.F.); +#15807=ORIENTED_EDGE('',*,*,#15806,.F.); +#15808=ORIENTED_EDGE('',*,*,#15358,.F.); +#15809=ORIENTED_EDGE('',*,*,#15391,.T.); +#15810=EDGE_LOOP('',(#15805,#15807,#15808,#15809)); +#15811=FACE_OUTER_BOUND('',#15810,.F.); +#15812=ADVANCED_FACE('',(#15811),#15804,.F.); +#15813=CARTESIAN_POINT('',(-1.167950224981E-1,4.560150230366E-1, +-2.922865781515E-1)); +#15814=CARTESIAN_POINT('',(-1.248376102213E-1,4.614022067547E-1, +-2.953095537002E-1)); +#15815=CARTESIAN_POINT('',(-1.328801979446E-1,4.667893904728E-1, +-2.983325292490E-1)); +#15816=CARTESIAN_POINT('',(-1.409227856679E-1,4.721765741909E-1, +-3.013555047977E-1)); +#15817=CARTESIAN_POINT('',(-1.168403619205E-1,4.559109966116E-1, +-2.923532944159E-1)); +#15818=CARTESIAN_POINT('',(-1.248867404641E-1,4.612931335015E-1, +-2.953535951558E-1)); +#15819=CARTESIAN_POINT('',(-1.329331190077E-1,4.666752703914E-1, +-2.983538958957E-1)); +#15820=CARTESIAN_POINT('',(-1.409794975513E-1,4.720574072813E-1, +-3.013541966357E-1)); +#15821=CARTESIAN_POINT('',(-1.214044926200E-1,4.454005356888E-1, +-2.990910072605E-1)); +#15822=CARTESIAN_POINT('',(-1.298332489705E-1,4.502726735900E-1, +-2.998013663801E-1)); +#15823=CARTESIAN_POINT('',(-1.382620053211E-1,4.551448114911E-1, +-3.005117254996E-1)); +#15824=CARTESIAN_POINT('',(-1.466907616716E-1,4.600169493923E-1, +-3.012220846191E-1)); +#15825=CARTESIAN_POINT('',(-1.245723155065E-1,4.341630274769E-1, +-3.059828484231E-1)); +#15826=CARTESIAN_POINT('',(-1.333454329096E-1,4.384810401663E-1, +-3.043508824416E-1)); +#15827=CARTESIAN_POINT('',(-1.421185503128E-1,4.427990528556E-1, +-3.027189164601E-1)); +#15828=CARTESIAN_POINT('',(-1.508916677160E-1,4.471170655450E-1, +-3.010869504787E-1)); +#15829=CARTESIAN_POINT('',(-1.261696860850E-1,4.228158233971E-1, +-3.126507117361E-1)); +#15830=CARTESIAN_POINT('',(-1.352350553391E-1,4.265512036783E-1, +-3.087525438443E-1)); +#15831=CARTESIAN_POINT('',(-1.443004245931E-1,4.302865839596E-1, +-3.048543759526E-1)); +#15832=CARTESIAN_POINT('',(-1.533657938472E-1,4.340219642408E-1, +-3.009562080608E-1)); +#15833=CARTESIAN_POINT('',(-1.261853919398E-1,4.227031543158E-1, +-3.127168903758E-1)); +#15834=CARTESIAN_POINT('',(-1.352536576738E-1,4.264327472540E-1, +-3.087962303973E-1)); +#15835=CARTESIAN_POINT('',(-1.443219234079E-1,4.301623401921E-1, +-3.048755704188E-1)); +#15836=CARTESIAN_POINT('',(-1.533901891419E-1,4.338919331303E-1, +-3.009549104404E-1)); +#15837=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15813,#15814,#15815,#15816), +(#15817,#15818,#15819,#15820),(#15821,#15822,#15823,#15824),(#15825,#15826, +#15827,#15828),(#15829,#15830,#15831,#15832),(#15833,#15834,#15835,#15836)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.000226286054E-2,0.E0,1.E0, +1.010026902698E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#15838=ORIENTED_EDGE('',*,*,#14355,.F.); +#15839=ORIENTED_EDGE('',*,*,#15316,.F.); +#15840=ORIENTED_EDGE('',*,*,#15360,.F.); +#15841=ORIENTED_EDGE('',*,*,#15806,.T.); +#15842=ORIENTED_EDGE('',*,*,#14357,.T.); +#15843=EDGE_LOOP('',(#15838,#15839,#15840,#15841,#15842)); +#15844=FACE_OUTER_BOUND('',#15843,.F.); +#15845=ADVANCED_FACE('',(#15844),#15837,.F.); +#15846=CARTESIAN_POINT('',(-9.151161610100E-2,4.937612515874E-1, +-3.125174015925E-1)); +#15847=CARTESIAN_POINT('',(-9.663894940165E-2,5.021172659945E-1, +-3.086639025347E-1)); +#15848=CARTESIAN_POINT('',(-1.017662827023E-1,5.104732804015E-1, +-3.048104034769E-1)); +#15849=CARTESIAN_POINT('',(-1.068936160030E-1,5.188292948085E-1, +-3.009569044192E-1)); +#15850=CARTESIAN_POINT('',(-9.151200131343E-2,4.937608547821E-1, +-3.125177036410E-1)); +#15851=CARTESIAN_POINT('',(-9.663920369221E-2,5.021170040511E-1, +-3.086643149891E-1)); +#15852=CARTESIAN_POINT('',(-1.017664060710E-1,5.104731533200E-1, +-3.048109263372E-1)); +#15853=CARTESIAN_POINT('',(-1.068936084498E-1,5.188293025890E-1, +-3.009575376853E-1)); +#15854=CARTESIAN_POINT('',(-9.153164701879E-2,4.937406175860E-1, +-3.125331080978E-1)); +#15855=CARTESIAN_POINT('',(-9.665217242581E-2,5.021036448563E-1, +-3.086853501513E-1)); +#15856=CARTESIAN_POINT('',(-1.017726978328E-1,5.104666721265E-1, +-3.048375922048E-1)); +#15857=CARTESIAN_POINT('',(-1.068932232399E-1,5.188296993968E-1, +-3.009898342583E-1)); +#15858=CARTESIAN_POINT('',(-9.155167124527E-2,4.937199770890E-1, +-3.125488136821E-1)); +#15859=CARTESIAN_POINT('',(-9.666539103284E-2,5.020900194301E-1, +-3.087067971599E-1)); +#15860=CARTESIAN_POINT('',(-1.017791108204E-1,5.104600617713E-1, +-3.048647806377E-1)); +#15861=CARTESIAN_POINT('',(-1.068928306080E-1,5.188301041124E-1, +-3.010227641155E-1)); +#15862=CARTESIAN_POINT('',(-9.157130382627E-2,4.936997271604E-1, +-3.125642163296E-1)); +#15863=CARTESIAN_POINT('',(-9.667835110265E-2,5.020766518302E-1, +-3.087278311277E-1)); +#15864=CARTESIAN_POINT('',(-1.017853983790E-1,5.104535765E-1, +-3.048914459258E-1)); +#15865=CARTESIAN_POINT('',(-1.068924456554E-1,5.188305011698E-1, +-3.010550607240E-1)); +#15866=CARTESIAN_POINT('',(-9.157168877631E-2,4.936993301005E-1, +-3.125645183419E-1)); +#15867=CARTESIAN_POINT('',(-9.667860522E-2,5.020763897188E-1, +-3.087282435582E-1)); +#15868=CARTESIAN_POINT('',(-1.017855216637E-1,5.104534493370E-1, +-3.048919687745E-1)); +#15869=CARTESIAN_POINT('',(-1.068924381074E-1,5.188305089553E-1, +-3.010556939908E-1)); +#15870=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15846,#15847,#15848,#15849), +(#15850,#15851,#15852,#15853),(#15854,#15855,#15856,#15857),(#15858,#15859, +#15860,#15861),(#15862,#15863,#15864,#15865),(#15866,#15867,#15868,#15869)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-2.E-2,0.E0,1.E0,1.02E0),(-2.E-2, +1.02E0),.UNSPECIFIED.); +#15871=ORIENTED_EDGE('',*,*,#15280,.F.); +#15873=ORIENTED_EDGE('',*,*,#15872,.F.); +#15874=ORIENTED_EDGE('',*,*,#15232,.F.); +#15875=ORIENTED_EDGE('',*,*,#15265,.T.); +#15876=EDGE_LOOP('',(#15871,#15873,#15874,#15875)); +#15877=FACE_OUTER_BOUND('',#15876,.F.); +#15878=ADVANCED_FACE('',(#15877),#15870,.F.); +#15879=CARTESIAN_POINT('',(-6.457270332807E-2,5.149449694185E-1, +-2.922865781515E-1)); +#15880=CARTESIAN_POINT('',(-6.791278633405E-2,5.240306070523E-1, +-2.953095537002E-1)); +#15881=CARTESIAN_POINT('',(-7.125286934003E-2,5.331162446860E-1, +-2.983325292490E-1)); +#15882=CARTESIAN_POINT('',(-7.459295234601E-2,5.422018823198E-1, +-3.013555047977E-1)); +#15883=CARTESIAN_POINT('',(-6.467052888978E-2,5.148874601167E-1, +-2.923532944159E-1)); +#15884=CARTESIAN_POINT('',(-6.801664518502E-2,5.239712429689E-1, +-2.953535951558E-1)); +#15885=CARTESIAN_POINT('',(-7.136276148026E-2,5.330550258212E-1, +-2.983538958957E-1)); +#15886=CARTESIAN_POINT('',(-7.470887777550E-2,5.421388086734E-1, +-3.013541966357E-1)); +#15887=CARTESIAN_POINT('',(-7.454088211543E-2,5.090670473261E-1, +-2.990910072605E-1)); +#15888=CARTESIAN_POINT('',(-7.849611843902E-2,5.179629883651E-1, +-2.998013663801E-1)); +#15889=CARTESIAN_POINT('',(-8.245135476261E-2,5.268589294041E-1, +-3.005117254996E-1)); +#15890=CARTESIAN_POINT('',(-8.640659108620E-2,5.357548704431E-1, +-3.012220846191E-1)); +#15891=CARTESIAN_POINT('',(-8.370894626522E-2,5.018377117828E-1, +-3.059828484231E-1)); +#15892=CARTESIAN_POINT('',(-8.826848316003E-2,5.104877664566E-1, +-3.043508824416E-1)); +#15893=CARTESIAN_POINT('',(-9.282802005485E-2,5.191378211305E-1, +-3.027189164601E-1)); +#15894=CARTESIAN_POINT('',(-9.738755694966E-2,5.277878758043E-1, +-3.010869504787E-1)); +#15895=CARTESIAN_POINT('',(-9.167096542248E-2,4.935965417121E-1, +-3.126507117361E-1)); +#15896=CARTESIAN_POINT('',(-9.680940176827E-2,5.019470181942E-1, +-3.087525438443E-1)); +#15897=CARTESIAN_POINT('',(-1.019478381141E-1,5.102974946763E-1, +-3.048543759526E-1)); +#15898=CARTESIAN_POINT('',(-1.070862744599E-1,5.186479711584E-1, +-3.009562080608E-1)); +#15899=CARTESIAN_POINT('',(-9.174989695025E-2,4.935146221804E-1, +-3.127168903758E-1)); +#15900=CARTESIAN_POINT('',(-9.689407831249E-2,5.018621191118E-1, +-3.087962303973E-1)); +#15901=CARTESIAN_POINT('',(-1.020382596747E-1,5.102096160432E-1, +-3.048755704188E-1)); +#15902=CARTESIAN_POINT('',(-1.071824410370E-1,5.185571129746E-1, +-3.009549104404E-1)); +#15903=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15879,#15880,#15881,#15882), +(#15883,#15884,#15885,#15886),(#15887,#15888,#15889,#15890),(#15891,#15892, +#15893,#15894),(#15895,#15896,#15897,#15898),(#15899,#15900,#15901,#15902)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.000226286054E-2,0.E0,1.E0, +1.010026902698E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#15904=ORIENTED_EDGE('',*,*,#14349,.F.); +#15905=ORIENTED_EDGE('',*,*,#15190,.F.); +#15906=ORIENTED_EDGE('',*,*,#15234,.F.); +#15907=ORIENTED_EDGE('',*,*,#15872,.T.); +#15908=ORIENTED_EDGE('',*,*,#14351,.T.); +#15909=EDGE_LOOP('',(#15904,#15905,#15906,#15907,#15908)); +#15910=FACE_OUTER_BOUND('',#15909,.F.); +#15911=ADVANCED_FACE('',(#15910),#15903,.F.); +#15912=CARTESIAN_POINT('',(-2.193132140530E-2,5.306210963818E-1, +-3.125174015925E-1)); +#15913=CARTESIAN_POINT('',(-2.116787914497E-2,5.403950249400E-1, +-3.086639025347E-1)); +#15914=CARTESIAN_POINT('',(-2.040443688463E-2,5.501689534982E-1, +-3.048104034769E-1)); +#15915=CARTESIAN_POINT('',(-1.964099462429E-2,5.599428820565E-1, +-3.009569044192E-1)); +#15916=CARTESIAN_POINT('',(-2.193186628502E-2,5.306210017817E-1, +-3.125177036410E-1)); +#15917=CARTESIAN_POINT('',(-2.116823883680E-2,5.403949624916E-1, +-3.086643149891E-1)); +#15918=CARTESIAN_POINT('',(-2.040461138859E-2,5.501689232015E-1, +-3.048109263372E-1)); +#15919=CARTESIAN_POINT('',(-1.964098394037E-2,5.599428839114E-1, +-3.009575376853E-1)); +#15920=CARTESIAN_POINT('',(-2.195965511993E-2,5.306161770020E-1, +-3.125331080978E-1)); +#15921=CARTESIAN_POINT('',(-2.118658310037E-2,5.403917775063E-1, +-3.086853501513E-1)); +#15922=CARTESIAN_POINT('',(-2.041351108081E-2,5.501673780106E-1, +-3.048375922048E-1)); +#15923=CARTESIAN_POINT('',(-1.964043906126E-2,5.599429785149E-1, +-3.009898342583E-1)); +#15924=CARTESIAN_POINT('',(-2.198798723922E-2,5.306112484341E-1, +-3.125488136821E-1)); +#15925=CARTESIAN_POINT('',(-2.120528600265E-2,5.403885240073E-1, +-3.087067971599E-1)); +#15926=CARTESIAN_POINT('',(-2.042258476608E-2,5.501657995804E-1, +-3.048647806377E-1)); +#15927=CARTESIAN_POINT('',(-1.963988352951E-2,5.599430751535E-1, +-3.010227641155E-1)); +#15928=CARTESIAN_POINT('',(-2.201577294027E-2,5.306064056394E-1, +-3.125642163296E-1)); +#15929=CARTESIAN_POINT('',(-2.122362819746E-2,5.403853271297E-1, +-3.087278311277E-1)); +#15930=CARTESIAN_POINT('',(-2.043148345465E-2,5.501642486199E-1, +-3.048914459258E-1)); +#15931=CARTESIAN_POINT('',(-1.963933871184E-2,5.599431701102E-1, +-3.010550607240E-1)); +#15932=CARTESIAN_POINT('',(-2.201631775734E-2,5.306063106791E-1, +-3.125645183419E-1)); +#15933=CARTESIAN_POINT('',(-2.122398784794E-2,5.403852644435E-1, +-3.087282435582E-1)); +#15934=CARTESIAN_POINT('',(-2.043165793855E-2,5.501642182078E-1, +-3.048919687745E-1)); +#15935=CARTESIAN_POINT('',(-1.963932802915E-2,5.599431719722E-1, +-3.010556939908E-1)); +#15936=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15912,#15913,#15914,#15915), +(#15916,#15917,#15918,#15919),(#15920,#15921,#15922,#15923),(#15924,#15925, +#15926,#15927),(#15928,#15929,#15930,#15931),(#15932,#15933,#15934,#15935)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-2.E-2,0.E0,1.E0,1.02E0),(-2.E-2, +1.02E0),.UNSPECIFIED.); +#15937=ORIENTED_EDGE('',*,*,#15154,.F.); +#15939=ORIENTED_EDGE('',*,*,#15938,.F.); +#15940=ORIENTED_EDGE('',*,*,#15106,.F.); +#15941=ORIENTED_EDGE('',*,*,#15139,.T.); +#15942=EDGE_LOOP('',(#15937,#15939,#15940,#15941)); +#15943=FACE_OUTER_BOUND('',#15942,.F.); +#15944=ADVANCED_FACE('',(#15943),#15936,.F.); +#15945=CARTESIAN_POINT('',(1.231419376782E-2,5.319247884704E-1, +-2.922865781515E-1)); +#15946=CARTESIAN_POINT('',(1.495241366215E-2,5.412384752532E-1, +-2.953095537002E-1)); +#15947=CARTESIAN_POINT('',(1.759063355648E-2,5.505521620360E-1, +-2.983325292490E-1)); +#15948=CARTESIAN_POINT('',(2.022885345081E-2,5.598658488188E-1, +-3.013555047977E-1)); +#15949=CARTESIAN_POINT('',(1.220124810642E-2,5.319357628904E-1, +-2.923532944159E-1)); +#15950=CARTESIAN_POINT('',(1.483349675400E-2,5.412514954019E-1, +-2.953535951558E-1)); +#15951=CARTESIAN_POINT('',(1.746574540158E-2,5.505672279133E-1, +-2.983538958957E-1)); +#15952=CARTESIAN_POINT('',(2.009799404915E-2,5.598829604248E-1, +-3.013541966357E-1)); +#15953=CARTESIAN_POINT('',(7.948118058119E-4,5.330285980894E-1, +-2.990910072605E-1)); +#15954=CARTESIAN_POINT('',(2.823861351241E-3,5.425503951513E-1, +-2.998013663801E-1)); +#15955=CARTESIAN_POINT('',(4.852910896670E-3,5.520721922131E-1, +-3.005117254996E-1)); +#15956=CARTESIAN_POINT('',(6.881960442099E-3,5.615939892750E-1, +-3.012220846191E-1)); +#15957=CARTESIAN_POINT('',(-1.087160471309E-2,5.325687956762E-1, +-3.059828484231E-1)); +#15958=CARTESIAN_POINT('',(-9.475972978682E-3,5.422468654537E-1, +-3.043508824416E-1)); +#15959=CARTESIAN_POINT('',(-8.080341244271E-3,5.519249352311E-1, +-3.027189164601E-1)); +#15960=CARTESIAN_POINT('',(-6.684709509860E-3,5.616030050086E-1, +-3.010869504787E-1)); +#15961=CARTESIAN_POINT('',(-2.215705175006E-2,5.305815064746E-1, +-3.126507117361E-1)); +#15962=CARTESIAN_POINT('',(-2.140584715252E-2,5.403574809636E-1, +-3.087525438443E-1)); +#15963=CARTESIAN_POINT('',(-2.065464255499E-2,5.501334554527E-1, +-3.048543759526E-1)); +#15964=CARTESIAN_POINT('',(-1.990343795745E-2,5.599094299417E-1, +-3.009562080608E-1)); +#15965=CARTESIAN_POINT('',(-2.226905979001E-2,5.305616269693E-1, +-3.127168903758E-1)); +#15966=CARTESIAN_POINT('',(-2.152425434438E-2,5.403385677871E-1, +-3.087962303973E-1)); +#15967=CARTESIAN_POINT('',(-2.077944889875E-2,5.501155086049E-1, +-3.048755704188E-1)); +#15968=CARTESIAN_POINT('',(-2.003464345312E-2,5.598924494227E-1, +-3.009549104404E-1)); +#15969=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15945,#15946,#15947,#15948), +(#15949,#15950,#15951,#15952),(#15953,#15954,#15955,#15956),(#15957,#15958, +#15959,#15960),(#15961,#15962,#15963,#15964),(#15965,#15966,#15967,#15968)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.000226286054E-2,0.E0,1.E0, +1.010026902698E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#15970=ORIENTED_EDGE('',*,*,#14343,.F.); +#15971=ORIENTED_EDGE('',*,*,#15064,.F.); +#15972=ORIENTED_EDGE('',*,*,#15108,.F.); +#15973=ORIENTED_EDGE('',*,*,#15938,.T.); +#15974=ORIENTED_EDGE('',*,*,#14345,.T.); +#15975=EDGE_LOOP('',(#15970,#15971,#15972,#15973,#15974)); +#15976=FACE_OUTER_BOUND('',#15975,.F.); +#15977=ADVANCED_FACE('',(#15976),#15969,.F.); +#15978=CARTESIAN_POINT('',(5.602599264902E-2,5.195430661581E-1, +-3.125174015925E-1)); +#15979=CARTESIAN_POINT('',(6.238860147535E-2,5.270016003619E-1, +-3.086639025347E-1)); +#15980=CARTESIAN_POINT('',(6.875121030168E-2,5.344601345657E-1, +-3.048104034769E-1)); +#15981=CARTESIAN_POINT('',(7.511381912801E-2,5.419186687695E-1, +-3.009569044192E-1)); +#15982=CARTESIAN_POINT('',(5.602549622755E-2,5.195433098973E-1, +-3.125177036410E-1)); +#15983=CARTESIAN_POINT('',(6.238827377229E-2,5.270017612616E-1, +-3.086643149891E-1)); +#15984=CARTESIAN_POINT('',(6.875105131702E-2,5.344602126260E-1, +-3.048109263372E-1)); +#15985=CARTESIAN_POINT('',(7.511382886176E-2,5.419186639903E-1, +-3.009575376853E-1)); +#15986=CARTESIAN_POINT('',(5.600017865352E-2,5.195557404359E-1, +-3.125331080978E-1)); +#15987=CARTESIAN_POINT('',(6.237156086394E-2,5.270099670420E-1, +-3.086853501513E-1)); +#15988=CARTESIAN_POINT('',(6.874294307436E-2,5.344641936481E-1, +-3.048375922048E-1)); +#15989=CARTESIAN_POINT('',(7.511432528478E-2,5.419184202543E-1, +-3.009898342583E-1)); +#15990=CARTESIAN_POINT('',(5.597436054801E-2,5.195684063426E-1, +-3.125488136821E-1)); +#15991=CARTESIAN_POINT('',(6.235451753939E-2,5.270183281961E-1, +-3.087067971599E-1)); +#15992=CARTESIAN_POINT('',(6.873467453077E-2,5.344682500496E-1, +-3.048647806377E-1)); +#15993=CARTESIAN_POINT('',(7.511483152214E-2,5.419181719032E-1, +-3.010227641155E-1)); +#15994=CARTESIAN_POINT('',(5.594903492031E-2,5.195808204646E-1, +-3.125642163296E-1)); +#15995=CARTESIAN_POINT('',(6.233779931456E-2,5.270265231394E-1, +-3.087278311277E-1)); +#15996=CARTESIAN_POINT('',(6.872656370882E-2,5.344722258142E-1, +-3.048914459258E-1)); +#15997=CARTESIAN_POINT('',(7.511532810308E-2,5.419179284890E-1, +-3.010550607240E-1)); +#15998=CARTESIAN_POINT('',(5.594853833782E-2,5.195810638756E-1, +-3.125645183419E-1)); +#15999=CARTESIAN_POINT('',(6.233747150521E-2,5.270266838225E-1, +-3.087282435582E-1)); +#16000=CARTESIAN_POINT('',(6.872640467260E-2,5.344723037694E-1, +-3.048919687745E-1)); +#16001=CARTESIAN_POINT('',(7.511533783999E-2,5.419179237162E-1, +-3.010556939908E-1)); +#16002=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#15978,#15979,#15980,#15981), +(#15982,#15983,#15984,#15985),(#15986,#15987,#15988,#15989),(#15990,#15991, +#15992,#15993),(#15994,#15995,#15996,#15997),(#15998,#15999,#16000,#16001)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-2.E-2,0.E0,1.E0,1.02E0),(-2.E-2, +1.02E0),.UNSPECIFIED.); +#16003=ORIENTED_EDGE('',*,*,#15028,.F.); +#16005=ORIENTED_EDGE('',*,*,#16004,.F.); +#16006=ORIENTED_EDGE('',*,*,#14980,.F.); +#16007=ORIENTED_EDGE('',*,*,#15013,.T.); +#16008=EDGE_LOOP('',(#16003,#16005,#16006,#16007)); +#16009=FACE_OUTER_BOUND('',#16008,.F.); +#16010=ADVANCED_FACE('',(#16009),#16002,.F.); +#16011=CARTESIAN_POINT('',(8.449748738845E-2,5.004687664373E-1, +-2.922865781515E-1)); +#16012=CARTESIAN_POINT('',(9.210629985325E-2,5.064529905787E-1, +-2.953095537002E-1)); +#16013=CARTESIAN_POINT('',(9.971511231805E-2,5.124372147201E-1, +-2.983325292490E-1)); +#16014=CARTESIAN_POINT('',(1.073239247829E-1,5.184214388614E-1, +-3.013555047977E-1)); +#16015=CARTESIAN_POINT('',(8.441256303114E-2,5.005440327237E-1, +-2.923532944159E-1)); +#16016=CARTESIAN_POINT('',(9.201774710500E-2,5.065334217051E-1, +-2.953535951558E-1)); +#16017=CARTESIAN_POINT('',(9.962293117886E-2,5.125228106865E-1, +-2.983538958957E-1)); +#16018=CARTESIAN_POINT('',(1.072281152527E-1,5.185121996679E-1, +-3.013541966357E-1)); +#16019=CARTESIAN_POINT('',(7.582691463189E-2,5.081326900105E-1, +-2.990910072605E-1)); +#16020=CARTESIAN_POINT('',(8.306522208484E-2,5.146433402515E-1, +-2.998013663801E-1)); +#16021=CARTESIAN_POINT('',(9.030352953780E-2,5.211539904926E-1, +-3.005117254996E-1)); +#16022=CARTESIAN_POINT('',(9.754183699075E-2,5.276646407336E-1, +-3.012220846191E-1)); +#16023=CARTESIAN_POINT('',(6.611832032718E-2,5.146180496210E-1, +-3.059828484231E-1)); +#16024=CARTESIAN_POINT('',(7.293603680405E-2,5.216274407926E-1, +-3.043508824416E-1)); +#16025=CARTESIAN_POINT('',(7.975375328092E-2,5.286368319642E-1, +-3.027189164601E-1)); +#16026=CARTESIAN_POINT('',(8.657146975779E-2,5.356462231358E-1, +-3.010869504787E-1)); +#16027=CARTESIAN_POINT('',(5.582010260039E-2,5.196437182180E-1, +-3.126507117361E-1)); +#16028=CARTESIAN_POINT('',(6.217401351750E-2,5.271111007323E-1, +-3.087525438443E-1)); +#16029=CARTESIAN_POINT('',(6.852792443461E-2,5.345784832467E-1, +-3.048543759526E-1)); +#16030=CARTESIAN_POINT('',(7.488183535172E-2,5.420458657610E-1, +-3.009562080608E-1)); +#16031=CARTESIAN_POINT('',(5.571780131251E-2,5.196934720344E-1, +-3.127168903758E-1)); +#16032=CARTESIAN_POINT('',(6.206710320079E-2,5.271653976522E-1, +-3.087962303973E-1)); +#16033=CARTESIAN_POINT('',(6.841640508906E-2,5.346373232701E-1, +-3.048755704188E-1)); +#16034=CARTESIAN_POINT('',(7.476570697734E-2,5.421092488880E-1, +-3.009549104404E-1)); +#16035=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16011,#16012,#16013,#16014), +(#16015,#16016,#16017,#16018),(#16019,#16020,#16021,#16022),(#16023,#16024, +#16025,#16026),(#16027,#16028,#16029,#16030),(#16031,#16032,#16033,#16034)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.000226286054E-2,0.E0,1.E0, +1.010026902698E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#16036=ORIENTED_EDGE('',*,*,#14337,.F.); +#16037=ORIENTED_EDGE('',*,*,#14938,.F.); +#16038=ORIENTED_EDGE('',*,*,#14982,.F.); +#16039=ORIENTED_EDGE('',*,*,#16004,.T.); +#16040=ORIENTED_EDGE('',*,*,#14339,.T.); +#16041=EDGE_LOOP('',(#16036,#16037,#16038,#16039,#16040)); +#16042=FACE_OUTER_BOUND('',#16041,.F.); +#16043=ADVANCED_FACE('',(#16042),#16035,.F.); +#16044=CARTESIAN_POINT('',(1.125832817649E-1,4.647585919335E-1, +-3.125174015925E-1)); +#16045=CARTESIAN_POINT('',(1.221147568427E-1,4.670528252233E-1, +-3.086639025347E-1)); +#16046=CARTESIAN_POINT('',(1.316462319204E-1,4.693470585131E-1, +-3.048104034769E-1)); +#16047=CARTESIAN_POINT('',(1.411777069982E-1,4.716412918028E-1, +-3.009569044192E-1)); +#16048=CARTESIAN_POINT('',(1.125830234178E-1,4.647590809119E-1, +-3.125177036410E-1)); +#16049=CARTESIAN_POINT('',(1.221145862998E-1,4.670531480129E-1, +-3.086643149891E-1)); +#16050=CARTESIAN_POINT('',(1.316461491818E-1,4.693472151140E-1, +-3.048109263372E-1)); +#16051=CARTESIAN_POINT('',(1.411777120638E-1,4.716412822150E-1, +-3.009575376853E-1)); +#16052=CARTESIAN_POINT('',(1.125698475574E-1,4.647840187255E-1, +-3.125331080978E-1)); +#16053=CARTESIAN_POINT('',(1.221058885096E-1,4.670696102297E-1, +-3.086853501513E-1)); +#16054=CARTESIAN_POINT('',(1.316419294618E-1,4.693552017340E-1, +-3.048375922048E-1)); +#16055=CARTESIAN_POINT('',(1.411779704141E-1,4.716407932383E-1, +-3.009898342583E-1)); +#16056=CARTESIAN_POINT('',(1.125564051044E-1,4.648094411609E-1, +-3.125488136821E-1)); +#16057=CARTESIAN_POINT('',(1.220970147335E-1,4.670863923603E-1, +-3.087067971599E-1)); +#16058=CARTESIAN_POINT('',(1.316376243625E-1,4.693633435597E-1, +-3.048647806377E-1)); +#16059=CARTESIAN_POINT('',(1.411782339916E-1,4.716402947592E-1, +-3.010227641155E-1)); +#16060=CARTESIAN_POINT('',(1.125432130791E-1,4.648343704271E-1, +-3.125642163296E-1)); +#16061=CARTESIAN_POINT('',(1.220883062723E-1,4.671028489347E-1, +-3.087278311277E-1)); +#16062=CARTESIAN_POINT('',(1.316333994655E-1,4.693713274424E-1, +-3.048914459258E-1)); +#16063=CARTESIAN_POINT('',(1.411784926587E-1,4.716398059500E-1, +-3.010550607240E-1)); +#16064=CARTESIAN_POINT('',(1.125429544088E-1,4.648348592346E-1, +-3.125645183419E-1)); +#16065=CARTESIAN_POINT('',(1.220881355161E-1,4.671031716116E-1, +-3.087282435582E-1)); +#16066=CARTESIAN_POINT('',(1.316333166234E-1,4.693714839886E-1, +-3.048919687745E-1)); +#16067=CARTESIAN_POINT('',(1.411784977307E-1,4.716397963656E-1, +-3.010556939908E-1)); +#16068=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16044,#16045,#16046,#16047), +(#16048,#16049,#16050,#16051),(#16052,#16053,#16054,#16055),(#16056,#16057, +#16058,#16059),(#16060,#16061,#16062,#16063),(#16064,#16065,#16066,#16067)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-2.E-2,0.E0,1.E0,1.02E0),(-2.E-2, +1.02E0),.UNSPECIFIED.); +#16069=ORIENTED_EDGE('',*,*,#14902,.F.); +#16071=ORIENTED_EDGE('',*,*,#16070,.F.); +#16072=ORIENTED_EDGE('',*,*,#14854,.F.); +#16073=ORIENTED_EDGE('',*,*,#14887,.T.); +#16074=EDGE_LOOP('',(#16069,#16071,#16072,#16073)); +#16075=FACE_OUTER_BOUND('',#16074,.F.); +#16076=ADVANCED_FACE('',(#16075),#16068,.F.); +#16077=CARTESIAN_POINT('',(1.244056127907E-1,4.325920345850E-1, +-2.922865781515E-1)); +#16078=CARTESIAN_POINT('',(1.340787100784E-1,4.329610258593E-1, +-2.953095537002E-1)); +#16079=CARTESIAN_POINT('',(1.437518073661E-1,4.333300171335E-1, +-2.983325292490E-1)); +#16080=CARTESIAN_POINT('',(1.534249046539E-1,4.336990084078E-1, +-3.013555047977E-1)); +#16081=CARTESIAN_POINT('',(1.243811479555E-1,4.327028435745E-1, +-2.923532944159E-1)); +#16082=CARTESIAN_POINT('',(1.340543456301E-1,4.330781460069E-1, +-2.953535951558E-1)); +#16083=CARTESIAN_POINT('',(1.437275433047E-1,4.334534484392E-1, +-2.983538958957E-1)); +#16084=CARTESIAN_POINT('',(1.534007409793E-1,4.338287508715E-1, +-3.013541966357E-1)); +#16085=CARTESIAN_POINT('',(1.218957133306E-1,4.438887137945E-1, +-2.990910072605E-1)); +#16086=CARTESIAN_POINT('',(1.315784912651E-1,4.449013701115E-1, +-2.998013663801E-1)); +#16087=CARTESIAN_POINT('',(1.412612691996E-1,4.459140264286E-1, +-3.005117254996E-1)); +#16088=CARTESIAN_POINT('',(1.509440471340E-1,4.469266827456E-1, +-3.012220846191E-1)); +#16089=CARTESIAN_POINT('',(1.178532942815E-1,4.548420484869E-1, +-3.059828484231E-1)); +#16090=CARTESIAN_POINT('',(1.274889595323E-1,4.565054118655E-1, +-3.043508824416E-1)); +#16091=CARTESIAN_POINT('',(1.371246247832E-1,4.581687752441E-1, +-3.027189164601E-1)); +#16092=CARTESIAN_POINT('',(1.467602900340E-1,4.598321386227E-1, +-3.010869504787E-1)); +#16093=CARTESIAN_POINT('',(1.124758750130E-1,4.649610402947E-1, +-3.126507117361E-1)); +#16094=CARTESIAN_POINT('',(1.220055142408E-1,4.672675445208E-1, +-3.087525438443E-1)); +#16095=CARTESIAN_POINT('',(1.315351534687E-1,4.695740487469E-1, +-3.048543759526E-1)); +#16096=CARTESIAN_POINT('',(1.410647926965E-1,4.718805529731E-1, +-3.009562080608E-1)); +#16097=CARTESIAN_POINT('',(1.124223560921E-1,4.650614231659E-1, +-3.127168903758E-1)); +#16098=CARTESIAN_POINT('',(1.219509369065E-1,4.673743119592E-1, +-3.087962303973E-1)); +#16099=CARTESIAN_POINT('',(1.314795177209E-1,4.696872007525E-1, +-3.048755704188E-1)); +#16100=CARTESIAN_POINT('',(1.410080985354E-1,4.720000895457E-1, +-3.009549104404E-1)); +#16101=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16077,#16078,#16079,#16080), +(#16081,#16082,#16083,#16084),(#16085,#16086,#16087,#16088),(#16089,#16090, +#16091,#16092),(#16093,#16094,#16095,#16096),(#16097,#16098,#16099,#16100)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.000226286054E-2,0.E0,1.E0, +1.010026902698E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#16102=ORIENTED_EDGE('',*,*,#14331,.F.); +#16103=ORIENTED_EDGE('',*,*,#14812,.F.); +#16104=ORIENTED_EDGE('',*,*,#14856,.F.); +#16105=ORIENTED_EDGE('',*,*,#16070,.T.); +#16106=ORIENTED_EDGE('',*,*,#14333,.T.); +#16107=EDGE_LOOP('',(#16102,#16103,#16104,#16105,#16106)); +#16108=FACE_OUTER_BOUND('',#16107,.F.); +#16109=ADVANCED_FACE('',(#16108),#16101,.F.); +#16110=CARTESIAN_POINT('',(1.261375838115E-1,3.871934808059E-1, +-3.125174015925E-1)); +#16111=CARTESIAN_POINT('',(1.351972256240E-1,3.834470940431E-1, +-3.086639025347E-1)); +#16112=CARTESIAN_POINT('',(1.442568674364E-1,3.797007072803E-1, +-3.048104034769E-1)); +#16113=CARTESIAN_POINT('',(1.533165092489E-1,3.759543205175E-1, +-3.009569044192E-1)); +#16114=CARTESIAN_POINT('',(1.261376622186E-1,3.871940282504E-1, +-3.125177036410E-1)); +#16115=CARTESIAN_POINT('',(1.351972773829E-1,3.834474554280E-1, +-3.086643149891E-1)); +#16116=CARTESIAN_POINT('',(1.442568925472E-1,3.797008826056E-1, +-3.048109263372E-1)); +#16117=CARTESIAN_POINT('',(1.533165077115E-1,3.759543097833E-1, +-3.009575376853E-1)); +#16118=CARTESIAN_POINT('',(1.261416608027E-1,3.872219479418E-1, +-3.125331080978E-1)); +#16119=CARTESIAN_POINT('',(1.351999169711E-1,3.834658860740E-1, +-3.086853501513E-1)); +#16120=CARTESIAN_POINT('',(1.442581731395E-1,3.797098242062E-1, +-3.048375922048E-1)); +#16121=CARTESIAN_POINT('',(1.533164293079E-1,3.759537623384E-1, +-3.009898342583E-1)); +#16122=CARTESIAN_POINT('',(1.261457285625E-1,3.872504163997E-1, +-3.125488136821E-1)); +#16123=CARTESIAN_POINT('',(1.352026022243E-1,3.834846789776E-1, +-3.087067971599E-1)); +#16124=CARTESIAN_POINT('',(1.442594758861E-1,3.797189415554E-1, +-3.048647806377E-1)); +#16125=CARTESIAN_POINT('',(1.533163495479E-1,3.759532041333E-1, +-3.010227641155E-1)); +#16126=CARTESIAN_POINT('',(1.261497090448E-1,3.872783386776E-1, +-3.125642163296E-1)); +#16127=CARTESIAN_POINT('',(1.352052298629E-1,3.835031113310E-1, +-3.087278311277E-1)); +#16128=CARTESIAN_POINT('',(1.442607506811E-1,3.797278839843E-1, +-3.048914459258E-1)); +#16129=CARTESIAN_POINT('',(1.533162714992E-1,3.759526566377E-1, +-3.010550607240E-1)); +#16130=CARTESIAN_POINT('',(1.261497870900E-1,3.872788861738E-1, +-3.125645183419E-1)); +#16131=CARTESIAN_POINT('',(1.352052813829E-1,3.835034727500E-1, +-3.087282435582E-1)); +#16132=CARTESIAN_POINT('',(1.442607756759E-1,3.797280593262E-1, +-3.048919687745E-1)); +#16133=CARTESIAN_POINT('',(1.533162699689E-1,3.759526459024E-1, +-3.010556939908E-1)); +#16134=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16110,#16111,#16112,#16113), +(#16114,#16115,#16116,#16117),(#16118,#16119,#16120,#16121),(#16122,#16123, +#16124,#16125),(#16126,#16127,#16128,#16129),(#16130,#16131,#16132,#16133)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-2.000000000001E-2,0.E0,1.E0,1.02E0), +(-2.E-2,1.02E0),.UNSPECIFIED.); +#16135=ORIENTED_EDGE('',*,*,#14776,.F.); +#16137=ORIENTED_EDGE('',*,*,#16136,.F.); +#16138=ORIENTED_EDGE('',*,*,#14728,.F.); +#16139=ORIENTED_EDGE('',*,*,#14761,.T.); +#16140=EDGE_LOOP('',(#16135,#16137,#16138,#16139)); +#16141=FACE_OUTER_BOUND('',#16140,.F.); +#16142=ADVANCED_FACE('',(#16141),#16134,.F.); +#16143=CARTESIAN_POINT('',(1.167950224981E-1,3.542211974358E-1, +-2.922865781515E-1)); +#16144=CARTESIAN_POINT('',(1.248376102213E-1,3.488340137177E-1, +-2.953095537002E-1)); +#16145=CARTESIAN_POINT('',(1.328801979446E-1,3.434468299996E-1, +-2.983325292490E-1)); +#16146=CARTESIAN_POINT('',(1.409227856679E-1,3.380596462816E-1, +-3.013555047977E-1)); +#16147=CARTESIAN_POINT('',(1.168403619205E-1,3.543252238608E-1, +-2.923532944159E-1)); +#16148=CARTESIAN_POINT('',(1.248867404641E-1,3.489430869709E-1, +-2.953535951558E-1)); +#16149=CARTESIAN_POINT('',(1.329331190077E-1,3.435609500810E-1, +-2.983538958957E-1)); +#16150=CARTESIAN_POINT('',(1.409794975513E-1,3.381788131911E-1, +-3.013541966357E-1)); +#16151=CARTESIAN_POINT('',(1.214044926200E-1,3.648356847836E-1, +-2.990910072605E-1)); +#16152=CARTESIAN_POINT('',(1.298332489705E-1,3.599635468825E-1, +-2.998013663801E-1)); +#16153=CARTESIAN_POINT('',(1.382620053210E-1,3.550914089813E-1, +-3.005117254996E-1)); +#16154=CARTESIAN_POINT('',(1.466907616716E-1,3.502192710801E-1, +-3.012220846191E-1)); +#16155=CARTESIAN_POINT('',(1.245723155065E-1,3.760731929955E-1, +-3.059828484231E-1)); +#16156=CARTESIAN_POINT('',(1.333454329096E-1,3.717551803062E-1, +-3.043508824416E-1)); +#16157=CARTESIAN_POINT('',(1.421185503128E-1,3.674371676168E-1, +-3.027189164601E-1)); +#16158=CARTESIAN_POINT('',(1.508916677160E-1,3.631191549275E-1, +-3.010869504787E-1)); +#16159=CARTESIAN_POINT('',(1.261696860850E-1,3.874203970754E-1, +-3.126507117361E-1)); +#16160=CARTESIAN_POINT('',(1.352350553391E-1,3.836850167941E-1, +-3.087525438443E-1)); +#16161=CARTESIAN_POINT('',(1.443004245931E-1,3.799496365129E-1, +-3.048543759526E-1)); +#16162=CARTESIAN_POINT('',(1.533657938472E-1,3.762142562316E-1, +-3.009562080608E-1)); +#16163=CARTESIAN_POINT('',(1.261853919398E-1,3.875330661566E-1, +-3.127168903758E-1)); +#16164=CARTESIAN_POINT('',(1.352536576738E-1,3.838034732185E-1, +-3.087962303973E-1)); +#16165=CARTESIAN_POINT('',(1.443219234079E-1,3.800738802803E-1, +-3.048755704188E-1)); +#16166=CARTESIAN_POINT('',(1.533901891419E-1,3.763442873422E-1, +-3.009549104404E-1)); +#16167=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16143,#16144,#16145,#16146), +(#16147,#16148,#16149,#16150),(#16151,#16152,#16153,#16154),(#16155,#16156, +#16157,#16158),(#16159,#16160,#16161,#16162),(#16163,#16164,#16165,#16166)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.000226286054E-2,0.E0,1.E0, +1.010026902698E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#16168=ORIENTED_EDGE('',*,*,#14325,.F.); +#16169=ORIENTED_EDGE('',*,*,#14686,.F.); +#16170=ORIENTED_EDGE('',*,*,#14730,.F.); +#16171=ORIENTED_EDGE('',*,*,#16136,.T.); +#16172=ORIENTED_EDGE('',*,*,#14327,.T.); +#16173=EDGE_LOOP('',(#16168,#16169,#16170,#16171,#16172)); +#16174=FACE_OUTER_BOUND('',#16173,.F.); +#16175=ADVANCED_FACE('',(#16174),#16167,.F.); +#16176=CARTESIAN_POINT('',(9.151161610100E-2,3.164749688850E-1, +-3.125174015925E-1)); +#16177=CARTESIAN_POINT('',(9.663894940165E-2,3.081189544780E-1, +-3.086639025347E-1)); +#16178=CARTESIAN_POINT('',(1.017662827023E-1,2.997629400710E-1, +-3.048104034769E-1)); +#16179=CARTESIAN_POINT('',(1.068936160030E-1,2.914069256639E-1, +-3.009569044192E-1)); +#16180=CARTESIAN_POINT('',(9.151200131343E-2,3.164753656903E-1, +-3.125177036410E-1)); +#16181=CARTESIAN_POINT('',(9.663920369221E-2,3.081192164214E-1, +-3.086643149891E-1)); +#16182=CARTESIAN_POINT('',(1.017664060710E-1,2.997630671524E-1, +-3.048109263372E-1)); +#16183=CARTESIAN_POINT('',(1.068936084498E-1,2.914069178834E-1, +-3.009575376853E-1)); +#16184=CARTESIAN_POINT('',(9.153164701879E-2,3.164956028864E-1, +-3.125331080978E-1)); +#16185=CARTESIAN_POINT('',(9.665217242581E-2,3.081325756162E-1, +-3.086853501513E-1)); +#16186=CARTESIAN_POINT('',(1.017726978328E-1,2.997695483459E-1, +-3.048375922048E-1)); +#16187=CARTESIAN_POINT('',(1.068932232399E-1,2.914065210757E-1, +-3.009898342583E-1)); +#16188=CARTESIAN_POINT('',(9.155167124527E-2,3.165162433835E-1, +-3.125488136821E-1)); +#16189=CARTESIAN_POINT('',(9.666539103284E-2,3.081462010423E-1, +-3.087067971599E-1)); +#16190=CARTESIAN_POINT('',(1.017791108204E-1,2.997761587012E-1, +-3.048647806377E-1)); +#16191=CARTESIAN_POINT('',(1.068928306080E-1,2.914061163600E-1, +-3.010227641155E-1)); +#16192=CARTESIAN_POINT('',(9.157130382627E-2,3.165364933120E-1, +-3.125642163296E-1)); +#16193=CARTESIAN_POINT('',(9.667835110265E-2,3.081595686422E-1, +-3.087278311277E-1)); +#16194=CARTESIAN_POINT('',(1.017853983790E-1,2.997826439724E-1, +-3.048914459258E-1)); +#16195=CARTESIAN_POINT('',(1.068924456554E-1,2.914057193026E-1, +-3.010550607240E-1)); +#16196=CARTESIAN_POINT('',(9.157168877631E-2,3.165368903719E-1, +-3.125645183419E-1)); +#16197=CARTESIAN_POINT('',(9.667860522E-2,3.081598307537E-1, +-3.087282435582E-1)); +#16198=CARTESIAN_POINT('',(1.017855216637E-1,2.997827711354E-1, +-3.048919687745E-1)); +#16199=CARTESIAN_POINT('',(1.068924381074E-1,2.914057115171E-1, +-3.010556939908E-1)); +#16200=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16176,#16177,#16178,#16179), +(#16180,#16181,#16182,#16183),(#16184,#16185,#16186,#16187),(#16188,#16189, +#16190,#16191),(#16192,#16193,#16194,#16195),(#16196,#16197,#16198,#16199)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-2.000000000001E-2,0.E0,1.E0,1.02E0), +(-2.E-2,1.02E0),.UNSPECIFIED.); +#16201=ORIENTED_EDGE('',*,*,#14650,.F.); +#16203=ORIENTED_EDGE('',*,*,#16202,.F.); +#16204=ORIENTED_EDGE('',*,*,#14602,.F.); +#16205=ORIENTED_EDGE('',*,*,#14635,.T.); +#16206=EDGE_LOOP('',(#16201,#16203,#16204,#16205)); +#16207=FACE_OUTER_BOUND('',#16206,.F.); +#16208=ADVANCED_FACE('',(#16207),#16200,.F.); +#16209=CARTESIAN_POINT('',(6.457270332807E-2,2.952912510539E-1, +-2.922865781515E-1)); +#16210=CARTESIAN_POINT('',(6.791278633405E-2,2.862056134202E-1, +-2.953095537002E-1)); +#16211=CARTESIAN_POINT('',(7.125286934003E-2,2.771199757864E-1, +-2.983325292490E-1)); +#16212=CARTESIAN_POINT('',(7.459295234601E-2,2.680343381527E-1, +-3.013555047977E-1)); +#16213=CARTESIAN_POINT('',(6.467052888978E-2,2.953487603558E-1, +-2.923532944159E-1)); +#16214=CARTESIAN_POINT('',(6.801664518502E-2,2.862649775035E-1, +-2.953535951558E-1)); +#16215=CARTESIAN_POINT('',(7.136276148026E-2,2.771811946512E-1, +-2.983538958957E-1)); +#16216=CARTESIAN_POINT('',(7.470887777550E-2,2.680974117990E-1, +-3.013541966357E-1)); +#16217=CARTESIAN_POINT('',(7.454088211543E-2,3.011691731464E-1, +-2.990910072605E-1)); +#16218=CARTESIAN_POINT('',(7.849611843902E-2,2.922732321074E-1, +-2.998013663801E-1)); +#16219=CARTESIAN_POINT('',(8.245135476261E-2,2.833772910684E-1, +-3.005117254996E-1)); +#16220=CARTESIAN_POINT('',(8.640659108621E-2,2.744813500294E-1, +-3.012220846191E-1)); +#16221=CARTESIAN_POINT('',(8.370894626522E-2,3.083985086896E-1, +-3.059828484231E-1)); +#16222=CARTESIAN_POINT('',(8.826848316003E-2,2.997484540158E-1, +-3.043508824416E-1)); +#16223=CARTESIAN_POINT('',(9.282802005485E-2,2.910983993420E-1, +-3.027189164601E-1)); +#16224=CARTESIAN_POINT('',(9.738755694966E-2,2.824483446682E-1, +-3.010869504787E-1)); +#16225=CARTESIAN_POINT('',(9.167096542248E-2,3.166396787604E-1, +-3.126507117361E-1)); +#16226=CARTESIAN_POINT('',(9.680940176827E-2,3.082892022783E-1, +-3.087525438443E-1)); +#16227=CARTESIAN_POINT('',(1.019478381141E-1,2.999387257962E-1, +-3.048543759526E-1)); +#16228=CARTESIAN_POINT('',(1.070862744599E-1,2.915882493140E-1, +-3.009562080608E-1)); +#16229=CARTESIAN_POINT('',(9.174989695025E-2,3.167215982920E-1, +-3.127168903758E-1)); +#16230=CARTESIAN_POINT('',(9.689407831249E-2,3.083741013606E-1, +-3.087962303973E-1)); +#16231=CARTESIAN_POINT('',(1.020382596747E-1,3.000266044292E-1, +-3.048755704188E-1)); +#16232=CARTESIAN_POINT('',(1.071824410370E-1,2.916791074978E-1, +-3.009549104404E-1)); +#16233=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16209,#16210,#16211,#16212), +(#16213,#16214,#16215,#16216),(#16217,#16218,#16219,#16220),(#16221,#16222, +#16223,#16224),(#16225,#16226,#16227,#16228),(#16229,#16230,#16231,#16232)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.000226286054E-2,0.E0,1.E0, +1.010026902698E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#16234=ORIENTED_EDGE('',*,*,#14319,.F.); +#16235=ORIENTED_EDGE('',*,*,#14560,.F.); +#16236=ORIENTED_EDGE('',*,*,#14604,.F.); +#16237=ORIENTED_EDGE('',*,*,#16202,.T.); +#16238=ORIENTED_EDGE('',*,*,#14321,.T.); +#16239=EDGE_LOOP('',(#16234,#16235,#16236,#16237,#16238)); +#16240=FACE_OUTER_BOUND('',#16239,.F.); +#16241=ADVANCED_FACE('',(#16240),#16233,.F.); +#16242=CARTESIAN_POINT('',(2.193132140530E-2,2.796151240907E-1, +-3.125174015925E-1)); +#16243=CARTESIAN_POINT('',(2.116787914497E-2,2.698411955325E-1, +-3.086639025347E-1)); +#16244=CARTESIAN_POINT('',(2.040443688463E-2,2.600672669742E-1, +-3.048104034769E-1)); +#16245=CARTESIAN_POINT('',(1.964099462429E-2,2.502933384160E-1, +-3.009569044192E-1)); +#16246=CARTESIAN_POINT('',(2.193186628502E-2,2.796152186907E-1, +-3.125177036410E-1)); +#16247=CARTESIAN_POINT('',(2.116823883680E-2,2.698412579809E-1, +-3.086643149891E-1)); +#16248=CARTESIAN_POINT('',(2.040461138859E-2,2.600672972710E-1, +-3.048109263372E-1)); +#16249=CARTESIAN_POINT('',(1.964098394037E-2,2.502933365611E-1, +-3.009575376853E-1)); +#16250=CARTESIAN_POINT('',(2.195965511993E-2,2.796200434704E-1, +-3.125331080978E-1)); +#16251=CARTESIAN_POINT('',(2.118658310037E-2,2.698444429661E-1, +-3.086853501513E-1)); +#16252=CARTESIAN_POINT('',(2.041351108081E-2,2.600688424618E-1, +-3.048375922048E-1)); +#16253=CARTESIAN_POINT('',(1.964043906126E-2,2.502932419576E-1, +-3.009898342583E-1)); +#16254=CARTESIAN_POINT('',(2.198798723922E-2,2.796249720383E-1, +-3.125488136821E-1)); +#16255=CARTESIAN_POINT('',(2.120528600265E-2,2.698476964652E-1, +-3.087067971599E-1)); +#16256=CARTESIAN_POINT('',(2.042258476608E-2,2.600704208921E-1, +-3.048647806377E-1)); +#16257=CARTESIAN_POINT('',(1.963988352951E-2,2.502931453190E-1, +-3.010227641155E-1)); +#16258=CARTESIAN_POINT('',(2.201577294027E-2,2.796298148331E-1, +-3.125642163296E-1)); +#16259=CARTESIAN_POINT('',(2.122362819746E-2,2.698508933428E-1, +-3.087278311277E-1)); +#16260=CARTESIAN_POINT('',(2.043148345465E-2,2.600719718525E-1, +-3.048914459258E-1)); +#16261=CARTESIAN_POINT('',(1.963933871184E-2,2.502930503622E-1, +-3.010550607240E-1)); +#16262=CARTESIAN_POINT('',(2.201631775734E-2,2.796299097933E-1, +-3.125645183419E-1)); +#16263=CARTESIAN_POINT('',(2.122398784794E-2,2.698509560289E-1, +-3.087282435582E-1)); +#16264=CARTESIAN_POINT('',(2.043165793855E-2,2.600720022646E-1, +-3.048919687745E-1)); +#16265=CARTESIAN_POINT('',(1.963932802915E-2,2.502930485002E-1, +-3.010556939908E-1)); +#16266=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16242,#16243,#16244,#16245), +(#16246,#16247,#16248,#16249),(#16250,#16251,#16252,#16253),(#16254,#16255, +#16256,#16257),(#16258,#16259,#16260,#16261),(#16262,#16263,#16264,#16265)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-2.000000000001E-2,0.E0,1.E0,1.02E0), +(-2.E-2,1.02E0),.UNSPECIFIED.); +#16267=ORIENTED_EDGE('',*,*,#14523,.F.); +#16269=ORIENTED_EDGE('',*,*,#16268,.F.); +#16271=ORIENTED_EDGE('',*,*,#16270,.T.); +#16273=ORIENTED_EDGE('',*,*,#16272,.T.); +#16274=EDGE_LOOP('',(#16267,#16269,#16271,#16273)); +#16275=FACE_OUTER_BOUND('',#16274,.F.); +#16276=ADVANCED_FACE('',(#16275),#16266,.F.); +#16277=CARTESIAN_POINT('',(-1.231419376782E-2,2.783114320020E-1, +-2.922865781515E-1)); +#16278=CARTESIAN_POINT('',(-1.495241366215E-2,2.689977452192E-1, +-2.953095537002E-1)); +#16279=CARTESIAN_POINT('',(-1.759063355648E-2,2.596840584365E-1, +-2.983325292490E-1)); +#16280=CARTESIAN_POINT('',(-2.022885345081E-2,2.503703716537E-1, +-3.013555047977E-1)); +#16281=CARTESIAN_POINT('',(-1.220124810642E-2,2.783004575821E-1, +-2.923532944159E-1)); +#16282=CARTESIAN_POINT('',(-1.483349675400E-2,2.689847250706E-1, +-2.953535951558E-1)); +#16283=CARTESIAN_POINT('',(-1.746574540158E-2,2.596689925591E-1, +-2.983538958957E-1)); +#16284=CARTESIAN_POINT('',(-2.009799404915E-2,2.503532600476E-1, +-3.013541966357E-1)); +#16285=CARTESIAN_POINT('',(-7.948118058114E-4,2.772076223830E-1, +-2.990910072605E-1)); +#16286=CARTESIAN_POINT('',(-2.823861351240E-3,2.676858253212E-1, +-2.998013663801E-1)); +#16287=CARTESIAN_POINT('',(-4.852910896669E-3,2.581640282593E-1, +-3.005117254996E-1)); +#16288=CARTESIAN_POINT('',(-6.881960442098E-3,2.486422311975E-1, +-3.012220846191E-1)); +#16289=CARTESIAN_POINT('',(1.087160471309E-2,2.776674247962E-1, +-3.059828484231E-1)); +#16290=CARTESIAN_POINT('',(9.475972978682E-3,2.679893550188E-1, +-3.043508824416E-1)); +#16291=CARTESIAN_POINT('',(8.080341244271E-3,2.583112852413E-1, +-3.027189164601E-1)); +#16292=CARTESIAN_POINT('',(6.684709509860E-3,2.486332154639E-1, +-3.010869504787E-1)); +#16293=CARTESIAN_POINT('',(2.215705175006E-2,2.796547139979E-1, +-3.126507117361E-1)); +#16294=CARTESIAN_POINT('',(2.140584715252E-2,2.698787395088E-1, +-3.087525438443E-1)); +#16295=CARTESIAN_POINT('',(2.065464255499E-2,2.601027650198E-1, +-3.048543759526E-1)); +#16296=CARTESIAN_POINT('',(1.990343795745E-2,2.503267905307E-1, +-3.009562080608E-1)); +#16297=CARTESIAN_POINT('',(2.226905979001E-2,2.796745935032E-1, +-3.127168903758E-1)); +#16298=CARTESIAN_POINT('',(2.152425434438E-2,2.698976526854E-1, +-3.087962303973E-1)); +#16299=CARTESIAN_POINT('',(2.077944889875E-2,2.601207118675E-1, +-3.048755704188E-1)); +#16300=CARTESIAN_POINT('',(2.003464345312E-2,2.503437710497E-1, +-3.009549104404E-1)); +#16301=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16277,#16278,#16279,#16280), +(#16281,#16282,#16283,#16284),(#16285,#16286,#16287,#16288),(#16289,#16290, +#16291,#16292),(#16293,#16294,#16295,#16296),(#16297,#16298,#16299,#16300)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.000226286054E-2,0.E0,1.E0, +1.010026902698E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#16302=ORIENTED_EDGE('',*,*,#14313,.F.); +#16304=ORIENTED_EDGE('',*,*,#16303,.F.); +#16306=ORIENTED_EDGE('',*,*,#16305,.T.); +#16307=ORIENTED_EDGE('',*,*,#16268,.T.); +#16308=ORIENTED_EDGE('',*,*,#14315,.T.); +#16309=EDGE_LOOP('',(#16302,#16304,#16306,#16307,#16308)); +#16310=FACE_OUTER_BOUND('',#16309,.F.); +#16311=ADVANCED_FACE('',(#16310),#16301,.F.); +#16312=CARTESIAN_POINT('',(-2.215951937753E-2,2.796549516993E-1, +-3.097269014274E-1)); +#16313=CARTESIAN_POINT('',(-2.131851963644E-2,2.698674869603E-1, +-3.136098725700E-1)); +#16314=CARTESIAN_POINT('',(-2.047751989534E-2,2.600800222214E-1, +-3.174928437127E-1)); +#16315=CARTESIAN_POINT('',(-1.963652015425E-2,2.502925574825E-1, +-3.213758148553E-1)); +#16316=CARTESIAN_POINT('',(-2.211124544914E-2,2.796464822316E-1, +-3.096451929011E-1)); +#16317=CARTESIAN_POINT('',(-2.128665253338E-2,2.698618960046E-1, +-3.135235724122E-1)); +#16318=CARTESIAN_POINT('',(-2.046205961762E-2,2.600773097775E-1, +-3.174019519233E-1)); +#16319=CARTESIAN_POINT('',(-1.963746670186E-2,2.502927235505E-1, +-3.212803314345E-1)); +#16320=CARTESIAN_POINT('',(-1.873599441006E-2,2.790562686078E-1, +-3.039322245793E-1)); +#16321=CARTESIAN_POINT('',(-1.905854563830E-2,2.694722778607E-1, +-3.074897912843E-1)); +#16322=CARTESIAN_POINT('',(-1.938109686654E-2,2.598882871137E-1, +-3.110473579893E-1)); +#16323=CARTESIAN_POINT('',(-1.970364809478E-2,2.503042963666E-1, +-3.146049246943E-1)); +#16324=CARTESIAN_POINT('',(-1.528933449308E-2,2.785925674069E-1, +-2.980974619655E-1)); +#16325=CARTESIAN_POINT('',(-1.678329954931E-2,2.691661744536E-1, +-3.013435006380E-1)); +#16326=CARTESIAN_POINT('',(-1.827726460555E-2,2.597397815003E-1, +-3.045895393105E-1)); +#16327=CARTESIAN_POINT('',(-1.977122966178E-2,2.503133885470E-1, +-3.078355779830E-1)); +#16328=CARTESIAN_POINT('',(-1.187849065291E-2,2.782697739086E-1, +-2.923228302461E-1)); +#16329=CARTESIAN_POINT('',(-1.453169675286E-2,2.689530885495E-1, +-2.952692660919E-1)); +#16330=CARTESIAN_POINT('',(-1.718490285282E-2,2.596364031904E-1, +-2.982157019377E-1)); +#16331=CARTESIAN_POINT('',(-1.983810895277E-2,2.503197178313E-1, +-3.011621377835E-1)); +#16332=CARTESIAN_POINT('',(-1.183007553037E-2,2.782652193409E-1, +-2.922408623066E-1)); +#16333=CARTESIAN_POINT('',(-1.449973644321E-2,2.689500819395E-1, +-2.951830471723E-1)); +#16334=CARTESIAN_POINT('',(-1.716939735606E-2,2.596349445380E-1, +-2.981252320379E-1)); +#16335=CARTESIAN_POINT('',(-1.983905826890E-2,2.503198071366E-1, +-3.010674169036E-1)); +#16336=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16312,#16313,#16314,#16315), +(#16316,#16317,#16318,#16319),(#16320,#16321,#16322,#16323),(#16324,#16325, +#16326,#16327),(#16328,#16329,#16330,#16331),(#16332,#16333,#16334,#16335)), +.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(4,4),(-1.451130230078E-2,0.E0,1.E0, +1.014398086174E0),(-2.E-2,1.02E0),.UNSPECIFIED.); +#16337=ORIENTED_EDGE('',*,*,#14404,.T.); +#16339=ORIENTED_EDGE('',*,*,#16338,.F.); +#16341=ORIENTED_EDGE('',*,*,#16340,.T.); +#16343=ORIENTED_EDGE('',*,*,#16342,.T.); +#16345=ORIENTED_EDGE('',*,*,#16344,.T.); +#16347=ORIENTED_EDGE('',*,*,#16346,.F.); +#16349=ORIENTED_EDGE('',*,*,#16348,.T.); +#16350=ORIENTED_EDGE('',*,*,#16303,.T.); +#16351=ORIENTED_EDGE('',*,*,#14381,.F.); +#16352=EDGE_LOOP('',(#16337,#16339,#16341,#16343,#16345,#16347,#16349,#16350, +#16351)); +#16353=FACE_OUTER_BOUND('',#16352,.F.); +#16354=ADVANCED_FACE('',(#16353),#16336,.F.); +#16355=CARTESIAN_POINT('',(-5.891418387422E-3,2.778564328779E-1, +-3.190060586543E-1)); +#16356=CARTESIAN_POINT('',(-4.519538602438E-3,2.698831141248E-1, +-3.195311427596E-1)); +#16357=CARTESIAN_POINT('',(-3.147658817453E-3,2.619097953716E-1, +-3.200562268650E-1)); +#16358=CARTESIAN_POINT('',(-1.775779032468E-3,2.539364766184E-1, +-3.205813109704E-1)); +#16359=CARTESIAN_POINT('',(-1.129641717665E-2,2.781062572179E-1, +-3.158129192701E-1)); +#16360=CARTESIAN_POINT('',(-1.015892597418E-2,2.700740632988E-1, +-3.172393968437E-1)); +#16361=CARTESIAN_POINT('',(-9.021434771704E-3,2.620418693797E-1, +-3.186658744173E-1)); +#16362=CARTESIAN_POINT('',(-7.883943569233E-3,2.540096754606E-1, +-3.200923519908E-1)); +#16363=CARTESIAN_POINT('',(-1.676915008749E-2,2.787085696790E-1, +-3.125795773839E-1)); +#16364=CARTESIAN_POINT('',(-1.587351754975E-2,2.706253999450E-1, +-3.149187972170E-1)); +#16365=CARTESIAN_POINT('',(-1.497788501202E-2,2.625422302110E-1, +-3.172580170502E-1)); +#16366=CARTESIAN_POINT('',(-1.408225247428E-2,2.544590604769E-1, +-3.195972368834E-1)); +#16367=CARTESIAN_POINT('',(-2.218036202046E-2,2.796631125989E-1, +-3.093823249835E-1)); +#16368=CARTESIAN_POINT('',(-2.153012010329E-2,2.715384151262E-1, +-3.126240993510E-1)); +#16369=CARTESIAN_POINT('',(-2.087987818612E-2,2.634137176534E-1, +-3.158658737185E-1)); +#16370=CARTESIAN_POINT('',(-2.022963626895E-2,2.552890201806E-1, +-3.191076480860E-1)); +#16371=CARTESIAN_POINT('',(-2.226300117124E-2,2.796777749571E-1, +-3.093334970024E-1)); +#16372=CARTESIAN_POINT('',(-2.161650830088E-2,2.715524453742E-1, +-3.125890550536E-1)); +#16373=CARTESIAN_POINT('',(-2.097001543052E-2,2.634271157913E-1, +-3.158446131048E-1)); +#16374=CARTESIAN_POINT('',(-2.032352256016E-2,2.553017862084E-1, +-3.191001711559E-1)); +#16375=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16355,#16356,#16357,#16358), +(#16359,#16360,#16361,#16362),(#16363,#16364,#16365,#16366),(#16367,#16368, +#16369,#16370),(#16371,#16372,#16373,#16374)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(5.242702766179E-1,1.E0,1.007379258055E0),(-2.015631900769E-2, +8.437855249287E-1),.UNSPECIFIED.); +#16376=ORIENTED_EDGE('',*,*,#14402,.T.); +#16378=ORIENTED_EDGE('',*,*,#16377,.T.); +#16379=ORIENTED_EDGE('',*,*,#16346,.T.); +#16381=ORIENTED_EDGE('',*,*,#16380,.T.); +#16382=ORIENTED_EDGE('',*,*,#16338,.T.); +#16383=EDGE_LOOP('',(#16376,#16378,#16379,#16381,#16382)); +#16384=FACE_OUTER_BOUND('',#16383,.F.); +#16385=ADVANCED_FACE('',(#16384),#16375,.F.); +#16386=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.208661417323E-1)); +#16387=DIRECTION('',(0.E0,0.E0,1.E0)); +#16388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16389=AXIS2_PLACEMENT_3D('',#16386,#16387,#16388); +#16390=CYLINDRICAL_SURFACE('',#16389,1.279527559055E-1); +#16391=ORIENTED_EDGE('',*,*,#14400,.T.); +#16393=ORIENTED_EDGE('',*,*,#16392,.F.); +#16394=ORIENTED_EDGE('',*,*,#16270,.F.); +#16395=ORIENTED_EDGE('',*,*,#16305,.F.); +#16396=ORIENTED_EDGE('',*,*,#16348,.F.); +#16397=ORIENTED_EDGE('',*,*,#16377,.F.); +#16398=EDGE_LOOP('',(#16391,#16393,#16394,#16395,#16396,#16397)); +#16399=FACE_OUTER_BOUND('',#16398,.F.); +#16400=ADVANCED_FACE('',(#16399),#16390,.F.); +#16401=CARTESIAN_POINT('',(2.215951655786E-2,2.796549512039E-1, +-3.123184843123E-1)); +#16402=CARTESIAN_POINT('',(2.131851777509E-2,2.698674866333E-1, +-3.084678076460E-1)); +#16403=CARTESIAN_POINT('',(2.047751899231E-2,2.600800220628E-1, +-3.046171309797E-1)); +#16404=CARTESIAN_POINT('',(1.963652020953E-2,2.502925574922E-1, +-3.007664543134E-1)); +#16405=CARTESIAN_POINT('',(2.211124356915E-2,2.796464819027E-1, +-3.124001853940E-1)); +#16406=CARTESIAN_POINT('',(2.128665129234E-2,2.698618957874E-1, +-3.085542017407E-1)); +#16407=CARTESIAN_POINT('',(2.046205901553E-2,2.600773096722E-1, +-3.047082180874E-1)); +#16408=CARTESIAN_POINT('',(1.963746673872E-2,2.502927235569E-1, +-3.008622344341E-1)); +#16409=CARTESIAN_POINT('',(1.904580822974E-2,2.791104442819E-1, +-3.175883862620E-1)); +#16410=CARTESIAN_POINT('',(1.926306325783E-2,2.695080408875E-1, +-3.140402108531E-1)); +#16411=CARTESIAN_POINT('',(1.948031828592E-2,2.599056374930E-1, +-3.104920354442E-1)); +#16412=CARTESIAN_POINT('',(1.969757331401E-2,2.503032340985E-1, +-3.069438600352E-1)); +#16413=CARTESIAN_POINT('',(1.596125483376E-2,2.786841111609E-1, +-3.228097005754E-1)); +#16414=CARTESIAN_POINT('',(1.722685480689E-2,2.692266052978E-1, +-3.195480791680E-1)); +#16415=CARTESIAN_POINT('',(1.849245478002E-2,2.597690994346E-1, +-3.162864577605E-1)); +#16416=CARTESIAN_POINT('',(1.975805475314E-2,2.503115935715E-1, +-3.130248363531E-1)); +#16417=CARTESIAN_POINT('',(1.291428197126E-2,2.783717829623E-1, +-3.279678336828E-1)); +#16418=CARTESIAN_POINT('',(1.521545442053E-2,2.690204278595E-1, +-3.249817789270E-1)); +#16419=CARTESIAN_POINT('',(1.751662686980E-2,2.596690727566E-1, +-3.219957241712E-1)); +#16420=CARTESIAN_POINT('',(1.981779931908E-2,2.503177176538E-1, +-3.190096694155E-1)); +#16421=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16401,#16402,#16403,#16404), +(#16405,#16406,#16407,#16408),(#16409,#16410,#16411,#16412),(#16413,#16414, +#16415,#16416),(#16417,#16418,#16419,#16420)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4), +(4,4),(-1.462549325997E-2,0.E0,9.140319786107E-1),(-2.E-2,1.02E0), +.UNSPECIFIED.); +#16422=ORIENTED_EDGE('',*,*,#14398,.T.); +#16423=ORIENTED_EDGE('',*,*,#14525,.F.); +#16424=ORIENTED_EDGE('',*,*,#16272,.F.); +#16425=ORIENTED_EDGE('',*,*,#16392,.T.); +#16426=EDGE_LOOP('',(#16422,#16423,#16424,#16425)); +#16427=FACE_OUTER_BOUND('',#16426,.F.); +#16428=ADVANCED_FACE('',(#16427),#16421,.F.); +#16429=CARTESIAN_POINT('',(-2.545034131336E-2,2.673019651026E-1, +-3.188976377953E-1)); +#16430=DIRECTION('',(0.E0,0.E0,1.E0)); +#16431=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16432=AXIS2_PLACEMENT_3D('',#16429,#16430,#16431); +#16433=CYLINDRICAL_SURFACE('',#16432,8.992145556940E-3); +#16434=ORIENTED_EDGE('',*,*,#16380,.F.); +#16435=ORIENTED_EDGE('',*,*,#16344,.F.); +#16437=ORIENTED_EDGE('',*,*,#16436,.T.); +#16439=ORIENTED_EDGE('',*,*,#16438,.F.); +#16440=ORIENTED_EDGE('',*,*,#14507,.F.); +#16442=ORIENTED_EDGE('',*,*,#16441,.T.); +#16444=ORIENTED_EDGE('',*,*,#16443,.T.); +#16445=ORIENTED_EDGE('',*,*,#16340,.F.); +#16446=EDGE_LOOP('',(#16434,#16435,#16437,#16439,#16440,#16442,#16444,#16445)); +#16447=FACE_OUTER_BOUND('',#16446,.F.); +#16448=ADVANCED_FACE('',(#16447),#16433,.T.); +#16449=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.090551181102E-1)); +#16450=DIRECTION('',(0.E0,0.E0,1.E0)); +#16451=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16452=AXIS2_PLACEMENT_3D('',#16449,#16450,#16451); +#16453=PLANE('',#16452); +#16454=ORIENTED_EDGE('',*,*,#16342,.F.); +#16455=ORIENTED_EDGE('',*,*,#16443,.F.); +#16457=ORIENTED_EDGE('',*,*,#16456,.F.); +#16458=ORIENTED_EDGE('',*,*,#16436,.F.); +#16459=EDGE_LOOP('',(#16454,#16455,#16457,#16458)); +#16460=FACE_OUTER_BOUND('',#16459,.F.); +#16461=ADVANCED_FACE('',(#16460),#16453,.T.); +#16462=CARTESIAN_POINT('',(-2.545034131336E-2,2.673019651026E-1, +-3.188976377953E-1)); +#16463=DIRECTION('',(0.E0,0.E0,1.E0)); +#16464=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16465=AXIS2_PLACEMENT_3D('',#16462,#16463,#16464); +#16466=CYLINDRICAL_SURFACE('',#16465,8.992145556940E-3); +#16467=ORIENTED_EDGE('',*,*,#14509,.F.); +#16468=ORIENTED_EDGE('',*,*,#16438,.T.); +#16469=ORIENTED_EDGE('',*,*,#16456,.T.); +#16470=ORIENTED_EDGE('',*,*,#16441,.F.); +#16471=EDGE_LOOP('',(#16467,#16468,#16469,#16470)); +#16472=FACE_OUTER_BOUND('',#16471,.F.); +#16473=ADVANCED_FACE('',(#16472),#16466,.T.); +#16474=CARTESIAN_POINT('',(-6.715899229601E-2,3.185726153340E-1, +-3.392464860849E-1)); +#16475=CARTESIAN_POINT('',(-5.480593867471E-2,3.089866902212E-1, +-3.386150622422E-1)); +#16476=CARTESIAN_POINT('',(-2.594016932575E-2,2.951921206129E-1, +-3.373522145569E-1)); +#16477=CARTESIAN_POINT('',(2.204898318501E-2,2.943451387686E-1, +-3.354579430288E-1)); +#16478=CARTESIAN_POINT('',(6.605494813450E-2,3.135022393834E-1, +-3.335636715007E-1)); +#16479=CARTESIAN_POINT('',(9.813354054250E-2,3.492024621681E-1, +-3.316693999726E-1)); +#16480=CARTESIAN_POINT('',(1.124916093936E-1,3.949997122579E-1, +-3.297751284446E-1)); +#16481=CARTESIAN_POINT('',(1.065366109693E-1,4.426240600861E-1, +-3.278808569165E-1)); +#16482=CARTESIAN_POINT('',(8.134382372819E-2,4.834758019388E-1, +-3.259865853884E-1)); +#16483=CARTESIAN_POINT('',(4.146242992403E-2,5.101781521469E-1, +-3.240923138603E-1)); +#16484=CARTESIAN_POINT('',(-5.906015359875E-3,5.179093532041E-1, +-3.221980423323E-1)); +#16485=CARTESIAN_POINT('',(-5.220798851656E-2,5.052733481722E-1, +-3.203037708042E-1)); +#16486=CARTESIAN_POINT('',(-8.908252664731E-2,4.745518744129E-1, +-3.184094992761E-1)); +#16487=CARTESIAN_POINT('',(-1.098710861329E-1,4.312924471001E-1, +-3.165152277480E-1)); +#16488=CARTESIAN_POINT('',(-1.108195903967E-1,3.833065915027E-1, +-3.146209562200E-1)); +#16489=CARTESIAN_POINT('',(-9.175749469458E-2,3.392593899736E-1, +-3.127266846919E-1)); +#16490=CARTESIAN_POINT('',(-5.612419729943E-2,3.071043864175E-1, +-3.108324131638E-1)); +#16491=CARTESIAN_POINT('',(-2.561762365656E-2,2.974673871253E-1, +-3.095695654784E-1)); +#16492=CARTESIAN_POINT('',(-1.004742123208E-2,2.960332704982E-1, +-3.089381416357E-1)); +#16493=CARTESIAN_POINT('',(-7.057834890148E-2,3.141662075016E-1, +-3.424666532431E-1)); +#16494=CARTESIAN_POINT('',(-5.759634755399E-2,3.040922212188E-1, +-3.418352294005E-1)); +#16495=CARTESIAN_POINT('',(-2.726089625E-2,2.895953100313E-1, +-3.405723817151E-1)); +#16496=CARTESIAN_POINT('',(2.317159288656E-2,2.887052046549E-1, +-3.386781101870E-1)); +#16497=CARTESIAN_POINT('',(6.941809304638E-2,3.088376766427E-1, +-3.367838386589E-1)); +#16498=CARTESIAN_POINT('',(1.031299462151E-1,3.463555531536E-1, +-3.348895671309E-1)); +#16499=CARTESIAN_POINT('',(1.182190468445E-1,3.944845405767E-1, +-3.329952956028E-1)); +#16500=CARTESIAN_POINT('',(1.119608535314E-1,4.445336512366E-1, +-3.311010240747E-1)); +#16501=CARTESIAN_POINT('',(8.548539184095E-2,4.874653330731E-1, +-3.292067525466E-1)); +#16502=CARTESIAN_POINT('',(4.357346269555E-2,5.155272161516E-1, +-3.273124810186E-1)); +#16503=CARTESIAN_POINT('',(-6.206716307622E-3,5.236520463044E-1, +-3.254182094905E-1)); +#16504=CARTESIAN_POINT('',(-5.486612444579E-2,5.103726872585E-1, +-3.235239379624E-1)); +#16505=CARTESIAN_POINT('',(-9.361810580818E-2,4.780870495557E-1, +-3.216296664343E-1)); +#16506=CARTESIAN_POINT('',(-1.154651013388E-1,4.326250965129E-1, +-3.197353949063E-1)); +#16507=CARTESIAN_POINT('',(-1.164618980831E-1,3.821960721499E-1, +-3.178411233782E-1)); +#16508=CARTESIAN_POINT('',(-9.642926812147E-2,3.359062358767E-1, +-3.159468518501E-1)); +#16509=CARTESIAN_POINT('',(-5.898172446298E-2,3.021140811358E-1, +-3.140525803220E-1)); +#16510=CARTESIAN_POINT('',(-2.692192837692E-2,2.919864202648E-1, +-3.127897326367E-1)); +#16511=CARTESIAN_POINT('',(-1.055897917813E-2,2.904792865184E-1, +-3.121583087940E-1)); +#16512=CARTESIAN_POINT('',(-7.399770550700E-2,3.097597996693E-1, +-3.456868204014E-1)); +#16513=CARTESIAN_POINT('',(-6.038675643333E-2,2.991977522165E-1, +-3.450553965587E-1)); +#16514=CARTESIAN_POINT('',(-2.858162317432E-2,2.839984994497E-1, +-3.437925488733E-1)); +#16515=CARTESIAN_POINT('',(2.429420258803E-2,2.830652705411E-1, +-3.418982773452E-1)); +#16516=CARTESIAN_POINT('',(7.278123795820E-2,3.041731139020E-1, +-3.400040058171E-1)); +#16517=CARTESIAN_POINT('',(1.081263518876E-1,3.435086441391E-1, +-3.381097342891E-1)); +#16518=CARTESIAN_POINT('',(1.239464842953E-1,3.939693688955E-1, +-3.362154627610E-1)); +#16519=CARTESIAN_POINT('',(1.173850960936E-1,4.464432423870E-1, +-3.343211912329E-1)); +#16520=CARTESIAN_POINT('',(8.962695995379E-2,4.914548642073E-1, +-3.324269197048E-1)); +#16521=CARTESIAN_POINT('',(4.568449546717E-2,5.208762801562E-1, +-3.305326481768E-1)); +#16522=CARTESIAN_POINT('',(-6.507417255262E-3,5.293947394047E-1, +-3.286383766487E-1)); +#16523=CARTESIAN_POINT('',(-5.752426037492E-2,5.154720263448E-1, +-3.267441051206E-1)); +#16524=CARTESIAN_POINT('',(-9.815368496899E-2,4.816222246985E-1, +-3.248498335925E-1)); +#16525=CARTESIAN_POINT('',(-1.210591165447E-1,4.339577459258E-1, +-3.229555620645E-1)); +#16526=CARTESIAN_POINT('',(-1.221042057694E-1,3.810855527972E-1, +-3.210612905364E-1)); +#16527=CARTESIAN_POINT('',(-1.011010415484E-1,3.325530817800E-1, +-3.191670190083E-1)); +#16528=CARTESIAN_POINT('',(-6.183925162661E-2,2.971237758542E-1, +-3.172727474802E-1)); +#16529=CARTESIAN_POINT('',(-2.822623309737E-2,2.865054534045E-1, +-3.160098997949E-1)); +#16530=CARTESIAN_POINT('',(-1.107053712426E-2,2.849253025385E-1, +-3.153784759522E-1)); +#16531=CARTESIAN_POINT('',(-7.741706211246E-2,3.053533918369E-1, +-3.489069875596E-1)); +#16532=CARTESIAN_POINT('',(-6.317716531260E-2,2.943032832142E-1, +-3.482755637169E-1)); +#16533=CARTESIAN_POINT('',(-2.990235009857E-2,2.784016888681E-1, +-3.470127160315E-1)); +#16534=CARTESIAN_POINT('',(2.541681228958E-2,2.774253364274E-1, +-3.451184445034E-1)); +#16535=CARTESIAN_POINT('',(7.614438287008E-2,2.995085511613E-1, +-3.432241729754E-1)); +#16536=CARTESIAN_POINT('',(1.131227575602E-1,3.406617351246E-1, +-3.413299014473E-1)); +#16537=CARTESIAN_POINT('',(1.296739217462E-1,3.934541972144E-1, +-3.394356299192E-1)); +#16538=CARTESIAN_POINT('',(1.228093386557E-1,4.483528335375E-1, +-3.375413583911E-1)); +#16539=CARTESIAN_POINT('',(9.376852806656E-2,4.954443953416E-1, +-3.356470868631E-1)); +#16540=CARTESIAN_POINT('',(4.779552823869E-2,5.262253441608E-1, +-3.337528153350E-1)); +#16541=CARTESIAN_POINT('',(-6.808118203005E-3,5.351374325050E-1, +-3.318585438069E-1)); +#16542=CARTESIAN_POINT('',(-6.018239630414E-2,5.205713654310E-1, +-3.299642722788E-1)); +#16543=CARTESIAN_POINT('',(-1.026892641299E-1,4.851573998413E-1, +-3.280700007508E-1)); +#16544=CARTESIAN_POINT('',(-1.266531317506E-1,4.352903953386E-1, +-3.261757292227E-1)); +#16545=CARTESIAN_POINT('',(-1.277465134558E-1,3.799750334445E-1, +-3.242814576946E-1)); +#16546=CARTESIAN_POINT('',(-1.057728149753E-1,3.291999276831E-1, +-3.223871861665E-1)); +#16547=CARTESIAN_POINT('',(-6.469677879015E-2,2.921334705725E-1, +-3.204929146385E-1)); +#16548=CARTESIAN_POINT('',(-2.953053781773E-2,2.810244865441E-1, +-3.192300669531E-1)); +#16549=CARTESIAN_POINT('',(-1.158209507031E-2,2.793713185587E-1, +-3.185986431104E-1)); +#16550=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16474,#16475,#16476,#16477,#16478, +#16479,#16480,#16481,#16482,#16483,#16484,#16485,#16486,#16487,#16488,#16489, +#16490,#16491,#16492),(#16493,#16494,#16495,#16496,#16497,#16498,#16499,#16500, +#16501,#16502,#16503,#16504,#16505,#16506,#16507,#16508,#16509,#16510,#16511),( +#16512,#16513,#16514,#16515,#16516,#16517,#16518,#16519,#16520,#16521,#16522, +#16523,#16524,#16525,#16526,#16527,#16528,#16529,#16530),(#16531,#16532,#16533, +#16534,#16535,#16536,#16537,#16538,#16539,#16540,#16541,#16542,#16543,#16544, +#16545,#16546,#16547,#16548,#16549)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,4),(-3.129224408465E-2,1.031220196588E0),( +4.078846556181E-1,4.321627765284E-1,4.564408974386E-1,4.807190183489E-1, +5.049971392592E-1,5.292752601694E-1,5.535533810797E-1,5.778315019900E-1, +6.021096229002E-1,6.263877438105E-1,6.506658647208E-1,6.749439856310E-1, +6.992221065413E-1,7.235002274515E-1,7.477783483618E-1,7.720564692721E-1, +7.963345901823E-1),.UNSPECIFIED.); +#16551=ORIENTED_EDGE('',*,*,#13868,.F.); +#16552=ORIENTED_EDGE('',*,*,#9772,.F.); +#16554=ORIENTED_EDGE('',*,*,#16553,.T.); +#16555=ORIENTED_EDGE('',*,*,#14497,.T.); +#16557=ORIENTED_EDGE('',*,*,#16556,.F.); +#16558=EDGE_LOOP('',(#16551,#16552,#16554,#16555,#16557)); +#16559=FACE_OUTER_BOUND('',#16558,.F.); +#16560=ADVANCED_FACE('',(#16559),#16550,.F.); +#16561=CARTESIAN_POINT('',(-7.059319674280E-2,3.010072512033E-1, +-3.477719178114E-1)); +#16562=CARTESIAN_POINT('',(-5.118807052821E-2,2.878494508988E-1, +-3.469473781360E-1)); +#16563=CARTESIAN_POINT('',(-4.864667359210E-3,2.726958241349E-1, +-3.452982987851E-1)); +#16564=CARTESIAN_POINT('',(6.613115612251E-2,2.902823531765E-1, +-3.428246797589E-1)); +#16565=CARTESIAN_POINT('',(1.169753095264E-1,3.428537538977E-1, +-3.403510607327E-1)); +#16566=CARTESIAN_POINT('',(1.321902006047E-1,4.143898207220E-1, +-3.378774417064E-1)); +#16567=CARTESIAN_POINT('',(1.071386556709E-1,4.831020494792E-1, +-3.354038226802E-1)); +#16568=CARTESIAN_POINT('',(4.945222175475E-2,5.280598299205E-1, +-3.329302036540E-1)); +#16569=CARTESIAN_POINT('',(-2.329767753975E-2,5.355688972806E-1, +-3.304565846277E-1)); +#16570=CARTESIAN_POINT('',(-8.895096187045E-2,5.033419119719E-1, +-3.279829656015E-1)); +#16571=CARTESIAN_POINT('',(-1.275092866499E-1,4.411954083236E-1, +-3.255093465753E-1)); +#16572=CARTESIAN_POINT('',(-1.272275574918E-1,3.680595445586E-1, +-3.230357275490E-1)); +#16573=CARTESIAN_POINT('',(-8.819162082047E-2,3.062119712161E-1, +-3.205621085228E-1)); +#16574=CARTESIAN_POINT('',(-2.229193375181E-2,2.744916066436E-1, +-3.180884894965E-1)); +#16575=CARTESIAN_POINT('',(5.039768156301E-2,2.825614017209E-1, +-3.156148704703E-1)); +#16576=CARTESIAN_POINT('',(1.077370746851E-1,3.279604557367E-1, +-3.131412514441E-1)); +#16577=CARTESIAN_POINT('',(1.322546862391E-1,3.968703946372E-1, +-3.106676324178E-1)); +#16578=CARTESIAN_POINT('',(1.217538465173E-1,4.444647477728E-1, +-3.090185530670E-1)); +#16579=CARTESIAN_POINT('',(1.105784693874E-1,4.650753658679E-1, +-3.081940133916E-1)); +#16580=CARTESIAN_POINT('',(-7.059319674280E-2,3.010072512033E-1, +-3.512753268257E-1)); +#16581=CARTESIAN_POINT('',(-5.118807052821E-2,2.878494508988E-1, +-3.504507871503E-1)); +#16582=CARTESIAN_POINT('',(-4.864667359210E-3,2.726958241349E-1, +-3.488017077995E-1)); +#16583=CARTESIAN_POINT('',(6.613115612251E-2,2.902823531765E-1, +-3.463280887733E-1)); +#16584=CARTESIAN_POINT('',(1.169753095264E-1,3.428537538977E-1, +-3.438544697470E-1)); +#16585=CARTESIAN_POINT('',(1.321902006047E-1,4.143898207220E-1, +-3.413808507208E-1)); +#16586=CARTESIAN_POINT('',(1.071386556709E-1,4.831020494792E-1, +-3.389072316946E-1)); +#16587=CARTESIAN_POINT('',(4.945222175475E-2,5.280598299205E-1, +-3.364336126683E-1)); +#16588=CARTESIAN_POINT('',(-2.329767753975E-2,5.355688972806E-1, +-3.339599936421E-1)); +#16589=CARTESIAN_POINT('',(-8.895096187045E-2,5.033419119719E-1, +-3.314863746159E-1)); +#16590=CARTESIAN_POINT('',(-1.275092866499E-1,4.411954083236E-1, +-3.290127555896E-1)); +#16591=CARTESIAN_POINT('',(-1.272275574918E-1,3.680595445586E-1, +-3.265391365634E-1)); +#16592=CARTESIAN_POINT('',(-8.819162082047E-2,3.062119712161E-1, +-3.240655175372E-1)); +#16593=CARTESIAN_POINT('',(-2.229193375181E-2,2.744916066436E-1, +-3.215918985109E-1)); +#16594=CARTESIAN_POINT('',(5.039768156301E-2,2.825614017209E-1, +-3.191182794847E-1)); +#16595=CARTESIAN_POINT('',(1.077370746851E-1,3.279604557367E-1, +-3.166446604584E-1)); +#16596=CARTESIAN_POINT('',(1.322546862391E-1,3.968703946372E-1, +-3.141710414322E-1)); +#16597=CARTESIAN_POINT('',(1.217538465173E-1,4.444647477728E-1, +-3.125219620814E-1)); +#16598=CARTESIAN_POINT('',(1.105784693874E-1,4.650753658679E-1, +-3.116974224060E-1)); +#16599=CARTESIAN_POINT('',(-7.059319674280E-2,3.010072512033E-1, +-3.547787358401E-1)); +#16600=CARTESIAN_POINT('',(-5.118807052821E-2,2.878494508988E-1, +-3.539541961647E-1)); +#16601=CARTESIAN_POINT('',(-4.864667359210E-3,2.726958241349E-1, +-3.523051168139E-1)); +#16602=CARTESIAN_POINT('',(6.613115612251E-2,2.902823531765E-1, +-3.498314977876E-1)); +#16603=CARTESIAN_POINT('',(1.169753095264E-1,3.428537538977E-1, +-3.473578787614E-1)); +#16604=CARTESIAN_POINT('',(1.321902006047E-1,4.143898207220E-1, +-3.448842597352E-1)); +#16605=CARTESIAN_POINT('',(1.071386556709E-1,4.831020494792E-1, +-3.424106407089E-1)); +#16606=CARTESIAN_POINT('',(4.945222175475E-2,5.280598299205E-1, +-3.399370216827E-1)); +#16607=CARTESIAN_POINT('',(-2.329767753975E-2,5.355688972806E-1, +-3.374634026565E-1)); +#16608=CARTESIAN_POINT('',(-8.895096187045E-2,5.033419119719E-1, +-3.349897836302E-1)); +#16609=CARTESIAN_POINT('',(-1.275092866499E-1,4.411954083236E-1, +-3.325161646040E-1)); +#16610=CARTESIAN_POINT('',(-1.272275574918E-1,3.680595445586E-1, +-3.300425455778E-1)); +#16611=CARTESIAN_POINT('',(-8.819162082047E-2,3.062119712161E-1, +-3.275689265515E-1)); +#16612=CARTESIAN_POINT('',(-2.229193375181E-2,2.744916066436E-1, +-3.250953075253E-1)); +#16613=CARTESIAN_POINT('',(5.039768156301E-2,2.825614017209E-1, +-3.226216884990E-1)); +#16614=CARTESIAN_POINT('',(1.077370746851E-1,3.279604557367E-1, +-3.201480694728E-1)); +#16615=CARTESIAN_POINT('',(1.322546862391E-1,3.968703946372E-1, +-3.176744504466E-1)); +#16616=CARTESIAN_POINT('',(1.217538465173E-1,4.444647477728E-1, +-3.160253710957E-1)); +#16617=CARTESIAN_POINT('',(1.105784693874E-1,4.650753658679E-1, +-3.152008314203E-1)); +#16618=CARTESIAN_POINT('',(-7.059319674280E-2,3.010072512033E-1, +-3.582821448545E-1)); +#16619=CARTESIAN_POINT('',(-5.118807052821E-2,2.878494508988E-1, +-3.574576051791E-1)); +#16620=CARTESIAN_POINT('',(-4.864667359210E-3,2.726958241349E-1, +-3.558085258283E-1)); +#16621=CARTESIAN_POINT('',(6.613115612251E-2,2.902823531765E-1, +-3.533349068020E-1)); +#16622=CARTESIAN_POINT('',(1.169753095264E-1,3.428537538977E-1, +-3.508612877758E-1)); +#16623=CARTESIAN_POINT('',(1.321902006047E-1,4.143898207220E-1, +-3.483876687495E-1)); +#16624=CARTESIAN_POINT('',(1.071386556709E-1,4.831020494792E-1, +-3.459140497233E-1)); +#16625=CARTESIAN_POINT('',(4.945222175475E-2,5.280598299205E-1, +-3.434404306971E-1)); +#16626=CARTESIAN_POINT('',(-2.329767753975E-2,5.355688972806E-1, +-3.409668116708E-1)); +#16627=CARTESIAN_POINT('',(-8.895096187045E-2,5.033419119719E-1, +-3.384931926446E-1)); +#16628=CARTESIAN_POINT('',(-1.275092866499E-1,4.411954083236E-1, +-3.360195736184E-1)); +#16629=CARTESIAN_POINT('',(-1.272275574918E-1,3.680595445586E-1, +-3.335459545921E-1)); +#16630=CARTESIAN_POINT('',(-8.819162082047E-2,3.062119712161E-1, +-3.310723355659E-1)); +#16631=CARTESIAN_POINT('',(-2.229193375181E-2,2.744916066436E-1, +-3.285987165397E-1)); +#16632=CARTESIAN_POINT('',(5.039768156301E-2,2.825614017209E-1, +-3.261250975134E-1)); +#16633=CARTESIAN_POINT('',(1.077370746851E-1,3.279604557367E-1, +-3.236514784872E-1)); +#16634=CARTESIAN_POINT('',(1.322546862391E-1,3.968703946372E-1, +-3.211778594609E-1)); +#16635=CARTESIAN_POINT('',(1.217538465173E-1,4.444647477728E-1, +-3.195287801101E-1)); +#16636=CARTESIAN_POINT('',(1.105784693874E-1,4.650753658679E-1, +-3.187042404347E-1)); +#16637=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16561,#16562,#16563,#16564,#16565, +#16566,#16567,#16568,#16569,#16570,#16571,#16572,#16573,#16574,#16575,#16576, +#16577,#16578,#16579),(#16580,#16581,#16582,#16583,#16584,#16585,#16586,#16587, +#16588,#16589,#16590,#16591,#16592,#16593,#16594,#16595,#16596,#16597,#16598),( +#16599,#16600,#16601,#16602,#16603,#16604,#16605,#16606,#16607,#16608,#16609, +#16610,#16611,#16612,#16613,#16614,#16615,#16616,#16617),(#16618,#16619,#16620, +#16621,#16622,#16623,#16624,#16625,#16626,#16627,#16628,#16629,#16630,#16631, +#16632,#16633,#16634,#16635,#16636)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,4),(-6.055771948868E-2,1.060557719489E0),( +4.115181037158E-1,4.432214899067E-1,4.749248760976E-1,5.066282622884E-1, +5.383316484793E-1,5.700350346701E-1,6.017384208610E-1,6.334418070518E-1, +6.651451932427E-1,6.968485794335E-1,7.285519656244E-1,7.602553518153E-1, +7.919587380061E-1,8.236621241970E-1,8.553655103878E-1,8.870688965787E-1, +9.187722827695E-1),.UNSPECIFIED.); +#16638=ORIENTED_EDGE('',*,*,#9770,.F.); +#16640=ORIENTED_EDGE('',*,*,#16639,.T.); +#16641=ORIENTED_EDGE('',*,*,#14499,.T.); +#16642=ORIENTED_EDGE('',*,*,#16553,.F.); +#16643=EDGE_LOOP('',(#16638,#16640,#16641,#16642)); +#16644=FACE_OUTER_BOUND('',#16643,.F.); +#16645=ADVANCED_FACE('',(#16644),#16637,.F.); +#16646=CARTESIAN_POINT('',(-7.732920590828E-2,3.052852776760E-1, +-3.577101716649E-1)); +#16647=CARTESIAN_POINT('',(-5.838039357329E-2,2.906077755638E-1, +-3.568705189102E-1)); +#16648=CARTESIAN_POINT('',(-1.193683117195E-2,2.723679717886E-1, +-3.551912134006E-1)); +#16649=CARTESIAN_POINT('',(6.152574272199E-2,2.868764990631E-1, +-3.526722551362E-1)); +#16650=CARTESIAN_POINT('',(1.155646108644E-1,3.387046303219E-1, +-3.501532968719E-1)); +#16651=CARTESIAN_POINT('',(1.331371281655E-1,4.114890639564E-1, +-3.476343386075E-1)); +#16652=CARTESIAN_POINT('',(1.086952025319E-1,4.822634786561E-1, +-3.451153803432E-1)); +#16653=CARTESIAN_POINT('',(4.995267148139E-2,5.286931382364E-1, +-3.425964220789E-1)); +#16654=CARTESIAN_POINT('',(-2.455338198903E-2,5.361264671837E-1, +-3.400774638145E-1)); +#16655=CARTESIAN_POINT('',(-9.131115428096E-2,5.022177001769E-1, +-3.375585055502E-1)); +#16656=CARTESIAN_POINT('',(-1.292540246551E-1,4.376673846930E-1, +-3.350395472858E-1)); +#16657=CARTESIAN_POINT('',(-1.264084115025E-1,3.628455371565E-1, +-3.325205890215E-1)); +#16658=CARTESIAN_POINT('',(-8.367233901642E-2,3.013636182582E-1, +-3.300016307571E-1)); +#16659=CARTESIAN_POINT('',(-1.453181613297E-2,2.726231659276E-1, +-3.274826724928E-1)); +#16660=CARTESIAN_POINT('',(5.919407197238E-2,2.856945303121E-1, +-3.249637142284E-1)); +#16661=CARTESIAN_POINT('',(1.142417371762E-1,3.364499144156E-1, +-3.224447559640E-1)); +#16662=CARTESIAN_POINT('',(1.332325428952E-1,4.088833074394E-1, +-3.199257976997E-1)); +#16663=CARTESIAN_POINT('',(1.178781656508E-1,4.563589646726E-1, +-3.182464921901E-1)); +#16664=CARTESIAN_POINT('',(1.043915538067E-1,4.761730469911E-1, +-3.174068394353E-1)); +#16665=CARTESIAN_POINT('',(-7.391396133413E-2,3.096943949780E-1, +-3.609301204681E-1)); +#16666=CARTESIAN_POINT('',(-5.580202334375E-2,2.956651247837E-1, +-3.600904677133E-1)); +#16667=CARTESIAN_POINT('',(-1.140964099311E-2,2.782308819883E-1, +-3.584111622037E-1)); +#16668=CARTESIAN_POINT('',(5.880845813935E-2,2.920986401191E-1, +-3.558922039394E-1)); +#16669=CARTESIAN_POINT('',(1.104606995338E-1,3.416377818300E-1, +-3.533732456750E-1)); +#16670=CARTESIAN_POINT('',(1.272571265640E-1,4.112076907693E-1, +-3.508542874107E-1)); +#16671=CARTESIAN_POINT('',(1.038946786377E-1,4.788563532651E-1, +-3.483353291463E-1)); +#16672=CARTESIAN_POINT('',(4.774651161932E-2,5.232354468128E-1, +-3.458163708820E-1)); +#16673=CARTESIAN_POINT('',(-2.346898181150E-2,5.303404827684E-1, +-3.432974126176E-1)); +#16674=CARTESIAN_POINT('',(-8.727839692162E-2,4.979292965421E-1, +-3.407784543533E-1)); +#16675=CARTESIAN_POINT('',(-1.235455203299E-1,4.362298459044E-1, +-3.382594960889E-1)); +#16676=CARTESIAN_POINT('',(-1.208255836894E-1,3.647125054533E-1, +-3.357405378246E-1)); +#16677=CARTESIAN_POINT('',(-7.997694995254E-2,3.059459356583E-1, +-3.332215795602E-1)); +#16678=CARTESIAN_POINT('',(-1.389001843678E-2,2.784748054775E-1, +-3.307026212959E-1)); +#16679=CARTESIAN_POINT('',(5.657976563428E-2,2.909688730210E-1, +-3.281836630315E-1)); +#16680=CARTESIAN_POINT('',(1.091962505654E-1,3.394826454574E-1, +-3.256647047672E-1)); +#16681=CARTESIAN_POINT('',(1.273483273018E-1,4.087170174954E-1, +-3.231457465028E-1)); +#16682=CARTESIAN_POINT('',(1.126720761673E-1,4.540959122071E-1, +-3.214664409933E-1)); +#16683=CARTESIAN_POINT('',(9.978110056925E-2,4.730349055300E-1, +-3.206267882385E-1)); +#16684=CARTESIAN_POINT('',(-7.049871675992E-2,3.141035122800E-1, +-3.641500692712E-1)); +#16685=CARTESIAN_POINT('',(-5.322365311415E-2,3.007224740035E-1, +-3.633104165165E-1)); +#16686=CARTESIAN_POINT('',(-1.088245081420E-2,2.840937921879E-1, +-3.616311110069E-1)); +#16687=CARTESIAN_POINT('',(5.609117355678E-2,2.973207811751E-1, +-3.591121527425E-1)); +#16688=CARTESIAN_POINT('',(1.053567882033E-1,3.445709333381E-1, +-3.565931944782E-1)); +#16689=CARTESIAN_POINT('',(1.213771249624E-1,4.109263175822E-1, +-3.540742362138E-1)); +#16690=CARTESIAN_POINT('',(9.909415474344E-2,4.754492278742E-1, +-3.515552779495E-1)); +#16691=CARTESIAN_POINT('',(4.554035175714E-2,5.177777553892E-1, +-3.490363196851E-1)); +#16692=CARTESIAN_POINT('',(-2.238458163407E-2,5.245544983531E-1, +-3.465173614208E-1)); +#16693=CARTESIAN_POINT('',(-8.324563956236E-2,4.936408929071E-1, +-3.439984031564E-1)); +#16694=CARTESIAN_POINT('',(-1.178370160047E-1,4.347923071158E-1, +-3.414794448921E-1)); +#16695=CARTESIAN_POINT('',(-1.152427558762E-1,3.665794737500E-1, +-3.389604866277E-1)); +#16696=CARTESIAN_POINT('',(-7.628156088857E-2,3.105282530584E-1, +-3.364415283634E-1)); +#16697=CARTESIAN_POINT('',(-1.324822074049E-2,2.843264450274E-1, +-3.339225700990E-1)); +#16698=CARTESIAN_POINT('',(5.396545929626E-2,2.962432157298E-1, +-3.314036118347E-1)); +#16699=CARTESIAN_POINT('',(1.041507639547E-1,3.425153764992E-1, +-3.288846535703E-1)); +#16700=CARTESIAN_POINT('',(1.214641117085E-1,4.085507275515E-1, +-3.263656953060E-1)); +#16701=CARTESIAN_POINT('',(1.074659866837E-1,4.518328597416E-1, +-3.246863897964E-1)); +#16702=CARTESIAN_POINT('',(9.517064733182E-2,4.698967640688E-1, +-3.238467370416E-1)); +#16703=CARTESIAN_POINT('',(-6.708347218577E-2,3.185126295821E-1, +-3.673700180744E-1)); +#16704=CARTESIAN_POINT('',(-5.064528288461E-2,3.057798232234E-1, +-3.665303653196E-1)); +#16705=CARTESIAN_POINT('',(-1.035526063536E-2,2.899567023876E-1, +-3.648510598100E-1)); +#16706=CARTESIAN_POINT('',(5.337388897414E-2,3.025429222310E-1, +-3.623321015457E-1)); +#16707=CARTESIAN_POINT('',(1.002528768727E-1,3.475040848462E-1, +-3.598131432813E-1)); +#16708=CARTESIAN_POINT('',(1.154971233609E-1,4.106449443951E-1, +-3.572941850170E-1)); +#16709=CARTESIAN_POINT('',(9.429363084922E-2,4.720421024831E-1, +-3.547752267526E-1)); +#16710=CARTESIAN_POINT('',(4.333419189507E-2,5.123200639656E-1, +-3.522562684883E-1)); +#16711=CARTESIAN_POINT('',(-2.130018145653E-2,5.187685139378E-1, +-3.497373102239E-1)); +#16712=CARTESIAN_POINT('',(-7.921288220302E-2,4.893524892723E-1, +-3.472183519596E-1)); +#16713=CARTESIAN_POINT('',(-1.121285116795E-1,4.333547683272E-1, +-3.446993936952E-1)); +#16714=CARTESIAN_POINT('',(-1.096599280631E-1,3.684464420468E-1, +-3.421804354309E-1)); +#16715=CARTESIAN_POINT('',(-7.258617182469E-2,3.151105704585E-1, +-3.396614771665E-1)); +#16716=CARTESIAN_POINT('',(-1.260642304430E-2,2.901780845772E-1, +-3.371425189022E-1)); +#16717=CARTESIAN_POINT('',(5.135115295816E-2,3.015175584386E-1, +-3.346235606378E-1)); +#16718=CARTESIAN_POINT('',(9.910527734388E-2,3.455481075410E-1, +-3.321046023735E-1)); +#16719=CARTESIAN_POINT('',(1.155798961152E-1,4.083844376075E-1, +-3.295856441091E-1)); +#16720=CARTESIAN_POINT('',(1.022598972001E-1,4.495698072760E-1, +-3.279063385995E-1)); +#16721=CARTESIAN_POINT('',(9.056019409442E-2,4.667586226077E-1, +-3.270666858448E-1)); +#16722=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#16646,#16647,#16648,#16649,#16650, +#16651,#16652,#16653,#16654,#16655,#16656,#16657,#16658,#16659,#16660,#16661, +#16662,#16663,#16664),(#16665,#16666,#16667,#16668,#16669,#16670,#16671,#16672, +#16673,#16674,#16675,#16676,#16677,#16678,#16679,#16680,#16681,#16682,#16683),( +#16684,#16685,#16686,#16687,#16688,#16689,#16690,#16691,#16692,#16693,#16694, +#16695,#16696,#16697,#16698,#16699,#16700,#16701,#16702),(#16703,#16704,#16705, +#16706,#16707,#16708,#16709,#16710,#16711,#16712,#16713,#16714,#16715,#16716, +#16717,#16718,#16719,#16720,#16721)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,4),(-3.122019658811E-2,1.031220196588E0),( +4.079345683280E-1,4.402190494087E-1,4.725035304894E-1,5.047880115700E-1, +5.370724926507E-1,5.693569737314E-1,6.016414548121E-1,6.339259358927E-1, +6.662104169734E-1,6.984948980541E-1,7.307793791348E-1,7.630638602154E-1, +7.953483412961E-1,8.276328223768E-1,8.599173034575E-1,8.922017845382E-1, +9.244862656188E-1),.UNSPECIFIED.); +#16723=ORIENTED_EDGE('',*,*,#9768,.F.); +#16725=ORIENTED_EDGE('',*,*,#16724,.F.); +#16726=ORIENTED_EDGE('',*,*,#13872,.F.); +#16728=ORIENTED_EDGE('',*,*,#16727,.T.); +#16729=ORIENTED_EDGE('',*,*,#14501,.T.); +#16730=ORIENTED_EDGE('',*,*,#16639,.F.); +#16731=EDGE_LOOP('',(#16723,#16725,#16726,#16728,#16729,#16730)); +#16732=FACE_OUTER_BOUND('',#16731,.F.); +#16733=ADVANCED_FACE('',(#16732),#16722,.F.); +#16734=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.188976377953E-1)); +#16735=DIRECTION('',(0.E0,0.E0,1.E0)); +#16736=DIRECTION('',(0.E0,1.E0,0.E0)); +#16737=AXIS2_PLACEMENT_3D('',#16734,#16735,#16736); +#16738=CYLINDRICAL_SURFACE('',#16737,1.100393700787E-1); +#16739=ORIENTED_EDGE('',*,*,#9766,.F.); +#16740=ORIENTED_EDGE('',*,*,#13968,.T.); +#16741=ORIENTED_EDGE('',*,*,#13874,.F.); +#16742=ORIENTED_EDGE('',*,*,#16724,.T.); +#16743=EDGE_LOOP('',(#16739,#16740,#16741,#16742)); +#16744=FACE_OUTER_BOUND('',#16743,.F.); +#16745=ADVANCED_FACE('',(#16744),#16738,.F.); +#16746=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.263976377953E-1)); +#16747=DIRECTION('',(0.E0,0.E0,1.E0)); +#16748=DIRECTION('',(0.E0,1.E0,0.E0)); +#16749=AXIS2_PLACEMENT_3D('',#16746,#16747,#16748); +#16750=CONICAL_SURFACE('',#16749,1.175393700787E-1,4.5E1); +#16751=ORIENTED_EDGE('',*,*,#16556,.T.); +#16752=ORIENTED_EDGE('',*,*,#14503,.T.); +#16753=ORIENTED_EDGE('',*,*,#16727,.F.); +#16754=ORIENTED_EDGE('',*,*,#13870,.T.); +#16755=EDGE_LOOP('',(#16751,#16752,#16753,#16754)); +#16756=FACE_OUTER_BOUND('',#16755,.F.); +#16757=ADVANCED_FACE('',(#16756),#16750,.F.); +#16758=CARTESIAN_POINT('',(-1.574803149606E-1,4.960394124708E-1, +-3.188976377953E-1)); +#16759=DIRECTION('',(-5.E-1,8.660254037844E-1,0.E0)); +#16760=DIRECTION('',(8.660254037844E-1,5.E-1,0.E0)); +#16761=AXIS2_PLACEMENT_3D('',#16758,#16759,#16760); +#16762=PLANE('',#16761); +#16763=ORIENTED_EDGE('',*,*,#14248,.F.); +#16765=ORIENTED_EDGE('',*,*,#16764,.F.); +#16767=ORIENTED_EDGE('',*,*,#16766,.T.); +#16769=ORIENTED_EDGE('',*,*,#16768,.F.); +#16771=ORIENTED_EDGE('',*,*,#16770,.F.); +#16773=ORIENTED_EDGE('',*,*,#16772,.F.); +#16775=ORIENTED_EDGE('',*,*,#16774,.F.); +#16776=EDGE_LOOP('',(#16763,#16765,#16767,#16769,#16771,#16773,#16775)); +#16777=FACE_OUTER_BOUND('',#16776,.F.); +#16778=ADVANCED_FACE('',(#16777),#16762,.T.); +#16779=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.269146769667E-1)); +#16780=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16781=DIRECTION('',(0.E0,1.E0,0.E0)); +#16782=AXIS2_PLACEMENT_3D('',#16779,#16780,#16781); +#16783=CONICAL_SURFACE('',#16782,1.713662341318E-1,6.E1); +#16784=ORIENTED_EDGE('',*,*,#14269,.F.); +#16786=ORIENTED_EDGE('',*,*,#16785,.T.); +#16787=ORIENTED_EDGE('',*,*,#16764,.T.); +#16788=EDGE_LOOP('',(#16784,#16786,#16787)); +#16789=FACE_OUTER_BOUND('',#16788,.F.); +#16790=ADVANCED_FACE('',(#16789),#16783,.T.); +#16791=CARTESIAN_POINT('',(-1.574803149606E-1,3.141968080016E-1, +-3.188976377953E-1)); +#16792=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16793=DIRECTION('',(0.E0,1.E0,0.E0)); +#16794=AXIS2_PLACEMENT_3D('',#16791,#16792,#16793); +#16795=PLANE('',#16794); +#16796=ORIENTED_EDGE('',*,*,#14267,.F.); +#16798=ORIENTED_EDGE('',*,*,#16797,.F.); +#16799=ORIENTED_EDGE('',*,*,#14230,.T.); +#16801=ORIENTED_EDGE('',*,*,#16800,.F.); +#16803=ORIENTED_EDGE('',*,*,#16802,.F.); +#16804=ORIENTED_EDGE('',*,*,#16766,.F.); +#16805=ORIENTED_EDGE('',*,*,#16785,.F.); +#16806=EDGE_LOOP('',(#16796,#16798,#16799,#16801,#16803,#16804,#16805)); +#16807=FACE_OUTER_BOUND('',#16806,.F.); +#16808=ADVANCED_FACE('',(#16807),#16795,.T.); +#16809=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.269146769667E-1)); +#16810=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16811=DIRECTION('',(0.E0,1.E0,0.E0)); +#16812=AXIS2_PLACEMENT_3D('',#16809,#16810,#16811); +#16813=CONICAL_SURFACE('',#16812,1.713662341318E-1,6.E1); +#16814=ORIENTED_EDGE('',*,*,#14265,.F.); +#16815=ORIENTED_EDGE('',*,*,#14232,.T.); +#16816=ORIENTED_EDGE('',*,*,#16797,.T.); +#16817=EDGE_LOOP('',(#16814,#16815,#16816)); +#16818=FACE_OUTER_BOUND('',#16817,.F.); +#16819=ADVANCED_FACE('',(#16818),#16813,.T.); +#16820=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.748569765766E-1)); +#16821=DIRECTION('',(0.E0,0.E0,1.E0)); +#16822=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16823=AXIS2_PLACEMENT_3D('',#16820,#16821,#16822); +#16824=CONICAL_SURFACE('',#16823,1.696614597149E-1,6.E1); +#16825=ORIENTED_EDGE('',*,*,#14191,.F.); +#16826=ORIENTED_EDGE('',*,*,#16800,.T.); +#16827=ORIENTED_EDGE('',*,*,#14228,.T.); +#16828=EDGE_LOOP('',(#16825,#16826,#16827)); +#16829=FACE_OUTER_BOUND('',#16828,.F.); +#16830=ADVANCED_FACE('',(#16829),#16824,.T.); +#16831=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.748569765766E-1)); +#16832=DIRECTION('',(0.E0,0.E0,1.E0)); +#16833=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16834=AXIS2_PLACEMENT_3D('',#16831,#16832,#16833); +#16835=CONICAL_SURFACE('',#16834,1.696614597149E-1,6.E1); +#16836=ORIENTED_EDGE('',*,*,#14189,.F.); +#16837=ORIENTED_EDGE('',*,*,#16768,.T.); +#16838=ORIENTED_EDGE('',*,*,#16802,.T.); +#16839=EDGE_LOOP('',(#16836,#16837,#16838)); +#16840=FACE_OUTER_BOUND('',#16839,.F.); +#16841=ADVANCED_FACE('',(#16840),#16835,.T.); +#16842=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.748569765766E-1)); +#16843=DIRECTION('',(0.E0,0.E0,1.E0)); +#16844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16845=AXIS2_PLACEMENT_3D('',#16842,#16843,#16844); +#16846=CONICAL_SURFACE('',#16845,1.696614597149E-1,6.E1); +#16848=ORIENTED_EDGE('',*,*,#16847,.T.); +#16849=ORIENTED_EDGE('',*,*,#16770,.T.); +#16850=ORIENTED_EDGE('',*,*,#14187,.T.); +#16851=EDGE_LOOP('',(#16848,#16849,#16850)); +#16852=FACE_OUTER_BOUND('',#16851,.F.); +#16853=ADVANCED_FACE('',(#16852),#16846,.T.); +#16854=CARTESIAN_POINT('',(0.E0,5.869607147054E-1,-3.188976377953E-1)); +#16855=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#16856=DIRECTION('',(8.660254037844E-1,-5.E-1,0.E0)); +#16857=AXIS2_PLACEMENT_3D('',#16854,#16855,#16856); +#16858=PLANE('',#16857); +#16859=ORIENTED_EDGE('',*,*,#14252,.F.); +#16861=ORIENTED_EDGE('',*,*,#16860,.F.); +#16862=ORIENTED_EDGE('',*,*,#16772,.T.); +#16863=ORIENTED_EDGE('',*,*,#16847,.F.); +#16865=ORIENTED_EDGE('',*,*,#16864,.F.); +#16867=ORIENTED_EDGE('',*,*,#16866,.F.); +#16869=ORIENTED_EDGE('',*,*,#16868,.F.); +#16870=EDGE_LOOP('',(#16859,#16861,#16862,#16863,#16865,#16867,#16869)); +#16871=FACE_OUTER_BOUND('',#16870,.F.); +#16872=ADVANCED_FACE('',(#16871),#16858,.T.); +#16873=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.269146769667E-1)); +#16874=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16875=DIRECTION('',(0.E0,1.E0,0.E0)); +#16876=AXIS2_PLACEMENT_3D('',#16873,#16874,#16875); +#16877=CONICAL_SURFACE('',#16876,1.713662341318E-1,6.E1); +#16878=ORIENTED_EDGE('',*,*,#14250,.F.); +#16879=ORIENTED_EDGE('',*,*,#16774,.T.); +#16880=ORIENTED_EDGE('',*,*,#16860,.T.); +#16881=EDGE_LOOP('',(#16878,#16879,#16880)); +#16882=FACE_OUTER_BOUND('',#16881,.F.); +#16883=ADVANCED_FACE('',(#16882),#16877,.T.); +#16884=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.748569765766E-1)); +#16885=DIRECTION('',(0.E0,0.E0,1.E0)); +#16886=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16887=AXIS2_PLACEMENT_3D('',#16884,#16885,#16886); +#16888=CONICAL_SURFACE('',#16887,1.696614597149E-1,6.E1); +#16889=ORIENTED_EDGE('',*,*,#14185,.F.); +#16891=ORIENTED_EDGE('',*,*,#16890,.T.); +#16892=ORIENTED_EDGE('',*,*,#16864,.T.); +#16893=EDGE_LOOP('',(#16889,#16891,#16892)); +#16894=FACE_OUTER_BOUND('',#16893,.F.); +#16895=ADVANCED_FACE('',(#16894),#16888,.T.); +#16896=CARTESIAN_POINT('',(1.574803149606E-1,4.960394124708E-1, +-3.188976377953E-1)); +#16897=DIRECTION('',(1.E0,0.E0,0.E0)); +#16898=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16899=AXIS2_PLACEMENT_3D('',#16896,#16897,#16898); +#16900=PLANE('',#16899); +#16901=ORIENTED_EDGE('',*,*,#14256,.F.); +#16903=ORIENTED_EDGE('',*,*,#16902,.F.); +#16904=ORIENTED_EDGE('',*,*,#16866,.T.); +#16905=ORIENTED_EDGE('',*,*,#16890,.F.); +#16907=ORIENTED_EDGE('',*,*,#16906,.F.); +#16909=ORIENTED_EDGE('',*,*,#16908,.F.); +#16911=ORIENTED_EDGE('',*,*,#16910,.F.); +#16912=EDGE_LOOP('',(#16901,#16903,#16904,#16905,#16907,#16909,#16911)); +#16913=FACE_OUTER_BOUND('',#16912,.F.); +#16914=ADVANCED_FACE('',(#16913),#16900,.T.); +#16915=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.269146769667E-1)); +#16916=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16917=DIRECTION('',(0.E0,1.E0,0.E0)); +#16918=AXIS2_PLACEMENT_3D('',#16915,#16916,#16917); +#16919=CONICAL_SURFACE('',#16918,1.713662341318E-1,6.E1); +#16920=ORIENTED_EDGE('',*,*,#14254,.F.); +#16921=ORIENTED_EDGE('',*,*,#16868,.T.); +#16922=ORIENTED_EDGE('',*,*,#16902,.T.); +#16923=EDGE_LOOP('',(#16920,#16921,#16922)); +#16924=FACE_OUTER_BOUND('',#16923,.F.); +#16925=ADVANCED_FACE('',(#16924),#16919,.T.); +#16926=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.748569765766E-1)); +#16927=DIRECTION('',(0.E0,0.E0,1.E0)); +#16928=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16929=AXIS2_PLACEMENT_3D('',#16926,#16927,#16928); +#16930=CONICAL_SURFACE('',#16929,1.696614597149E-1,6.E1); +#16931=ORIENTED_EDGE('',*,*,#14183,.F.); +#16933=ORIENTED_EDGE('',*,*,#16932,.T.); +#16934=ORIENTED_EDGE('',*,*,#16906,.T.); +#16935=EDGE_LOOP('',(#16931,#16933,#16934)); +#16936=FACE_OUTER_BOUND('',#16935,.F.); +#16937=ADVANCED_FACE('',(#16936),#16930,.T.); +#16938=CARTESIAN_POINT('',(1.574803149606E-1,3.141968080016E-1, +-3.188976377953E-1)); +#16939=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#16940=DIRECTION('',(-8.660254037844E-1,-5.E-1,0.E0)); +#16941=AXIS2_PLACEMENT_3D('',#16938,#16939,#16940); +#16942=PLANE('',#16941); +#16943=ORIENTED_EDGE('',*,*,#14260,.F.); +#16945=ORIENTED_EDGE('',*,*,#16944,.F.); +#16946=ORIENTED_EDGE('',*,*,#16908,.T.); +#16947=ORIENTED_EDGE('',*,*,#16932,.F.); +#16948=ORIENTED_EDGE('',*,*,#14210,.F.); +#16949=ORIENTED_EDGE('',*,*,#14225,.F.); +#16951=ORIENTED_EDGE('',*,*,#16950,.F.); +#16952=EDGE_LOOP('',(#16943,#16945,#16946,#16947,#16948,#16949,#16951)); +#16953=FACE_OUTER_BOUND('',#16952,.F.); +#16954=ADVANCED_FACE('',(#16953),#16942,.T.); +#16955=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.269146769667E-1)); +#16956=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16957=DIRECTION('',(0.E0,1.E0,0.E0)); +#16958=AXIS2_PLACEMENT_3D('',#16955,#16956,#16957); +#16959=CONICAL_SURFACE('',#16958,1.713662341318E-1,6.E1); +#16960=ORIENTED_EDGE('',*,*,#14258,.F.); +#16961=ORIENTED_EDGE('',*,*,#16910,.T.); +#16962=ORIENTED_EDGE('',*,*,#16944,.T.); +#16963=EDGE_LOOP('',(#16960,#16961,#16962)); +#16964=FACE_OUTER_BOUND('',#16963,.F.); +#16965=ADVANCED_FACE('',(#16964),#16959,.T.); +#16966=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.269146769667E-1)); +#16967=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16968=DIRECTION('',(0.E0,1.E0,0.E0)); +#16969=AXIS2_PLACEMENT_3D('',#16966,#16967,#16968); +#16970=CONICAL_SURFACE('',#16969,1.713662341318E-1,6.E1); +#16971=ORIENTED_EDGE('',*,*,#14262,.F.); +#16972=ORIENTED_EDGE('',*,*,#16950,.T.); +#16973=ORIENTED_EDGE('',*,*,#14223,.T.); +#16974=EDGE_LOOP('',(#16971,#16972,#16973)); +#16975=FACE_OUTER_BOUND('',#16974,.F.); +#16976=ADVANCED_FACE('',(#16975),#16970,.T.); +#16977=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.743897637795E-1)); +#16978=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16979=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16980=AXIS2_PLACEMENT_3D('',#16977,#16978,#16979); +#16981=CONICAL_SURFACE('',#16980,1.175393700787E-1,4.5E1); +#16982=ORIENTED_EDGE('',*,*,#14197,.T.); +#16983=ORIENTED_EDGE('',*,*,#14171,.T.); +#16984=ORIENTED_EDGE('',*,*,#13960,.F.); +#16985=ORIENTED_EDGE('',*,*,#13981,.F.); +#16986=ORIENTED_EDGE('',*,*,#14069,.T.); +#16987=EDGE_LOOP('',(#16982,#16983,#16984,#16985,#16986)); +#16988=FACE_OUTER_BOUND('',#16987,.F.); +#16989=ADVANCED_FACE('',(#16988),#16981,.F.); +#16990=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-3.039370078740E-1)); +#16991=DIRECTION('',(0.E0,0.E0,1.E0)); +#16992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16993=AXIS2_PLACEMENT_3D('',#16990,#16991,#16992); +#16994=PLANE('',#16993); +#16995=ORIENTED_EDGE('',*,*,#9724,.F.); +#16996=ORIENTED_EDGE('',*,*,#10346,.F.); +#16997=ORIENTED_EDGE('',*,*,#9404,.F.); +#16998=ORIENTED_EDGE('',*,*,#9443,.F.); +#16999=EDGE_LOOP('',(#16995,#16996,#16997,#16998)); +#17000=FACE_OUTER_BOUND('',#16999,.F.); +#17001=ADVANCED_FACE('',(#17000),#16994,.F.); +#17002=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.831692913386E-1)); +#17003=DIRECTION('',(0.E0,0.E0,1.E0)); +#17004=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17005=AXIS2_PLACEMENT_3D('',#17002,#17003,#17004); +#17006=CYLINDRICAL_SURFACE('',#17005,1.082677165354E-1); +#17007=ORIENTED_EDGE('',*,*,#9727,.F.); +#17008=ORIENTED_EDGE('',*,*,#9446,.F.); +#17009=ORIENTED_EDGE('',*,*,#9431,.F.); +#17011=ORIENTED_EDGE('',*,*,#17010,.T.); +#17012=EDGE_LOOP('',(#17007,#17008,#17009,#17011)); +#17013=FACE_OUTER_BOUND('',#17012,.F.); +#17014=ADVANCED_FACE('',(#17013),#17006,.T.); +#17015=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.831692913386E-1)); +#17016=DIRECTION('',(0.E0,0.E0,1.E0)); +#17017=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17018=AXIS2_PLACEMENT_3D('',#17015,#17016,#17017); +#17019=CYLINDRICAL_SURFACE('',#17018,1.082677165354E-1); +#17020=ORIENTED_EDGE('',*,*,#9729,.F.); +#17021=ORIENTED_EDGE('',*,*,#17010,.F.); +#17022=ORIENTED_EDGE('',*,*,#9429,.F.); +#17024=ORIENTED_EDGE('',*,*,#17023,.T.); +#17025=EDGE_LOOP('',(#17020,#17021,#17022,#17024)); +#17026=FACE_OUTER_BOUND('',#17025,.F.); +#17027=ADVANCED_FACE('',(#17026),#17019,.T.); +#17028=CARTESIAN_POINT('',(0.E0,4.051181102362E-1,-7.831692913386E-1)); +#17029=DIRECTION('',(0.E0,0.E0,1.E0)); +#17030=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17031=AXIS2_PLACEMENT_3D('',#17028,#17029,#17030); +#17032=CYLINDRICAL_SURFACE('',#17031,1.082677165354E-1); +#17033=ORIENTED_EDGE('',*,*,#9731,.F.); +#17034=ORIENTED_EDGE('',*,*,#17023,.F.); +#17035=ORIENTED_EDGE('',*,*,#9427,.F.); +#17037=ORIENTED_EDGE('',*,*,#17036,.F.); +#17039=ORIENTED_EDGE('',*,*,#17038,.F.); +#17041=ORIENTED_EDGE('',*,*,#17040,.F.); +#17042=EDGE_LOOP('',(#17033,#17034,#17035,#17037,#17039,#17041)); +#17043=FACE_OUTER_BOUND('',#17042,.F.); +#17044=ADVANCED_FACE('',(#17043),#17032,.T.); +#17045=CARTESIAN_POINT('',(2.939837295127E-3,2.975765046855E-1, +-2.443315086159E-1)); +#17046=CARTESIAN_POINT('',(1.659764758977E-2,2.979614236181E-1, +-2.437346626283E-1)); +#17047=CARTESIAN_POINT('',(4.375757658281E-2,3.039140916170E-1, +-2.425409706532E-1)); +#17048=CARTESIAN_POINT('',(7.761051963771E-2,3.266102691705E-1, +-2.407946435111E-1)); +#17049=CARTESIAN_POINT('',(1.007119597904E-1,3.590059171756E-1, +-2.390925271896E-1)); +#17050=CARTESIAN_POINT('',(1.112461380260E-1,3.965495095811E-1, +-2.374346216888E-1)); +#17051=CARTESIAN_POINT('',(1.089080750574E-1,4.292392544145E-1, +-2.360530337715E-1)); +#17052=CARTESIAN_POINT('',(1.004571446236E-1,4.540045636708E-1, +-2.349477634376E-1)); +#17053=CARTESIAN_POINT('',(9.100486628519E-2,4.713622905875E-1, +-2.341188106872E-1)); +#17054=CARTESIAN_POINT('',(7.867563830512E-2,4.870250117935E-1, +-2.332898579368E-1)); +#17055=CARTESIAN_POINT('',(6.627108365168E-2,4.983285579540E-1, +-2.325990639781E-1)); +#17056=CARTESIAN_POINT('',(5.526226763489E-2,5.061955733544E-1, +-2.320464288112E-1)); +#17057=CARTESIAN_POINT('',(4.651753721089E-2,5.115149119811E-1, +-2.316319524360E-1)); +#17058=CARTESIAN_POINT('',(3.887212455955E-2,5.154877737348E-1, +-2.312865554566E-1)); +#17059=CARTESIAN_POINT('',(3.157377025343E-2,5.190319581993E-1, +-2.309660405943E-1)); +#17060=CARTESIAN_POINT('',(2.735336176930E-2,5.210704010214E-1, +-2.307836845237E-1)); +#17061=CARTESIAN_POINT('',(2.477579986252E-2,5.229121614178E-1, +-2.306704078490E-1)); +#17062=CARTESIAN_POINT('',(3.074309723881E-3,2.926573954156E-1, +-2.414903985721E-1)); +#17063=CARTESIAN_POINT('',(1.735686254559E-2,2.930593927745E-1, +-2.408935525845E-1)); +#17064=CARTESIAN_POINT('',(4.575945500227E-2,2.992871194388E-1, +-2.396998606093E-1)); +#17065=CARTESIAN_POINT('',(8.115041691976E-2,3.230330196711E-1, +-2.379535334672E-1)); +#17066=CARTESIAN_POINT('',(1.052814483063E-1,3.569176519931E-1, +-2.362514171458E-1)); +#17067=CARTESIAN_POINT('',(1.162558381008E-1,3.961687054716E-1, +-2.345935116450E-1)); +#17068=CARTESIAN_POINT('',(1.137700632204E-1,4.303202841777E-1, +-2.332119237276E-1)); +#17069=CARTESIAN_POINT('',(1.049040629824E-1,4.561708412721E-1, +-2.321066533938E-1)); +#17070=CARTESIAN_POINT('',(9.500268573493E-2,4.742753120334E-1, +-2.312777006433E-1)); +#17071=CARTESIAN_POINT('',(8.210023745873E-2,4.905943704643E-1, +-2.304487478929E-1)); +#17072=CARTESIAN_POINT('',(6.912943588194E-2,5.023525375243E-1, +-2.297579539343E-1)); +#17073=CARTESIAN_POINT('',(5.762504704413E-2,5.105194419197E-1, +-2.292053187673E-1)); +#17074=CARTESIAN_POINT('',(4.849027813963E-2,5.160300964993E-1, +-2.287908423921E-1)); +#17075=CARTESIAN_POINT('',(4.050705165546E-2,5.201331347680E-1, +-2.284454454128E-1)); +#17076=CARTESIAN_POINT('',(3.288815448149E-2,5.237770616691E-1, +-2.281249305505E-1)); +#17077=CARTESIAN_POINT('',(2.848230582114E-2,5.258615672480E-1, +-2.279425744799E-1)); +#17078=CARTESIAN_POINT('',(2.578866817183E-2,5.277277420299E-1, +-2.278292978051E-1)); +#17079=CARTESIAN_POINT('',(3.208782152635E-3,2.877382861457E-1, +-2.386492885282E-1)); +#17080=CARTESIAN_POINT('',(1.811607750141E-2,2.881573619308E-1, +-2.380524425407E-1)); +#17081=CARTESIAN_POINT('',(4.776133342173E-2,2.946601472606E-1, +-2.368587505655E-1)); +#17082=CARTESIAN_POINT('',(8.469031420180E-2,3.194557701717E-1, +-2.351124234234E-1)); +#17083=CARTESIAN_POINT('',(1.098509368223E-1,3.548293868106E-1, +-2.334103071020E-1)); +#17084=CARTESIAN_POINT('',(1.212655381755E-1,3.957879013622E-1, +-2.317524016011E-1)); +#17085=CARTESIAN_POINT('',(1.186320513834E-1,4.314013139410E-1, +-2.303708136838E-1)); +#17086=CARTESIAN_POINT('',(1.093509813413E-1,4.583371188734E-1, +-2.292655433499E-1)); +#17087=CARTESIAN_POINT('',(9.900050518467E-2,4.771883334793E-1, +-2.284365905995E-1)); +#17088=CARTESIAN_POINT('',(8.552483661233E-2,4.941637291352E-1, +-2.276076378491E-1)); +#17089=CARTESIAN_POINT('',(7.198778811220E-2,5.063765170945E-1, +-2.269168438904E-1)); +#17090=CARTESIAN_POINT('',(5.998782645337E-2,5.148433104851E-1, +-2.263642087235E-1)); +#17091=CARTESIAN_POINT('',(5.046301906838E-2,5.205452810174E-1, +-2.259497323483E-1)); +#17092=CARTESIAN_POINT('',(4.214197875137E-2,5.247784958012E-1, +-2.256043353690E-1)); +#17093=CARTESIAN_POINT('',(3.420253870955E-2,5.285221651388E-1, +-2.252838205066E-1)); +#17094=CARTESIAN_POINT('',(2.961124987297E-2,5.306527334747E-1, +-2.251014644361E-1)); +#17095=CARTESIAN_POINT('',(2.680153648114E-2,5.325433226419E-1, +-2.249881877613E-1)); +#17096=CARTESIAN_POINT('',(3.343254581389E-3,2.828191768758E-1, +-2.358081784844E-1)); +#17097=CARTESIAN_POINT('',(1.887529245723E-2,2.832553310872E-1, +-2.352113324968E-1)); +#17098=CARTESIAN_POINT('',(4.976321184119E-2,2.900331750823E-1, +-2.340176405217E-1)); +#17099=CARTESIAN_POINT('',(8.823021148385E-2,3.158785206723E-1, +-2.322713133796E-1)); +#17100=CARTESIAN_POINT('',(1.144204253382E-1,3.527411216280E-1, +-2.305691970581E-1)); +#17101=CARTESIAN_POINT('',(1.262752382502E-1,3.954070972527E-1, +-2.289112915573E-1)); +#17102=CARTESIAN_POINT('',(1.234940395464E-1,4.324823437042E-1, +-2.275297036400E-1)); +#17103=CARTESIAN_POINT('',(1.137978997001E-1,4.605033964747E-1, +-2.264244333061E-1)); +#17104=CARTESIAN_POINT('',(1.029983246344E-1,4.801013549253E-1, +-2.255954805557E-1)); +#17105=CARTESIAN_POINT('',(8.894943576594E-2,4.977330878061E-1, +-2.247665278053E-1)); +#17106=CARTESIAN_POINT('',(7.484614034246E-2,5.104004966647E-1, +-2.240757338466E-1)); +#17107=CARTESIAN_POINT('',(6.235060586261E-2,5.191671790504E-1, +-2.235230986797E-1)); +#17108=CARTESIAN_POINT('',(5.243575999713E-2,5.250604655356E-1, +-2.231086223045E-1)); +#17109=CARTESIAN_POINT('',(4.377690584728E-2,5.294238568343E-1, +-2.227632253251E-1)); +#17110=CARTESIAN_POINT('',(3.551692293761E-2,5.332672686086E-1, +-2.224427104628E-1)); +#17111=CARTESIAN_POINT('',(3.074019392481E-2,5.354438997014E-1, +-2.222603543922E-1)); +#17112=CARTESIAN_POINT('',(2.781440479045E-2,5.373589032540E-1, +-2.221470777175E-1)); +#17113=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#17045,#17046,#17047,#17048,#17049, +#17050,#17051,#17052,#17053,#17054,#17055,#17056,#17057,#17058,#17059,#17060, +#17061),(#17062,#17063,#17064,#17065,#17066,#17067,#17068,#17069,#17070,#17071, +#17072,#17073,#17074,#17075,#17076,#17077,#17078),(#17079,#17080,#17081,#17082, +#17083,#17084,#17085,#17086,#17087,#17088,#17089,#17090,#17091,#17092,#17093, +#17094,#17095),(#17096,#17097,#17098,#17099,#17100,#17101,#17102,#17103,#17104, +#17105,#17106,#17107,#17108,#17109,#17110,#17111,#17112)),.UNSPECIFIED.,.F.,.F., +.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(-3.568022467213E-2,1.035680224672E0), +(1.068414801591E2,1.072224897034E2,1.076034992477E2,1.079562858585E2, +1.083090724692E2,1.086618590800E2,1.088382523854E2,1.090146456908E2, +1.091910389962E2,1.093674323015E2,1.094556289542E2,1.095438256069E2, +1.096320222596E2,1.096761205860E2,1.097484332011E2),.UNSPECIFIED.); +#17114=ORIENTED_EDGE('',*,*,#17036,.T.); +#17115=ORIENTED_EDGE('',*,*,#9425,.T.); +#17116=ORIENTED_EDGE('',*,*,#9125,.T.); +#17118=ORIENTED_EDGE('',*,*,#17117,.F.); +#17120=ORIENTED_EDGE('',*,*,#17119,.F.); +#17121=EDGE_LOOP('',(#17114,#17115,#17116,#17118,#17120)); +#17122=FACE_OUTER_BOUND('',#17121,.F.); +#17123=ADVANCED_FACE('',(#17122),#17113,.F.); +#17124=CARTESIAN_POINT('',(2.829597648959E-2,5.207055650606E-1, +-2.308244103811E-1)); +#17125=CARTESIAN_POINT('',(2.568509374791E-2,5.222079179012E-1, +-2.307101536542E-1)); +#17126=CARTESIAN_POINT('',(2.298965817275E-2,5.236222900690E-1, +-2.305958969273E-1)); +#17127=CARTESIAN_POINT('',(2.021593277968E-2,5.249405580173E-1, +-2.304816402004E-1)); +#17128=CARTESIAN_POINT('',(2.854837266881E-2,5.217365890994E-1, +-2.302115715225E-1)); +#17129=CARTESIAN_POINT('',(2.591242289650E-2,5.232450783855E-1, +-2.300973147956E-1)); +#17130=CARTESIAN_POINT('',(2.319169641257E-2,5.246646724181E-1, +-2.299830580686E-1)); +#17131=CARTESIAN_POINT('',(2.039252345262E-2,5.259872337714E-1, +-2.298688013417E-1)); +#17132=CARTESIAN_POINT('',(2.880076884803E-2,5.227676131383E-1, +-2.295987326638E-1)); +#17133=CARTESIAN_POINT('',(2.613975204509E-2,5.242822388697E-1, +-2.294844759369E-1)); +#17134=CARTESIAN_POINT('',(2.339373465239E-2,5.257070547671E-1, +-2.293702192100E-1)); +#17135=CARTESIAN_POINT('',(2.056911412556E-2,5.270339095254E-1, +-2.292559624831E-1)); +#17136=CARTESIAN_POINT('',(2.905316502725E-2,5.237986371771E-1, +-2.289858938052E-1)); +#17137=CARTESIAN_POINT('',(2.636708119368E-2,5.253193993540E-1, +-2.288716370783E-1)); +#17138=CARTESIAN_POINT('',(2.359577289221E-2,5.267494371162E-1, +-2.287573803514E-1)); +#17139=CARTESIAN_POINT('',(2.074570479850E-2,5.280805852795E-1, +-2.286431236244E-1)); +#17140=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#17124,#17125,#17126,#17127), +(#17128,#17129,#17130,#17131),(#17132,#17133,#17134,#17135),(#17136,#17137, +#17138,#17139)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-3.568022467214E-2, +1.954165314680E-1),(-4.417315857750E-3,6.630080492067E-2),.UNSPECIFIED.); +#17141=ORIENTED_EDGE('',*,*,#9123,.T.); +#17143=ORIENTED_EDGE('',*,*,#17142,.F.); +#17144=ORIENTED_EDGE('',*,*,#17117,.T.); +#17145=EDGE_LOOP('',(#17141,#17143,#17144)); +#17146=FACE_OUTER_BOUND('',#17145,.F.); +#17147=ADVANCED_FACE('',(#17146),#17140,.F.); +#17148=CARTESIAN_POINT('',(2.841288274822E-2,5.211831204789E-1, +-2.388190878271E-1)); +#17149=CARTESIAN_POINT('',(2.579038932062E-2,5.226883156322E-1, +-2.387048311002E-1)); +#17150=CARTESIAN_POINT('',(2.308323936242E-2,5.241051064923E-1, +-2.385905743733E-1)); +#17151=CARTESIAN_POINT('',(2.029772702453E-2,5.254253630836E-1, +-2.384763176464E-1)); +#17152=CARTESIAN_POINT('',(2.841288274822E-2,5.211831204789E-1, +-2.358703372522E-1)); +#17153=CARTESIAN_POINT('',(2.579038932062E-2,5.226883156322E-1, +-2.357560805252E-1)); +#17154=CARTESIAN_POINT('',(2.308323936242E-2,5.241051064923E-1, +-2.356418237983E-1)); +#17155=CARTESIAN_POINT('',(2.029772702453E-2,5.254253630836E-1, +-2.355275670714E-1)); +#17156=CARTESIAN_POINT('',(2.841288274822E-2,5.211831204789E-1, +-2.329215866772E-1)); +#17157=CARTESIAN_POINT('',(2.579038932062E-2,5.226883156322E-1, +-2.328073299502E-1)); +#17158=CARTESIAN_POINT('',(2.308323936242E-2,5.241051064923E-1, +-2.326930732233E-1)); +#17159=CARTESIAN_POINT('',(2.029772702453E-2,5.254253630836E-1, +-2.325788164964E-1)); +#17160=CARTESIAN_POINT('',(2.841288274822E-2,5.211831204789E-1, +-2.299728361022E-1)); +#17161=CARTESIAN_POINT('',(2.579038932062E-2,5.226883156322E-1, +-2.298585793753E-1)); +#17162=CARTESIAN_POINT('',(2.308323936242E-2,5.241051064923E-1, +-2.297443226483E-1)); +#17163=CARTESIAN_POINT('',(2.029772702453E-2,5.254253630836E-1, +-2.296300659214E-1)); +#17164=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#17148,#17149,#17150,#17151), +(#17152,#17153,#17154,#17155),(#17156,#17157,#17158,#17159),(#17160,#17161, +#17162,#17163)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-7.362592222297E-2, +1.073625922223E0),(-4.417315857751E-3,6.630080492066E-2),.UNSPECIFIED.); +#17165=ORIENTED_EDGE('',*,*,#9121,.T.); +#17167=ORIENTED_EDGE('',*,*,#17166,.F.); +#17169=ORIENTED_EDGE('',*,*,#17168,.T.); +#17170=ORIENTED_EDGE('',*,*,#17142,.T.); +#17171=EDGE_LOOP('',(#17165,#17167,#17169,#17170)); +#17172=FACE_OUTER_BOUND('',#17171,.F.); +#17173=ADVANCED_FACE('',(#17172),#17164,.F.); +#17174=CARTESIAN_POINT('',(2.905316502735E-2,5.237986371775E-1, +-2.398060301243E-1)); +#17175=CARTESIAN_POINT('',(2.636708119377E-2,5.253193993544E-1, +-2.396917733974E-1)); +#17176=CARTESIAN_POINT('',(2.359577289229E-2,5.267494371166E-1, +-2.395775166705E-1)); +#17177=CARTESIAN_POINT('',(2.074570479857E-2,5.280805852799E-1, +-2.394632599436E-1)); +#17178=CARTESIAN_POINT('',(2.880076884809E-2,5.227676131386E-1, +-2.391931912656E-1)); +#17179=CARTESIAN_POINT('',(2.613975204515E-2,5.242822388700E-1, +-2.390789345387E-1)); +#17180=CARTESIAN_POINT('',(2.339373465245E-2,5.257070547674E-1, +-2.389646778118E-1)); +#17181=CARTESIAN_POINT('',(2.056911412560E-2,5.270339095257E-1, +-2.388504210849E-1)); +#17182=CARTESIAN_POINT('',(2.854837266884E-2,5.217365890996E-1, +-2.385803524069E-1)); +#17183=CARTESIAN_POINT('',(2.591242289653E-2,5.232450783856E-1, +-2.384660956800E-1)); +#17184=CARTESIAN_POINT('',(2.319169641260E-2,5.246646724182E-1, +-2.383518389531E-1)); +#17185=CARTESIAN_POINT('',(2.039252345264E-2,5.259872337715E-1, +-2.382375822261E-1)); +#17186=CARTESIAN_POINT('',(2.829597648959E-2,5.207055650606E-1, +-2.379675135482E-1)); +#17187=CARTESIAN_POINT('',(2.568509374791E-2,5.222079179012E-1, +-2.378532568213E-1)); +#17188=CARTESIAN_POINT('',(2.298965817275E-2,5.236222900690E-1, +-2.377390000944E-1)); +#17189=CARTESIAN_POINT('',(2.021593277968E-2,5.249405580173E-1, +-2.376247433674E-1)); +#17190=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#17174,#17175,#17176,#17177), +(#17178,#17179,#17180,#17181),(#17182,#17183,#17184,#17185),(#17186,#17187, +#17188,#17189)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(8.045834685024E-1, +1.035680224672E0),(-4.417315857750E-3,6.630080492066E-2),.UNSPECIFIED.); +#17191=ORIENTED_EDGE('',*,*,#9119,.T.); +#17193=ORIENTED_EDGE('',*,*,#17192,.T.); +#17194=ORIENTED_EDGE('',*,*,#17166,.T.); +#17195=EDGE_LOOP('',(#17191,#17193,#17194)); +#17196=FACE_OUTER_BOUND('',#17195,.F.); +#17197=ADVANCED_FACE('',(#17196),#17190,.F.); +#17198=CARTESIAN_POINT('',(3.343254581419E-3,2.828191768758E-1, +-2.599979419145E-1)); +#17199=CARTESIAN_POINT('',(1.887529245726E-2,2.832553310872E-1, +-2.594010959269E-1)); +#17200=CARTESIAN_POINT('',(4.976321184120E-2,2.900331750823E-1, +-2.582074039517E-1)); +#17201=CARTESIAN_POINT('',(8.823021148385E-2,3.158785206723E-1, +-2.564610768096E-1)); +#17202=CARTESIAN_POINT('',(1.144204253382E-1,3.527411216280E-1, +-2.547589604882E-1)); +#17203=CARTESIAN_POINT('',(1.262752382502E-1,3.954070972527E-1, +-2.531010549874E-1)); +#17204=CARTESIAN_POINT('',(1.234940395464E-1,4.324823437042E-1, +-2.517194670700E-1)); +#17205=CARTESIAN_POINT('',(1.137978997001E-1,4.605033964747E-1, +-2.506141967361E-1)); +#17206=CARTESIAN_POINT('',(1.029983246344E-1,4.801013549253E-1, +-2.497852439857E-1)); +#17207=CARTESIAN_POINT('',(8.894943576594E-2,4.977330878061E-1, +-2.489562912353E-1)); +#17208=CARTESIAN_POINT('',(7.484614034246E-2,5.104004966647E-1, +-2.482654972767E-1)); +#17209=CARTESIAN_POINT('',(6.235060586261E-2,5.191671790504E-1, +-2.477128621097E-1)); +#17210=CARTESIAN_POINT('',(5.243575999713E-2,5.250604655356E-1, +-2.472983857345E-1)); +#17211=CARTESIAN_POINT('',(4.377690584728E-2,5.294238568343E-1, +-2.469529887552E-1)); +#17212=CARTESIAN_POINT('',(3.551692293809E-2,5.332672686084E-1, +-2.466324738929E-1)); +#17213=CARTESIAN_POINT('',(3.074019392579E-2,5.354438997009E-1, +-2.464501178223E-1)); +#17214=CARTESIAN_POINT('',(2.781440479190E-2,5.373589032530E-1, +-2.463368411476E-1)); +#17215=CARTESIAN_POINT('',(3.208782152664E-3,2.877382861457E-1, +-2.571568318706E-1)); +#17216=CARTESIAN_POINT('',(1.811607750144E-2,2.881573619308E-1, +-2.565599858831E-1)); +#17217=CARTESIAN_POINT('',(4.776133342174E-2,2.946601472606E-1, +-2.553662939079E-1)); +#17218=CARTESIAN_POINT('',(8.469031420180E-2,3.194557701717E-1, +-2.536199667658E-1)); +#17219=CARTESIAN_POINT('',(1.098509368223E-1,3.548293868106E-1, +-2.519178504444E-1)); +#17220=CARTESIAN_POINT('',(1.212655381755E-1,3.957879013622E-1, +-2.502599449435E-1)); +#17221=CARTESIAN_POINT('',(1.186320513834E-1,4.314013139410E-1, +-2.488783570262E-1)); +#17222=CARTESIAN_POINT('',(1.093509813413E-1,4.583371188734E-1, +-2.477730866923E-1)); +#17223=CARTESIAN_POINT('',(9.900050518467E-2,4.771883334793E-1, +-2.469441339419E-1)); +#17224=CARTESIAN_POINT('',(8.552483661233E-2,4.941637291352E-1, +-2.461151811915E-1)); +#17225=CARTESIAN_POINT('',(7.198778811220E-2,5.063765170945E-1, +-2.454243872328E-1)); +#17226=CARTESIAN_POINT('',(5.998782645337E-2,5.148433104851E-1, +-2.448717520659E-1)); +#17227=CARTESIAN_POINT('',(5.046301906838E-2,5.205452810174E-1, +-2.444572756907E-1)); +#17228=CARTESIAN_POINT('',(4.214197875137E-2,5.247784958012E-1, +-2.441118787114E-1)); +#17229=CARTESIAN_POINT('',(3.420253871002E-2,5.285221651386E-1, +-2.437913638491E-1)); +#17230=CARTESIAN_POINT('',(2.961124987391E-2,5.306527334743E-1, +-2.436090077785E-1)); +#17231=CARTESIAN_POINT('',(2.680153648254E-2,5.325433226410E-1, +-2.434957311037E-1)); +#17232=CARTESIAN_POINT('',(3.074309723908E-3,2.926573954156E-1, +-2.543157218268E-1)); +#17233=CARTESIAN_POINT('',(1.735686254562E-2,2.930593927745E-1, +-2.537188758392E-1)); +#17234=CARTESIAN_POINT('',(4.575945500228E-2,2.992871194388E-1, +-2.525251838641E-1)); +#17235=CARTESIAN_POINT('',(8.115041691976E-2,3.230330196711E-1, +-2.507788567220E-1)); +#17236=CARTESIAN_POINT('',(1.052814483063E-1,3.569176519931E-1, +-2.490767404005E-1)); +#17237=CARTESIAN_POINT('',(1.162558381008E-1,3.961687054716E-1, +-2.474188348997E-1)); +#17238=CARTESIAN_POINT('',(1.137700632204E-1,4.303202841777E-1, +-2.460372469824E-1)); +#17239=CARTESIAN_POINT('',(1.049040629824E-1,4.561708412721E-1, +-2.449319766485E-1)); +#17240=CARTESIAN_POINT('',(9.500268573493E-2,4.742753120334E-1, +-2.441030238981E-1)); +#17241=CARTESIAN_POINT('',(8.210023745873E-2,4.905943704643E-1, +-2.432740711477E-1)); +#17242=CARTESIAN_POINT('',(6.912943588194E-2,5.023525375243E-1, +-2.425832771890E-1)); +#17243=CARTESIAN_POINT('',(5.762504704413E-2,5.105194419197E-1, +-2.420306420221E-1)); +#17244=CARTESIAN_POINT('',(4.849027813963E-2,5.160300964993E-1, +-2.416161656469E-1)); +#17245=CARTESIAN_POINT('',(4.050705165546E-2,5.201331347680E-1, +-2.412707686675E-1)); +#17246=CARTESIAN_POINT('',(3.288815448194E-2,5.237770616689E-1, +-2.409502538052E-1)); +#17247=CARTESIAN_POINT('',(2.848230582204E-2,5.258615672476E-1, +-2.407678977347E-1)); +#17248=CARTESIAN_POINT('',(2.578866817317E-2,5.277277420289E-1, +-2.406546210599E-1)); +#17249=CARTESIAN_POINT('',(2.939837295153E-3,2.975765046855E-1, +-2.514746117830E-1)); +#17250=CARTESIAN_POINT('',(1.659764758979E-2,2.979614236181E-1, +-2.508777657954E-1)); +#17251=CARTESIAN_POINT('',(4.375757658282E-2,3.039140916170E-1, +-2.496840738202E-1)); +#17252=CARTESIAN_POINT('',(7.761051963771E-2,3.266102691705E-1, +-2.479377466781E-1)); +#17253=CARTESIAN_POINT('',(1.007119597904E-1,3.590059171756E-1, +-2.462356303567E-1)); +#17254=CARTESIAN_POINT('',(1.112461380260E-1,3.965495095811E-1, +-2.445777248559E-1)); +#17255=CARTESIAN_POINT('',(1.089080750574E-1,4.292392544144E-1, +-2.431961369385E-1)); +#17256=CARTESIAN_POINT('',(1.004571446236E-1,4.540045636708E-1, +-2.420908666047E-1)); +#17257=CARTESIAN_POINT('',(9.100486628519E-2,4.713622905875E-1, +-2.412619138543E-1)); +#17258=CARTESIAN_POINT('',(7.867563830512E-2,4.870250117935E-1, +-2.404329611038E-1)); +#17259=CARTESIAN_POINT('',(6.627108365168E-2,4.983285579540E-1, +-2.397421671452E-1)); +#17260=CARTESIAN_POINT('',(5.526226763489E-2,5.061955733544E-1, +-2.391895319782E-1)); +#17261=CARTESIAN_POINT('',(4.651753721089E-2,5.115149119811E-1, +-2.387750556030E-1)); +#17262=CARTESIAN_POINT('',(3.887212455955E-2,5.154877737348E-1, +-2.384296586237E-1)); +#17263=CARTESIAN_POINT('',(3.157377025386E-2,5.190319581991E-1, +-2.381091437614E-1)); +#17264=CARTESIAN_POINT('',(2.735336177016E-2,5.210704010209E-1, +-2.379267876908E-1)); +#17265=CARTESIAN_POINT('',(2.477579986380E-2,5.229121614169E-1, +-2.378135110161E-1)); +#17266=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#17198,#17199,#17200,#17201,#17202, +#17203,#17204,#17205,#17206,#17207,#17208,#17209,#17210,#17211,#17212,#17213, +#17214),(#17215,#17216,#17217,#17218,#17219,#17220,#17221,#17222,#17223,#17224, +#17225,#17226,#17227,#17228,#17229,#17230,#17231),(#17232,#17233,#17234,#17235, +#17236,#17237,#17238,#17239,#17240,#17241,#17242,#17243,#17244,#17245,#17246, +#17247,#17248),(#17249,#17250,#17251,#17252,#17253,#17254,#17255,#17256,#17257, +#17258,#17259,#17260,#17261,#17262,#17263,#17264,#17265)),.UNSPECIFIED.,.F.,.F., +.F.,(4,4),(4,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(-3.568022467211E-2,1.035680224672E0), +(1.068414801591E2,1.072224897034E2,1.076034992477E2,1.079562858585E2, +1.083090724692E2,1.086618590800E2,1.088382523854E2,1.090146456908E2, +1.091910389962E2,1.093674323015E2,1.094556289542E2,1.095438256069E2, +1.096320222596E2,1.096761205860E2,1.097484332011E2),.UNSPECIFIED.); +#17267=ORIENTED_EDGE('',*,*,#17040,.T.); +#17269=ORIENTED_EDGE('',*,*,#17268,.T.); +#17270=ORIENTED_EDGE('',*,*,#17192,.F.); +#17271=ORIENTED_EDGE('',*,*,#9117,.T.); +#17272=ORIENTED_EDGE('',*,*,#9733,.T.); +#17273=EDGE_LOOP('',(#17267,#17269,#17270,#17271,#17272)); +#17274=FACE_OUTER_BOUND('',#17273,.F.); +#17275=ADVANCED_FACE('',(#17274),#17266,.F.); +#17276=CARTESIAN_POINT('',(5.418442433827E-2,3.114266537673E-1, +-2.499905461687E-1)); +#17277=CARTESIAN_POINT('',(6.249361808380E-2,3.161569102701E-1, +-2.495754008246E-1)); +#17278=CARTESIAN_POINT('',(8.043832489113E-2,3.297310932907E-1, +-2.486076203136E-1)); +#17279=CARTESIAN_POINT('',(1.011693793070E-1,3.587960409559E-1, +-2.470872046356E-1)); +#17280=CARTESIAN_POINT('',(1.117464216978E-1,3.965118014974E-1, +-2.454292991348E-1)); +#17281=CARTESIAN_POINT('',(1.093938710737E-1,4.293472191315E-1, +-2.440477112175E-1)); +#17282=CARTESIAN_POINT('',(1.009014346646E-1,4.542210065560E-1, +-2.429424408836E-1)); +#17283=CARTESIAN_POINT('',(9.140429507614E-2,4.716533310933E-1, +-2.421134881332E-1)); +#17284=CARTESIAN_POINT('',(7.901778840078E-2,4.873816312613E-1, +-2.412845353828E-1)); +#17285=CARTESIAN_POINT('',(6.655667788553E-2,4.987305953980E-1, +-2.405937414241E-1)); +#17286=CARTESIAN_POINT('',(5.549831201531E-2,5.066275791864E-1, +-2.400411062572E-1)); +#17287=CARTESIAN_POINT('',(4.671472432538E-2,5.119660137368E-1, +-2.396266298820E-1)); +#17288=CARTESIAN_POINT('',(3.903521041990E-2,5.159519398252E-1, +-2.392812329026E-1)); +#17289=CARTESIAN_POINT('',(3.188014695749E-2,5.194213444005E-1, +-2.389683482672E-1)); +#17290=CARTESIAN_POINT('',(2.781887387169E-2,5.213784716016E-1, +-2.387936224235E-1)); +#17291=CARTESIAN_POINT('',(2.540058789523E-2,5.230283066703E-1, +-2.386879759756E-1)); +#17292=CARTESIAN_POINT('',(5.418442433827E-2,3.114266537673E-1, +-2.470417955937E-1)); +#17293=CARTESIAN_POINT('',(6.249361808380E-2,3.161569102701E-1, +-2.466266502496E-1)); +#17294=CARTESIAN_POINT('',(8.043832489113E-2,3.297310932907E-1, +-2.456588697386E-1)); +#17295=CARTESIAN_POINT('',(1.011693793070E-1,3.587960409559E-1, +-2.441384540606E-1)); +#17296=CARTESIAN_POINT('',(1.117464216978E-1,3.965118014974E-1, +-2.424805485598E-1)); +#17297=CARTESIAN_POINT('',(1.093938710737E-1,4.293472191315E-1, +-2.410989606425E-1)); +#17298=CARTESIAN_POINT('',(1.009014346646E-1,4.542210065560E-1, +-2.399936903086E-1)); +#17299=CARTESIAN_POINT('',(9.140429507614E-2,4.716533310933E-1, +-2.391647375582E-1)); +#17300=CARTESIAN_POINT('',(7.901778840078E-2,4.873816312613E-1, +-2.383357848078E-1)); +#17301=CARTESIAN_POINT('',(6.655667788553E-2,4.987305953980E-1, +-2.376449908491E-1)); +#17302=CARTESIAN_POINT('',(5.549831201531E-2,5.066275791864E-1, +-2.370923556822E-1)); +#17303=CARTESIAN_POINT('',(4.671472432538E-2,5.119660137368E-1, +-2.366778793070E-1)); +#17304=CARTESIAN_POINT('',(3.903521041990E-2,5.159519398252E-1, +-2.363324823277E-1)); +#17305=CARTESIAN_POINT('',(3.188014695749E-2,5.194213444005E-1, +-2.360195976922E-1)); +#17306=CARTESIAN_POINT('',(2.781887387169E-2,5.213784716016E-1, +-2.358448718485E-1)); +#17307=CARTESIAN_POINT('',(2.540058789523E-2,5.230283066703E-1, +-2.357392254006E-1)); +#17308=CARTESIAN_POINT('',(5.418442433827E-2,3.114266537673E-1, +-2.440930450187E-1)); +#17309=CARTESIAN_POINT('',(6.249361808380E-2,3.161569102701E-1, +-2.436778996746E-1)); +#17310=CARTESIAN_POINT('',(8.043832489113E-2,3.297310932907E-1, +-2.427101191636E-1)); +#17311=CARTESIAN_POINT('',(1.011693793070E-1,3.587960409559E-1, +-2.411897034857E-1)); +#17312=CARTESIAN_POINT('',(1.117464216978E-1,3.965118014974E-1, +-2.395317979848E-1)); +#17313=CARTESIAN_POINT('',(1.093938710737E-1,4.293472191315E-1, +-2.381502100675E-1)); +#17314=CARTESIAN_POINT('',(1.009014346646E-1,4.542210065560E-1, +-2.370449397336E-1)); +#17315=CARTESIAN_POINT('',(9.140429507614E-2,4.716533310933E-1, +-2.362159869832E-1)); +#17316=CARTESIAN_POINT('',(7.901778840078E-2,4.873816312613E-1, +-2.353870342328E-1)); +#17317=CARTESIAN_POINT('',(6.655667788553E-2,4.987305953980E-1, +-2.346962402741E-1)); +#17318=CARTESIAN_POINT('',(5.549831201531E-2,5.066275791864E-1, +-2.341436051072E-1)); +#17319=CARTESIAN_POINT('',(4.671472432538E-2,5.119660137368E-1, +-2.337291287320E-1)); +#17320=CARTESIAN_POINT('',(3.903521041990E-2,5.159519398252E-1, +-2.333837317527E-1)); +#17321=CARTESIAN_POINT('',(3.188014695749E-2,5.194213444005E-1, +-2.330708471172E-1)); +#17322=CARTESIAN_POINT('',(2.781887387169E-2,5.213784716016E-1, +-2.328961212735E-1)); +#17323=CARTESIAN_POINT('',(2.540058789523E-2,5.230283066703E-1, +-2.327904748256E-1)); +#17324=CARTESIAN_POINT('',(5.418442433827E-2,3.114266537673E-1, +-2.411442944437E-1)); +#17325=CARTESIAN_POINT('',(6.249361808380E-2,3.161569102701E-1, +-2.407291490997E-1)); +#17326=CARTESIAN_POINT('',(8.043832489113E-2,3.297310932907E-1, +-2.397613685886E-1)); +#17327=CARTESIAN_POINT('',(1.011693793070E-1,3.587960409559E-1, +-2.382409529107E-1)); +#17328=CARTESIAN_POINT('',(1.117464216978E-1,3.965118014974E-1, +-2.365830474099E-1)); +#17329=CARTESIAN_POINT('',(1.093938710737E-1,4.293472191315E-1, +-2.352014594925E-1)); +#17330=CARTESIAN_POINT('',(1.009014346646E-1,4.542210065560E-1, +-2.340961891586E-1)); +#17331=CARTESIAN_POINT('',(9.140429507614E-2,4.716533310933E-1, +-2.332672364082E-1)); +#17332=CARTESIAN_POINT('',(7.901778840078E-2,4.873816312613E-1, +-2.324382836578E-1)); +#17333=CARTESIAN_POINT('',(6.655667788553E-2,4.987305953980E-1, +-2.317474896992E-1)); +#17334=CARTESIAN_POINT('',(5.549831201531E-2,5.066275791864E-1, +-2.311948545322E-1)); +#17335=CARTESIAN_POINT('',(4.671472432538E-2,5.119660137368E-1, +-2.307803781570E-1)); +#17336=CARTESIAN_POINT('',(3.903521041990E-2,5.159519398252E-1, +-2.304349811777E-1)); +#17337=CARTESIAN_POINT('',(3.188014695749E-2,5.194213444005E-1, +-2.301220965422E-1)); +#17338=CARTESIAN_POINT('',(2.781887387169E-2,5.213784716016E-1, +-2.299473706985E-1)); +#17339=CARTESIAN_POINT('',(2.540058789523E-2,5.230283066703E-1, +-2.298417242506E-1)); +#17340=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#17276,#17277,#17278,#17279,#17280, +#17281,#17282,#17283,#17284,#17285,#17286,#17287,#17288,#17289,#17290,#17291),( +#17292,#17293,#17294,#17295,#17296,#17297,#17298,#17299,#17300,#17301,#17302, +#17303,#17304,#17305,#17306,#17307),(#17308,#17309,#17310,#17311,#17312,#17313, +#17314,#17315,#17316,#17317,#17318,#17319,#17320,#17321,#17322,#17323),(#17324, +#17325,#17326,#17327,#17328,#17329,#17330,#17331,#17332,#17333,#17334,#17335, +#17336,#17337,#17338,#17339)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1, +1,1,1,1,1,4),(-7.362592222297E-2,1.073625922223E0),(1.073384822389E2, +1.076034992477E2,1.079562858585E2,1.083090724692E2,1.086618590800E2, +1.088382523854E2,1.090146456908E2,1.091910389962E2,1.093674323015E2, +1.094556289542E2,1.095438256069E2,1.096320222596E2,1.096761205860E2, +1.097435622808E2),.UNSPECIFIED.); +#17341=ORIENTED_EDGE('',*,*,#17038,.T.); +#17342=ORIENTED_EDGE('',*,*,#17119,.T.); +#17343=ORIENTED_EDGE('',*,*,#17168,.F.); +#17344=ORIENTED_EDGE('',*,*,#17268,.F.); +#17345=EDGE_LOOP('',(#17341,#17342,#17343,#17344)); +#17346=FACE_OUTER_BOUND('',#17345,.F.); +#17347=ADVANCED_FACE('',(#17346),#17340,.F.); +#17348=CLOSED_SHELL('',(#8277,#8292,#8306,#8324,#8341,#8367,#8384,#8407,#8438, +#8450,#8462,#8480,#8498,#8531,#8546,#8564,#8582,#8599,#8615,#8629,#8642,#8654, +#8672,#8689,#8705,#8719,#8732,#8744,#8760,#8774,#8787,#8799,#8814,#8831,#8843, +#8858,#8871,#8886,#8897,#8909,#8924,#8937,#8952,#8965,#8981,#8996,#9009,#9028, +#9041,#9058,#9073,#9093,#9103,#9132,#9435,#9450,#9750,#9781,#9796,#9809,#9822, +#9835,#9848,#9860,#9913,#10197,#10351,#10381,#10396,#10557,#10850,#10867,#10881, +#11173,#11190,#11203,#11493,#11508,#11522,#11812,#11827,#11841,#11998,#12013, +#12027,#12188,#12199,#12216,#12235,#12250,#12265,#12279,#12291,#12307,#12322, +#12335,#12350,#12363,#12379,#12394,#12423,#12435,#12450,#12467,#12482,#12496, +#12512,#12526,#12542,#12556,#12570,#12590,#12608,#12621,#12634,#12645,#12657, +#12806,#13083,#13359,#13372,#13384,#13674,#13688,#13702,#13715,#13728,#13741, +#13756,#13795,#13807,#13821,#13834,#13847,#13859,#13878,#13972,#13986,#14074, +#14161,#14175,#14202,#14215,#14236,#14273,#14288,#14301,#14373,#14390,#14513, +#14529,#14565,#14593,#14610,#14640,#14655,#14691,#14719,#14736,#14766,#14781, +#14817,#14845,#14862,#14892,#14907,#14943,#14971,#14988,#15018,#15033,#15069, +#15097,#15114,#15144,#15159,#15195,#15223,#15240,#15270,#15285,#15321,#15349, +#15366,#15396,#15411,#15447,#15475,#15492,#15522,#15537,#15573,#15601,#15618, +#15647,#15680,#15713,#15746,#15779,#15812,#15845,#15878,#15911,#15944,#15977, +#16010,#16043,#16076,#16109,#16142,#16175,#16208,#16241,#16276,#16311,#16354, +#16385,#16400,#16428,#16448,#16461,#16473,#16560,#16645,#16733,#16745,#16757, +#16778,#16790,#16808,#16819,#16830,#16841,#16853,#16872,#16883,#16895,#16914, +#16925,#16937,#16954,#16965,#16976,#16989,#17001,#17014,#17027,#17044,#17123, +#17147,#17173,#17197,#17275,#17347)); +#17349=MANIFOLD_SOLID_BREP('',#17348); +#17350=DIMENSIONAL_EXPONENTS(1.E0,0.E0,0.E0,0.E0,0.E0,0.E0,0.E0); +#17352=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(2.54E1),#17351); +#17353=(CONVERSION_BASED_UNIT('INCH',#17352)LENGTH_UNIT()NAMED_UNIT(#17350)); +#17354=DIMENSIONAL_EXPONENTS(0.E0,0.E0,0.E0,0.E0,0.E0,0.E0,0.E0); +#17356=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#17355); +#17357=(CONVERSION_BASED_UNIT('DEGREE',#17356)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#17359=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(4.730778977622E-5),#17353, +'closure', +'Maximum model space distance between geometric entities at asserted connectivities'); +#17360=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#17359))GLOBAL_UNIT_ASSIGNED_CONTEXT((#17353,#17357,#17358))REPRESENTATION_CONTEXT('ID1','3')); +#17362=APPLICATION_CONTEXT( +'CONFIGURATION CONTROLLED 3D DESIGNS OF MECHANICAL PARTS AND ASSEMBLIES'); +#17363=APPLICATION_PROTOCOL_DEFINITION('international standard', +'config_control_design',1994,#17362); +#17364=DESIGN_CONTEXT('',#17362,'design'); +#17365=MECHANICAL_CONTEXT('',#17362,'mechanical'); +#17366=PRODUCT('SMA6252A9-002-3GT50G-_SW0001','SMA6252A9-002-3GT50G-_SW0001', +'NOT SPECIFIED',(#17365)); +#17367=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('1','LAST_VERSION', +#17366,.MADE.); +#17371=PRODUCT_CATEGORY('part',''); +#17372=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#17366)); +#17373=PRODUCT_CATEGORY_RELATIONSHIP('','',#17371,#17372); +#17374=SECURITY_CLASSIFICATION_LEVEL('unclassified'); +#17375=SECURITY_CLASSIFICATION('','',#17374); +#17376=CC_DESIGN_SECURITY_CLASSIFICATION(#17375,(#17367)); +#17377=APPROVAL_STATUS('approved'); +#17378=APPROVAL(#17377,''); +#17379=CC_DESIGN_APPROVAL(#17378,(#17375,#17367,#17368)); +#17380=CALENDAR_DATE(119,27,11); +#17381=COORDINATED_UNIVERSAL_TIME_OFFSET(5,30,.AHEAD.); +#17382=LOCAL_TIME(11,47,4.1E1,#17381); +#17383=DATE_AND_TIME(#17380,#17382); +#17384=APPROVAL_DATE_TIME(#17383,#17378); +#17385=DATE_TIME_ROLE('creation_date'); +#17386=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#17383,#17385,(#17368)); +#17387=DATE_TIME_ROLE('classification_date'); +#17388=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#17383,#17387,(#17375)); +#17389=PERSON('UNSPECIFIED','UNSPECIFIED',$,$,$,$); +#17390=ORGANIZATION('UNSPECIFIED','UNSPECIFIED','UNSPECIFIED'); +#17391=PERSON_AND_ORGANIZATION(#17389,#17390); +#17392=APPROVAL_ROLE('approver'); +#17393=APPROVAL_PERSON_ORGANIZATION(#17391,#17378,#17392); +#17394=PERSON_AND_ORGANIZATION_ROLE('creator'); +#17395=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17391,#17394,(#17367, +#17368)); +#17396=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); +#17397=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17391,#17396,(#17367)); +#17398=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); +#17399=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17391,#17398,(#17375)); +#17400=PERSON_AND_ORGANIZATION_ROLE('design_owner'); +#17401=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17391,#17400,(#17366)); +#52=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41,#42,#43,#44,#45,#46,#47,#48,#49,#50, +#51),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,3.75E-1, +5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#88=B_SPLINE_CURVE_WITH_KNOTS('',3,(#77,#78,#79,#80,#81,#82,#83,#84,#85,#86, +#87),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1,3.75E-1, +5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#93=CIRCLE('',#92,1.574803149606E-1); +#114=B_SPLINE_CURVE_WITH_KNOTS('',3,(#94,#95,#96,#97,#98,#99,#100,#101,#102, +#103,#104,#105,#106,#107,#108,#109,#110,#111,#112,#113),.UNSPECIFIED.,.F.,.F., +(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,5.882352941176E-2,1.176470588235E-1, +1.764705882353E-1,2.352941176471E-1,2.941176470588E-1,3.529411764706E-1, +4.117647058824E-1,4.705882352941E-1,5.294117647059E-1,5.882352941176E-1, +6.470588235294E-1,7.058823529412E-1,7.647058823529E-1,8.235294117647E-1, +8.823529411765E-1,9.411764705882E-1,1.E0),.UNSPECIFIED.); +#150=B_SPLINE_CURVE_WITH_KNOTS('',3,(#139,#140,#141,#142,#143,#144,#145,#146, +#147,#148,#149),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1, +3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#186=B_SPLINE_CURVE_WITH_KNOTS('',3,(#175,#176,#177,#178,#179,#180,#181,#182, +#183,#184,#185),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1, +3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#191=CIRCLE('',#190,1.082677165354E-1); +#196=CIRCLE('',#195,1.082677165354E-1); +#201=CIRCLE('',#200,1.574803149606E-1); +#262=CIRCLE('',#261,8.858235473044E-2); +#267=CIRCLE('',#266,8.858235473044E-2); +#296=B_SPLINE_CURVE_WITH_KNOTS('',3,(#276,#277,#278,#279,#280,#281,#282,#283, +#284,#285,#286,#287,#288,#289,#290,#291,#292,#293,#294,#295),.UNSPECIFIED.,.F., +.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,5.882352941176E-2, +1.176470588235E-1,1.764705882353E-1,2.352941176471E-1,2.941176470588E-1, +3.529411764706E-1,4.117647058824E-1,4.705882352941E-1,5.294117647059E-1, +5.882352941176E-1,6.470588235294E-1,7.058823529412E-1,7.647058823529E-1, +8.235294117647E-1,8.823529411765E-1,9.411764705882E-1,1.E0),.UNSPECIFIED.); +#453=CIRCLE('',#452,8.070844897817E-2); +#462=CIRCLE('',#461,2.5E-2); +#467=CIRCLE('',#466,2.5E-2); +#472=CIRCLE('',#471,8.070844897817E-2); +#481=CIRCLE('',#480,2.5E-2); +#490=CIRCLE('',#489,2.5E-2); +#503=CIRCLE('',#502,1.712573567166E-2); +#508=CIRCLE('',#507,1.712573567166E-2); +#525=CIRCLE('',#524,1.181102362205E-1); +#534=CIRCLE('',#533,1.181102362205E-1); +#543=CIRCLE('',#542,1.181102362205E-1); +#548=CIRCLE('',#547,1.181102362205E-1); +#553=CIRCLE('',#552,1.535433070866E-1); +#558=CIRCLE('',#557,1.535433070866E-1); +#571=CIRCLE('',#570,1.574803149606E-1); +#576=CIRCLE('',#575,1.574803149606E-1); +#581=CIRCLE('',#580,1.574803149606E-1); +#586=CIRCLE('',#585,1.574803149606E-1); +#591=CIRCLE('',#590,1.574803149606E-1); +#600=CIRCLE('',#599,1.574803149606E-1); +#609=CIRCLE('',#608,1.535433070866E-1); +#624=B_SPLINE_CURVE_WITH_KNOTS('',3,(#618,#619,#620,#621,#622,#623), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#631=B_SPLINE_CURVE_WITH_KNOTS('',3,(#625,#626,#627,#628,#629,#630), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#640=CIRCLE('',#639,1.218503937008E-1); +#694=B_SPLINE_CURVE_WITH_KNOTS('',3,(#645,#646,#647,#648,#649,#650,#651,#652, +#653,#654,#655,#656,#657,#658,#659,#660,#661,#662,#663,#664,#665,#666,#667,#668, +#669,#670,#671,#672,#673,#674,#675,#676,#677,#678,#679,#680,#681,#682,#683,#684, +#685,#686,#687,#688,#689,#690,#691,#692,#693),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,4),(0.E0,2.173913043478E-2,4.347826086957E-2,6.521739130435E-2, +8.695652173913E-2,1.086956521739E-1,1.304347826087E-1,1.521739130435E-1, +1.739130434783E-1,1.956521739130E-1,2.173913043478E-1,2.391304347826E-1, +2.608695652174E-1,2.826086956522E-1,3.043478260870E-1,3.260869565217E-1, +3.478260869565E-1,3.695652173913E-1,3.913043478261E-1,4.130434782609E-1, +4.347826086957E-1,4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1, +5.434782608696E-1,5.652173913043E-1,5.869565217391E-1,6.086956521739E-1, +6.304347826087E-1,6.521739130435E-1,6.739130434783E-1,6.956521739130E-1, +7.173913043478E-1,7.391304347826E-1,7.608695652174E-1,7.826086956522E-1, +8.043478260870E-1,8.260869565217E-1,8.478260869565E-1,8.695652173913E-1, +8.913043478261E-1,9.130434782609E-1,9.347826086957E-1,9.565217391304E-1, +9.782608695652E-1,1.E0),.UNSPECIFIED.); +#712=B_SPLINE_CURVE_WITH_KNOTS('',3,(#699,#700,#701,#702,#703,#704,#705,#706, +#707,#708,#709,#710,#711),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, +1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0),.UNSPECIFIED.); +#744=B_SPLINE_CURVE_WITH_KNOTS('',3,(#713,#714,#715,#716,#717,#718,#719,#720, +#721,#722,#723,#724,#725,#726,#727,#728,#729,#730,#731,#732,#733,#734,#735,#736, +#737,#738,#739,#740,#741,#742,#743),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.571428571429E-2, +7.142857142857E-2,1.071428571429E-1,1.428571428571E-1,1.785714285714E-1, +2.142857142857E-1,2.5E-1,2.857142857143E-1,3.214285714286E-1,3.571428571429E-1, +3.928571428571E-1,4.285714285714E-1,4.642857142857E-1,5.E-1,5.357142857143E-1, +5.714285714286E-1,6.071428571429E-1,6.428571428571E-1,6.785714285714E-1, +7.142857142857E-1,7.5E-1,7.857142857143E-1,8.214285714286E-1,8.571428571429E-1, +8.928571428571E-1,9.285714285714E-1,9.642857142857E-1,1.E0),.UNSPECIFIED.); +#751=B_SPLINE_CURVE_WITH_KNOTS('',3,(#745,#746,#747,#748,#749,#750), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#762=B_SPLINE_CURVE_WITH_KNOTS('',3,(#756,#757,#758,#759,#760,#761), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#794=B_SPLINE_CURVE_WITH_KNOTS('',3,(#763,#764,#765,#766,#767,#768,#769,#770, +#771,#772,#773,#774,#775,#776,#777,#778,#779,#780,#781,#782,#783,#784,#785,#786, +#787,#788,#789,#790,#791,#792,#793),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.571428571429E-2, +7.142857142857E-2,1.071428571429E-1,1.428571428571E-1,1.785714285714E-1, +2.142857142857E-1,2.5E-1,2.857142857143E-1,3.214285714286E-1,3.571428571429E-1, +3.928571428571E-1,4.285714285714E-1,4.642857142857E-1,5.E-1,5.357142857143E-1, +5.714285714286E-1,6.071428571429E-1,6.428571428571E-1,6.785714285714E-1, +7.142857142857E-1,7.5E-1,7.857142857143E-1,8.214285714286E-1,8.571428571429E-1, +8.928571428571E-1,9.285714285714E-1,9.642857142857E-1,1.E0),.UNSPECIFIED.); +#808=B_SPLINE_CURVE_WITH_KNOTS('',3,(#795,#796,#797,#798,#799,#800,#801,#802, +#803,#804,#805,#806,#807),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, +1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0),.UNSPECIFIED.); +#819=B_SPLINE_CURVE_WITH_KNOTS('',3,(#813,#814,#815,#816,#817,#818), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#894=B_SPLINE_CURVE_WITH_KNOTS('',3,(#820,#821,#822,#823,#824,#825,#826,#827, +#828,#829,#830,#831,#832,#833,#834,#835,#836,#837,#838,#839,#840,#841,#842,#843, +#844,#845,#846,#847,#848,#849,#850,#851,#852,#853,#854,#855,#856,#857,#858,#859, +#860,#861,#862,#863,#864,#865,#866,#867,#868,#869,#870,#871,#872,#873,#874,#875, +#876,#877,#878,#879,#880,#881,#882,#883,#884,#885,#886,#887,#888,#889,#890,#891, +#892,#893),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.408450704225E-2,2.816901408451E-2,4.225352112676E-2, +5.633802816901E-2,7.042253521127E-2,8.450704225352E-2,9.859154929577E-2, +1.126760563380E-1,1.267605633803E-1,1.408450704225E-1,1.549295774648E-1, +1.690140845070E-1,1.830985915493E-1,1.971830985915E-1,2.112676056338E-1, +2.253521126761E-1,2.394366197183E-1,2.535211267606E-1,2.676056338028E-1, +2.816901408451E-1,2.957746478873E-1,3.098591549296E-1,3.239436619718E-1, +3.380281690141E-1,3.521126760563E-1,3.661971830986E-1,3.802816901408E-1, +3.943661971831E-1,4.084507042254E-1,4.225352112676E-1,4.366197183099E-1, +4.507042253521E-1,4.647887323944E-1,4.788732394366E-1,4.929577464789E-1, +5.070422535211E-1,5.211267605634E-1,5.352112676056E-1,5.492957746479E-1, +5.633802816901E-1,5.774647887324E-1,5.915492957746E-1,6.056338028169E-1, +6.197183098592E-1,6.338028169014E-1,6.478873239437E-1,6.619718309859E-1, +6.760563380282E-1,6.901408450704E-1,7.042253521127E-1,7.183098591549E-1, +7.323943661972E-1,7.464788732394E-1,7.605633802817E-1,7.746478873239E-1, +7.887323943662E-1,8.028169014085E-1,8.169014084507E-1,8.309859154930E-1, +8.450704225352E-1,8.591549295775E-1,8.732394366197E-1,8.873239436620E-1, +9.014084507042E-1,9.154929577465E-1,9.295774647887E-1,9.436619718310E-1, +9.577464788732E-1,9.718309859155E-1,9.859154929577E-1,1.E0),.UNSPECIFIED.); +#912=B_SPLINE_CURVE_WITH_KNOTS('',3,(#899,#900,#901,#902,#903,#904,#905,#906, +#907,#908,#909,#910,#911),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, +1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0),.UNSPECIFIED.); +#926=B_SPLINE_CURVE_WITH_KNOTS('',3,(#913,#914,#915,#916,#917,#918,#919,#920, +#921,#922,#923,#924,#925),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, +1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0),.UNSPECIFIED.); +#940=B_SPLINE_CURVE_WITH_KNOTS('',3,(#927,#928,#929,#930,#931,#932,#933,#934, +#935,#936,#937,#938,#939),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, +1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0),.UNSPECIFIED.); +#954=B_SPLINE_CURVE_WITH_KNOTS('',3,(#941,#942,#943,#944,#945,#946,#947,#948, +#949,#950,#951,#952,#953),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, +1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0),.UNSPECIFIED.); +#972=B_SPLINE_CURVE_WITH_KNOTS('',3,(#955,#956,#957,#958,#959,#960,#961,#962, +#963,#964,#965,#966,#967,#968,#969,#970,#971),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1, +1,1,1,1,1,1,1,1,1,4),(0.E0,7.142857142857E-2,1.428571428571E-1, +2.142857142857E-1,2.857142857143E-1,3.571428571429E-1,4.285714285714E-1,5.E-1, +5.714285714286E-1,6.428571428571E-1,7.142857142857E-1,7.857142857143E-1, +8.571428571429E-1,9.285714285714E-1,1.E0),.UNSPECIFIED.); +#1002=B_SPLINE_CURVE_WITH_KNOTS('',3,(#973,#974,#975,#976,#977,#978,#979,#980, +#981,#982,#983,#984,#985,#986,#987,#988,#989,#990,#991,#992,#993,#994,#995,#996, +#997,#998,#999,#1000,#1001),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.846153846154E-2,7.692307692308E-2, +1.153846153846E-1,1.538461538462E-1,1.923076923077E-1,2.307692307692E-1, +2.692307692308E-1,3.076923076923E-1,3.461538461538E-1,3.846153846154E-1, +4.230769230769E-1,4.615384615385E-1,5.E-1,5.384615384615E-1,5.769230769231E-1, +6.153846153846E-1,6.538461538462E-1,6.923076923077E-1,7.307692307692E-1, +7.692307692308E-1,8.076923076923E-1,8.461538461538E-1,8.846153846154E-1, +9.230769230769E-1,9.615384615385E-1,1.E0),.UNSPECIFIED.); +#1032=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1003,#1004,#1005,#1006,#1007,#1008,#1009, +#1010,#1011,#1012,#1013,#1014,#1015,#1016,#1017,#1018,#1019,#1020,#1021,#1022, +#1023,#1024,#1025,#1026,#1027,#1028,#1029,#1030,#1031),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.846153846154E-2, +7.692307692308E-2,1.153846153846E-1,1.538461538462E-1,1.923076923077E-1, +2.307692307692E-1,2.692307692308E-1,3.076923076923E-1,3.461538461538E-1, +3.846153846154E-1,4.230769230769E-1,4.615384615385E-1,5.E-1,5.384615384615E-1, +5.769230769231E-1,6.153846153846E-1,6.538461538462E-1,6.923076923077E-1, +7.307692307692E-1,7.692307692308E-1,8.076923076923E-1,8.461538461538E-1, +8.846153846154E-1,9.230769230769E-1,9.615384615385E-1,1.E0),.UNSPECIFIED.); +#1063=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1033,#1034,#1035,#1036,#1037,#1038,#1039, +#1040,#1041,#1042,#1043,#1044,#1045,#1046,#1047,#1048,#1049,#1050,#1051,#1052, +#1053,#1054,#1055,#1056,#1057,#1058,#1059,#1060,#1061,#1062),.UNSPECIFIED.,.F., +.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +3.703703703704E-2,7.407407407407E-2,1.111111111111E-1,1.481481481481E-1, +1.851851851852E-1,2.222222222222E-1,2.592592592593E-1,2.962962962963E-1, +3.333333333333E-1,3.703703703704E-1,4.074074074074E-1,4.444444444444E-1, +4.814814814815E-1,5.185185185185E-1,5.555555555556E-1,5.925925925926E-1, +6.296296296296E-1,6.666666666667E-1,7.037037037037E-1,7.407407407407E-1, +7.777777777778E-1,8.148148148148E-1,8.518518518519E-1,8.888888888889E-1, +9.259259259259E-1,9.629629629630E-1,1.E0),.UNSPECIFIED.); +#1108=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1064,#1065,#1066,#1067,#1068,#1069,#1070, +#1071,#1072,#1073,#1074,#1075,#1076,#1077,#1078,#1079,#1080,#1081,#1082,#1083, +#1084,#1085,#1086,#1087,#1088,#1089,#1090,#1091,#1092,#1093,#1094,#1095,#1096, +#1097,#1098,#1099,#1100,#1101,#1102,#1103,#1104,#1105,#1106,#1107), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,2.439024390244E-2,4.878048780488E-2, +7.317073170732E-2,9.756097560976E-2,1.219512195122E-1,1.463414634146E-1, +1.707317073171E-1,1.951219512195E-1,2.195121951220E-1,2.439024390244E-1, +2.682926829268E-1,2.926829268293E-1,3.170731707317E-1,3.414634146341E-1, +3.658536585366E-1,3.902439024390E-1,4.146341463415E-1,4.390243902439E-1, +4.634146341463E-1,4.878048780488E-1,5.121951219512E-1,5.365853658537E-1, +5.609756097561E-1,5.853658536585E-1,6.097560975610E-1,6.341463414634E-1, +6.585365853659E-1,6.829268292683E-1,7.073170731707E-1,7.317073170732E-1, +7.560975609756E-1,7.804878048780E-1,8.048780487805E-1,8.292682926829E-1, +8.536585365854E-1,8.780487804878E-1,9.024390243902E-1,9.268292682927E-1, +9.512195121951E-1,9.756097560976E-1,1.E0),.UNSPECIFIED.); +#1116=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1109,#1110,#1111,#1112,#1113,#1114, +#1115),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#1123=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1117,#1118,#1119,#1120,#1121,#1122), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1153=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1124,#1125,#1126,#1127,#1128,#1129,#1130, +#1131,#1132,#1133,#1134,#1135,#1136,#1137,#1138,#1139,#1140,#1141,#1142,#1143, +#1144,#1145,#1146,#1147,#1148,#1149,#1150,#1151,#1152),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.846153846154E-2, +7.692307692308E-2,1.153846153846E-1,1.538461538462E-1,1.923076923077E-1, +2.307692307692E-1,2.692307692308E-1,3.076923076923E-1,3.461538461538E-1, +3.846153846154E-1,4.230769230769E-1,4.615384615385E-1,5.E-1,5.384615384615E-1, +5.769230769231E-1,6.153846153846E-1,6.538461538462E-1,6.923076923077E-1, +7.307692307692E-1,7.692307692308E-1,8.076923076923E-1,8.461538461538E-1, +8.846153846154E-1,9.230769230769E-1,9.615384615385E-1,1.E0),.UNSPECIFIED.); +#1183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1154,#1155,#1156,#1157,#1158,#1159,#1160, +#1161,#1162,#1163,#1164,#1165,#1166,#1167,#1168,#1169,#1170,#1171,#1172,#1173, +#1174,#1175,#1176,#1177,#1178,#1179,#1180,#1181,#1182),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,3.846153846154E-2, +7.692307692308E-2,1.153846153846E-1,1.538461538462E-1,1.923076923077E-1, +2.307692307692E-1,2.692307692308E-1,3.076923076923E-1,3.461538461538E-1, +3.846153846154E-1,4.230769230769E-1,4.615384615385E-1,5.E-1,5.384615384615E-1, +5.769230769231E-1,6.153846153846E-1,6.538461538462E-1,6.923076923077E-1, +7.307692307692E-1,7.692307692308E-1,8.076923076923E-1,8.461538461538E-1, +8.846153846154E-1,9.230769230769E-1,9.615384615385E-1,1.E0),.UNSPECIFIED.); +#1201=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1184,#1185,#1186,#1187,#1188,#1189,#1190, +#1191,#1192,#1193,#1194,#1195,#1196,#1197,#1198,#1199,#1200),.UNSPECIFIED.,.F., +.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.142857142857E-2,1.428571428571E-1, +2.142857142857E-1,2.857142857143E-1,3.571428571429E-1,4.285714285714E-1,5.E-1, +5.714285714286E-1,6.428571428571E-1,7.142857142857E-1,7.857142857143E-1, +8.571428571429E-1,9.285714285714E-1,1.E0),.UNSPECIFIED.); +#1215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1202,#1203,#1204,#1205,#1206,#1207,#1208, +#1209,#1210,#1211,#1212,#1213,#1214),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#1229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1216,#1217,#1218,#1219,#1220,#1221,#1222, +#1223,#1224,#1225,#1226,#1227,#1228),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#1243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1230,#1231,#1232,#1233,#1234,#1235,#1236, +#1237,#1238,#1239,#1240,#1241,#1242),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#1254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1248,#1249,#1250,#1251,#1252,#1253), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1299=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1255,#1256,#1257,#1258,#1259,#1260,#1261, +#1262,#1263,#1264,#1265,#1266,#1267,#1268,#1269,#1270,#1271,#1272,#1273,#1274, +#1275,#1276,#1277,#1278,#1279,#1280,#1281,#1282,#1283,#1284,#1285,#1286,#1287, +#1288,#1289,#1290,#1291,#1292,#1293,#1294,#1295,#1296,#1297,#1298), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,2.439024390244E-2,4.878048780488E-2, +7.317073170732E-2,9.756097560976E-2,1.219512195122E-1,1.463414634146E-1, +1.707317073171E-1,1.951219512195E-1,2.195121951220E-1,2.439024390244E-1, +2.682926829268E-1,2.926829268293E-1,3.170731707317E-1,3.414634146341E-1, +3.658536585366E-1,3.902439024390E-1,4.146341463415E-1,4.390243902439E-1, +4.634146341463E-1,4.878048780488E-1,5.121951219512E-1,5.365853658537E-1, +5.609756097561E-1,5.853658536585E-1,6.097560975610E-1,6.341463414634E-1, +6.585365853659E-1,6.829268292683E-1,7.073170731707E-1,7.317073170732E-1, +7.560975609756E-1,7.804878048780E-1,8.048780487805E-1,8.292682926829E-1, +8.536585365854E-1,8.780487804878E-1,9.024390243902E-1,9.268292682927E-1, +9.512195121951E-1,9.756097560976E-1,1.E0),.UNSPECIFIED.); +#1311=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1304,#1305,#1306,#1307,#1308,#1309, +#1310),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#1352=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1312,#1313,#1314,#1315,#1316,#1317,#1318, +#1319,#1320,#1321,#1322,#1323,#1324,#1325,#1326,#1327,#1328,#1329,#1330,#1331, +#1332,#1333,#1334,#1335,#1336,#1337,#1338,#1339,#1340,#1341,#1342,#1343,#1344, +#1345,#1346,#1347,#1348,#1349,#1350,#1351),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.702702702703E-2,5.405405405405E-2,8.108108108108E-2,1.081081081081E-1, +1.351351351351E-1,1.621621621622E-1,1.891891891892E-1,2.162162162162E-1, +2.432432432432E-1,2.702702702703E-1,2.972972972973E-1,3.243243243243E-1, +3.513513513514E-1,3.783783783784E-1,4.054054054054E-1,4.324324324324E-1, +4.594594594595E-1,4.864864864865E-1,5.135135135135E-1,5.405405405405E-1, +5.675675675676E-1,5.945945945946E-1,6.216216216216E-1,6.486486486486E-1, +6.756756756757E-1,7.027027027027E-1,7.297297297297E-1,7.567567567568E-1, +7.837837837838E-1,8.108108108108E-1,8.378378378378E-1,8.648648648649E-1, +8.918918918919E-1,9.189189189189E-1,9.459459459459E-1,9.729729729730E-1,1.E0), +.UNSPECIFIED.); +#1402=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1353,#1354,#1355,#1356,#1357,#1358,#1359, +#1360,#1361,#1362,#1363,#1364,#1365,#1366,#1367,#1368,#1369,#1370,#1371,#1372, +#1373,#1374,#1375,#1376,#1377,#1378,#1379,#1380,#1381,#1382,#1383,#1384,#1385, +#1386,#1387,#1388,#1389,#1390,#1391,#1392,#1393,#1394,#1395,#1396,#1397,#1398, +#1399,#1400,#1401),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#1456=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1407,#1408,#1409,#1410,#1411,#1412,#1413, +#1414,#1415,#1416,#1417,#1418,#1419,#1420,#1421,#1422,#1423,#1424,#1425,#1426, +#1427,#1428,#1429,#1430,#1431,#1432,#1433,#1434,#1435,#1436,#1437,#1438,#1439, +#1440,#1441,#1442,#1443,#1444,#1445,#1446,#1447,#1448,#1449,#1450,#1451,#1452, +#1453,#1454,#1455),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#1510=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1461,#1462,#1463,#1464,#1465,#1466,#1467, +#1468,#1469,#1470,#1471,#1472,#1473,#1474,#1475,#1476,#1477,#1478,#1479,#1480, +#1481,#1482,#1483,#1484,#1485,#1486,#1487,#1488,#1489,#1490,#1491,#1492,#1493, +#1494,#1495,#1496,#1497,#1498,#1499,#1500,#1501,#1502,#1503,#1504,#1505,#1506, +#1507,#1508,#1509),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#1564=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1515,#1516,#1517,#1518,#1519,#1520,#1521, +#1522,#1523,#1524,#1525,#1526,#1527,#1528,#1529,#1530,#1531,#1532,#1533,#1534, +#1535,#1536,#1537,#1538,#1539,#1540,#1541,#1542,#1543,#1544,#1545,#1546,#1547, +#1548,#1549,#1550,#1551,#1552,#1553,#1554,#1555,#1556,#1557,#1558,#1559,#1560, +#1561,#1562,#1563),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#1578=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1569,#1570,#1571,#1572,#1573,#1574,#1575, +#1576,#1577),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#1618=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1579,#1580,#1581,#1582,#1583,#1584,#1585, +#1586,#1587,#1588,#1589,#1590,#1591,#1592,#1593,#1594,#1595,#1596,#1597,#1598, +#1599,#1600,#1601,#1602,#1603,#1604,#1605,#1606,#1607,#1608,#1609,#1610,#1611, +#1612,#1613,#1614,#1615,#1616,#1617),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,2.777777777778E-2, +5.555555555556E-2,8.333333333333E-2,1.111111111111E-1,1.388888888889E-1, +1.666666666667E-1,1.944444444444E-1,2.222222222222E-1,2.5E-1,2.777777777778E-1, +3.055555555556E-1,3.333333333333E-1,3.611111111111E-1,3.888888888889E-1, +4.166666666667E-1,4.444444444444E-1,4.722222222222E-1,5.E-1,5.277777777778E-1, +5.555555555556E-1,5.833333333333E-1,6.111111111111E-1,6.388888888889E-1, +6.666666666667E-1,6.944444444444E-1,7.222222222222E-1,7.5E-1,7.777777777778E-1, +8.055555555556E-1,8.333333333333E-1,8.611111111111E-1,8.888888888889E-1, +9.166666666667E-1,9.444444444444E-1,9.722222222222E-1,1.E0),.UNSPECIFIED.); +#1629=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1619,#1620,#1621,#1622,#1623,#1624,#1625, +#1626,#1627,#1628),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#1809=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1630,#1631,#1632,#1633,#1634,#1635,#1636, +#1637,#1638,#1639,#1640,#1641,#1642,#1643,#1644,#1645,#1646,#1647,#1648,#1649, +#1650,#1651,#1652,#1653,#1654,#1655,#1656,#1657,#1658,#1659,#1660,#1661,#1662, +#1663,#1664,#1665,#1666,#1667,#1668,#1669,#1670,#1671,#1672,#1673,#1674,#1675, +#1676,#1677,#1678,#1679,#1680,#1681,#1682,#1683,#1684,#1685,#1686,#1687,#1688, +#1689,#1690,#1691,#1692,#1693,#1694,#1695,#1696,#1697,#1698,#1699,#1700,#1701, +#1702,#1703,#1704,#1705,#1706,#1707,#1708,#1709,#1710,#1711,#1712,#1713,#1714, +#1715,#1716,#1717,#1718,#1719,#1720,#1721,#1722,#1723,#1724,#1725,#1726,#1727, +#1728,#1729,#1730,#1731,#1732,#1733,#1734,#1735,#1736,#1737,#1738,#1739,#1740, +#1741,#1742,#1743,#1744,#1745,#1746,#1747,#1748,#1749,#1750,#1751,#1752,#1753, +#1754,#1755,#1756,#1757,#1758,#1759,#1760,#1761,#1762,#1763,#1764,#1765,#1766, +#1767,#1768,#1769,#1770,#1771,#1772,#1773,#1774,#1775,#1776,#1777,#1778,#1779, +#1780,#1781,#1782,#1783,#1784,#1785,#1786,#1787,#1788,#1789,#1790,#1791,#1792, +#1793,#1794,#1795,#1796,#1797,#1798,#1799,#1800,#1801,#1802,#1803,#1804,#1805, +#1806,#1807,#1808),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),( +0.E0,5.681818181818E-3,1.136363636364E-2,1.704545454545E-2,2.272727272727E-2, +2.840909090909E-2,3.409090909091E-2,3.977272727273E-2,4.545454545455E-2, +5.113636363636E-2,5.681818181818E-2,6.25E-2,6.818181818182E-2,7.386363636364E-2, +7.954545454545E-2,8.522727272727E-2,9.090909090909E-2,9.659090909091E-2, +1.022727272727E-1,1.079545454545E-1,1.136363636364E-1,1.193181818182E-1,1.25E-1, +1.306818181818E-1,1.363636363636E-1,1.420454545455E-1,1.477272727273E-1, +1.534090909091E-1,1.590909090909E-1,1.647727272727E-1,1.704545454545E-1, +1.761363636364E-1,1.818181818182E-1,1.875E-1,1.931818181818E-1, +1.988636363636E-1,2.045454545455E-1,2.102272727273E-1,2.159090909091E-1, +2.215909090909E-1,2.272727272727E-1,2.329545454545E-1,2.386363636364E-1, +2.443181818182E-1,2.5E-1,2.556818181818E-1,2.613636363636E-1,2.670454545455E-1, +2.727272727273E-1,2.784090909091E-1,2.840909090909E-1,2.897727272727E-1, +2.954545454545E-1,3.011363636364E-1,3.068181818182E-1,3.125E-1, +3.181818181818E-1,3.238636363636E-1,3.295454545455E-1,3.352272727273E-1, +3.409090909091E-1,3.465909090909E-1,3.522727272727E-1,3.579545454545E-1, +3.636363636364E-1,3.693181818182E-1,3.75E-1,3.806818181818E-1,3.863636363636E-1, +3.920454545455E-1,3.977272727273E-1,4.034090909091E-1,4.090909090909E-1, +4.147727272727E-1,4.204545454545E-1,4.261363636364E-1,4.318181818182E-1, +4.375E-1,4.431818181818E-1,4.488636363636E-1,4.545454545455E-1, +4.602272727273E-1,4.659090909091E-1,4.715909090909E-1,4.772727272727E-1, +4.829545454545E-1,4.886363636364E-1,4.943181818182E-1,5.E-1,5.056818181818E-1, +5.113636363636E-1,5.170454545455E-1,5.227272727273E-1,5.284090909091E-1, +5.340909090909E-1,5.397727272727E-1,5.454545454545E-1,5.511363636364E-1, +5.568181818182E-1,5.625E-1,5.681818181818E-1,5.738636363636E-1, +5.795454545455E-1,5.852272727273E-1,5.909090909091E-1,5.965909090909E-1, +6.022727272727E-1,6.079545454545E-1,6.136363636364E-1,6.193181818182E-1,6.25E-1, +6.306818181818E-1,6.363636363636E-1,6.420454545455E-1,6.477272727273E-1, +6.534090909091E-1,6.590909090909E-1,6.647727272727E-1,6.704545454545E-1, +6.761363636364E-1,6.818181818182E-1,6.875E-1,6.931818181818E-1, +6.988636363636E-1,7.045454545455E-1,7.102272727273E-1,7.159090909091E-1, +7.215909090909E-1,7.272727272727E-1,7.329545454545E-1,7.386363636364E-1, +7.443181818182E-1,7.5E-1,7.556818181818E-1,7.613636363636E-1,7.670454545455E-1, +7.727272727273E-1,7.784090909091E-1,7.840909090909E-1,7.897727272727E-1, +7.954545454545E-1,8.011363636364E-1,8.068181818182E-1,8.125E-1, +8.181818181818E-1,8.238636363636E-1,8.295454545455E-1,8.352272727273E-1, +8.409090909091E-1,8.465909090909E-1,8.522727272727E-1,8.579545454545E-1, +8.636363636364E-1,8.693181818182E-1,8.75E-1,8.806818181818E-1,8.863636363636E-1, +8.920454545455E-1,8.977272727273E-1,9.034090909091E-1,9.090909090909E-1, +9.147727272727E-1,9.204545454545E-1,9.261363636364E-1,9.318181818182E-1, +9.375E-1,9.431818181818E-1,9.488636363636E-1,9.545454545455E-1, +9.602272727273E-1,9.659090909091E-1,9.715909090909E-1,9.772727272727E-1, +9.829545454545E-1,9.886363636364E-1,9.943181818182E-1,1.E0),.UNSPECIFIED.); +#1821=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1814,#1815,#1816,#1817,#1818,#1819, +#1820),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#1830=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1822,#1823,#1824,#1825,#1826,#1827,#1828, +#1829),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#1839=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1831,#1832,#1833,#1834,#1835,#1836,#1837, +#1838),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#1858=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1840,#1841,#1842,#1843,#1844,#1845,#1846, +#1847,#1848,#1849,#1850,#1851,#1852,#1853,#1854,#1855,#1856,#1857), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.666666666667E-2, +1.333333333333E-1,2.E-1,2.666666666667E-1,3.333333333333E-1,4.E-1, +4.666666666667E-1,5.333333333333E-1,6.E-1,6.666666666667E-1,7.333333333333E-1, +8.E-1,8.666666666667E-1,9.333333333333E-1,1.E0),.UNSPECIFIED.); +#1880=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1863,#1864,#1865,#1866,#1867,#1868,#1869, +#1870,#1871,#1872,#1873,#1874,#1875,#1876,#1877,#1878,#1879),.UNSPECIFIED.,.F., +.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.142857142857E-2,1.428571428571E-1, +2.142857142857E-1,2.857142857143E-1,3.571428571429E-1,4.285714285714E-1,5.E-1, +5.714285714286E-1,6.428571428571E-1,7.142857142857E-1,7.857142857143E-1, +8.571428571429E-1,9.285714285714E-1,1.E0),.UNSPECIFIED.); +#1952=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1881,#1882,#1883,#1884,#1885,#1886,#1887, +#1888,#1889,#1890,#1891,#1892,#1893,#1894,#1895,#1896,#1897,#1898,#1899,#1900, +#1901,#1902,#1903,#1904,#1905,#1906,#1907,#1908,#1909,#1910,#1911,#1912,#1913, +#1914,#1915,#1916,#1917,#1918,#1919,#1920,#1921,#1922,#1923,#1924,#1925,#1926, +#1927,#1928,#1929,#1930,#1931,#1932,#1933,#1934,#1935,#1936,#1937,#1938,#1939, +#1940,#1941,#1942,#1943,#1944,#1945,#1946,#1947,#1948,#1949,#1950,#1951), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.470588235294E-2,2.941176470588E-2,4.411764705882E-2, +5.882352941176E-2,7.352941176471E-2,8.823529411765E-2,1.029411764706E-1, +1.176470588235E-1,1.323529411765E-1,1.470588235294E-1,1.617647058824E-1, +1.764705882353E-1,1.911764705882E-1,2.058823529412E-1,2.205882352941E-1, +2.352941176471E-1,2.5E-1,2.647058823529E-1,2.794117647059E-1,2.941176470588E-1, +3.088235294118E-1,3.235294117647E-1,3.382352941176E-1,3.529411764706E-1, +3.676470588235E-1,3.823529411765E-1,3.970588235294E-1,4.117647058824E-1, +4.264705882353E-1,4.411764705882E-1,4.558823529412E-1,4.705882352941E-1, +4.852941176471E-1,5.E-1,5.147058823529E-1,5.294117647059E-1,5.441176470588E-1, +5.588235294118E-1,5.735294117647E-1,5.882352941176E-1,6.029411764706E-1, +6.176470588235E-1,6.323529411765E-1,6.470588235294E-1,6.617647058824E-1, +6.764705882353E-1,6.911764705882E-1,7.058823529412E-1,7.205882352941E-1, +7.352941176471E-1,7.5E-1,7.647058823529E-1,7.794117647059E-1,7.941176470588E-1, +8.088235294118E-1,8.235294117647E-1,8.382352941176E-1,8.529411764706E-1, +8.676470588235E-1,8.823529411765E-1,8.970588235294E-1,9.117647058824E-1, +9.264705882353E-1,9.411764705882E-1,9.558823529412E-1,9.705882352941E-1, +9.852941176471E-1,1.E0),.UNSPECIFIED.); +#1963=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1957,#1958,#1959,#1960,#1961,#1962), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#1971=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1964,#1965,#1966,#1967,#1968,#1969, +#1970),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#1983=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1976,#1977,#1978,#1979,#1980,#1981, +#1982),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#1993=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1984,#1985,#1986,#1987,#1988,#1989,#1990, +#1991,#1992),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#2137=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1994,#1995,#1996,#1997,#1998,#1999,#2000, +#2001,#2002,#2003,#2004,#2005,#2006,#2007,#2008,#2009,#2010,#2011,#2012,#2013, +#2014,#2015,#2016,#2017,#2018,#2019,#2020,#2021,#2022,#2023,#2024,#2025,#2026, +#2027,#2028,#2029,#2030,#2031,#2032,#2033,#2034,#2035,#2036,#2037,#2038,#2039, +#2040,#2041,#2042,#2043,#2044,#2045,#2046,#2047,#2048,#2049,#2050,#2051,#2052, +#2053,#2054,#2055,#2056,#2057,#2058,#2059,#2060,#2061,#2062,#2063,#2064,#2065, +#2066,#2067,#2068,#2069,#2070,#2071,#2072,#2073,#2074,#2075,#2076,#2077,#2078, +#2079,#2080,#2081,#2082,#2083,#2084,#2085,#2086,#2087,#2088,#2089,#2090,#2091, +#2092,#2093,#2094,#2095,#2096,#2097,#2098,#2099,#2100,#2101,#2102,#2103,#2104, +#2105,#2106,#2107,#2108,#2109,#2110,#2111,#2112,#2113,#2114,#2115,#2116,#2117, +#2118,#2119,#2120,#2121,#2122,#2123,#2124,#2125,#2126,#2127,#2128,#2129,#2130, +#2131,#2132,#2133,#2134,#2135,#2136),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.142857142857E-3,1.428571428571E-2, +2.142857142857E-2,2.857142857143E-2,3.571428571429E-2,4.285714285714E-2,5.E-2, +5.714285714286E-2,6.428571428571E-2,7.142857142857E-2,7.857142857143E-2, +8.571428571429E-2,9.285714285714E-2,1.E-1,1.071428571429E-1,1.142857142857E-1, +1.214285714286E-1,1.285714285714E-1,1.357142857143E-1,1.428571428571E-1,1.5E-1, +1.571428571429E-1,1.642857142857E-1,1.714285714286E-1,1.785714285714E-1, +1.857142857143E-1,1.928571428571E-1,2.E-1,2.071428571429E-1,2.142857142857E-1, +2.214285714286E-1,2.285714285714E-1,2.357142857143E-1,2.428571428571E-1,2.5E-1, +2.571428571429E-1,2.642857142857E-1,2.714285714286E-1,2.785714285714E-1, +2.857142857143E-1,2.928571428571E-1,3.E-1,3.071428571429E-1,3.142857142857E-1, +3.214285714286E-1,3.285714285714E-1,3.357142857143E-1,3.428571428571E-1,3.5E-1, +3.571428571429E-1,3.642857142857E-1,3.714285714286E-1,3.785714285714E-1, +3.857142857143E-1,3.928571428571E-1,4.E-1,4.071428571429E-1,4.142857142857E-1, +4.214285714286E-1,4.285714285714E-1,4.357142857143E-1,4.428571428571E-1,4.5E-1, +4.571428571429E-1,4.642857142857E-1,4.714285714286E-1,4.785714285714E-1, +4.857142857143E-1,4.928571428571E-1,5.E-1,5.071428571429E-1,5.142857142857E-1, +5.214285714286E-1,5.285714285714E-1,5.357142857143E-1,5.428571428571E-1,5.5E-1, +5.571428571429E-1,5.642857142857E-1,5.714285714286E-1,5.785714285714E-1, +5.857142857143E-1,5.928571428571E-1,6.E-1,6.071428571429E-1,6.142857142857E-1, +6.214285714286E-1,6.285714285714E-1,6.357142857143E-1,6.428571428571E-1,6.5E-1, +6.571428571429E-1,6.642857142857E-1,6.714285714286E-1,6.785714285714E-1, +6.857142857143E-1,6.928571428571E-1,7.E-1,7.071428571429E-1,7.142857142857E-1, +7.214285714286E-1,7.285714285714E-1,7.357142857143E-1,7.428571428571E-1,7.5E-1, +7.571428571429E-1,7.642857142857E-1,7.714285714286E-1,7.785714285714E-1, +7.857142857143E-1,7.928571428571E-1,8.E-1,8.071428571429E-1,8.142857142857E-1, +8.214285714286E-1,8.285714285714E-1,8.357142857143E-1,8.428571428571E-1,8.5E-1, +8.571428571429E-1,8.642857142857E-1,8.714285714286E-1,8.785714285714E-1, +8.857142857143E-1,8.928571428571E-1,9.E-1,9.071428571429E-1,9.142857142857E-1, +9.214285714286E-1,9.285714285714E-1,9.357142857143E-1,9.428571428571E-1,9.5E-1, +9.571428571429E-1,9.642857142857E-1,9.714285714286E-1,9.785714285714E-1, +9.857142857143E-1,9.928571428571E-1,1.E0),.UNSPECIFIED.); +#2155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2142,#2143,#2144,#2145,#2146,#2147,#2148, +#2149,#2150,#2151,#2152,#2153,#2154),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2156,#2157,#2158,#2159,#2160,#2161,#2162, +#2163,#2164,#2165,#2166,#2167,#2168),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2170,#2171,#2172,#2173,#2174,#2175,#2176, +#2177,#2178,#2179,#2180,#2181,#2182),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2184,#2185,#2186,#2187,#2188,#2189), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2204=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2191,#2192,#2193,#2194,#2195,#2196,#2197, +#2198,#2199,#2200,#2201,#2202,#2203),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2205,#2206,#2207,#2208,#2209,#2210,#2211, +#2212,#2213,#2214,#2215,#2216,#2217),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2232=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2219,#2220,#2221,#2222,#2223,#2224,#2225, +#2226,#2227,#2228,#2229,#2230,#2231),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2412=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2233,#2234,#2235,#2236,#2237,#2238,#2239, +#2240,#2241,#2242,#2243,#2244,#2245,#2246,#2247,#2248,#2249,#2250,#2251,#2252, +#2253,#2254,#2255,#2256,#2257,#2258,#2259,#2260,#2261,#2262,#2263,#2264,#2265, +#2266,#2267,#2268,#2269,#2270,#2271,#2272,#2273,#2274,#2275,#2276,#2277,#2278, +#2279,#2280,#2281,#2282,#2283,#2284,#2285,#2286,#2287,#2288,#2289,#2290,#2291, +#2292,#2293,#2294,#2295,#2296,#2297,#2298,#2299,#2300,#2301,#2302,#2303,#2304, +#2305,#2306,#2307,#2308,#2309,#2310,#2311,#2312,#2313,#2314,#2315,#2316,#2317, +#2318,#2319,#2320,#2321,#2322,#2323,#2324,#2325,#2326,#2327,#2328,#2329,#2330, +#2331,#2332,#2333,#2334,#2335,#2336,#2337,#2338,#2339,#2340,#2341,#2342,#2343, +#2344,#2345,#2346,#2347,#2348,#2349,#2350,#2351,#2352,#2353,#2354,#2355,#2356, +#2357,#2358,#2359,#2360,#2361,#2362,#2363,#2364,#2365,#2366,#2367,#2368,#2369, +#2370,#2371,#2372,#2373,#2374,#2375,#2376,#2377,#2378,#2379,#2380,#2381,#2382, +#2383,#2384,#2385,#2386,#2387,#2388,#2389,#2390,#2391,#2392,#2393,#2394,#2395, +#2396,#2397,#2398,#2399,#2400,#2401,#2402,#2403,#2404,#2405,#2406,#2407,#2408, +#2409,#2410,#2411),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),( +0.E0,5.681818181818E-3,1.136363636364E-2,1.704545454545E-2,2.272727272727E-2, +2.840909090909E-2,3.409090909091E-2,3.977272727273E-2,4.545454545455E-2, +5.113636363636E-2,5.681818181818E-2,6.25E-2,6.818181818182E-2,7.386363636364E-2, +7.954545454545E-2,8.522727272727E-2,9.090909090909E-2,9.659090909091E-2, +1.022727272727E-1,1.079545454545E-1,1.136363636364E-1,1.193181818182E-1,1.25E-1, +1.306818181818E-1,1.363636363636E-1,1.420454545455E-1,1.477272727273E-1, +1.534090909091E-1,1.590909090909E-1,1.647727272727E-1,1.704545454545E-1, +1.761363636364E-1,1.818181818182E-1,1.875E-1,1.931818181818E-1, +1.988636363636E-1,2.045454545455E-1,2.102272727273E-1,2.159090909091E-1, +2.215909090909E-1,2.272727272727E-1,2.329545454545E-1,2.386363636364E-1, +2.443181818182E-1,2.5E-1,2.556818181818E-1,2.613636363636E-1,2.670454545455E-1, +2.727272727273E-1,2.784090909091E-1,2.840909090909E-1,2.897727272727E-1, +2.954545454545E-1,3.011363636364E-1,3.068181818182E-1,3.125E-1, +3.181818181818E-1,3.238636363636E-1,3.295454545455E-1,3.352272727273E-1, +3.409090909091E-1,3.465909090909E-1,3.522727272727E-1,3.579545454545E-1, +3.636363636364E-1,3.693181818182E-1,3.75E-1,3.806818181818E-1,3.863636363636E-1, +3.920454545455E-1,3.977272727273E-1,4.034090909091E-1,4.090909090909E-1, +4.147727272727E-1,4.204545454545E-1,4.261363636364E-1,4.318181818182E-1, +4.375E-1,4.431818181818E-1,4.488636363636E-1,4.545454545455E-1, +4.602272727273E-1,4.659090909091E-1,4.715909090909E-1,4.772727272727E-1, +4.829545454545E-1,4.886363636364E-1,4.943181818182E-1,5.E-1,5.056818181818E-1, +5.113636363636E-1,5.170454545455E-1,5.227272727273E-1,5.284090909091E-1, +5.340909090909E-1,5.397727272727E-1,5.454545454545E-1,5.511363636364E-1, +5.568181818182E-1,5.625E-1,5.681818181818E-1,5.738636363636E-1, +5.795454545455E-1,5.852272727273E-1,5.909090909091E-1,5.965909090909E-1, +6.022727272727E-1,6.079545454545E-1,6.136363636364E-1,6.193181818182E-1,6.25E-1, +6.306818181818E-1,6.363636363636E-1,6.420454545455E-1,6.477272727273E-1, +6.534090909091E-1,6.590909090909E-1,6.647727272727E-1,6.704545454545E-1, +6.761363636364E-1,6.818181818182E-1,6.875E-1,6.931818181818E-1, +6.988636363636E-1,7.045454545455E-1,7.102272727273E-1,7.159090909091E-1, +7.215909090909E-1,7.272727272727E-1,7.329545454545E-1,7.386363636364E-1, +7.443181818182E-1,7.5E-1,7.556818181818E-1,7.613636363636E-1,7.670454545455E-1, +7.727272727273E-1,7.784090909091E-1,7.840909090909E-1,7.897727272727E-1, +7.954545454545E-1,8.011363636364E-1,8.068181818182E-1,8.125E-1, +8.181818181818E-1,8.238636363636E-1,8.295454545455E-1,8.352272727273E-1, +8.409090909091E-1,8.465909090909E-1,8.522727272727E-1,8.579545454545E-1, +8.636363636364E-1,8.693181818182E-1,8.75E-1,8.806818181818E-1,8.863636363636E-1, +8.920454545455E-1,8.977272727273E-1,9.034090909091E-1,9.090909090909E-1, +9.147727272727E-1,9.204545454545E-1,9.261363636364E-1,9.318181818182E-1, +9.375E-1,9.431818181818E-1,9.488636363636E-1,9.545454545455E-1, +9.602272727273E-1,9.659090909091E-1,9.715909090909E-1,9.772727272727E-1, +9.829545454545E-1,9.886363636364E-1,9.943181818182E-1,1.E0),.UNSPECIFIED.); +#2466=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2417,#2418,#2419,#2420,#2421,#2422,#2423, +#2424,#2425,#2426,#2427,#2428,#2429,#2430,#2431,#2432,#2433,#2434,#2435,#2436, +#2437,#2438,#2439,#2440,#2441,#2442,#2443,#2444,#2445,#2446,#2447,#2448,#2449, +#2450,#2451,#2452,#2453,#2454,#2455,#2456,#2457,#2458,#2459,#2460,#2461,#2462, +#2463,#2464,#2465),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#2520=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2471,#2472,#2473,#2474,#2475,#2476,#2477, +#2478,#2479,#2480,#2481,#2482,#2483,#2484,#2485,#2486,#2487,#2488,#2489,#2490, +#2491,#2492,#2493,#2494,#2495,#2496,#2497,#2498,#2499,#2500,#2501,#2502,#2503, +#2504,#2505,#2506,#2507,#2508,#2509,#2510,#2511,#2512,#2513,#2514,#2515,#2516, +#2517,#2518,#2519),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#2531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2525,#2526,#2527,#2528,#2529,#2530), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#2549=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2536,#2537,#2538,#2539,#2540,#2541,#2542, +#2543,#2544,#2545,#2546,#2547,#2548),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2563=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2550,#2551,#2552,#2553,#2554,#2555,#2556, +#2557,#2558,#2559,#2560,#2561,#2562),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2577=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2564,#2565,#2566,#2567,#2568,#2569,#2570, +#2571,#2572,#2573,#2574,#2575,#2576),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2627=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2578,#2579,#2580,#2581,#2582,#2583,#2584, +#2585,#2586,#2587,#2588,#2589,#2590,#2591,#2592,#2593,#2594,#2595,#2596,#2597, +#2598,#2599,#2600,#2601,#2602,#2603,#2604,#2605,#2606,#2607,#2608,#2609,#2610, +#2611,#2612,#2613,#2614,#2615,#2616,#2617,#2618,#2619,#2620,#2621,#2622,#2623, +#2624,#2625,#2626),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#2681=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2632,#2633,#2634,#2635,#2636,#2637,#2638, +#2639,#2640,#2641,#2642,#2643,#2644,#2645,#2646,#2647,#2648,#2649,#2650,#2651, +#2652,#2653,#2654,#2655,#2656,#2657,#2658,#2659,#2660,#2661,#2662,#2663,#2664, +#2665,#2666,#2667,#2668,#2669,#2670,#2671,#2672,#2673,#2674,#2675,#2676,#2677, +#2678,#2679,#2680),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#2699=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2686,#2687,#2688,#2689,#2690,#2691,#2692, +#2693,#2694,#2695,#2696,#2697,#2698),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2713=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2700,#2701,#2702,#2703,#2704,#2705,#2706, +#2707,#2708,#2709,#2710,#2711,#2712),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2727=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2714,#2715,#2716,#2717,#2718,#2719,#2720, +#2721,#2722,#2723,#2724,#2725,#2726),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#2739=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2728,#2729,#2730,#2731,#2732,#2733,#2734, +#2735,#2736,#2737,#2738),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#2919=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2740,#2741,#2742,#2743,#2744,#2745,#2746, +#2747,#2748,#2749,#2750,#2751,#2752,#2753,#2754,#2755,#2756,#2757,#2758,#2759, +#2760,#2761,#2762,#2763,#2764,#2765,#2766,#2767,#2768,#2769,#2770,#2771,#2772, +#2773,#2774,#2775,#2776,#2777,#2778,#2779,#2780,#2781,#2782,#2783,#2784,#2785, +#2786,#2787,#2788,#2789,#2790,#2791,#2792,#2793,#2794,#2795,#2796,#2797,#2798, +#2799,#2800,#2801,#2802,#2803,#2804,#2805,#2806,#2807,#2808,#2809,#2810,#2811, +#2812,#2813,#2814,#2815,#2816,#2817,#2818,#2819,#2820,#2821,#2822,#2823,#2824, +#2825,#2826,#2827,#2828,#2829,#2830,#2831,#2832,#2833,#2834,#2835,#2836,#2837, +#2838,#2839,#2840,#2841,#2842,#2843,#2844,#2845,#2846,#2847,#2848,#2849,#2850, +#2851,#2852,#2853,#2854,#2855,#2856,#2857,#2858,#2859,#2860,#2861,#2862,#2863, +#2864,#2865,#2866,#2867,#2868,#2869,#2870,#2871,#2872,#2873,#2874,#2875,#2876, +#2877,#2878,#2879,#2880,#2881,#2882,#2883,#2884,#2885,#2886,#2887,#2888,#2889, +#2890,#2891,#2892,#2893,#2894,#2895,#2896,#2897,#2898,#2899,#2900,#2901,#2902, +#2903,#2904,#2905,#2906,#2907,#2908,#2909,#2910,#2911,#2912,#2913,#2914,#2915, +#2916,#2917,#2918),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),( +0.E0,5.681818181818E-3,1.136363636364E-2,1.704545454545E-2,2.272727272727E-2, +2.840909090909E-2,3.409090909091E-2,3.977272727273E-2,4.545454545455E-2, +5.113636363636E-2,5.681818181818E-2,6.25E-2,6.818181818182E-2,7.386363636364E-2, +7.954545454545E-2,8.522727272727E-2,9.090909090909E-2,9.659090909091E-2, +1.022727272727E-1,1.079545454545E-1,1.136363636364E-1,1.193181818182E-1,1.25E-1, +1.306818181818E-1,1.363636363636E-1,1.420454545455E-1,1.477272727273E-1, +1.534090909091E-1,1.590909090909E-1,1.647727272727E-1,1.704545454545E-1, +1.761363636364E-1,1.818181818182E-1,1.875E-1,1.931818181818E-1, +1.988636363636E-1,2.045454545455E-1,2.102272727273E-1,2.159090909091E-1, +2.215909090909E-1,2.272727272727E-1,2.329545454545E-1,2.386363636364E-1, +2.443181818182E-1,2.5E-1,2.556818181818E-1,2.613636363636E-1,2.670454545455E-1, +2.727272727273E-1,2.784090909091E-1,2.840909090909E-1,2.897727272727E-1, +2.954545454545E-1,3.011363636364E-1,3.068181818182E-1,3.125E-1, +3.181818181818E-1,3.238636363636E-1,3.295454545455E-1,3.352272727273E-1, +3.409090909091E-1,3.465909090909E-1,3.522727272727E-1,3.579545454545E-1, +3.636363636364E-1,3.693181818182E-1,3.75E-1,3.806818181818E-1,3.863636363636E-1, +3.920454545455E-1,3.977272727273E-1,4.034090909091E-1,4.090909090909E-1, +4.147727272727E-1,4.204545454545E-1,4.261363636364E-1,4.318181818182E-1, +4.375E-1,4.431818181818E-1,4.488636363636E-1,4.545454545455E-1, +4.602272727273E-1,4.659090909091E-1,4.715909090909E-1,4.772727272727E-1, +4.829545454545E-1,4.886363636364E-1,4.943181818182E-1,5.E-1,5.056818181818E-1, +5.113636363636E-1,5.170454545455E-1,5.227272727273E-1,5.284090909091E-1, +5.340909090909E-1,5.397727272727E-1,5.454545454545E-1,5.511363636364E-1, +5.568181818182E-1,5.625E-1,5.681818181818E-1,5.738636363636E-1, +5.795454545455E-1,5.852272727273E-1,5.909090909091E-1,5.965909090909E-1, +6.022727272727E-1,6.079545454545E-1,6.136363636364E-1,6.193181818182E-1,6.25E-1, +6.306818181818E-1,6.363636363636E-1,6.420454545455E-1,6.477272727273E-1, +6.534090909091E-1,6.590909090909E-1,6.647727272727E-1,6.704545454545E-1, +6.761363636364E-1,6.818181818182E-1,6.875E-1,6.931818181818E-1, +6.988636363636E-1,7.045454545455E-1,7.102272727273E-1,7.159090909091E-1, +7.215909090909E-1,7.272727272727E-1,7.329545454545E-1,7.386363636364E-1, +7.443181818182E-1,7.5E-1,7.556818181818E-1,7.613636363636E-1,7.670454545455E-1, +7.727272727273E-1,7.784090909091E-1,7.840909090909E-1,7.897727272727E-1, +7.954545454545E-1,8.011363636364E-1,8.068181818182E-1,8.125E-1, +8.181818181818E-1,8.238636363636E-1,8.295454545455E-1,8.352272727273E-1, +8.409090909091E-1,8.465909090909E-1,8.522727272727E-1,8.579545454545E-1, +8.636363636364E-1,8.693181818182E-1,8.75E-1,8.806818181818E-1,8.863636363636E-1, +8.920454545455E-1,8.977272727273E-1,9.034090909091E-1,9.090909090909E-1, +9.147727272727E-1,9.204545454545E-1,9.261363636364E-1,9.318181818182E-1, +9.375E-1,9.431818181818E-1,9.488636363636E-1,9.545454545455E-1, +9.602272727273E-1,9.659090909091E-1,9.715909090909E-1,9.772727272727E-1, +9.829545454545E-1,9.886363636364E-1,9.943181818182E-1,1.E0),.UNSPECIFIED.); +#2973=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2924,#2925,#2926,#2927,#2928,#2929,#2930, +#2931,#2932,#2933,#2934,#2935,#2936,#2937,#2938,#2939,#2940,#2941,#2942,#2943, +#2944,#2945,#2946,#2947,#2948,#2949,#2950,#2951,#2952,#2953,#2954,#2955,#2956, +#2957,#2958,#2959,#2960,#2961,#2962,#2963,#2964,#2965,#2966,#2967,#2968,#2969, +#2970,#2971,#2972),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#3027=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2978,#2979,#2980,#2981,#2982,#2983,#2984, +#2985,#2986,#2987,#2988,#2989,#2990,#2991,#2992,#2993,#2994,#2995,#2996,#2997, +#2998,#2999,#3000,#3001,#3002,#3003,#3004,#3005,#3006,#3007,#3008,#3009,#3010, +#3011,#3012,#3013,#3014,#3015,#3016,#3017,#3018,#3019,#3020,#3021,#3022,#3023, +#3024,#3025,#3026),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#3045=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3032,#3033,#3034,#3035,#3036,#3037,#3038, +#3039,#3040,#3041,#3042,#3043,#3044),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#3061=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3050,#3051,#3052,#3053,#3054,#3055,#3056, +#3057,#3058,#3059,#3060),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#3075=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3062,#3063,#3064,#3065,#3066,#3067,#3068, +#3069,#3070,#3071,#3072,#3073,#3074),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#3089=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3076,#3077,#3078,#3079,#3080,#3081,#3082, +#3083,#3084,#3085,#3086,#3087,#3088),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#3139=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3090,#3091,#3092,#3093,#3094,#3095,#3096, +#3097,#3098,#3099,#3100,#3101,#3102,#3103,#3104,#3105,#3106,#3107,#3108,#3109, +#3110,#3111,#3112,#3113,#3114,#3115,#3116,#3117,#3118,#3119,#3120,#3121,#3122, +#3123,#3124,#3125,#3126,#3127,#3128,#3129,#3130,#3131,#3132,#3133,#3134,#3135, +#3136,#3137,#3138),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#3162=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3144,#3145,#3146,#3147,#3148,#3149,#3150, +#3151,#3152,#3153,#3154,#3155,#3156,#3157,#3158,#3159,#3160,#3161), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.666666666667E-2, +1.333333333333E-1,2.E-1,2.666666666667E-1,3.333333333333E-1,4.E-1, +4.666666666667E-1,5.333333333333E-1,6.E-1,6.666666666667E-1,7.333333333333E-1, +8.E-1,8.666666666667E-1,9.333333333333E-1,1.E0),.UNSPECIFIED.); +#3197=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3163,#3164,#3165,#3166,#3167,#3168,#3169, +#3170,#3171,#3172,#3173,#3174,#3175,#3176,#3177,#3178,#3179,#3180,#3181,#3182, +#3183,#3184,#3185,#3186,#3187,#3188,#3189,#3190,#3191,#3192,#3193,#3194,#3195, +#3196),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,4),(0.E0,3.225806451613E-2,6.451612903226E-2,9.677419354839E-2, +1.290322580645E-1,1.612903225806E-1,1.935483870968E-1,2.258064516129E-1, +2.580645161290E-1,2.903225806452E-1,3.225806451613E-1,3.548387096774E-1, +3.870967741935E-1,4.193548387097E-1,4.516129032258E-1,4.838709677419E-1, +5.161290322581E-1,5.483870967742E-1,5.806451612903E-1,6.129032258065E-1, +6.451612903226E-1,6.774193548387E-1,7.096774193548E-1,7.419354838710E-1, +7.741935483871E-1,8.064516129032E-1,8.387096774194E-1,8.709677419355E-1, +9.032258064516E-1,9.354838709677E-1,9.677419354839E-1,1.E0),.UNSPECIFIED.); +#3215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3202,#3203,#3204,#3205,#3206,#3207,#3208, +#3209,#3210,#3211,#3212,#3213,#3214),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#3229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3216,#3217,#3218,#3219,#3220,#3221,#3222, +#3223,#3224,#3225,#3226,#3227,#3228),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#3355=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3230,#3231,#3232,#3233,#3234,#3235,#3236, +#3237,#3238,#3239,#3240,#3241,#3242,#3243,#3244,#3245,#3246,#3247,#3248,#3249, +#3250,#3251,#3252,#3253,#3254,#3255,#3256,#3257,#3258,#3259,#3260,#3261,#3262, +#3263,#3264,#3265,#3266,#3267,#3268,#3269,#3270,#3271,#3272,#3273,#3274,#3275, +#3276,#3277,#3278,#3279,#3280,#3281,#3282,#3283,#3284,#3285,#3286,#3287,#3288, +#3289,#3290,#3291,#3292,#3293,#3294,#3295,#3296,#3297,#3298,#3299,#3300,#3301, +#3302,#3303,#3304,#3305,#3306,#3307,#3308,#3309,#3310,#3311,#3312,#3313,#3314, +#3315,#3316,#3317,#3318,#3319,#3320,#3321,#3322,#3323,#3324,#3325,#3326,#3327, +#3328,#3329,#3330,#3331,#3332,#3333,#3334,#3335,#3336,#3337,#3338,#3339,#3340, +#3341,#3342,#3343,#3344,#3345,#3346,#3347,#3348,#3349,#3350,#3351,#3352,#3353, +#3354),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,8.196721311475E-3,1.639344262295E-2, +2.459016393443E-2,3.278688524590E-2,4.098360655738E-2,4.918032786885E-2, +5.737704918033E-2,6.557377049180E-2,7.377049180328E-2,8.196721311475E-2, +9.016393442623E-2,9.836065573770E-2,1.065573770492E-1,1.147540983607E-1, +1.229508196721E-1,1.311475409836E-1,1.393442622951E-1,1.475409836066E-1, +1.557377049180E-1,1.639344262295E-1,1.721311475410E-1,1.803278688525E-1, +1.885245901639E-1,1.967213114754E-1,2.049180327869E-1,2.131147540984E-1, +2.213114754098E-1,2.295081967213E-1,2.377049180328E-1,2.459016393443E-1, +2.540983606557E-1,2.622950819672E-1,2.704918032787E-1,2.786885245902E-1, +2.868852459016E-1,2.950819672131E-1,3.032786885246E-1,3.114754098361E-1, +3.196721311475E-1,3.278688524590E-1,3.360655737705E-1,3.442622950820E-1, +3.524590163934E-1,3.606557377049E-1,3.688524590164E-1,3.770491803279E-1, +3.852459016393E-1,3.934426229508E-1,4.016393442623E-1,4.098360655738E-1, +4.180327868852E-1,4.262295081967E-1,4.344262295082E-1,4.426229508197E-1, +4.508196721311E-1,4.590163934426E-1,4.672131147541E-1,4.754098360656E-1, +4.836065573770E-1,4.918032786885E-1,5.E-1,5.081967213115E-1,5.163934426230E-1, +5.245901639344E-1,5.327868852459E-1,5.409836065574E-1,5.491803278689E-1, +5.573770491803E-1,5.655737704918E-1,5.737704918033E-1,5.819672131148E-1, +5.901639344262E-1,5.983606557377E-1,6.065573770492E-1,6.147540983607E-1, +6.229508196721E-1,6.311475409836E-1,6.393442622951E-1,6.475409836066E-1, +6.557377049180E-1,6.639344262295E-1,6.721311475410E-1,6.803278688525E-1, +6.885245901639E-1,6.967213114754E-1,7.049180327869E-1,7.131147540984E-1, +7.213114754098E-1,7.295081967213E-1,7.377049180328E-1,7.459016393443E-1, +7.540983606557E-1,7.622950819672E-1,7.704918032787E-1,7.786885245902E-1, +7.868852459016E-1,7.950819672131E-1,8.032786885246E-1,8.114754098361E-1, +8.196721311475E-1,8.278688524590E-1,8.360655737705E-1,8.442622950820E-1, +8.524590163934E-1,8.606557377049E-1,8.688524590164E-1,8.770491803279E-1, +8.852459016393E-1,8.934426229508E-1,9.016393442623E-1,9.098360655738E-1, +9.180327868852E-1,9.262295081967E-1,9.344262295082E-1,9.426229508197E-1, +9.508196721311E-1,9.590163934426E-1,9.672131147541E-1,9.754098360656E-1, +9.836065573770E-1,9.918032786885E-1,1.E0),.UNSPECIFIED.); +#3374=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3356,#3357,#3358,#3359,#3360,#3361,#3362, +#3363,#3364,#3365,#3366,#3367,#3368,#3369,#3370,#3371,#3372,#3373), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.666666666667E-2, +1.333333333333E-1,2.E-1,2.666666666667E-1,3.333333333333E-1,4.E-1, +4.666666666667E-1,5.333333333333E-1,6.E-1,6.666666666667E-1,7.333333333333E-1, +8.E-1,8.666666666667E-1,9.333333333333E-1,1.E0),.UNSPECIFIED.); +#3428=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3379,#3380,#3381,#3382,#3383,#3384,#3385, +#3386,#3387,#3388,#3389,#3390,#3391,#3392,#3393,#3394,#3395,#3396,#3397,#3398, +#3399,#3400,#3401,#3402,#3403,#3404,#3405,#3406,#3407,#3408,#3409,#3410,#3411, +#3412,#3413,#3414,#3415,#3416,#3417,#3418,#3419,#3420,#3421,#3422,#3423,#3424, +#3425,#3426,#3427),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#3467=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3433,#3434,#3435,#3436,#3437,#3438,#3439, +#3440,#3441,#3442,#3443,#3444,#3445,#3446,#3447,#3448,#3449,#3450,#3451,#3452, +#3453,#3454,#3455,#3456,#3457,#3458,#3459,#3460,#3461,#3462,#3463,#3464,#3465, +#3466),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,4),(0.E0,3.225806451613E-2,6.451612903226E-2,9.677419354839E-2, +1.290322580645E-1,1.612903225806E-1,1.935483870968E-1,2.258064516129E-1, +2.580645161290E-1,2.903225806452E-1,3.225806451613E-1,3.548387096774E-1, +3.870967741935E-1,4.193548387097E-1,4.516129032258E-1,4.838709677419E-1, +5.161290322581E-1,5.483870967742E-1,5.806451612903E-1,6.129032258065E-1, +6.451612903226E-1,6.774193548387E-1,7.096774193548E-1,7.419354838710E-1, +7.741935483871E-1,8.064516129032E-1,8.387096774194E-1,8.709677419355E-1, +9.032258064516E-1,9.354838709677E-1,9.677419354839E-1,1.E0),.UNSPECIFIED.); +#3479=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3468,#3469,#3470,#3471,#3472,#3473,#3474, +#3475,#3476,#3477,#3478),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#3493=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3480,#3481,#3482,#3483,#3484,#3485,#3486, +#3487,#3488,#3489,#3490,#3491,#3492),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#3506=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3494,#3495,#3496,#3497,#3498,#3499,#3500, +#3501,#3502,#3503,#3504,#3505),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#3520=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3507,#3508,#3509,#3510,#3511,#3512,#3513, +#3514,#3515,#3516,#3517,#3518,#3519),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#3525=CIRCLE('',#3524,1.049212598425E-1); +#3540=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3526,#3527,#3528,#3529,#3530,#3531,#3532, +#3533,#3534,#3535,#3536,#3537,#3538,#3539),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#3555=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3541,#3542,#3543,#3544,#3545,#3546,#3547, +#3548,#3549,#3550,#3551,#3552,#3553,#3554),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#3564=CIRCLE('',#3563,1.049212598425E-1); +#3575=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3569,#3570,#3571,#3572,#3573,#3574), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#3590=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3576,#3577,#3578,#3579,#3580,#3581,#3582, +#3583,#3584,#3585,#3586,#3587,#3588,#3589),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#3595=CIRCLE('',#3594,1.080708661417E-1); +#3600=CIRCLE('',#3599,1.049212598425E-1); +#3621=CIRCLE('',#3620,1.049212598425E-1); +#3626=CIRCLE('',#3625,1.009842519685E-1); +#3631=CIRCLE('',#3630,1.009842519685E-1); +#3636=CIRCLE('',#3635,9.547244094488E-2); +#3641=CIRCLE('',#3640,9.547244094488E-2); +#3654=CIRCLE('',#3653,9.153543307087E-2); +#3663=CIRCLE('',#3662,9.153543307087E-2); +#3672=CIRCLE('',#3671,9.153543307087E-2); +#3677=CIRCLE('',#3676,9.153543307087E-2); +#3682=CIRCLE('',#3681,8.464566929134E-2); +#3687=CIRCLE('',#3686,8.464566929134E-2); +#3692=CIRCLE('',#3691,8.070866141732E-2); +#3705=CIRCLE('',#3704,2.324685251330E-2); +#3718=CIRCLE('',#3717,2.324685251330E-2); +#3727=CIRCLE('',#3726,8.070866141732E-2); +#3736=CIRCLE('',#3735,2.5E-2); +#3745=CIRCLE('',#3744,2.5E-2); +#3764=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3758,#3759,#3760,#3761,#3762,#3763), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#3771=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3765,#3766,#3767,#3768,#3769,#3770), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#3776=CIRCLE('',#3775,2.5E-2); +#3785=CIRCLE('',#3784,2.5E-2); +#3796=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3790,#3791,#3792,#3793,#3794,#3795), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#3803=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3797,#3798,#3799,#3800,#3801,#3802), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#3808=CIRCLE('',#3807,1.870078740157E-2); +#3817=CIRCLE('',#3816,1.870078740157E-2); +#3826=CIRCLE('',#3825,1.870078740157E-2); +#3835=CIRCLE('',#3834,1.870078740157E-2); +#3844=CIRCLE('',#3843,1.870078740157E-2); +#3849=CIRCLE('',#3848,1.870078740157E-2); +#3854=CIRCLE('',#3853,1.870078740157E-2); +#3863=CIRCLE('',#3862,1.870078740157E-2); +#3915=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3888,#3889,#3890,#3891,#3892,#3893,#3894, +#3895,#3896,#3897,#3898,#3899,#3900,#3901,#3902,#3903,#3904,#3905,#3906,#3907, +#3908,#3909,#3910,#3911,#3912,#3913,#3914),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,4.166666666667E-2,8.333333333333E-2, +1.25E-1,1.666666666667E-1,2.083333333333E-1,2.5E-1,2.916666666667E-1, +3.333333333333E-1,3.75E-1,4.166666666667E-1,4.583333333333E-1,5.E-1, +5.416666666667E-1,5.833333333333E-1,6.25E-1,6.666666666667E-1,7.083333333333E-1, +7.5E-1,7.916666666667E-1,8.333333333333E-1,8.75E-1,9.166666666667E-1, +9.583333333333E-1,1.E0),.UNSPECIFIED.); +#3920=CIRCLE('',#3919,1.218503937008E-1); +#3958=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3921,#3922,#3923,#3924,#3925,#3926,#3927, +#3928,#3929,#3930,#3931,#3932,#3933,#3934,#3935,#3936,#3937,#3938,#3939,#3940, +#3941,#3942,#3943,#3944,#3945,#3946,#3947,#3948,#3949,#3950,#3951,#3952,#3953, +#3954,#3955,#3956,#3957),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,2.941176470588E-2, +5.882352941176E-2,8.823529411765E-2,1.176470588235E-1,1.470588235294E-1, +1.764705882353E-1,2.058823529412E-1,2.352941176471E-1,2.647058823529E-1, +2.941176470588E-1,3.235294117647E-1,3.529411764706E-1,3.823529411765E-1, +4.117647058824E-1,4.411764705882E-1,4.705882352941E-1,5.E-1,5.294117647059E-1, +5.588235294118E-1,5.882352941176E-1,6.176470588235E-1,6.470588235294E-1, +6.764705882353E-1,7.058823529412E-1,7.352941176471E-1,7.647058823529E-1, +7.941176470588E-1,8.235294117647E-1,8.529411764706E-1,8.823529411765E-1, +9.117647058824E-1,9.411764705882E-1,9.705882352941E-1,1.E0),.UNSPECIFIED.); +#4071=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3959,#3960,#3961,#3962,#3963,#3964,#3965, +#3966,#3967,#3968,#3969,#3970,#3971,#3972,#3973,#3974,#3975,#3976,#3977,#3978, +#3979,#3980,#3981,#3982,#3983,#3984,#3985,#3986,#3987,#3988,#3989,#3990,#3991, +#3992,#3993,#3994,#3995,#3996,#3997,#3998,#3999,#4000,#4001,#4002,#4003,#4004, +#4005,#4006,#4007,#4008,#4009,#4010,#4011,#4012,#4013,#4014,#4015,#4016,#4017, +#4018,#4019,#4020,#4021,#4022,#4023,#4024,#4025,#4026,#4027,#4028,#4029,#4030, +#4031,#4032,#4033,#4034,#4035,#4036,#4037,#4038,#4039,#4040,#4041,#4042,#4043, +#4044,#4045,#4046,#4047,#4048,#4049,#4050,#4051,#4052,#4053,#4054,#4055,#4056, +#4057,#4058,#4059,#4060,#4061,#4062,#4063,#4064,#4065,#4066,#4067,#4068,#4069, +#4070),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.174311926606E-3,1.834862385321E-2,2.752293577982E-2, +3.669724770642E-2,4.587155963303E-2,5.504587155963E-2,6.422018348624E-2, +7.339449541284E-2,8.256880733945E-2,9.174311926606E-2,1.009174311927E-1, +1.100917431193E-1,1.192660550459E-1,1.284403669725E-1,1.376146788991E-1, +1.467889908257E-1,1.559633027523E-1,1.651376146789E-1,1.743119266055E-1, +1.834862385321E-1,1.926605504587E-1,2.018348623853E-1,2.110091743119E-1, +2.201834862385E-1,2.293577981651E-1,2.385321100917E-1,2.477064220183E-1, +2.568807339450E-1,2.660550458716E-1,2.752293577982E-1,2.844036697248E-1, +2.935779816514E-1,3.027522935780E-1,3.119266055046E-1,3.211009174312E-1, +3.302752293578E-1,3.394495412844E-1,3.486238532110E-1,3.577981651376E-1, +3.669724770642E-1,3.761467889908E-1,3.853211009174E-1,3.944954128440E-1, +4.036697247706E-1,4.128440366972E-1,4.220183486239E-1,4.311926605505E-1, +4.403669724771E-1,4.495412844037E-1,4.587155963303E-1,4.678899082569E-1, +4.770642201835E-1,4.862385321101E-1,4.954128440367E-1,5.045871559633E-1, +5.137614678899E-1,5.229357798165E-1,5.321100917431E-1,5.412844036697E-1, +5.504587155963E-1,5.596330275229E-1,5.688073394495E-1,5.779816513761E-1, +5.871559633028E-1,5.963302752294E-1,6.055045871560E-1,6.146788990826E-1, +6.238532110092E-1,6.330275229358E-1,6.422018348624E-1,6.513761467890E-1, +6.605504587156E-1,6.697247706422E-1,6.788990825688E-1,6.880733944954E-1, +6.972477064220E-1,7.064220183486E-1,7.155963302752E-1,7.247706422018E-1, +7.339449541284E-1,7.431192660550E-1,7.522935779817E-1,7.614678899083E-1, +7.706422018349E-1,7.798165137615E-1,7.889908256881E-1,7.981651376147E-1, +8.073394495413E-1,8.165137614679E-1,8.256880733945E-1,8.348623853211E-1, +8.440366972477E-1,8.532110091743E-1,8.623853211009E-1,8.715596330275E-1, +8.807339449541E-1,8.899082568807E-1,8.990825688073E-1,9.082568807339E-1, +9.174311926606E-1,9.266055045872E-1,9.357798165138E-1,9.449541284404E-1, +9.541284403670E-1,9.633027522936E-1,9.724770642202E-1,9.816513761468E-1, +9.908256880734E-1,1.E0),.UNSPECIFIED.); +#4255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4076,#4077,#4078,#4079,#4080,#4081,#4082, +#4083,#4084,#4085,#4086,#4087,#4088,#4089,#4090,#4091,#4092,#4093,#4094,#4095, +#4096,#4097,#4098,#4099,#4100,#4101,#4102,#4103,#4104,#4105,#4106,#4107,#4108, +#4109,#4110,#4111,#4112,#4113,#4114,#4115,#4116,#4117,#4118,#4119,#4120,#4121, +#4122,#4123,#4124,#4125,#4126,#4127,#4128,#4129,#4130,#4131,#4132,#4133,#4134, +#4135,#4136,#4137,#4138,#4139,#4140,#4141,#4142,#4143,#4144,#4145,#4146,#4147, +#4148,#4149,#4150,#4151,#4152,#4153,#4154,#4155,#4156,#4157,#4158,#4159,#4160, +#4161,#4162,#4163,#4164,#4165,#4166,#4167,#4168,#4169,#4170,#4171,#4172,#4173, +#4174,#4175,#4176,#4177,#4178,#4179,#4180,#4181,#4182,#4183,#4184,#4185,#4186, +#4187,#4188,#4189,#4190,#4191,#4192,#4193,#4194,#4195,#4196,#4197,#4198,#4199, +#4200,#4201,#4202,#4203,#4204,#4205,#4206,#4207,#4208,#4209,#4210,#4211,#4212, +#4213,#4214,#4215,#4216,#4217,#4218,#4219,#4220,#4221,#4222,#4223,#4224,#4225, +#4226,#4227,#4228,#4229,#4230,#4231,#4232,#4233,#4234,#4235,#4236,#4237,#4238, +#4239,#4240,#4241,#4242,#4243,#4244,#4245,#4246,#4247,#4248,#4249,#4250,#4251, +#4252,#4253,#4254),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),( +0.E0,5.681818181818E-3,1.136363636364E-2,1.704545454545E-2,2.272727272727E-2, +2.840909090909E-2,3.409090909091E-2,3.977272727273E-2,4.545454545455E-2, +5.113636363636E-2,5.681818181818E-2,6.25E-2,6.818181818182E-2,7.386363636364E-2, +7.954545454545E-2,8.522727272727E-2,9.090909090909E-2,9.659090909091E-2, +1.022727272727E-1,1.079545454545E-1,1.136363636364E-1,1.193181818182E-1,1.25E-1, +1.306818181818E-1,1.363636363636E-1,1.420454545455E-1,1.477272727273E-1, +1.534090909091E-1,1.590909090909E-1,1.647727272727E-1,1.704545454545E-1, +1.761363636364E-1,1.818181818182E-1,1.875E-1,1.931818181818E-1, +1.988636363636E-1,2.045454545455E-1,2.102272727273E-1,2.159090909091E-1, +2.215909090909E-1,2.272727272727E-1,2.329545454545E-1,2.386363636364E-1, +2.443181818182E-1,2.5E-1,2.556818181818E-1,2.613636363636E-1,2.670454545455E-1, +2.727272727273E-1,2.784090909091E-1,2.840909090909E-1,2.897727272727E-1, +2.954545454545E-1,3.011363636364E-1,3.068181818182E-1,3.125E-1, +3.181818181818E-1,3.238636363636E-1,3.295454545455E-1,3.352272727273E-1, +3.409090909091E-1,3.465909090909E-1,3.522727272727E-1,3.579545454545E-1, +3.636363636364E-1,3.693181818182E-1,3.75E-1,3.806818181818E-1,3.863636363636E-1, +3.920454545455E-1,3.977272727273E-1,4.034090909091E-1,4.090909090909E-1, +4.147727272727E-1,4.204545454545E-1,4.261363636364E-1,4.318181818182E-1, +4.375E-1,4.431818181818E-1,4.488636363636E-1,4.545454545455E-1, +4.602272727273E-1,4.659090909091E-1,4.715909090909E-1,4.772727272727E-1, +4.829545454545E-1,4.886363636364E-1,4.943181818182E-1,5.E-1,5.056818181818E-1, +5.113636363636E-1,5.170454545455E-1,5.227272727273E-1,5.284090909091E-1, +5.340909090909E-1,5.397727272727E-1,5.454545454545E-1,5.511363636364E-1, +5.568181818182E-1,5.625E-1,5.681818181818E-1,5.738636363636E-1, +5.795454545455E-1,5.852272727273E-1,5.909090909091E-1,5.965909090909E-1, +6.022727272727E-1,6.079545454545E-1,6.136363636364E-1,6.193181818182E-1,6.25E-1, +6.306818181818E-1,6.363636363636E-1,6.420454545455E-1,6.477272727273E-1, +6.534090909091E-1,6.590909090909E-1,6.647727272727E-1,6.704545454545E-1, +6.761363636364E-1,6.818181818182E-1,6.875E-1,6.931818181818E-1, +6.988636363636E-1,7.045454545455E-1,7.102272727273E-1,7.159090909091E-1, +7.215909090909E-1,7.272727272727E-1,7.329545454545E-1,7.386363636364E-1, +7.443181818182E-1,7.5E-1,7.556818181818E-1,7.613636363636E-1,7.670454545455E-1, +7.727272727273E-1,7.784090909091E-1,7.840909090909E-1,7.897727272727E-1, +7.954545454545E-1,8.011363636364E-1,8.068181818182E-1,8.125E-1, +8.181818181818E-1,8.238636363636E-1,8.295454545455E-1,8.352272727273E-1, +8.409090909091E-1,8.465909090909E-1,8.522727272727E-1,8.579545454545E-1, +8.636363636364E-1,8.693181818182E-1,8.75E-1,8.806818181818E-1,8.863636363636E-1, +8.920454545455E-1,8.977272727273E-1,9.034090909091E-1,9.090909090909E-1, +9.147727272727E-1,9.204545454545E-1,9.261363636364E-1,9.318181818182E-1, +9.375E-1,9.431818181818E-1,9.488636363636E-1,9.545454545455E-1, +9.602272727273E-1,9.659090909091E-1,9.715909090909E-1,9.772727272727E-1, +9.829545454545E-1,9.886363636364E-1,9.943181818182E-1,1.E0),.UNSPECIFIED.); +#4439=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4260,#4261,#4262,#4263,#4264,#4265,#4266, +#4267,#4268,#4269,#4270,#4271,#4272,#4273,#4274,#4275,#4276,#4277,#4278,#4279, +#4280,#4281,#4282,#4283,#4284,#4285,#4286,#4287,#4288,#4289,#4290,#4291,#4292, +#4293,#4294,#4295,#4296,#4297,#4298,#4299,#4300,#4301,#4302,#4303,#4304,#4305, +#4306,#4307,#4308,#4309,#4310,#4311,#4312,#4313,#4314,#4315,#4316,#4317,#4318, +#4319,#4320,#4321,#4322,#4323,#4324,#4325,#4326,#4327,#4328,#4329,#4330,#4331, +#4332,#4333,#4334,#4335,#4336,#4337,#4338,#4339,#4340,#4341,#4342,#4343,#4344, +#4345,#4346,#4347,#4348,#4349,#4350,#4351,#4352,#4353,#4354,#4355,#4356,#4357, +#4358,#4359,#4360,#4361,#4362,#4363,#4364,#4365,#4366,#4367,#4368,#4369,#4370, +#4371,#4372,#4373,#4374,#4375,#4376,#4377,#4378,#4379,#4380,#4381,#4382,#4383, +#4384,#4385,#4386,#4387,#4388,#4389,#4390,#4391,#4392,#4393,#4394,#4395,#4396, +#4397,#4398,#4399,#4400,#4401,#4402,#4403,#4404,#4405,#4406,#4407,#4408,#4409, +#4410,#4411,#4412,#4413,#4414,#4415,#4416,#4417,#4418,#4419,#4420,#4421,#4422, +#4423,#4424,#4425,#4426,#4427,#4428,#4429,#4430,#4431,#4432,#4433,#4434,#4435, +#4436,#4437,#4438),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),( +0.E0,5.681818181818E-3,1.136363636364E-2,1.704545454545E-2,2.272727272727E-2, +2.840909090909E-2,3.409090909091E-2,3.977272727273E-2,4.545454545455E-2, +5.113636363636E-2,5.681818181818E-2,6.25E-2,6.818181818182E-2,7.386363636364E-2, +7.954545454545E-2,8.522727272727E-2,9.090909090909E-2,9.659090909091E-2, +1.022727272727E-1,1.079545454545E-1,1.136363636364E-1,1.193181818182E-1,1.25E-1, +1.306818181818E-1,1.363636363636E-1,1.420454545455E-1,1.477272727273E-1, +1.534090909091E-1,1.590909090909E-1,1.647727272727E-1,1.704545454545E-1, +1.761363636364E-1,1.818181818182E-1,1.875E-1,1.931818181818E-1, +1.988636363636E-1,2.045454545455E-1,2.102272727273E-1,2.159090909091E-1, +2.215909090909E-1,2.272727272727E-1,2.329545454545E-1,2.386363636364E-1, +2.443181818182E-1,2.5E-1,2.556818181818E-1,2.613636363636E-1,2.670454545455E-1, +2.727272727273E-1,2.784090909091E-1,2.840909090909E-1,2.897727272727E-1, +2.954545454545E-1,3.011363636364E-1,3.068181818182E-1,3.125E-1, +3.181818181818E-1,3.238636363636E-1,3.295454545455E-1,3.352272727273E-1, +3.409090909091E-1,3.465909090909E-1,3.522727272727E-1,3.579545454545E-1, +3.636363636364E-1,3.693181818182E-1,3.75E-1,3.806818181818E-1,3.863636363636E-1, +3.920454545455E-1,3.977272727273E-1,4.034090909091E-1,4.090909090909E-1, +4.147727272727E-1,4.204545454545E-1,4.261363636364E-1,4.318181818182E-1, +4.375E-1,4.431818181818E-1,4.488636363636E-1,4.545454545455E-1, +4.602272727273E-1,4.659090909091E-1,4.715909090909E-1,4.772727272727E-1, +4.829545454545E-1,4.886363636364E-1,4.943181818182E-1,5.E-1,5.056818181818E-1, +5.113636363636E-1,5.170454545455E-1,5.227272727273E-1,5.284090909091E-1, +5.340909090909E-1,5.397727272727E-1,5.454545454545E-1,5.511363636364E-1, +5.568181818182E-1,5.625E-1,5.681818181818E-1,5.738636363636E-1, +5.795454545455E-1,5.852272727273E-1,5.909090909091E-1,5.965909090909E-1, +6.022727272727E-1,6.079545454545E-1,6.136363636364E-1,6.193181818182E-1,6.25E-1, +6.306818181818E-1,6.363636363636E-1,6.420454545455E-1,6.477272727273E-1, +6.534090909091E-1,6.590909090909E-1,6.647727272727E-1,6.704545454545E-1, +6.761363636364E-1,6.818181818182E-1,6.875E-1,6.931818181818E-1, +6.988636363636E-1,7.045454545455E-1,7.102272727273E-1,7.159090909091E-1, +7.215909090909E-1,7.272727272727E-1,7.329545454545E-1,7.386363636364E-1, +7.443181818182E-1,7.5E-1,7.556818181818E-1,7.613636363636E-1,7.670454545455E-1, +7.727272727273E-1,7.784090909091E-1,7.840909090909E-1,7.897727272727E-1, +7.954545454545E-1,8.011363636364E-1,8.068181818182E-1,8.125E-1, +8.181818181818E-1,8.238636363636E-1,8.295454545455E-1,8.352272727273E-1, +8.409090909091E-1,8.465909090909E-1,8.522727272727E-1,8.579545454545E-1, +8.636363636364E-1,8.693181818182E-1,8.75E-1,8.806818181818E-1,8.863636363636E-1, +8.920454545455E-1,8.977272727273E-1,9.034090909091E-1,9.090909090909E-1, +9.147727272727E-1,9.204545454545E-1,9.261363636364E-1,9.318181818182E-1, +9.375E-1,9.431818181818E-1,9.488636363636E-1,9.545454545455E-1, +9.602272727273E-1,9.659090909091E-1,9.715909090909E-1,9.772727272727E-1, +9.829545454545E-1,9.886363636364E-1,9.943181818182E-1,1.E0),.UNSPECIFIED.); +#4489=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4440,#4441,#4442,#4443,#4444,#4445,#4446, +#4447,#4448,#4449,#4450,#4451,#4452,#4453,#4454,#4455,#4456,#4457,#4458,#4459, +#4460,#4461,#4462,#4463,#4464,#4465,#4466,#4467,#4468,#4469,#4470,#4471,#4472, +#4473,#4474,#4475,#4476,#4477,#4478,#4479,#4480,#4481,#4482,#4483,#4484,#4485, +#4486,#4487,#4488),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#4543=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4494,#4495,#4496,#4497,#4498,#4499,#4500, +#4501,#4502,#4503,#4504,#4505,#4506,#4507,#4508,#4509,#4510,#4511,#4512,#4513, +#4514,#4515,#4516,#4517,#4518,#4519,#4520,#4521,#4522,#4523,#4524,#4525,#4526, +#4527,#4528,#4529,#4530,#4531,#4532,#4533,#4534,#4535,#4536,#4537,#4538,#4539, +#4540,#4541,#4542),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#4561=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4548,#4549,#4550,#4551,#4552,#4553,#4554, +#4555,#4556,#4557,#4558,#4559,#4560),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4579=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4566,#4567,#4568,#4569,#4570,#4571,#4572, +#4573,#4574,#4575,#4576,#4577,#4578),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4593=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4580,#4581,#4582,#4583,#4584,#4585,#4586, +#4587,#4588,#4589,#4590,#4591,#4592),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4643=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4594,#4595,#4596,#4597,#4598,#4599,#4600, +#4601,#4602,#4603,#4604,#4605,#4606,#4607,#4608,#4609,#4610,#4611,#4612,#4613, +#4614,#4615,#4616,#4617,#4618,#4619,#4620,#4621,#4622,#4623,#4624,#4625,#4626, +#4627,#4628,#4629,#4630,#4631,#4632,#4633,#4634,#4635,#4636,#4637,#4638,#4639, +#4640,#4641,#4642),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#4676=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4648,#4649,#4650,#4651,#4652,#4653,#4654, +#4655,#4656,#4657,#4658,#4659,#4660,#4661,#4662,#4663,#4664,#4665,#4666,#4667, +#4668,#4669,#4670,#4671,#4672,#4673,#4674,#4675),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,4.E-2,8.E-2,1.2E-1,1.6E-1, +2.E-1,2.4E-1,2.8E-1,3.2E-1,3.6E-1,4.E-1,4.4E-1,4.8E-1,5.2E-1,5.6E-1,6.E-1, +6.4E-1,6.8E-1,7.2E-1,7.6E-1,8.E-1,8.4E-1,8.8E-1,9.2E-1,9.6E-1,1.E0), +.UNSPECIFIED.); +#4701=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4677,#4678,#4679,#4680,#4681,#4682,#4683, +#4684,#4685,#4686,#4687,#4688,#4689,#4690,#4691,#4692,#4693,#4694,#4695,#4696, +#4697,#4698,#4699,#4700),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,4),(0.E0,4.761904761905E-2,9.523809523810E-2,1.428571428571E-1, +1.904761904762E-1,2.380952380952E-1,2.857142857143E-1,3.333333333333E-1, +3.809523809524E-1,4.285714285714E-1,4.761904761905E-1,5.238095238095E-1, +5.714285714286E-1,6.190476190476E-1,6.666666666667E-1,7.142857142857E-1, +7.619047619048E-1,8.095238095238E-1,8.571428571429E-1,9.047619047619E-1, +9.523809523810E-1,1.E0),.UNSPECIFIED.); +#4755=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4706,#4707,#4708,#4709,#4710,#4711,#4712, +#4713,#4714,#4715,#4716,#4717,#4718,#4719,#4720,#4721,#4722,#4723,#4724,#4725, +#4726,#4727,#4728,#4729,#4730,#4731,#4732,#4733,#4734,#4735,#4736,#4737,#4738, +#4739,#4740,#4741,#4742,#4743,#4744,#4745,#4746,#4747,#4748,#4749,#4750,#4751, +#4752,#4753,#4754),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#4809=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4760,#4761,#4762,#4763,#4764,#4765,#4766, +#4767,#4768,#4769,#4770,#4771,#4772,#4773,#4774,#4775,#4776,#4777,#4778,#4779, +#4780,#4781,#4782,#4783,#4784,#4785,#4786,#4787,#4788,#4789,#4790,#4791,#4792, +#4793,#4794,#4795,#4796,#4797,#4798,#4799,#4800,#4801,#4802,#4803,#4804,#4805, +#4806,#4807,#4808),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#4859=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4814,#4815,#4816,#4817,#4818,#4819,#4820, +#4821,#4822,#4823,#4824,#4825,#4826,#4827,#4828,#4829,#4830,#4831,#4832,#4833, +#4834,#4835,#4836,#4837,#4838,#4839,#4840,#4841,#4842,#4843,#4844,#4845,#4846, +#4847,#4848,#4849,#4850,#4851,#4852,#4853,#4854,#4855,#4856,#4857,#4858), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,2.380952380952E-2,4.761904761905E-2, +7.142857142857E-2,9.523809523810E-2,1.190476190476E-1,1.428571428571E-1, +1.666666666667E-1,1.904761904762E-1,2.142857142857E-1,2.380952380952E-1, +2.619047619048E-1,2.857142857143E-1,3.095238095238E-1,3.333333333333E-1, +3.571428571429E-1,3.809523809524E-1,4.047619047619E-1,4.285714285714E-1, +4.523809523810E-1,4.761904761905E-1,5.E-1,5.238095238095E-1,5.476190476190E-1, +5.714285714286E-1,5.952380952381E-1,6.190476190476E-1,6.428571428571E-1, +6.666666666667E-1,6.904761904762E-1,7.142857142857E-1,7.380952380952E-1, +7.619047619048E-1,7.857142857143E-1,8.095238095238E-1,8.333333333333E-1, +8.571428571429E-1,8.809523809524E-1,9.047619047619E-1,9.285714285714E-1, +9.523809523810E-1,9.761904761905E-1,1.E0),.UNSPECIFIED.); +#4867=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4860,#4861,#4862,#4863,#4864,#4865, +#4866),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#4921=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4872,#4873,#4874,#4875,#4876,#4877,#4878, +#4879,#4880,#4881,#4882,#4883,#4884,#4885,#4886,#4887,#4888,#4889,#4890,#4891, +#4892,#4893,#4894,#4895,#4896,#4897,#4898,#4899,#4900,#4901,#4902,#4903,#4904, +#4905,#4906,#4907,#4908,#4909,#4910,#4911,#4912,#4913,#4914,#4915,#4916,#4917, +#4918,#4919,#4920),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#4937=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4926,#4927,#4928,#4929,#4930,#4931,#4932, +#4933,#4934,#4935,#4936),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#4951=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4938,#4939,#4940,#4941,#4942,#4943,#4944, +#4945,#4946,#4947,#4948,#4949,#4950),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#4984=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4956,#4957,#4958,#4959,#4960,#4961,#4962, +#4963,#4964,#4965,#4966,#4967,#4968,#4969,#4970,#4971,#4972,#4973,#4974,#4975, +#4976,#4977,#4978,#4979,#4980,#4981,#4982,#4983),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,4.E-2,8.E-2,1.2E-1,1.6E-1, +2.E-1,2.4E-1,2.8E-1,3.2E-1,3.6E-1,4.E-1,4.4E-1,4.8E-1,5.2E-1,5.6E-1,6.E-1, +6.4E-1,6.8E-1,7.2E-1,7.6E-1,8.E-1,8.4E-1,8.8E-1,9.2E-1,9.6E-1,1.E0), +.UNSPECIFIED.); +#5038=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4989,#4990,#4991,#4992,#4993,#4994,#4995, +#4996,#4997,#4998,#4999,#5000,#5001,#5002,#5003,#5004,#5005,#5006,#5007,#5008, +#5009,#5010,#5011,#5012,#5013,#5014,#5015,#5016,#5017,#5018,#5019,#5020,#5021, +#5022,#5023,#5024,#5025,#5026,#5027,#5028,#5029,#5030,#5031,#5032,#5033,#5034, +#5035,#5036,#5037),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#5067=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5043,#5044,#5045,#5046,#5047,#5048,#5049, +#5050,#5051,#5052,#5053,#5054,#5055,#5056,#5057,#5058,#5059,#5060,#5061,#5062, +#5063,#5064,#5065,#5066),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,4),(0.E0,4.761904761905E-2,9.523809523810E-2,1.428571428571E-1, +1.904761904762E-1,2.380952380952E-1,2.857142857143E-1,3.333333333333E-1, +3.809523809524E-1,4.285714285714E-1,4.761904761905E-1,5.238095238095E-1, +5.714285714286E-1,6.190476190476E-1,6.666666666667E-1,7.142857142857E-1, +7.619047619048E-1,8.095238095238E-1,8.571428571429E-1,9.047619047619E-1, +9.523809523810E-1,1.E0),.UNSPECIFIED.); +#5117=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5068,#5069,#5070,#5071,#5072,#5073,#5074, +#5075,#5076,#5077,#5078,#5079,#5080,#5081,#5082,#5083,#5084,#5085,#5086,#5087, +#5088,#5089,#5090,#5091,#5092,#5093,#5094,#5095,#5096,#5097,#5098,#5099,#5100, +#5101,#5102,#5103,#5104,#5105,#5106,#5107,#5108,#5109,#5110,#5111,#5112,#5113, +#5114,#5115,#5116),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#5171=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5122,#5123,#5124,#5125,#5126,#5127,#5128, +#5129,#5130,#5131,#5132,#5133,#5134,#5135,#5136,#5137,#5138,#5139,#5140,#5141, +#5142,#5143,#5144,#5145,#5146,#5147,#5148,#5149,#5150,#5151,#5152,#5153,#5154, +#5155,#5156,#5157,#5158,#5159,#5160,#5161,#5162,#5163,#5164,#5165,#5166,#5167, +#5168,#5169,#5170),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#5201=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5176,#5177,#5178,#5179,#5180,#5181,#5182, +#5183,#5184,#5185,#5186,#5187,#5188,#5189,#5190,#5191,#5192,#5193,#5194,#5195, +#5196,#5197,#5198,#5199,#5200),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,4),(0.E0,4.545454545455E-2,9.090909090909E-2, +1.363636363636E-1,1.818181818182E-1,2.272727272727E-1,2.727272727273E-1, +3.181818181818E-1,3.636363636364E-1,4.090909090909E-1,4.545454545455E-1,5.E-1, +5.454545454545E-1,5.909090909091E-1,6.363636363636E-1,6.818181818182E-1, +7.272727272727E-1,7.727272727273E-1,8.181818181818E-1,8.636363636364E-1, +9.090909090909E-1,9.545454545455E-1,1.E0),.UNSPECIFIED.); +#5208=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5202,#5203,#5204,#5205,#5206,#5207), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5209,#5210,#5211,#5212,#5213,#5214,#5215, +#5216,#5217,#5218,#5219,#5220,#5221),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +4),(0.E0,1.E-1,2.E-1,3.E-1,4.E-1,5.E-1,6.E-1,7.E-1,8.E-1,9.E-1,1.E0), +.UNSPECIFIED.); +#5257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5223,#5224,#5225,#5226,#5227,#5228,#5229, +#5230,#5231,#5232,#5233,#5234,#5235,#5236,#5237,#5238,#5239,#5240,#5241,#5242, +#5243,#5244,#5245,#5246,#5247,#5248,#5249,#5250,#5251,#5252,#5253,#5254,#5255, +#5256),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,4),(0.E0,3.225806451613E-2,6.451612903226E-2,9.677419354839E-2, +1.290322580645E-1,1.612903225806E-1,1.935483870968E-1,2.258064516129E-1, +2.580645161290E-1,2.903225806452E-1,3.225806451613E-1,3.548387096774E-1, +3.870967741935E-1,4.193548387097E-1,4.516129032258E-1,4.838709677419E-1, +5.161290322581E-1,5.483870967742E-1,5.806451612903E-1,6.129032258065E-1, +6.451612903226E-1,6.774193548387E-1,7.096774193548E-1,7.419354838710E-1, +7.741935483871E-1,8.064516129032E-1,8.387096774194E-1,8.709677419355E-1, +9.032258064516E-1,9.354838709677E-1,9.677419354839E-1,1.E0),.UNSPECIFIED.); +#5268=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5262,#5263,#5264,#5265,#5266,#5267), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5269,#5270,#5271,#5272,#5273,#5274), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5276,#5277,#5278,#5279,#5280,#5281,#5282, +#5283,#5284,#5285,#5286,#5287),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#5295=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5289,#5290,#5291,#5292,#5293,#5294), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5296,#5297,#5298,#5299,#5300,#5301), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5303,#5304,#5305,#5306,#5307,#5308), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5310,#5311,#5312,#5313,#5314,#5315,#5316, +#5317,#5318,#5319),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#5371=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5321,#5322,#5323,#5324,#5325,#5326,#5327, +#5328,#5329,#5330,#5331,#5332,#5333,#5334,#5335,#5336,#5337,#5338,#5339,#5340, +#5341,#5342,#5343,#5344,#5345,#5346,#5347,#5348,#5349,#5350,#5351,#5352,#5353, +#5354,#5355,#5356,#5357,#5358,#5359,#5360,#5361,#5362,#5363,#5364,#5365,#5366, +#5367,#5368,#5369,#5370),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.127659574468E-2,4.255319148936E-2,6.382978723404E-2,8.510638297872E-2, +1.063829787234E-1,1.276595744681E-1,1.489361702128E-1,1.702127659574E-1, +1.914893617021E-1,2.127659574468E-1,2.340425531915E-1,2.553191489362E-1, +2.765957446809E-1,2.978723404255E-1,3.191489361702E-1,3.404255319149E-1, +3.617021276596E-1,3.829787234043E-1,4.042553191489E-1,4.255319148936E-1, +4.468085106383E-1,4.680851063830E-1,4.893617021277E-1,5.106382978723E-1, +5.319148936170E-1,5.531914893617E-1,5.744680851064E-1,5.957446808511E-1, +6.170212765957E-1,6.382978723404E-1,6.595744680851E-1,6.808510638298E-1, +7.021276595745E-1,7.234042553191E-1,7.446808510638E-1,7.659574468085E-1, +7.872340425532E-1,8.085106382979E-1,8.297872340426E-1,8.510638297872E-1, +8.723404255319E-1,8.936170212766E-1,9.148936170213E-1,9.361702127660E-1, +9.574468085106E-1,9.787234042553E-1,1.E0),.UNSPECIFIED.); +#5379=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5372,#5373,#5374,#5375,#5376,#5377, +#5378),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#5445=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5380,#5381,#5382,#5383,#5384,#5385,#5386, +#5387,#5388,#5389,#5390,#5391,#5392,#5393,#5394,#5395,#5396,#5397,#5398,#5399, +#5400,#5401,#5402,#5403,#5404,#5405,#5406,#5407,#5408,#5409,#5410,#5411,#5412, +#5413,#5414,#5415,#5416,#5417,#5418,#5419,#5420,#5421,#5422,#5423,#5424,#5425, +#5426,#5427,#5428,#5429,#5430,#5431,#5432,#5433,#5434,#5435,#5436,#5437,#5438, +#5439,#5440,#5441,#5442,#5443,#5444),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,1.612903225806E-2,3.225806451613E-2, +4.838709677419E-2,6.451612903226E-2,8.064516129032E-2,9.677419354839E-2, +1.129032258065E-1,1.290322580645E-1,1.451612903226E-1,1.612903225806E-1, +1.774193548387E-1,1.935483870968E-1,2.096774193548E-1,2.258064516129E-1, +2.419354838710E-1,2.580645161290E-1,2.741935483871E-1,2.903225806452E-1, +3.064516129032E-1,3.225806451613E-1,3.387096774194E-1,3.548387096774E-1, +3.709677419355E-1,3.870967741935E-1,4.032258064516E-1,4.193548387097E-1, +4.354838709677E-1,4.516129032258E-1,4.677419354839E-1,4.838709677419E-1,5.E-1, +5.161290322581E-1,5.322580645161E-1,5.483870967742E-1,5.645161290323E-1, +5.806451612903E-1,5.967741935484E-1,6.129032258065E-1,6.290322580645E-1, +6.451612903226E-1,6.612903225806E-1,6.774193548387E-1,6.935483870968E-1, +7.096774193548E-1,7.258064516129E-1,7.419354838710E-1,7.580645161290E-1, +7.741935483871E-1,7.903225806452E-1,8.064516129032E-1,8.225806451613E-1, +8.387096774194E-1,8.548387096774E-1,8.709677419355E-1,8.870967741935E-1, +9.032258064516E-1,9.193548387097E-1,9.354838709677E-1,9.516129032258E-1, +9.677419354839E-1,9.838709677419E-1,1.E0),.UNSPECIFIED.); +#5458=CIRCLE('',#5457,1.574803149606E-1); +#5463=CIRCLE('',#5462,1.574803149606E-1); +#5468=CIRCLE('',#5467,1.574803149606E-1); +#5473=CIRCLE('',#5472,1.574803149606E-1); +#5493=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5474,#5475,#5476,#5477,#5478,#5479,#5480, +#5481,#5482,#5483,#5484,#5485,#5486,#5487,#5488,#5489,#5490,#5491,#5492), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.25E-2,1.25E-1, +1.875E-1,2.5E-1,3.125E-1,3.75E-1,4.375E-1,5.E-1,5.625E-1,6.25E-1,6.875E-1, +7.5E-1,8.125E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#5504=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5498,#5499,#5500,#5501,#5502,#5503), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5509=CIRCLE('',#5508,1.574803149606E-1); +#5517=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5510,#5511,#5512,#5513,#5514,#5515, +#5516),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#5531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5522,#5523,#5524,#5525,#5526,#5527,#5528, +#5529,#5530),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5541=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5532,#5533,#5534,#5535,#5536,#5537,#5538, +#5539,#5540),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#5549=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5542,#5543,#5544,#5545,#5546,#5547, +#5548),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#5554=CIRCLE('',#5553,2.007874015748E-1); +#5559=CIRCLE('',#5558,2.007874015748E-1); +#5568=CIRCLE('',#5567,1.608898637944E-1); +#5577=CIRCLE('',#5576,1.608898637944E-1); +#5586=CIRCLE('',#5585,1.608898637944E-1); +#5595=CIRCLE('',#5594,1.608898637944E-1); +#5604=CIRCLE('',#5603,1.608898637944E-1); +#5613=CIRCLE('',#5612,1.608898637944E-1); +#5626=CIRCLE('',#5625,2.007874015748E-1); +#5631=CIRCLE('',#5630,2.007874015748E-1); +#5636=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5632,#5633,#5634,#5635),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5641=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5637,#5638,#5639,#5640),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5646=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5642,#5643,#5644,#5645),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5651=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5647,#5648,#5649,#5650),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5656=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5652,#5653,#5654,#5655),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5661=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5657,#5658,#5659,#5660),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5666=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5662,#5663,#5664,#5665),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5671=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5667,#5668,#5669,#5670),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5676=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5672,#5673,#5674,#5675),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5681=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5677,#5678,#5679,#5680),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5686=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5682,#5683,#5684,#5685),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5691=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5687,#5688,#5689,#5690),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5696=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5692,#5693,#5694,#5695),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5701=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5697,#5698,#5699,#5700),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5706=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5702,#5703,#5704,#5705),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5711=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5707,#5708,#5709,#5710),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5716=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5712,#5713,#5714,#5715),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5721=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5717,#5718,#5719,#5720),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5726=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5722,#5723,#5724,#5725),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5731=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5727,#5728,#5729,#5730),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5736=CIRCLE('',#5735,1.555118110236E-1); +#5745=CIRCLE('',#5744,1.555118110236E-1); +#5760=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5754,#5755,#5756,#5757,#5758,#5759), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5783=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5761,#5762,#5763,#5764,#5765,#5766,#5767, +#5768,#5769,#5770,#5771,#5772,#5773,#5774,#5775,#5776,#5777,#5778,#5779,#5780, +#5781,#5782),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),( +0.E0,5.263157894737E-2,1.052631578947E-1,1.578947368421E-1,2.105263157895E-1, +2.631578947368E-1,3.157894736842E-1,3.684210526316E-1,4.210526315789E-1, +4.736842105263E-1,5.263157894737E-1,5.789473684211E-1,6.315789473684E-1, +6.842105263158E-1,7.368421052632E-1,7.894736842105E-1,8.421052631579E-1, +8.947368421053E-1,9.473684210526E-1,1.E0),.UNSPECIFIED.); +#5790=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5784,#5785,#5786,#5787,#5788,#5789), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#5795=CIRCLE('',#5794,8.992145556940E-3); +#5800=CIRCLE('',#5799,8.992145556940E-3); +#5805=CIRCLE('',#5804,1.555118110236E-1); +#5814=CIRCLE('',#5813,1.555118110236E-1); +#5828=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5823,#5824,#5825,#5826,#5827), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#5833=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5829,#5830,#5831,#5832),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5852=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5838,#5839,#5840,#5841,#5842,#5843,#5844, +#5845,#5846,#5847,#5848,#5849,#5850,#5851),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#5857=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5853,#5854,#5855,#5856),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5866=CIRCLE('',#5865,1.279527559055E-1); +#5874=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5867,#5868,#5869,#5870,#5871,#5872, +#5873),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#5879=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5875,#5876,#5877,#5878),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5884=CIRCLE('',#5883,1.555118110236E-1); +#5893=CIRCLE('',#5892,1.555118110236E-1); +#5907=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5902,#5903,#5904,#5905,#5906), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#5912=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5908,#5909,#5910,#5911),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5931=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5917,#5918,#5919,#5920,#5921,#5922,#5923, +#5924,#5925,#5926,#5927,#5928,#5929,#5930),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#5936=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5932,#5933,#5934,#5935),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5945=CIRCLE('',#5944,1.279527559055E-1); +#5953=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5946,#5947,#5948,#5949,#5950,#5951, +#5952),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#5958=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5954,#5955,#5956,#5957),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#5963=CIRCLE('',#5962,1.555118110236E-1); +#5972=CIRCLE('',#5971,1.555118110236E-1); +#5986=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5981,#5982,#5983,#5984,#5985), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#5991=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5987,#5988,#5989,#5990),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6010=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5996,#5997,#5998,#5999,#6000,#6001,#6002, +#6003,#6004,#6005,#6006,#6007,#6008,#6009),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#6015=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6011,#6012,#6013,#6014),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6024=CIRCLE('',#6023,1.279527559055E-1); +#6032=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6025,#6026,#6027,#6028,#6029,#6030, +#6031),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#6037=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6033,#6034,#6035,#6036),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6042=CIRCLE('',#6041,1.555118110236E-1); +#6051=CIRCLE('',#6050,1.555118110236E-1); +#6065=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6060,#6061,#6062,#6063,#6064), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6070=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6066,#6067,#6068,#6069),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6089=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6075,#6076,#6077,#6078,#6079,#6080,#6081, +#6082,#6083,#6084,#6085,#6086,#6087,#6088),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#6094=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6090,#6091,#6092,#6093),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6103=CIRCLE('',#6102,1.279527559055E-1); +#6111=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6104,#6105,#6106,#6107,#6108,#6109, +#6110),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#6116=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6112,#6113,#6114,#6115),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6121=CIRCLE('',#6120,1.555118110236E-1); +#6130=CIRCLE('',#6129,1.555118110236E-1); +#6144=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6139,#6140,#6141,#6142,#6143), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6149=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6145,#6146,#6147,#6148),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6168=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6154,#6155,#6156,#6157,#6158,#6159,#6160, +#6161,#6162,#6163,#6164,#6165,#6166,#6167),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#6173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6169,#6170,#6171,#6172),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6182=CIRCLE('',#6181,1.279527559055E-1); +#6190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6183,#6184,#6185,#6186,#6187,#6188, +#6189),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#6195=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6191,#6192,#6193,#6194),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6200=CIRCLE('',#6199,1.555118110236E-1); +#6209=CIRCLE('',#6208,1.555118110236E-1); +#6223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6218,#6219,#6220,#6221,#6222), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6228=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6224,#6225,#6226,#6227),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6247=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6233,#6234,#6235,#6236,#6237,#6238,#6239, +#6240,#6241,#6242,#6243,#6244,#6245,#6246),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#6252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6248,#6249,#6250,#6251),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6261=CIRCLE('',#6260,1.279527559055E-1); +#6269=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6262,#6263,#6264,#6265,#6266,#6267, +#6268),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#6274=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6270,#6271,#6272,#6273),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6279=CIRCLE('',#6278,1.555118110236E-1); +#6288=CIRCLE('',#6287,1.555118110236E-1); +#6302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6297,#6298,#6299,#6300,#6301), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6307=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6303,#6304,#6305,#6306),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6326=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6312,#6313,#6314,#6315,#6316,#6317,#6318, +#6319,#6320,#6321,#6322,#6323,#6324,#6325),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#6331=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6327,#6328,#6329,#6330),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6340=CIRCLE('',#6339,1.279527559055E-1); +#6348=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6341,#6342,#6343,#6344,#6345,#6346, +#6347),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#6353=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6349,#6350,#6351,#6352),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6358=CIRCLE('',#6357,1.555118110236E-1); +#6367=CIRCLE('',#6366,1.555118110236E-1); +#6381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6376,#6377,#6378,#6379,#6380), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6386=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6382,#6383,#6384,#6385),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6405=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6391,#6392,#6393,#6394,#6395,#6396,#6397, +#6398,#6399,#6400,#6401,#6402,#6403,#6404),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#6410=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6406,#6407,#6408,#6409),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6419=CIRCLE('',#6418,1.279527559055E-1); +#6427=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6420,#6421,#6422,#6423,#6424,#6425, +#6426),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#6432=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6428,#6429,#6430,#6431),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6437=CIRCLE('',#6436,1.555118110236E-1); +#6446=CIRCLE('',#6445,1.555118110236E-1); +#6460=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6455,#6456,#6457,#6458,#6459), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6465=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6461,#6462,#6463,#6464),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6484=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6470,#6471,#6472,#6473,#6474,#6475,#6476, +#6477,#6478,#6479,#6480,#6481,#6482,#6483),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#6489=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6485,#6486,#6487,#6488),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6498=CIRCLE('',#6497,1.279527559055E-1); +#6506=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6499,#6500,#6501,#6502,#6503,#6504, +#6505),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#6511=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6507,#6508,#6509,#6510),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6512,#6513,#6514,#6515),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6525=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6521,#6522,#6523,#6524),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6534=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6530,#6531,#6532,#6533),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6543=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6539,#6540,#6541,#6542),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6552=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6548,#6549,#6550,#6551),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6561=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6557,#6558,#6559,#6560),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6570=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6566,#6567,#6568,#6569),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6579=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6575,#6576,#6577,#6578),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6588=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6584,#6585,#6586,#6587),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6597=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6593,#6594,#6595,#6596),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6606=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6602,#6603,#6604,#6605),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6615=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6611,#6612,#6613,#6614),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6624=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6620,#6621,#6622,#6623),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6633=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6629,#6630,#6631,#6632),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6642=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6638,#6639,#6640,#6641),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6651=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6647,#6648,#6649,#6650),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6660=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6656,#6657,#6658,#6659),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6669=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6665,#6666,#6667,#6668),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6687=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6682,#6683,#6684,#6685,#6686), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6694=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6688,#6689,#6690,#6691,#6692,#6693), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6701=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6695,#6696,#6697,#6698,#6699,#6700), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#6707=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6702,#6703,#6704,#6705,#6706), +.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.E0,5.E-1,1.E0),.UNSPECIFIED.); +#6726=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6712,#6713,#6714,#6715,#6716,#6717,#6718, +#6719,#6720,#6721,#6722,#6723,#6724,#6725),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#6745=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6731,#6732,#6733,#6734,#6735,#6736,#6737, +#6738,#6739,#6740,#6741,#6742,#6743,#6744),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1, +1,1,1,1,4),(0.E0,9.090909090909E-2,1.818181818182E-1,2.727272727273E-1, +3.636363636364E-1,4.545454545455E-1,5.454545454545E-1,6.363636363636E-1, +7.272727272727E-1,8.181818181818E-1,9.090909090909E-1,1.E0),.UNSPECIFIED.); +#6754=CIRCLE('',#6753,1.279527559055E-1); +#6759=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6755,#6756,#6757,#6758),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6764=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6760,#6761,#6762,#6763),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6769=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6765,#6766,#6767,#6768),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6774=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6770,#6771,#6772,#6773),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6779=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6775,#6776,#6777,#6778),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6787=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6780,#6781,#6782,#6783,#6784,#6785, +#6786),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#6796=CIRCLE('',#6795,8.992145556940E-3); +#6801=CIRCLE('',#6800,8.992145556940E-3); +#6806=CIRCLE('',#6805,8.992145556940E-3); +#6822=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6811,#6812,#6813,#6814,#6815,#6816,#6817, +#6818,#6819,#6820,#6821),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#6830=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6823,#6824,#6825,#6826,#6827,#6828, +#6829),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#6890=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6831,#6832,#6833,#6834,#6835,#6836,#6837, +#6838,#6839,#6840,#6841,#6842,#6843,#6844,#6845,#6846,#6847,#6848,#6849,#6850, +#6851,#6852,#6853,#6854,#6855,#6856,#6857,#6858,#6859,#6860,#6861,#6862,#6863, +#6864,#6865,#6866,#6867,#6868,#6869,#6870,#6871,#6872,#6873,#6874,#6875,#6876, +#6877,#6878,#6879,#6880,#6881,#6882,#6883,#6884,#6885,#6886,#6887,#6888,#6889), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +1.785714285714E-2,3.571428571429E-2,5.357142857143E-2,7.142857142857E-2, +8.928571428571E-2,1.071428571429E-1,1.25E-1,1.428571428571E-1,1.607142857143E-1, +1.785714285714E-1,1.964285714286E-1,2.142857142857E-1,2.321428571429E-1,2.5E-1, +2.678571428571E-1,2.857142857143E-1,3.035714285714E-1,3.214285714286E-1, +3.392857142857E-1,3.571428571429E-1,3.75E-1,3.928571428571E-1,4.107142857143E-1, +4.285714285714E-1,4.464285714286E-1,4.642857142857E-1,4.821428571429E-1,5.E-1, +5.178571428571E-1,5.357142857143E-1,5.535714285714E-1,5.714285714286E-1, +5.892857142857E-1,6.071428571429E-1,6.25E-1,6.428571428571E-1,6.607142857143E-1, +6.785714285714E-1,6.964285714286E-1,7.142857142857E-1,7.321428571429E-1,7.5E-1, +7.678571428571E-1,7.857142857143E-1,8.035714285714E-1,8.214285714286E-1, +8.392857142857E-1,8.571428571429E-1,8.75E-1,8.928571428571E-1,9.107142857143E-1, +9.285714285714E-1,9.464285714286E-1,9.642857142857E-1,9.821428571429E-1,1.E0), +.UNSPECIFIED.); +#6901=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6891,#6892,#6893,#6894,#6895,#6896,#6897, +#6898,#6899,#6900),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,4),(0.E0, +1.428571428571E-1,2.857142857143E-1,4.285714285714E-1,5.714285714286E-1, +7.142857142857E-1,8.571428571429E-1,1.E0),.UNSPECIFIED.); +#6979=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6902,#6903,#6904,#6905,#6906,#6907,#6908, +#6909,#6910,#6911,#6912,#6913,#6914,#6915,#6916,#6917,#6918,#6919,#6920,#6921, +#6922,#6923,#6924,#6925,#6926,#6927,#6928,#6929,#6930,#6931,#6932,#6933,#6934, +#6935,#6936,#6937,#6938,#6939,#6940,#6941,#6942,#6943,#6944,#6945,#6946,#6947, +#6948,#6949,#6950,#6951,#6952,#6953,#6954,#6955,#6956,#6957,#6958,#6959,#6960, +#6961,#6962,#6963,#6964,#6965,#6966,#6967,#6968,#6969,#6970,#6971,#6972,#6973, +#6974,#6975,#6976,#6977,#6978),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,1.351351351351E-2, +2.702702702703E-2,4.054054054054E-2,5.405405405405E-2,6.756756756757E-2, +8.108108108108E-2,9.459459459459E-2,1.081081081081E-1,1.216216216216E-1, +1.351351351351E-1,1.486486486486E-1,1.621621621622E-1,1.756756756757E-1, +1.891891891892E-1,2.027027027027E-1,2.162162162162E-1,2.297297297297E-1, +2.432432432432E-1,2.567567567568E-1,2.702702702703E-1,2.837837837838E-1, +2.972972972973E-1,3.108108108108E-1,3.243243243243E-1,3.378378378378E-1, +3.513513513514E-1,3.648648648649E-1,3.783783783784E-1,3.918918918919E-1, +4.054054054054E-1,4.189189189189E-1,4.324324324324E-1,4.459459459459E-1, +4.594594594595E-1,4.729729729730E-1,4.864864864865E-1,5.E-1,5.135135135135E-1, +5.270270270270E-1,5.405405405405E-1,5.540540540541E-1,5.675675675676E-1, +5.810810810811E-1,5.945945945946E-1,6.081081081081E-1,6.216216216216E-1, +6.351351351351E-1,6.486486486486E-1,6.621621621622E-1,6.756756756757E-1, +6.891891891892E-1,7.027027027027E-1,7.162162162162E-1,7.297297297297E-1, +7.432432432432E-1,7.567567567568E-1,7.702702702703E-1,7.837837837838E-1, +7.972972972973E-1,8.108108108108E-1,8.243243243243E-1,8.378378378378E-1, +8.513513513514E-1,8.648648648649E-1,8.783783783784E-1,8.918918918919E-1, +9.054054054054E-1,9.189189189189E-1,9.324324324324E-1,9.459459459459E-1, +9.594594594595E-1,9.729729729730E-1,9.864864864865E-1,1.E0),.UNSPECIFIED.); +#6986=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6980,#6981,#6982,#6983,#6984,#6985), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#7021=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6987,#6988,#6989,#6990,#6991,#6992,#6993, +#6994,#6995,#6996,#6997,#6998,#6999,#7000,#7001,#7002,#7003,#7004,#7005,#7006, +#7007,#7008,#7009,#7010,#7011,#7012,#7013,#7014,#7015,#7016,#7017,#7018,#7019, +#7020),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,4),(0.E0,3.225806451613E-2,6.451612903226E-2,9.677419354839E-2, +1.290322580645E-1,1.612903225806E-1,1.935483870968E-1,2.258064516129E-1, +2.580645161290E-1,2.903225806452E-1,3.225806451613E-1,3.548387096774E-1, +3.870967741935E-1,4.193548387097E-1,4.516129032258E-1,4.838709677419E-1, +5.161290322581E-1,5.483870967742E-1,5.806451612903E-1,6.129032258065E-1, +6.451612903226E-1,6.774193548387E-1,7.096774193548E-1,7.419354838710E-1, +7.741935483871E-1,8.064516129032E-1,8.387096774194E-1,8.709677419355E-1, +9.032258064516E-1,9.354838709677E-1,9.677419354839E-1,1.E0),.UNSPECIFIED.); +#7056=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7022,#7023,#7024,#7025,#7026,#7027,#7028, +#7029,#7030,#7031,#7032,#7033,#7034,#7035,#7036,#7037,#7038,#7039,#7040,#7041, +#7042,#7043,#7044,#7045,#7046,#7047,#7048,#7049,#7050,#7051,#7052,#7053,#7054, +#7055),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,4),(0.E0,3.225806451613E-2,6.451612903226E-2,9.677419354839E-2, +1.290322580645E-1,1.612903225806E-1,1.935483870968E-1,2.258064516129E-1, +2.580645161290E-1,2.903225806452E-1,3.225806451613E-1,3.548387096774E-1, +3.870967741935E-1,4.193548387097E-1,4.516129032258E-1,4.838709677419E-1, +5.161290322581E-1,5.483870967742E-1,5.806451612903E-1,6.129032258065E-1, +6.451612903226E-1,6.774193548387E-1,7.096774193548E-1,7.419354838710E-1, +7.741935483871E-1,8.064516129032E-1,8.387096774194E-1,8.709677419355E-1, +9.032258064516E-1,9.354838709677E-1,9.677419354839E-1,1.E0),.UNSPECIFIED.); +#7106=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7057,#7058,#7059,#7060,#7061,#7062,#7063, +#7064,#7065,#7066,#7067,#7068,#7069,#7070,#7071,#7072,#7073,#7074,#7075,#7076, +#7077,#7078,#7079,#7080,#7081,#7082,#7083,#7084,#7085,#7086,#7087,#7088,#7089, +#7090,#7091,#7092,#7093,#7094,#7095,#7096,#7097,#7098,#7099,#7100,#7101,#7102, +#7103,#7104,#7105),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#7111=CIRCLE('',#7110,1.250393700787E-1); +#7128=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7112,#7113,#7114,#7115,#7116,#7117,#7118, +#7119,#7120,#7121,#7122,#7123,#7124,#7125,#7126,#7127),.UNSPECIFIED.,.F.,.F.,(4, +1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1, +2.307692307692E-1,3.076923076923E-1,3.846153846154E-1,4.615384615385E-1, +5.384615384615E-1,6.153846153846E-1,6.923076923077E-1,7.692307692308E-1, +8.461538461538E-1,9.230769230769E-1,1.E0),.UNSPECIFIED.); +#7133=CIRCLE('',#7132,1.100393700787E-1); +#7141=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7134,#7135,#7136,#7137,#7138,#7139, +#7140),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#7155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7146,#7147,#7148,#7149,#7150,#7151,#7152, +#7153,#7154),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7165=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7156,#7157,#7158,#7159,#7160,#7161,#7162, +#7163,#7164),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7166,#7167,#7168,#7169,#7170,#7171, +#7172),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#7181=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7174,#7175,#7176,#7177,#7178,#7179, +#7180),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#7195=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7186,#7187,#7188,#7189,#7190,#7191,#7192, +#7193,#7194),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7205=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7196,#7197,#7198,#7199,#7200,#7201,#7202, +#7203,#7204),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7213=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7206,#7207,#7208,#7209,#7210,#7211, +#7212),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#7218=CIRCLE('',#7217,1.574803149606E-1); +#7226=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7219,#7220,#7221,#7222,#7223,#7224, +#7225),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#7240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7231,#7232,#7233,#7234,#7235,#7236,#7237, +#7238,#7239),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7241,#7242,#7243,#7244,#7245,#7246,#7247, +#7248,#7249),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7258=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7251,#7252,#7253,#7254,#7255,#7256, +#7257),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#7266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7259,#7260,#7261,#7262,#7263,#7264, +#7265),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#7280=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7271,#7272,#7273,#7274,#7275,#7276,#7277, +#7278,#7279),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7290=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7281,#7282,#7283,#7284,#7285,#7286,#7287, +#7288,#7289),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7298=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7291,#7292,#7293,#7294,#7295,#7296, +#7297),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#7306=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7299,#7300,#7301,#7302,#7303,#7304, +#7305),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#7320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7311,#7312,#7313,#7314,#7315,#7316,#7317, +#7318,#7319),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7330=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7321,#7322,#7323,#7324,#7325,#7326,#7327, +#7328,#7329),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.666666666667E-1, +3.333333333333E-1,5.E-1,6.666666666667E-1,8.333333333333E-1,1.E0), +.UNSPECIFIED.); +#7338=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7331,#7332,#7333,#7334,#7335,#7336, +#7337),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#7343=CIRCLE('',#7342,1.250393700787E-1); +#7360=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7348,#7349,#7350,#7351,#7352,#7353,#7354, +#7355,#7356,#7357,#7358,#7359),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#7365=CIRCLE('',#7364,1.100393700787E-1); +#7415=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7366,#7367,#7368,#7369,#7370,#7371,#7372, +#7373,#7374,#7375,#7376,#7377,#7378,#7379,#7380,#7381,#7382,#7383,#7384,#7385, +#7386,#7387,#7388,#7389,#7390,#7391,#7392,#7393,#7394,#7395,#7396,#7397,#7398, +#7399,#7400,#7401,#7402,#7403,#7404,#7405,#7406,#7407,#7408,#7409,#7410,#7411, +#7412,#7413,#7414),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +2.173913043478E-2,4.347826086957E-2,6.521739130435E-2,8.695652173913E-2, +1.086956521739E-1,1.304347826087E-1,1.521739130435E-1,1.739130434783E-1, +1.956521739130E-1,2.173913043478E-1,2.391304347826E-1,2.608695652174E-1, +2.826086956522E-1,3.043478260870E-1,3.260869565217E-1,3.478260869565E-1, +3.695652173913E-1,3.913043478261E-1,4.130434782609E-1,4.347826086957E-1, +4.565217391304E-1,4.782608695652E-1,5.E-1,5.217391304348E-1,5.434782608696E-1, +5.652173913043E-1,5.869565217391E-1,6.086956521739E-1,6.304347826087E-1, +6.521739130435E-1,6.739130434783E-1,6.956521739130E-1,7.173913043478E-1, +7.391304347826E-1,7.608695652174E-1,7.826086956522E-1,8.043478260870E-1, +8.260869565217E-1,8.478260869565E-1,8.695652173913E-1,8.913043478261E-1, +9.130434782609E-1,9.347826086957E-1,9.565217391304E-1,9.782608695652E-1,1.E0), +.UNSPECIFIED.); +#7420=CIRCLE('',#7419,1.082677165354E-1); +#7441=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7433,#7434,#7435,#7436,#7437,#7438,#7439, +#7440),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7471=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7446,#7447,#7448,#7449,#7450,#7451,#7452, +#7453,#7454,#7455,#7456,#7457,#7458,#7459,#7460,#7461,#7462,#7463,#7464,#7465, +#7466,#7467,#7468,#7469,#7470),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,4),(0.E0,4.545454545455E-2,9.090909090909E-2, +1.363636363636E-1,1.818181818182E-1,2.272727272727E-1,2.727272727273E-1, +3.181818181818E-1,3.636363636364E-1,4.090909090909E-1,4.545454545455E-1,5.E-1, +5.454545454545E-1,5.909090909091E-1,6.363636363636E-1,6.818181818182E-1, +7.272727272727E-1,7.727272727273E-1,8.181818181818E-1,8.636363636364E-1, +9.090909090909E-1,9.545454545455E-1,1.E0),.UNSPECIFIED.); +#7478=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7472,#7473,#7474,#7475,#7476,#7477), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#7489=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7483,#7484,#7485,#7486,#7487,#7488), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), +.UNSPECIFIED.); +#7506=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7498,#7499,#7500,#7501,#7502,#7503,#7504, +#7505),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(0.E0,2.E-1,4.E-1,6.E-1,8.E-1,1.E0), +.UNSPECIFIED.); +#7540=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7515,#7516,#7517,#7518,#7519,#7520,#7521, +#7522,#7523,#7524,#7525,#7526,#7527,#7528,#7529,#7530,#7531,#7532,#7533,#7534, +#7535,#7536,#7537,#7538,#7539),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,4),(0.E0,4.545454545455E-2,9.090909090909E-2, +1.363636363636E-1,1.818181818182E-1,2.272727272727E-1,2.727272727273E-1, +3.181818181818E-1,3.636363636364E-1,4.090909090909E-1,4.545454545455E-1,5.E-1, +5.454545454545E-1,5.909090909091E-1,6.363636363636E-1,6.818181818182E-1, +7.272727272727E-1,7.727272727273E-1,8.181818181818E-1,8.636363636364E-1, +9.090909090909E-1,9.545454545455E-1,1.E0),.UNSPECIFIED.); +#8267=EDGE_CURVE('',#7593,#7594,#4,.T.); +#8269=EDGE_CURVE('',#7594,#7568,#8,.T.); +#8271=EDGE_CURVE('',#7596,#7568,#12,.T.); +#8273=EDGE_CURVE('',#7596,#7593,#16,.T.); +#8284=EDGE_CURVE('',#7593,#7644,#20,.T.); +#8286=EDGE_CURVE('',#7644,#7626,#36,.T.); +#8288=EDGE_CURVE('',#7594,#7626,#275,.T.); +#8299=EDGE_CURVE('',#7596,#7628,#28,.T.); +#8301=EDGE_CURVE('',#7628,#7644,#40,.T.); +#8312=EDGE_CURVE('',#7628,#7603,#24,.T.); +#8316=EDGE_CURVE('',#7568,#7560,#32,.T.); +#8318=EDGE_CURVE('',#7560,#7544,#229,.T.); +#8320=EDGE_CURVE('',#7603,#7544,#60,.T.); +#8330=EDGE_CURVE('',#7603,#7604,#56,.T.); +#8332=EDGE_CURVE('',#7604,#7606,#316,.T.); +#8334=EDGE_CURVE('',#7606,#7626,#271,.T.); +#8347=EDGE_CURVE('',#7645,#7646,#52,.T.); +#8349=EDGE_CURVE('',#7604,#7645,#320,.T.); +#8353=EDGE_CURVE('',#7543,#7544,#64,.T.); +#8355=EDGE_CURVE('',#7600,#7543,#68,.T.); +#8357=EDGE_CURVE('',#7599,#7600,#72,.T.); +#8359=EDGE_CURVE('',#7599,#7648,#76,.T.); +#8361=EDGE_CURVE('',#7647,#7648,#88,.T.); +#8363=EDGE_CURVE('',#7647,#7646,#209,.T.); +#8373=EDGE_CURVE('',#7650,#7649,#114,.T.); +#8375=EDGE_CURVE('',#7649,#7645,#296,.T.); +#8378=EDGE_CURVE('',#7646,#7652,#93,.T.); +#8380=EDGE_CURVE('',#7652,#7650,#186,.T.); +#8391=EDGE_CURVE('',#7616,#7650,#174,.T.); +#8393=EDGE_CURVE('',#7615,#7616,#118,.T.); +#8395=EDGE_CURVE('',#7615,#7552,#122,.T.); +#8397=EDGE_CURVE('',#7551,#7552,#126,.T.); +#8399=EDGE_CURVE('',#7612,#7551,#130,.T.); +#8401=EDGE_CURVE('',#7610,#7612,#134,.T.); +#8403=EDGE_CURVE('',#7610,#7649,#138,.T.); +#8413=EDGE_CURVE('',#7648,#7651,#150,.T.); +#8416=EDGE_CURVE('',#7620,#7599,#154,.T.); +#8418=EDGE_CURVE('',#7620,#7556,#158,.T.); +#8420=EDGE_CURVE('',#7555,#7556,#162,.T.); +#8422=EDGE_CURVE('',#7618,#7555,#166,.T.); +#8424=EDGE_CURVE('',#7616,#7618,#170,.T.); +#8428=EDGE_CURVE('',#7652,#7651,#205,.T.); +#8432=EDGE_CURVE('',#7913,#7914,#191,.T.); +#8434=EDGE_CURVE('',#7914,#7913,#196,.T.); +#8444=EDGE_CURVE('',#7651,#7647,#201,.T.); +#8469=EDGE_CURVE('',#7600,#7630,#221,.T.); +#8471=EDGE_CURVE('',#7642,#7630,#213,.T.); +#8473=EDGE_CURVE('',#7632,#7642,#217,.T.); +#8475=EDGE_CURVE('',#7632,#7620,#420,.T.); +#8488=EDGE_CURVE('',#7543,#7562,#257,.T.); +#8490=EDGE_CURVE('',#7570,#7562,#428,.T.); +#8492=EDGE_CURVE('',#7590,#7570,#440,.T.); +#8494=EDGE_CURVE('',#7590,#7630,#225,.T.); +#8506=EDGE_CURVE('',#7547,#7560,#233,.T.); +#8508=EDGE_CURVE('',#7547,#7548,#308,.T.); +#8510=EDGE_CURVE('',#7558,#7548,#237,.T.); +#8512=EDGE_CURVE('',#7551,#7558,#241,.T.); +#8515=EDGE_CURVE('',#7564,#7552,#245,.T.); +#8517=EDGE_CURVE('',#7555,#7564,#249,.T.); +#8520=EDGE_CURVE('',#7562,#7556,#253,.T.); +#8525=EDGE_CURVE('',#7655,#7656,#262,.T.); +#8527=EDGE_CURVE('',#7656,#7655,#267,.T.); +#8538=EDGE_CURVE('',#7606,#7547,#312,.T.); +#8554=EDGE_CURVE('',#7609,#7610,#300,.T.); +#8556=EDGE_CURVE('',#7609,#7548,#304,.T.); +#8572=EDGE_CURVE('',#7612,#7622,#332,.T.); +#8574=EDGE_CURVE('',#7638,#7622,#324,.T.); +#8576=EDGE_CURVE('',#7624,#7638,#328,.T.); +#8578=EDGE_CURVE('',#7624,#7609,#340,.T.); +#8591=EDGE_CURVE('',#7566,#7558,#348,.T.); +#8593=EDGE_CURVE('',#7578,#7566,#360,.T.); +#8595=EDGE_CURVE('',#7578,#7622,#336,.T.); +#8606=EDGE_CURVE('',#7575,#7624,#344,.T.); +#8608=EDGE_CURVE('',#7575,#7566,#364,.T.); +#8621=EDGE_CURVE('',#7575,#7576,#352,.T.); +#8625=EDGE_CURVE('',#7576,#7638,#368,.T.); +#8636=EDGE_CURVE('',#7576,#7578,#356,.T.); +#8660=EDGE_CURVE('',#7636,#7615,#372,.T.); +#8662=EDGE_CURVE('',#7581,#7636,#376,.T.); +#8664=EDGE_CURVE('',#7581,#7572,#412,.T.); +#8666=EDGE_CURVE('',#7572,#7564,#380,.T.); +#8680=EDGE_CURVE('',#7618,#7634,#392,.T.); +#8682=EDGE_CURVE('',#7640,#7634,#384,.T.); +#8684=EDGE_CURVE('',#7636,#7640,#388,.T.); +#8699=EDGE_CURVE('',#7584,#7572,#408,.T.); +#8701=EDGE_CURVE('',#7584,#7634,#396,.T.); +#8711=EDGE_CURVE('',#7581,#7582,#400,.T.); +#8713=EDGE_CURVE('',#7582,#7584,#404,.T.); +#8728=EDGE_CURVE('',#7582,#7640,#416,.T.); +#8751=EDGE_CURVE('',#7587,#7632,#424,.T.); +#8753=EDGE_CURVE('',#7587,#7570,#444,.T.); +#8766=EDGE_CURVE('',#7587,#7588,#432,.T.); +#8770=EDGE_CURVE('',#7588,#7642,#448,.T.); +#8781=EDGE_CURVE('',#7588,#7590,#436,.T.); +#8805=EDGE_CURVE('',#7659,#7660,#453,.T.); +#8807=EDGE_CURVE('',#7655,#7660,#457,.T.); +#8810=EDGE_CURVE('',#7656,#7659,#476,.T.); +#8821=EDGE_CURVE('',#7660,#7659,#472,.T.); +#8825=EDGE_CURVE('',#7971,#7972,#462,.T.); +#8827=EDGE_CURVE('',#7972,#7971,#467,.T.); +#8850=EDGE_CURVE('',#7972,#7968,#494,.T.); +#8852=EDGE_CURVE('',#7968,#7967,#481,.T.); +#8854=EDGE_CURVE('',#7971,#7967,#485,.T.); +#8866=EDGE_CURVE('',#7967,#7968,#490,.T.); +#8877=EDGE_CURVE('',#7963,#7964,#503,.T.); +#8879=EDGE_CURVE('',#7964,#7968,#512,.T.); +#8882=EDGE_CURVE('',#7963,#7967,#498,.T.); +#8893=EDGE_CURVE('',#7964,#7963,#508,.T.); +#8916=EDGE_CURVE('',#7913,#7854,#520,.T.); +#8918=EDGE_CURVE('',#7855,#7854,#543,.T.); +#8920=EDGE_CURVE('',#7914,#7855,#516,.T.); +#8932=EDGE_CURVE('',#7854,#7855,#525,.T.); +#8944=EDGE_CURVE('',#7672,#7855,#529,.T.); +#8946=EDGE_CURVE('',#7671,#7672,#534,.T.); +#8948=EDGE_CURVE('',#7671,#7854,#538,.T.); +#8960=EDGE_CURVE('',#7671,#7672,#548,.T.); +#8971=EDGE_CURVE('',#7858,#7859,#553,.T.); +#8973=EDGE_CURVE('',#7859,#7858,#558,.T.); +#8988=EDGE_CURVE('',#7859,#7863,#566,.T.); +#8990=EDGE_CURVE('',#7862,#7863,#591,.T.); +#8992=EDGE_CURVE('',#7858,#7862,#562,.T.); +#9004=EDGE_CURVE('',#7863,#7862,#571,.T.); +#9016=EDGE_CURVE('',#7869,#7862,#604,.T.); +#9018=EDGE_CURVE('',#7871,#7869,#576,.T.); +#9020=EDGE_CURVE('',#7871,#7864,#581,.T.); +#9022=EDGE_CURVE('',#7867,#7864,#586,.T.); +#9024=EDGE_CURVE('',#7867,#7863,#595,.T.); +#9036=EDGE_CURVE('',#7869,#7867,#600,.T.); +#9047=EDGE_CURVE('',#7865,#7870,#609,.T.); +#9049=EDGE_CURVE('',#7870,#7871,#631,.T.); +#9054=EDGE_CURVE('',#7864,#7865,#624,.T.); +#9065=EDGE_CURVE('',#7788,#7865,#613,.T.); +#9067=EDGE_CURVE('',#7724,#7788,#640,.T.); +#9069=EDGE_CURVE('',#7870,#7724,#617,.T.); +#9080=EDGE_CURVE('',#7864,#7871,#635,.T.); +#9084=EDGE_CURVE('',#7724,#7725,#812,.T.); +#9086=EDGE_CURVE('',#7725,#7789,#954,.T.); +#9088=EDGE_CURVE('',#7788,#7789,#644,.T.); +#9111=EDGE_CURVE('',#7789,#7729,#694,.T.); +#9113=EDGE_CURVE('',#7728,#7729,#698,.T.); +#9115=EDGE_CURVE('',#7728,#7699,#712,.T.); +#9117=EDGE_CURVE('',#7698,#7699,#744,.T.); +#9119=EDGE_CURVE('',#7702,#7698,#751,.T.); +#9121=EDGE_CURVE('',#7704,#7702,#755,.T.); +#9123=EDGE_CURVE('',#7701,#7704,#762,.T.); +#9125=EDGE_CURVE('',#7700,#7701,#794,.T.); +#9127=EDGE_CURVE('',#7700,#7725,#808,.T.); +#9402=EDGE_CURVE('',#7905,#7906,#1108,.T.); +#9404=EDGE_CURVE('',#7905,#7902,#819,.T.); +#9406=EDGE_CURVE('',#7688,#7902,#894,.T.); +#9408=EDGE_CURVE('',#7688,#7718,#898,.T.); +#9410=EDGE_CURVE('',#7718,#7801,#1311,.T.); +#9412=EDGE_CURVE('',#7737,#7801,#912,.T.); +#9414=EDGE_CURVE('',#7797,#7737,#1456,.T.); +#9416=EDGE_CURVE('',#7733,#7797,#926,.T.); +#9418=EDGE_CURVE('',#7793,#7733,#1564,.T.); +#9420=EDGE_CURVE('',#7729,#7793,#940,.T.); +#9425=EDGE_CURVE('',#7907,#7700,#7445,.T.); +#9427=EDGE_CURVE('',#7907,#7903,#972,.T.); +#9429=EDGE_CURVE('',#7903,#7904,#1002,.T.); +#9431=EDGE_CURVE('',#7904,#7906,#1032,.T.); +#9441=EDGE_CURVE('',#7900,#7899,#1063,.T.); +#9443=EDGE_CURVE('',#7899,#7905,#7420,.T.); +#9446=EDGE_CURVE('',#7906,#7900,#7424,.T.); +#9720=EDGE_CURVE('',#8144,#8150,#1116,.T.); +#9722=EDGE_CURVE('',#8150,#7898,#1952,.T.); +#9724=EDGE_CURVE('',#7898,#7899,#1123,.T.); +#9727=EDGE_CURVE('',#7900,#7896,#1153,.T.); +#9729=EDGE_CURVE('',#7896,#7897,#1183,.T.); +#9731=EDGE_CURVE('',#7897,#7901,#1201,.T.); +#9733=EDGE_CURVE('',#7699,#7901,#7510,.T.); +#9736=EDGE_CURVE('',#7792,#7728,#1215,.T.); +#9738=EDGE_CURVE('',#7732,#7792,#1510,.T.); +#9740=EDGE_CURVE('',#7796,#7732,#1229,.T.); +#9742=EDGE_CURVE('',#7736,#7796,#1402,.T.); +#9744=EDGE_CURVE('',#7800,#7736,#1243,.T.); +#9746=EDGE_CURVE('',#8144,#7800,#1299,.T.); +#9756=EDGE_CURVE('',#8143,#8144,#1254,.T.); +#9758=EDGE_CURVE('',#8148,#8143,#1578,.T.); +#9760=EDGE_CURVE('',#8147,#8148,#1629,.T.); +#9762=EDGE_CURVE('',#8147,#8128,#1247,.T.); +#9764=EDGE_CURVE('',#8128,#8124,#5268,.T.); +#9766=EDGE_CURVE('',#8124,#8125,#6986,.T.); +#9768=EDGE_CURVE('',#8125,#8131,#6901,.T.); +#9770=EDGE_CURVE('',#8131,#8129,#6830,.T.); +#9772=EDGE_CURVE('',#8129,#8130,#6822,.T.); +#9774=EDGE_CURVE('',#8152,#8130,#1956,.T.); +#9776=EDGE_CURVE('',#8150,#8152,#1830,.T.); +#9789=EDGE_CURVE('',#7800,#7801,#1303,.T.); +#9792=EDGE_CURVE('',#7718,#8143,#1352,.T.); +#9802=EDGE_CURVE('',#7736,#7737,#1460,.T.); +#9816=EDGE_CURVE('',#7796,#7797,#1406,.T.); +#9828=EDGE_CURVE('',#7732,#7733,#1568,.T.); +#9842=EDGE_CURVE('',#7792,#7793,#1514,.T.); +#9909=EDGE_CURVE('',#8148,#7688,#1618,.T.); +#10185=EDGE_CURVE('',#7687,#7688,#1862,.T.); +#10187=EDGE_CURVE('',#7683,#7687,#1809,.T.); +#10189=EDGE_CURVE('',#7683,#7684,#1813,.T.); +#10191=EDGE_CURVE('',#7684,#8149,#2137,.T.); +#10193=EDGE_CURVE('',#8149,#8147,#1821,.T.); +#10340=EDGE_CURVE('',#8152,#8151,#1839,.T.); +#10342=EDGE_CURVE('',#7687,#8151,#1858,.T.); +#10346=EDGE_CURVE('',#7902,#7898,#1880,.T.); +#10357=EDGE_CURVE('',#8145,#8146,#1963,.T.); +#10359=EDGE_CURVE('',#8151,#8145,#4937,.T.); +#10363=EDGE_CURVE('',#8130,#8134,#5208,.T.); +#10365=EDGE_CURVE('',#8134,#8132,#5288,.T.); +#10367=EDGE_CURVE('',#8132,#8133,#5379,.T.); +#10369=EDGE_CURVE('',#8133,#8126,#5320,.T.); +#10371=EDGE_CURVE('',#8126,#8127,#5295,.T.); +#10373=EDGE_CURVE('',#8127,#8128,#5275,.T.); +#10377=EDGE_CURVE('',#8146,#8149,#1993,.T.); +#10388=EDGE_CURVE('',#8146,#7741,#1971,.T.); +#10390=EDGE_CURVE('',#7740,#7741,#1975,.T.); +#10392=EDGE_CURVE('',#7740,#8145,#1983,.T.); +#10540=EDGE_CURVE('',#7684,#7714,#2141,.T.); +#10542=EDGE_CURVE('',#7813,#7714,#4676,.T.); +#10544=EDGE_CURVE('',#7749,#7813,#2155,.T.); +#10546=EDGE_CURVE('',#7809,#7749,#4809,.T.); +#10548=EDGE_CURVE('',#7745,#7809,#2169,.T.); +#10550=EDGE_CURVE('',#7805,#7745,#4921,.T.); +#10552=EDGE_CURVE('',#7741,#7805,#2183,.T.); +#10827=EDGE_CURVE('',#7765,#7851,#2190,.T.); +#10829=EDGE_CURVE('',#7825,#7765,#2520,.T.); +#10831=EDGE_CURVE('',#7761,#7825,#2204,.T.); +#10833=EDGE_CURVE('',#7821,#7761,#5171,.T.); +#10835=EDGE_CURVE('',#7757,#7821,#2218,.T.); +#10837=EDGE_CURVE('',#7817,#7757,#5038,.T.); +#10839=EDGE_CURVE('',#7753,#7817,#2232,.T.); +#10841=EDGE_CURVE('',#7714,#7753,#4701,.T.); +#10844=EDGE_CURVE('',#7680,#7684,#2412,.T.); +#10846=EDGE_CURVE('',#7680,#7851,#2416,.T.); +#10856=EDGE_CURVE('',#7764,#7765,#2524,.T.); +#10859=EDGE_CURVE('',#7851,#7829,#2739,.T.); +#10861=EDGE_CURVE('',#7828,#7829,#2631,.T.); +#10863=EDGE_CURVE('',#7828,#7764,#2577,.T.); +#10873=EDGE_CURVE('',#7764,#7824,#2466,.T.); +#10875=EDGE_CURVE('',#7824,#7825,#2470,.T.); +#11151=EDGE_CURVE('',#7850,#7768,#2531,.T.); +#11153=EDGE_CURVE('',#7850,#7679,#2535,.T.); +#11155=EDGE_CURVE('',#7679,#7683,#4439,.T.); +#11157=EDGE_CURVE('',#7712,#7683,#4565,.T.); +#11159=EDGE_CURVE('',#7756,#7712,#5067,.T.); +#11161=EDGE_CURVE('',#7820,#7756,#2549,.T.); +#11163=EDGE_CURVE('',#7760,#7820,#5117,.T.); +#11165=EDGE_CURVE('',#7824,#7760,#2563,.T.); +#11169=EDGE_CURVE('',#7768,#7828,#2627,.T.); +#11179=EDGE_CURVE('',#7768,#7769,#2685,.T.); +#11181=EDGE_CURVE('',#7769,#7833,#2727,.T.); +#11183=EDGE_CURVE('',#7832,#7833,#4493,.T.); +#11185=EDGE_CURVE('',#7832,#7850,#3061,.T.); +#11198=EDGE_CURVE('',#7829,#7769,#2681,.T.); +#11473=EDGE_CURVE('',#7777,#7841,#2699,.T.); +#11475=EDGE_CURVE('',#7837,#7777,#3027,.T.); +#11477=EDGE_CURVE('',#7773,#7837,#2713,.T.); +#11479=EDGE_CURVE('',#7833,#7773,#4543,.T.); +#11485=EDGE_CURVE('',#7676,#7680,#2919,.T.); +#11487=EDGE_CURVE('',#7676,#7710,#2923,.T.); +#11489=EDGE_CURVE('',#7841,#7710,#3162,.T.); +#11499=EDGE_CURVE('',#7776,#7777,#3031,.T.); +#11502=EDGE_CURVE('',#7840,#7841,#3143,.T.); +#11504=EDGE_CURVE('',#7840,#7776,#3089,.T.); +#11514=EDGE_CURVE('',#7776,#7836,#2973,.T.); +#11516=EDGE_CURVE('',#7836,#7837,#2977,.T.); +#11792=EDGE_CURVE('',#7844,#7780,#3045,.T.); +#11794=EDGE_CURVE('',#7708,#7844,#3374,.T.); +#11796=EDGE_CURVE('',#7708,#7675,#3049,.T.); +#11798=EDGE_CURVE('',#7675,#7679,#4255,.T.); +#11802=EDGE_CURVE('',#7772,#7832,#4489,.T.); +#11804=EDGE_CURVE('',#7836,#7772,#3075,.T.); +#11808=EDGE_CURVE('',#7780,#7840,#3139,.T.); +#11818=EDGE_CURVE('',#7780,#7781,#3201,.T.); +#11820=EDGE_CURVE('',#7781,#7845,#3229,.T.); +#11822=EDGE_CURVE('',#7844,#7845,#3378,.T.); +#11836=EDGE_CURVE('',#7710,#7781,#3197,.T.); +#11983=EDGE_CURVE('',#7785,#7849,#3215,.T.); +#11985=EDGE_CURVE('',#7845,#7785,#3428,.T.); +#11990=EDGE_CURVE('',#7696,#7676,#3355,.T.); +#11992=EDGE_CURVE('',#7696,#7697,#3590,.T.); +#11994=EDGE_CURVE('',#7849,#7697,#3915,.T.); +#12004=EDGE_CURVE('',#7784,#7785,#3432,.T.); +#12007=EDGE_CURVE('',#7848,#7849,#3887,.T.); +#12009=EDGE_CURVE('',#7848,#7784,#3493,.T.); +#12023=EDGE_CURVE('',#7784,#7708,#3467,.T.); +#12169=EDGE_CURVE('',#7721,#7720,#3479,.T.); +#12171=EDGE_CURVE('',#7721,#7693,#3540,.T.); +#12173=EDGE_CURVE('',#7693,#7694,#3555,.T.); +#12175=EDGE_CURVE('',#7694,#7675,#4071,.T.); +#12180=EDGE_CURVE('',#7706,#7848,#3958,.T.); +#12182=EDGE_CURVE('',#7706,#7719,#3575,.T.); +#12184=EDGE_CURVE('',#7719,#7720,#3520,.T.); +#12194=EDGE_CURVE('',#7720,#7721,#3506,.T.); +#12207=EDGE_CURVE('',#7667,#7719,#3568,.T.); +#12209=EDGE_CURVE('',#7667,#7668,#3525,.T.); +#12211=EDGE_CURVE('',#7668,#7693,#3559,.T.); +#12224=EDGE_CURVE('',#7667,#7668,#3564,.T.); +#12228=EDGE_CURVE('',#7706,#7697,#3920,.T.); +#12231=EDGE_CURVE('',#7694,#7696,#3595,.T.); +#12241=EDGE_CURVE('',#7874,#7875,#3600,.T.); +#12243=EDGE_CURVE('',#7874,#7667,#3604,.T.); +#12246=EDGE_CURVE('',#7875,#7668,#3608,.T.); +#12257=EDGE_CURVE('',#7875,#7879,#3616,.T.); +#12259=EDGE_CURVE('',#7878,#7879,#3626,.T.); +#12261=EDGE_CURVE('',#7874,#7878,#3612,.T.); +#12271=EDGE_CURVE('',#7875,#7874,#3621,.T.); +#12274=EDGE_CURVE('',#7879,#7878,#3631,.T.); +#12301=EDGE_CURVE('',#7882,#7883,#3636,.T.); +#12303=EDGE_CURVE('',#7883,#7882,#3641,.T.); +#12314=EDGE_CURVE('',#7883,#7887,#3649,.T.); +#12316=EDGE_CURVE('',#7886,#7887,#3672,.T.); +#12318=EDGE_CURVE('',#7882,#7886,#3645,.T.); +#12330=EDGE_CURVE('',#7887,#7886,#3654,.T.); +#12342=EDGE_CURVE('',#7664,#7886,#3658,.T.); +#12344=EDGE_CURVE('',#7663,#7664,#3663,.T.); +#12346=EDGE_CURVE('',#7663,#7887,#3667,.T.); +#12358=EDGE_CURVE('',#7663,#7664,#3677,.T.); +#12373=EDGE_CURVE('',#7890,#7891,#3682,.T.); +#12375=EDGE_CURVE('',#7891,#7890,#3687,.T.); +#12385=EDGE_CURVE('',#7894,#7895,#3692,.T.); +#12387=EDGE_CURVE('',#7890,#7895,#3696,.T.); +#12390=EDGE_CURVE('',#7891,#7894,#3731,.T.); +#12401=EDGE_CURVE('',#7895,#7894,#3727,.T.); +#12405=EDGE_CURVE('',#7959,#7947,#3745,.T.); +#12407=EDGE_CURVE('',#7943,#7959,#3700,.T.); +#12409=EDGE_CURVE('',#7944,#7943,#3705,.T.); +#12411=EDGE_CURVE('',#7955,#7944,#3709,.T.); +#12413=EDGE_CURVE('',#7951,#7955,#3776,.T.); +#12415=EDGE_CURVE('',#7951,#7941,#3713,.T.); +#12417=EDGE_CURVE('',#7942,#7941,#3718,.T.); +#12419=EDGE_CURVE('',#7942,#7947,#3722,.T.); +#12441=EDGE_CURVE('',#7948,#7960,#3736,.T.); +#12443=EDGE_CURVE('',#7959,#7960,#3740,.T.); +#12446=EDGE_CURVE('',#7947,#7948,#3749,.T.); +#12457=EDGE_CURVE('',#7927,#7948,#3753,.T.); +#12459=EDGE_CURVE('',#7927,#7928,#3854,.T.); +#12461=EDGE_CURVE('',#7928,#7934,#3808,.T.); +#12463=EDGE_CURVE('',#7960,#7934,#3757,.T.); +#12475=EDGE_CURVE('',#7932,#7942,#3771,.T.); +#12477=EDGE_CURVE('',#7927,#7932,#3858,.T.); +#12488=EDGE_CURVE('',#7941,#7930,#3764,.T.); +#12490=EDGE_CURVE('',#7932,#7930,#3863,.T.); +#12502=EDGE_CURVE('',#7951,#7952,#3789,.T.); +#12504=EDGE_CURVE('',#7952,#7924,#3875,.T.); +#12506=EDGE_CURVE('',#7924,#7930,#3867,.T.); +#12519=EDGE_CURVE('',#7955,#7956,#3780,.T.); +#12521=EDGE_CURVE('',#7956,#7952,#3785,.T.); +#12534=EDGE_CURVE('',#7940,#7944,#3803,.T.); +#12536=EDGE_CURVE('',#7936,#7940,#3830,.T.); +#12538=EDGE_CURVE('',#7936,#7956,#3871,.T.); +#12548=EDGE_CURVE('',#7943,#7938,#3796,.T.); +#12550=EDGE_CURVE('',#7940,#7938,#3835,.T.); +#12564=EDGE_CURVE('',#7934,#7938,#3839,.T.); +#12577=EDGE_CURVE('',#7920,#7928,#3812,.T.); +#12579=EDGE_CURVE('',#7918,#7920,#3817,.T.); +#12581=EDGE_CURVE('',#7918,#7923,#3821,.T.); +#12583=EDGE_CURVE('',#7936,#7923,#3826,.T.); +#12596=EDGE_CURVE('',#7923,#7924,#3844,.T.); +#12599=EDGE_CURVE('',#7918,#7920,#3849,.T.); +#12627=EDGE_CURVE('',#7917,#7918,#3879,.T.); +#12629=EDGE_CURVE('',#7917,#7920,#3883,.T.); +#12801=EDGE_CURVE('',#7675,#7676,#4075,.T.); +#13078=EDGE_CURVE('',#7679,#7680,#4259,.T.); +#13368=EDGE_CURVE('',#7772,#7773,#4547,.T.); +#13654=EDGE_CURVE('',#7816,#7752,#4561,.T.); +#13656=EDGE_CURVE('',#7712,#7816,#4984,.T.); +#13660=EDGE_CURVE('',#7716,#7687,#4955,.T.); +#13662=EDGE_CURVE('',#7744,#7716,#4859,.T.); +#13664=EDGE_CURVE('',#7808,#7744,#4579,.T.); +#13666=EDGE_CURVE('',#7748,#7808,#4755,.T.); +#13668=EDGE_CURVE('',#7812,#7748,#4593,.T.); +#13670=EDGE_CURVE('',#7752,#7812,#4643,.T.); +#13680=EDGE_CURVE('',#7752,#7753,#4705,.T.); +#13683=EDGE_CURVE('',#7816,#7817,#4988,.T.); +#13695=EDGE_CURVE('',#7812,#7813,#4647,.T.); +#13708=EDGE_CURVE('',#7748,#7749,#4813,.T.); +#13722=EDGE_CURVE('',#7808,#7809,#4759,.T.); +#13734=EDGE_CURVE('',#7744,#7745,#4925,.T.); +#13748=EDGE_CURVE('',#7804,#7716,#4867,.T.); +#13750=EDGE_CURVE('',#7804,#7805,#4871,.T.); +#13788=EDGE_CURVE('',#7804,#7740,#4951,.T.); +#13816=EDGE_CURVE('',#7756,#7757,#5042,.T.); +#13829=EDGE_CURVE('',#7820,#7821,#5121,.T.); +#13843=EDGE_CURVE('',#7760,#7761,#5175,.T.); +#13865=EDGE_CURVE('',#8113,#8134,#5201,.T.); +#13868=EDGE_CURVE('',#8130,#8109,#5222,.T.); +#13870=EDGE_CURVE('',#8108,#8109,#7133,.T.); +#13872=EDGE_CURVE('',#8108,#8112,#5257,.T.); +#13874=EDGE_CURVE('',#8112,#8113,#5261,.T.); +#13958=EDGE_CURVE('',#8104,#8127,#5309,.T.); +#13960=EDGE_CURVE('',#8104,#8105,#7360,.T.); +#13962=EDGE_CURVE('',#8105,#8123,#5453,.T.); +#13964=EDGE_CURVE('',#8123,#8132,#5445,.T.); +#13968=EDGE_CURVE('',#8124,#8113,#7021,.T.); +#13979=EDGE_CURVE('',#8126,#8121,#5302,.T.); +#13981=EDGE_CURVE('',#8121,#8104,#7365,.T.); +#14065=EDGE_CURVE('',#8102,#8133,#5371,.T.); +#14067=EDGE_CURVE('',#8102,#8103,#5504,.T.); +#14069=EDGE_CURVE('',#8121,#8103,#7415,.T.); +#14154=EDGE_CURVE('',#8123,#8101,#5449,.T.); +#14156=EDGE_CURVE('',#8101,#8102,#5493,.T.); +#14167=EDGE_CURVE('',#8101,#8115,#5497,.T.); +#14171=EDGE_CURVE('',#8115,#8105,#7347,.T.); +#14181=EDGE_CURVE('',#8073,#8082,#5509,.T.); +#14183=EDGE_CURVE('',#8073,#8074,#5458,.T.); +#14185=EDGE_CURVE('',#8074,#8076,#5463,.T.); +#14187=EDGE_CURVE('',#8079,#8076,#7218,.T.); +#14189=EDGE_CURVE('',#8079,#8080,#5468,.T.); +#14191=EDGE_CURVE('',#8080,#8082,#5473,.T.); +#14197=EDGE_CURVE('',#8103,#8115,#7343,.T.); +#14208=EDGE_CURVE('',#8082,#8087,#5531,.T.); +#14210=EDGE_CURVE('',#8087,#8073,#7330,.T.); +#14221=EDGE_CURVE('',#8171,#8172,#5599,.T.); +#14223=EDGE_CURVE('',#8098,#8171,#5517,.T.); +#14225=EDGE_CURVE('',#8098,#8087,#5521,.T.); +#14228=EDGE_CURVE('',#8088,#8082,#5541,.T.); +#14230=EDGE_CURVE('',#8100,#8088,#7185,.T.); +#14232=EDGE_CURVE('',#8172,#8100,#5549,.T.); +#14242=EDGE_CURVE('',#7975,#7976,#5554,.T.); +#14244=EDGE_CURVE('',#7976,#7975,#5559,.T.); +#14248=EDGE_CURVE('',#8155,#8156,#5563,.T.); +#14250=EDGE_CURVE('',#8156,#8159,#5568,.T.); +#14252=EDGE_CURVE('',#8159,#8160,#5572,.T.); +#14254=EDGE_CURVE('',#8160,#8163,#5577,.T.); +#14256=EDGE_CURVE('',#8163,#8164,#5581,.T.); +#14258=EDGE_CURVE('',#8164,#8167,#5586,.T.); +#14260=EDGE_CURVE('',#8167,#8168,#5590,.T.); +#14262=EDGE_CURVE('',#8168,#8171,#5595,.T.); +#14265=EDGE_CURVE('',#8172,#8175,#5604,.T.); +#14267=EDGE_CURVE('',#8175,#8176,#5608,.T.); +#14269=EDGE_CURVE('',#8176,#8155,#5613,.T.); +#14280=EDGE_CURVE('',#7975,#7979,#5617,.T.); +#14282=EDGE_CURVE('',#7979,#7980,#5626,.T.); +#14284=EDGE_CURVE('',#7976,#7980,#5621,.T.); +#14296=EDGE_CURVE('',#7980,#7979,#5631,.T.); +#14311=EDGE_CURVE('',#7984,#8058,#5745,.T.); +#14313=EDGE_CURVE('',#7984,#7985,#5636,.T.); +#14315=EDGE_CURVE('',#7986,#7985,#5641,.T.); +#14317=EDGE_CURVE('',#8016,#7986,#5814,.T.); +#14319=EDGE_CURVE('',#8016,#8017,#5646,.T.); +#14321=EDGE_CURVE('',#8018,#8017,#5651,.T.); +#14323=EDGE_CURVE('',#8021,#8018,#5893,.T.); +#14325=EDGE_CURVE('',#8021,#8022,#5656,.T.); +#14327=EDGE_CURVE('',#8023,#8022,#5661,.T.); +#14329=EDGE_CURVE('',#8026,#8023,#5972,.T.); +#14331=EDGE_CURVE('',#8026,#8027,#5666,.T.); +#14333=EDGE_CURVE('',#8028,#8027,#5671,.T.); +#14335=EDGE_CURVE('',#8031,#8028,#6051,.T.); +#14337=EDGE_CURVE('',#8031,#8032,#5676,.T.); +#14339=EDGE_CURVE('',#8033,#8032,#5681,.T.); +#14341=EDGE_CURVE('',#8036,#8033,#6130,.T.); +#14343=EDGE_CURVE('',#8036,#8037,#5686,.T.); +#14345=EDGE_CURVE('',#8038,#8037,#5691,.T.); +#14347=EDGE_CURVE('',#8041,#8038,#6209,.T.); +#14349=EDGE_CURVE('',#8041,#8042,#5696,.T.); +#14351=EDGE_CURVE('',#8043,#8042,#5701,.T.); +#14353=EDGE_CURVE('',#8046,#8043,#6288,.T.); +#14355=EDGE_CURVE('',#8046,#8047,#5706,.T.); +#14357=EDGE_CURVE('',#8048,#8047,#5711,.T.); +#14359=EDGE_CURVE('',#8051,#8048,#6367,.T.); +#14361=EDGE_CURVE('',#8051,#8052,#5716,.T.); +#14363=EDGE_CURVE('',#8053,#8052,#5721,.T.); +#14365=EDGE_CURVE('',#8056,#8053,#6446,.T.); +#14367=EDGE_CURVE('',#8056,#8057,#5726,.T.); +#14369=EDGE_CURVE('',#8058,#8057,#5731,.T.); +#14379=EDGE_CURVE('',#8215,#8216,#5736,.T.); +#14381=EDGE_CURVE('',#8216,#7984,#5740,.T.); +#14384=EDGE_CURVE('',#8058,#8060,#5749,.T.); +#14386=EDGE_CURVE('',#8060,#8215,#5753,.T.); +#14396=EDGE_CURVE('',#8179,#8180,#5805,.T.); +#14398=EDGE_CURVE('',#8220,#8179,#6787,.T.); +#14400=EDGE_CURVE('',#8219,#8220,#6754,.T.); +#14402=EDGE_CURVE('',#8248,#8219,#6726,.T.); +#14404=EDGE_CURVE('',#8216,#8248,#6687,.T.); +#14407=EDGE_CURVE('',#8221,#8215,#6506,.T.); +#14409=EDGE_CURVE('',#8245,#8221,#6498,.T.); +#14411=EDGE_CURVE('',#8251,#8245,#6484,.T.); +#14413=EDGE_CURVE('',#8212,#8251,#6460,.T.); +#14415=EDGE_CURVE('',#8211,#8212,#6437,.T.); +#14417=EDGE_CURVE('',#8222,#8211,#6427,.T.); +#14419=EDGE_CURVE('',#8243,#8222,#6419,.T.); +#14421=EDGE_CURVE('',#8252,#8243,#6405,.T.); +#14423=EDGE_CURVE('',#8208,#8252,#6381,.T.); +#14425=EDGE_CURVE('',#8207,#8208,#6358,.T.); +#14427=EDGE_CURVE('',#8223,#8207,#6348,.T.); +#14429=EDGE_CURVE('',#8247,#8223,#6340,.T.); +#14431=EDGE_CURVE('',#8253,#8247,#6326,.T.); +#14433=EDGE_CURVE('',#8204,#8253,#6302,.T.); +#14435=EDGE_CURVE('',#8203,#8204,#6279,.T.); +#14437=EDGE_CURVE('',#8224,#8203,#6269,.T.); +#14439=EDGE_CURVE('',#8241,#8224,#6261,.T.); +#14441=EDGE_CURVE('',#8254,#8241,#6247,.T.); +#14443=EDGE_CURVE('',#8200,#8254,#6223,.T.); +#14445=EDGE_CURVE('',#8199,#8200,#6200,.T.); +#14447=EDGE_CURVE('',#8225,#8199,#6190,.T.); +#14449=EDGE_CURVE('',#8239,#8225,#6182,.T.); +#14451=EDGE_CURVE('',#8255,#8239,#6168,.T.); +#14453=EDGE_CURVE('',#8196,#8255,#6144,.T.); +#14455=EDGE_CURVE('',#8195,#8196,#6121,.T.); +#14457=EDGE_CURVE('',#8226,#8195,#6111,.T.); +#14459=EDGE_CURVE('',#8237,#8226,#6103,.T.); +#14461=EDGE_CURVE('',#8256,#8237,#6089,.T.); +#14463=EDGE_CURVE('',#8192,#8256,#6065,.T.); +#14465=EDGE_CURVE('',#8191,#8192,#6042,.T.); +#14467=EDGE_CURVE('',#8227,#8191,#6032,.T.); +#14469=EDGE_CURVE('',#8235,#8227,#6024,.T.); +#14471=EDGE_CURVE('',#8257,#8235,#6010,.T.); +#14473=EDGE_CURVE('',#8188,#8257,#5986,.T.); +#14475=EDGE_CURVE('',#8187,#8188,#5963,.T.); +#14477=EDGE_CURVE('',#8228,#8187,#5953,.T.); +#14479=EDGE_CURVE('',#8233,#8228,#5945,.T.); +#14481=EDGE_CURVE('',#8258,#8233,#5931,.T.); +#14483=EDGE_CURVE('',#8184,#8258,#5907,.T.); +#14485=EDGE_CURVE('',#8183,#8184,#5884,.T.); +#14487=EDGE_CURVE('',#8229,#8183,#5874,.T.); +#14489=EDGE_CURVE('',#8231,#8229,#5866,.T.); +#14491=EDGE_CURVE('',#8259,#8231,#5852,.T.); +#14493=EDGE_CURVE('',#8180,#8259,#5828,.T.); +#14497=EDGE_CURVE('',#8116,#8117,#5760,.T.); +#14499=EDGE_CURVE('',#8118,#8116,#5783,.T.); +#14501=EDGE_CURVE('',#8119,#8118,#5790,.T.); +#14503=EDGE_CURVE('',#8117,#8119,#7111,.T.); +#14507=EDGE_CURVE('',#8141,#8142,#5795,.T.); +#14509=EDGE_CURVE('',#8142,#8141,#5800,.T.); +#14520=EDGE_CURVE('',#8180,#8016,#5809,.T.); +#14523=EDGE_CURVE('',#7986,#7988,#5818,.T.); +#14525=EDGE_CURVE('',#7988,#8179,#5822,.T.); +#14556=EDGE_CURVE('',#8062,#8259,#5861,.T.); +#14558=EDGE_CURVE('',#7990,#8062,#5833,.T.); +#14560=EDGE_CURVE('',#7990,#8016,#5837,.T.); +#14588=EDGE_CURVE('',#8062,#8231,#5857,.T.); +#14600=EDGE_CURVE('',#8229,#7991,#5879,.T.); +#14602=EDGE_CURVE('',#7991,#7989,#6660,.T.); +#14604=EDGE_CURVE('',#7989,#7990,#6669,.T.); +#14633=EDGE_CURVE('',#8020,#8183,#5901,.T.); +#14635=EDGE_CURVE('',#7991,#8020,#6664,.T.); +#14647=EDGE_CURVE('',#8184,#8021,#5888,.T.); +#14650=EDGE_CURVE('',#8018,#8020,#5897,.T.); +#14682=EDGE_CURVE('',#8063,#8258,#5940,.T.); +#14684=EDGE_CURVE('',#7993,#8063,#5912,.T.); +#14686=EDGE_CURVE('',#7993,#8021,#5916,.T.); +#14714=EDGE_CURVE('',#8063,#8233,#5936,.T.); +#14726=EDGE_CURVE('',#8228,#7994,#5958,.T.); +#14728=EDGE_CURVE('',#7994,#7992,#6642,.T.); +#14730=EDGE_CURVE('',#7992,#7993,#6651,.T.); +#14759=EDGE_CURVE('',#8025,#8187,#5980,.T.); +#14761=EDGE_CURVE('',#7994,#8025,#6646,.T.); +#14773=EDGE_CURVE('',#8188,#8026,#5967,.T.); +#14776=EDGE_CURVE('',#8023,#8025,#5976,.T.); +#14808=EDGE_CURVE('',#8064,#8257,#6019,.T.); +#14810=EDGE_CURVE('',#7996,#8064,#5991,.T.); +#14812=EDGE_CURVE('',#7996,#8026,#5995,.T.); +#14840=EDGE_CURVE('',#8064,#8235,#6015,.T.); +#14852=EDGE_CURVE('',#8227,#7997,#6037,.T.); +#14854=EDGE_CURVE('',#7997,#7995,#6624,.T.); +#14856=EDGE_CURVE('',#7995,#7996,#6633,.T.); +#14885=EDGE_CURVE('',#8030,#8191,#6059,.T.); +#14887=EDGE_CURVE('',#7997,#8030,#6628,.T.); +#14899=EDGE_CURVE('',#8192,#8031,#6046,.T.); +#14902=EDGE_CURVE('',#8028,#8030,#6055,.T.); +#14934=EDGE_CURVE('',#8065,#8256,#6098,.T.); +#14936=EDGE_CURVE('',#7999,#8065,#6070,.T.); +#14938=EDGE_CURVE('',#7999,#8031,#6074,.T.); +#14966=EDGE_CURVE('',#8065,#8237,#6094,.T.); +#14978=EDGE_CURVE('',#8226,#8000,#6116,.T.); +#14980=EDGE_CURVE('',#8000,#7998,#6606,.T.); +#14982=EDGE_CURVE('',#7998,#7999,#6615,.T.); +#15011=EDGE_CURVE('',#8035,#8195,#6138,.T.); +#15013=EDGE_CURVE('',#8000,#8035,#6610,.T.); +#15025=EDGE_CURVE('',#8196,#8036,#6125,.T.); +#15028=EDGE_CURVE('',#8033,#8035,#6134,.T.); +#15060=EDGE_CURVE('',#8066,#8255,#6177,.T.); +#15062=EDGE_CURVE('',#8002,#8066,#6149,.T.); +#15064=EDGE_CURVE('',#8002,#8036,#6153,.T.); +#15092=EDGE_CURVE('',#8066,#8239,#6173,.T.); +#15104=EDGE_CURVE('',#8225,#8003,#6195,.T.); +#15106=EDGE_CURVE('',#8003,#8001,#6588,.T.); +#15108=EDGE_CURVE('',#8001,#8002,#6597,.T.); +#15137=EDGE_CURVE('',#8040,#8199,#6217,.T.); +#15139=EDGE_CURVE('',#8003,#8040,#6592,.T.); +#15151=EDGE_CURVE('',#8200,#8041,#6204,.T.); +#15154=EDGE_CURVE('',#8038,#8040,#6213,.T.); +#15186=EDGE_CURVE('',#8067,#8254,#6256,.T.); +#15188=EDGE_CURVE('',#8005,#8067,#6228,.T.); +#15190=EDGE_CURVE('',#8005,#8041,#6232,.T.); +#15218=EDGE_CURVE('',#8067,#8241,#6252,.T.); +#15230=EDGE_CURVE('',#8224,#8006,#6274,.T.); +#15232=EDGE_CURVE('',#8006,#8004,#6570,.T.); +#15234=EDGE_CURVE('',#8004,#8005,#6579,.T.); +#15263=EDGE_CURVE('',#8045,#8203,#6296,.T.); +#15265=EDGE_CURVE('',#8006,#8045,#6574,.T.); +#15277=EDGE_CURVE('',#8204,#8046,#6283,.T.); +#15280=EDGE_CURVE('',#8043,#8045,#6292,.T.); +#15312=EDGE_CURVE('',#8070,#8253,#6335,.T.); +#15314=EDGE_CURVE('',#8014,#8070,#6307,.T.); +#15316=EDGE_CURVE('',#8014,#8046,#6311,.T.); +#15344=EDGE_CURVE('',#8070,#8247,#6331,.T.); +#15356=EDGE_CURVE('',#8223,#8015,#6353,.T.); +#15358=EDGE_CURVE('',#8015,#8013,#6552,.T.); +#15360=EDGE_CURVE('',#8013,#8014,#6561,.T.); +#15389=EDGE_CURVE('',#8050,#8207,#6375,.T.); +#15391=EDGE_CURVE('',#8015,#8050,#6556,.T.); +#15403=EDGE_CURVE('',#8208,#8051,#6362,.T.); +#15406=EDGE_CURVE('',#8048,#8050,#6371,.T.); +#15438=EDGE_CURVE('',#8068,#8252,#6414,.T.); +#15440=EDGE_CURVE('',#8008,#8068,#6386,.T.); +#15442=EDGE_CURVE('',#8008,#8051,#6390,.T.); +#15470=EDGE_CURVE('',#8068,#8243,#6410,.T.); +#15482=EDGE_CURVE('',#8222,#8009,#6432,.T.); +#15484=EDGE_CURVE('',#8009,#8007,#6534,.T.); +#15486=EDGE_CURVE('',#8007,#8008,#6543,.T.); +#15515=EDGE_CURVE('',#8055,#8211,#6454,.T.); +#15517=EDGE_CURVE('',#8009,#8055,#6538,.T.); +#15529=EDGE_CURVE('',#8212,#8056,#6441,.T.); +#15532=EDGE_CURVE('',#8053,#8055,#6450,.T.); +#15564=EDGE_CURVE('',#8069,#8251,#6493,.T.); +#15566=EDGE_CURVE('',#8011,#8069,#6465,.T.); +#15568=EDGE_CURVE('',#8011,#8056,#6469,.T.); +#15596=EDGE_CURVE('',#8069,#8245,#6489,.T.); +#15608=EDGE_CURVE('',#8221,#8012,#6511,.T.); +#15610=EDGE_CURVE('',#8012,#8010,#6516,.T.); +#15612=EDGE_CURVE('',#8010,#8011,#6525,.T.); +#15642=EDGE_CURVE('',#8012,#8060,#6520,.T.); +#15674=EDGE_CURVE('',#8010,#8058,#6529,.T.); +#15740=EDGE_CURVE('',#8007,#8053,#6547,.T.); +#15806=EDGE_CURVE('',#8013,#8048,#6565,.T.); +#15872=EDGE_CURVE('',#8004,#8043,#6583,.T.); +#15938=EDGE_CURVE('',#8001,#8038,#6601,.T.); +#16004=EDGE_CURVE('',#7998,#8033,#6619,.T.); +#16070=EDGE_CURVE('',#7995,#8028,#6637,.T.); +#16136=EDGE_CURVE('',#7992,#8023,#6655,.T.); +#16202=EDGE_CURVE('',#7989,#8018,#6673,.T.); +#16268=EDGE_CURVE('',#7982,#7986,#6681,.T.); +#16270=EDGE_CURVE('',#7982,#7983,#6764,.T.); +#16272=EDGE_CURVE('',#7983,#7988,#6677,.T.); +#16303=EDGE_CURVE('',#7981,#7984,#6711,.T.); +#16305=EDGE_CURVE('',#7981,#7982,#6769,.T.); +#16338=EDGE_CURVE('',#8250,#8248,#6749,.T.); +#16340=EDGE_CURVE('',#8250,#8260,#6694,.T.); +#16342=EDGE_CURVE('',#8260,#8261,#6701,.T.); +#16344=EDGE_CURVE('',#8261,#8249,#6707,.T.); +#16346=EDGE_CURVE('',#8061,#8249,#6730,.T.); +#16348=EDGE_CURVE('',#8061,#7981,#6774,.T.); +#16377=EDGE_CURVE('',#8219,#8061,#6779,.T.); +#16380=EDGE_CURVE('',#8249,#8250,#6745,.T.); +#16392=EDGE_CURVE('',#7983,#8220,#6759,.T.); +#16436=EDGE_CURVE('',#8261,#8137,#6806,.T.); +#16438=EDGE_CURVE('',#8142,#8137,#6810,.T.); +#16441=EDGE_CURVE('',#8141,#8138,#6791,.T.); +#16443=EDGE_CURVE('',#8138,#8260,#6796,.T.); +#16456=EDGE_CURVE('',#8137,#8138,#6801,.T.); +#16553=EDGE_CURVE('',#8129,#8116,#6890,.T.); +#16556=EDGE_CURVE('',#8109,#8117,#7106,.T.); +#16639=EDGE_CURVE('',#8131,#8118,#6979,.T.); +#16724=EDGE_CURVE('',#8112,#8125,#7056,.T.); +#16727=EDGE_CURVE('',#8108,#8119,#7128,.T.); +#16764=EDGE_CURVE('',#8090,#8155,#7141,.T.); +#16766=EDGE_CURVE('',#8090,#8084,#7145,.T.); +#16768=EDGE_CURVE('',#8079,#8084,#7155,.T.); +#16770=EDGE_CURVE('',#8083,#8079,#7165,.T.); +#16772=EDGE_CURVE('',#8092,#8083,#7230,.T.); +#16774=EDGE_CURVE('',#8156,#8092,#7173,.T.); +#16785=EDGE_CURVE('',#8176,#8090,#7213,.T.); +#16797=EDGE_CURVE('',#8100,#8175,#7181,.T.); +#16800=EDGE_CURVE('',#8080,#8088,#7195,.T.); +#16802=EDGE_CURVE('',#8084,#8080,#7205,.T.); +#16847=EDGE_CURVE('',#8076,#8083,#7240,.T.); +#16860=EDGE_CURVE('',#8092,#8159,#7226,.T.); +#16864=EDGE_CURVE('',#8085,#8076,#7250,.T.); +#16866=EDGE_CURVE('',#8094,#8085,#7270,.T.); +#16868=EDGE_CURVE('',#8160,#8094,#7258,.T.); +#16890=EDGE_CURVE('',#8074,#8085,#7280,.T.); +#16902=EDGE_CURVE('',#8094,#8163,#7266,.T.); +#16906=EDGE_CURVE('',#8086,#8074,#7290,.T.); +#16908=EDGE_CURVE('',#8096,#8086,#7310,.T.); +#16910=EDGE_CURVE('',#8164,#8096,#7298,.T.); +#16932=EDGE_CURVE('',#8073,#8086,#7320,.T.); +#16944=EDGE_CURVE('',#8096,#8167,#7306,.T.); +#16950=EDGE_CURVE('',#8168,#8098,#7338,.T.); +#17010=EDGE_CURVE('',#7904,#7896,#7428,.T.); +#17023=EDGE_CURVE('',#7903,#7897,#7432,.T.); +#17036=EDGE_CURVE('',#7910,#7907,#7441,.T.); +#17038=EDGE_CURVE('',#7908,#7910,#7514,.T.); +#17040=EDGE_CURVE('',#7901,#7908,#7506,.T.); +#17117=EDGE_CURVE('',#7692,#7701,#7482,.T.); +#17119=EDGE_CURVE('',#7910,#7692,#7471,.T.); +#17142=EDGE_CURVE('',#7692,#7704,#7478,.T.); +#17166=EDGE_CURVE('',#7691,#7702,#7489,.T.); +#17168=EDGE_CURVE('',#7691,#7692,#7493,.T.); +#17192=EDGE_CURVE('',#7698,#7691,#7497,.T.); +#17268=EDGE_CURVE('',#7908,#7691,#7540,.T.); +#17351=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#17355=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#17358=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#17361=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#17349),#17360); +#17368=PRODUCT_DEFINITION('design','',#17367,#17364); +#17369=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR SMA6252A9-002-3GT50G-_SW0001.', +#17368); +#17370=SHAPE_DEFINITION_REPRESENTATION(#17369,#17361); +ENDSEC; +END-ISO-10303-21; diff --git a/kirdy.pretty/ARJP11A v1.step b/kirdy.pretty/ARJP11A v1.step new file mode 100644 index 0000000..57745fc --- /dev/null +++ b/kirdy.pretty/ARJP11A v1.step @@ -0,0 +1,14917 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ + +FILE_DESCRIPTION( +/* description */ (''), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ 'C:/Users/Alex/Downloads/ARJP11A.STEP/ARJP11A v1.step', +/* time_stamp */ '2022-07-07T16:28:29+08:00', +/* author */ (''), +/* organization */ (''), +/* preprocessor_version */ 'ST-DEVELOPER v19', +/* originating_system */ 'Autodesk Translation Framework v11.7.0.108', +/* authorisation */ ''); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); +ENDSEC; + +DATA; +#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#18,#19, +#20,#21,#22,#23,#24,#25,#26,#27,#28,#29,#30,#31,#32,#33,#34,#35,#36,#37, +#38,#39,#40,#41,#42,#43,#44,#45,#46,#47,#48,#49,#50,#51,#52,#53,#54,#55, +#56,#57,#58,#59,#60,#61,#62,#63,#64,#65,#66,#67,#68,#69,#70,#71,#72,#73, +#74,#75,#76,#77,#78,#79,#80,#81,#82,#83,#84,#85,#86,#87,#88,#89,#90,#91, +#92,#93,#94,#95,#96,#97,#98,#99,#100,#101,#102,#103,#104,#105,#106,#107, +#108,#109,#110,#111,#112,#113,#114,#115,#116,#117,#118,#119,#120,#121,#122, +#123,#124,#125,#126,#127,#128,#129,#130,#131,#132,#133,#134,#135,#136,#137, +#138,#139,#140,#141,#142,#143,#144,#145,#146,#147,#148,#149,#150,#151,#152), +#11400); +#11=ITEM_DEFINED_TRANSFORMATION($,$,#4948,#5185); +#12=( +REPRESENTATION_RELATIONSHIP($,$,#11414,#11413) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#11) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#13=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#12,#11412); +#14=NEXT_ASSEMBLY_USAGE_OCCURRENCE('ARJP11A_ARJP11A-MA:1', +'ARJP11A_ARJP11A-MA:1','ARJP11A_ARJP11A-MA:1',#11416,#11417, +'ARJP11A_ARJP11A-MA:1'); +#15=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#11414,#16); +#16=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#17),#11399); +#17=MANIFOLD_SOLID_BREP('Body1',#4934); +#18=STYLED_ITEM('',(#11429),#4683); +#19=STYLED_ITEM('',(#11429),#4684); +#20=STYLED_ITEM('',(#11429),#4685); +#21=STYLED_ITEM('',(#11429),#4686); +#22=STYLED_ITEM('',(#11429),#4687); +#23=STYLED_ITEM('',(#11429),#4699); +#24=STYLED_ITEM('',(#11429),#4700); +#25=STYLED_ITEM('',(#11429),#4701); +#26=STYLED_ITEM('',(#11429),#4702); +#27=STYLED_ITEM('',(#11429),#4703); +#28=STYLED_ITEM('',(#11429),#4704); +#29=STYLED_ITEM('',(#11429),#4705); +#30=STYLED_ITEM('',(#11429),#4706); +#31=STYLED_ITEM('',(#11429),#4707); +#32=STYLED_ITEM('',(#11429),#4708); +#33=STYLED_ITEM('',(#11429),#4709); +#34=STYLED_ITEM('',(#11429),#4710); +#35=STYLED_ITEM('',(#11429),#4748); +#36=STYLED_ITEM('',(#11429),#4749); +#37=STYLED_ITEM('',(#11429),#4750); +#38=STYLED_ITEM('',(#11429),#4751); +#39=STYLED_ITEM('',(#11429),#4752); +#40=STYLED_ITEM('',(#11429),#4753); +#41=STYLED_ITEM('',(#11429),#4754); +#42=STYLED_ITEM('',(#11429),#4755); +#43=STYLED_ITEM('',(#11429),#4756); +#44=STYLED_ITEM('',(#11429),#4757); +#45=STYLED_ITEM('',(#11429),#4758); +#46=STYLED_ITEM('',(#11429),#4759); +#47=STYLED_ITEM('',(#11429),#4761); +#48=STYLED_ITEM('',(#11429),#4762); +#49=STYLED_ITEM('',(#11429),#4763); +#50=STYLED_ITEM('',(#11429),#4764); +#51=STYLED_ITEM('',(#11429),#4765); +#52=STYLED_ITEM('',(#11429),#4766); +#53=STYLED_ITEM('',(#11429),#4767); +#54=STYLED_ITEM('',(#11429),#4768); +#55=STYLED_ITEM('',(#11429),#4769); +#56=STYLED_ITEM('',(#11429),#4770); +#57=STYLED_ITEM('',(#11429),#4771); +#58=STYLED_ITEM('',(#11429),#4772); +#59=STYLED_ITEM('',(#11429),#4773); +#60=STYLED_ITEM('',(#11429),#4774); +#61=STYLED_ITEM('',(#11429),#4775); +#62=STYLED_ITEM('',(#11429),#4776); +#63=STYLED_ITEM('',(#11429),#4777); +#64=STYLED_ITEM('',(#11429),#4779); +#65=STYLED_ITEM('',(#11429),#4780); +#66=STYLED_ITEM('',(#11429),#4781); +#67=STYLED_ITEM('',(#11429),#4782); +#68=STYLED_ITEM('',(#11429),#4783); +#69=STYLED_ITEM('',(#11429),#4784); +#70=STYLED_ITEM('',(#11429),#4785); +#71=STYLED_ITEM('',(#11429),#4786); +#72=STYLED_ITEM('',(#11429),#4787); +#73=STYLED_ITEM('',(#11429),#4788); +#74=STYLED_ITEM('',(#11429),#4789); +#75=STYLED_ITEM('',(#11429),#4790); +#76=STYLED_ITEM('',(#11429),#4791); +#77=STYLED_ITEM('',(#11429),#4792); +#78=STYLED_ITEM('',(#11429),#4793); +#79=STYLED_ITEM('',(#11429),#4794); +#80=STYLED_ITEM('',(#11429),#4795); +#81=STYLED_ITEM('',(#11429),#4796); +#82=STYLED_ITEM('',(#11429),#4797); +#83=STYLED_ITEM('',(#11429),#4798); +#84=STYLED_ITEM('',(#11429),#4799); +#85=STYLED_ITEM('',(#11429),#4800); +#86=STYLED_ITEM('',(#11429),#4801); +#87=STYLED_ITEM('',(#11429),#4802); +#88=STYLED_ITEM('',(#11429),#4803); +#89=STYLED_ITEM('',(#11429),#4805); +#90=STYLED_ITEM('',(#11429),#4806); +#91=STYLED_ITEM('',(#11429),#4807); +#92=STYLED_ITEM('',(#11429),#4808); +#93=STYLED_ITEM('',(#11429),#4809); +#94=STYLED_ITEM('',(#11429),#4810); +#95=STYLED_ITEM('',(#11429),#4811); +#96=STYLED_ITEM('',(#11429),#4812); +#97=STYLED_ITEM('',(#11429),#4813); +#98=STYLED_ITEM('',(#11429),#4814); +#99=STYLED_ITEM('',(#11429),#4815); +#100=STYLED_ITEM('',(#11429),#4816); +#101=STYLED_ITEM('',(#11429),#4817); +#102=STYLED_ITEM('',(#11429),#4818); +#103=STYLED_ITEM('',(#11429),#4819); +#104=STYLED_ITEM('',(#11429),#4820); +#105=STYLED_ITEM('',(#11429),#4821); +#106=STYLED_ITEM('',(#11429),#4822); +#107=STYLED_ITEM('',(#11429),#4823); +#108=STYLED_ITEM('',(#11429),#4824); +#109=STYLED_ITEM('',(#11429),#4825); +#110=STYLED_ITEM('',(#11429),#4826); +#111=STYLED_ITEM('',(#11429),#4827); +#112=STYLED_ITEM('',(#11429),#4828); +#113=STYLED_ITEM('',(#11429),#4829); +#114=STYLED_ITEM('',(#11429),#4830); +#115=STYLED_ITEM('',(#11429),#4832); +#116=STYLED_ITEM('',(#11429),#4833); +#117=STYLED_ITEM('',(#11429),#4834); +#118=STYLED_ITEM('',(#11429),#4835); +#119=STYLED_ITEM('',(#11429),#4836); +#120=STYLED_ITEM('',(#11429),#4837); +#121=STYLED_ITEM('',(#11429),#4838); +#122=STYLED_ITEM('',(#11429),#4839); +#123=STYLED_ITEM('',(#11429),#4840); +#124=STYLED_ITEM('',(#11429),#4841); +#125=STYLED_ITEM('',(#11429),#4842); +#126=STYLED_ITEM('',(#11429),#4843); +#127=STYLED_ITEM('',(#11429),#4844); +#128=STYLED_ITEM('',(#11429),#4845); +#129=STYLED_ITEM('',(#11429),#4846); +#130=STYLED_ITEM('',(#11429),#4847); +#131=STYLED_ITEM('',(#11429),#4848); +#132=STYLED_ITEM('',(#11429),#4849); +#133=STYLED_ITEM('',(#11429),#4850); +#134=STYLED_ITEM('',(#11429),#4851); +#135=STYLED_ITEM('',(#11429),#4852); +#136=STYLED_ITEM('',(#11429),#4853); +#137=STYLED_ITEM('',(#11429),#4854); +#138=STYLED_ITEM('',(#11429),#4855); +#139=STYLED_ITEM('',(#11429),#4856); +#140=STYLED_ITEM('',(#11429),#4857); +#141=STYLED_ITEM('',(#11429),#4858); +#142=STYLED_ITEM('',(#11429),#4859); +#143=STYLED_ITEM('',(#11429),#4860); +#144=STYLED_ITEM('',(#11429),#4861); +#145=STYLED_ITEM('',(#11429),#4862); +#146=STYLED_ITEM('',(#11430),#4906); +#147=STYLED_ITEM('',(#11430),#4907); +#148=STYLED_ITEM('',(#11430),#4908); +#149=STYLED_ITEM('',(#11431),#4909); +#150=STYLED_ITEM('',(#11431),#4910); +#151=STYLED_ITEM('',(#11431),#4911); +#152=STYLED_ITEM('',(#11428),#17); +#153=FACE_BOUND('',#787,.T.); +#154=FACE_BOUND('',#788,.T.); +#155=FACE_BOUND('',#789,.T.); +#156=FACE_BOUND('',#790,.T.); +#157=FACE_BOUND('',#791,.T.); +#158=FACE_BOUND('',#792,.T.); +#159=FACE_BOUND('',#793,.T.); +#160=FACE_BOUND('',#794,.T.); +#161=FACE_BOUND('',#795,.T.); +#162=FACE_BOUND('',#796,.T.); +#163=FACE_BOUND('',#797,.T.); +#164=FACE_BOUND('',#798,.T.); +#165=FACE_BOUND('',#799,.T.); +#166=FACE_BOUND('',#800,.T.); +#167=FACE_BOUND('',#801,.T.); +#168=FACE_BOUND('',#808,.T.); +#169=FACE_BOUND('',#810,.T.); +#170=FACE_BOUND('',#811,.T.); +#171=FACE_BOUND('',#814,.T.); +#172=FACE_BOUND('',#815,.T.); +#173=FACE_BOUND('',#817,.T.); +#174=FACE_BOUND('',#820,.T.); +#175=FACE_BOUND('',#821,.T.); +#176=FACE_BOUND('',#822,.T.); +#177=FACE_BOUND('',#823,.T.); +#178=FACE_BOUND('',#824,.T.); +#179=FACE_BOUND('',#825,.T.); +#180=FACE_BOUND('',#826,.T.); +#181=FACE_BOUND('',#827,.T.); +#182=FACE_BOUND('',#828,.T.); +#183=FACE_BOUND('',#829,.T.); +#184=FACE_BOUND('',#830,.T.); +#185=FACE_BOUND('',#831,.T.); +#186=FACE_BOUND('',#836,.T.); +#187=FACE_BOUND('',#895,.T.); +#188=FACE_BOUND('',#912,.T.); +#189=FACE_BOUND('',#939,.T.); +#190=FACE_BOUND('',#969,.T.); +#191=FACE_BOUND('',#1002,.T.); +#192=PLANE('',#4955); +#193=PLANE('',#4956); +#194=PLANE('',#4957); +#195=PLANE('',#4958); +#196=PLANE('',#4959); +#197=PLANE('',#4960); +#198=PLANE('',#4961); +#199=PLANE('',#4962); +#200=PLANE('',#4963); +#201=PLANE('',#4964); +#202=PLANE('',#4965); +#203=PLANE('',#4966); +#204=PLANE('',#4967); +#205=PLANE('',#4968); +#206=PLANE('',#4969); +#207=PLANE('',#4970); +#208=PLANE('',#4971); +#209=PLANE('',#4972); +#210=PLANE('',#4973); +#211=PLANE('',#4974); +#212=PLANE('',#4975); +#213=PLANE('',#4976); +#214=PLANE('',#4977); +#215=PLANE('',#4978); +#216=PLANE('',#4979); +#217=PLANE('',#4980); +#218=PLANE('',#4981); +#219=PLANE('',#4982); +#220=PLANE('',#4983); +#221=PLANE('',#4984); +#222=PLANE('',#4985); +#223=PLANE('',#4986); +#224=PLANE('',#4987); +#225=PLANE('',#4988); +#226=PLANE('',#4989); +#227=PLANE('',#4990); +#228=PLANE('',#4991); +#229=PLANE('',#4992); +#230=PLANE('',#4993); +#231=PLANE('',#4994); +#232=PLANE('',#4995); +#233=PLANE('',#4996); +#234=PLANE('',#4997); +#235=PLANE('',#4998); +#236=PLANE('',#4999); +#237=PLANE('',#5000); +#238=PLANE('',#5001); +#239=PLANE('',#5002); +#240=PLANE('',#5003); +#241=PLANE('',#5004); +#242=PLANE('',#5005); +#243=PLANE('',#5006); +#244=PLANE('',#5007); +#245=PLANE('',#5008); +#246=PLANE('',#5009); +#247=PLANE('',#5010); +#248=PLANE('',#5011); +#249=PLANE('',#5012); +#250=PLANE('',#5013); +#251=PLANE('',#5014); +#252=PLANE('',#5015); +#253=PLANE('',#5016); +#254=PLANE('',#5017); +#255=PLANE('',#5018); +#256=PLANE('',#5019); +#257=PLANE('',#5020); +#258=PLANE('',#5021); +#259=PLANE('',#5022); +#260=PLANE('',#5023); +#261=PLANE('',#5024); +#262=PLANE('',#5025); +#263=PLANE('',#5026); +#264=PLANE('',#5027); +#265=PLANE('',#5028); +#266=PLANE('',#5029); +#267=PLANE('',#5030); +#268=PLANE('',#5031); +#269=PLANE('',#5032); +#270=PLANE('',#5033); +#271=PLANE('',#5034); +#272=PLANE('',#5035); +#273=PLANE('',#5036); +#274=PLANE('',#5037); +#275=PLANE('',#5038); +#276=PLANE('',#5039); +#277=PLANE('',#5040); +#278=PLANE('',#5041); +#279=PLANE('',#5042); +#280=PLANE('',#5043); +#281=PLANE('',#5044); +#282=PLANE('',#5045); +#283=PLANE('',#5046); +#284=PLANE('',#5047); +#285=PLANE('',#5048); +#286=PLANE('',#5049); +#287=PLANE('',#5050); +#288=PLANE('',#5051); +#289=PLANE('',#5052); +#290=PLANE('',#5053); +#291=PLANE('',#5054); +#292=PLANE('',#5055); +#293=PLANE('',#5056); +#294=PLANE('',#5057); +#295=PLANE('',#5058); +#296=PLANE('',#5059); +#297=PLANE('',#5060); +#298=PLANE('',#5061); +#299=PLANE('',#5062); +#300=PLANE('',#5063); +#301=PLANE('',#5064); +#302=PLANE('',#5065); +#303=PLANE('',#5066); +#304=PLANE('',#5067); +#305=PLANE('',#5068); +#306=PLANE('',#5069); +#307=PLANE('',#5070); +#308=PLANE('',#5071); +#309=PLANE('',#5072); +#310=PLANE('',#5073); +#311=PLANE('',#5074); +#312=PLANE('',#5075); +#313=PLANE('',#5076); +#314=PLANE('',#5077); +#315=PLANE('',#5078); +#316=PLANE('',#5079); +#317=PLANE('',#5080); +#318=PLANE('',#5081); +#319=PLANE('',#5082); +#320=PLANE('',#5083); +#321=PLANE('',#5084); +#322=PLANE('',#5085); +#323=PLANE('',#5086); +#324=PLANE('',#5087); +#325=PLANE('',#5088); +#326=PLANE('',#5089); +#327=PLANE('',#5090); +#328=PLANE('',#5091); +#329=PLANE('',#5092); +#330=PLANE('',#5093); +#331=PLANE('',#5094); +#332=PLANE('',#5095); +#333=PLANE('',#5096); +#334=PLANE('',#5097); +#335=PLANE('',#5098); +#336=PLANE('',#5099); +#337=PLANE('',#5100); +#338=PLANE('',#5101); +#339=PLANE('',#5102); +#340=PLANE('',#5103); +#341=PLANE('',#5104); +#342=PLANE('',#5105); +#343=PLANE('',#5106); +#344=PLANE('',#5107); +#345=PLANE('',#5108); +#346=PLANE('',#5109); +#347=PLANE('',#5110); +#348=PLANE('',#5111); +#349=PLANE('',#5112); +#350=PLANE('',#5113); +#351=PLANE('',#5114); +#352=PLANE('',#5115); +#353=PLANE('',#5116); +#354=PLANE('',#5117); +#355=PLANE('',#5118); +#356=PLANE('',#5119); +#357=PLANE('',#5120); +#358=PLANE('',#5121); +#359=PLANE('',#5122); +#360=PLANE('',#5123); +#361=PLANE('',#5124); +#362=PLANE('',#5125); +#363=PLANE('',#5126); +#364=PLANE('',#5127); +#365=PLANE('',#5128); +#366=PLANE('',#5129); +#367=PLANE('',#5130); +#368=PLANE('',#5131); +#369=PLANE('',#5132); +#370=PLANE('',#5133); +#371=PLANE('',#5134); +#372=PLANE('',#5135); +#373=PLANE('',#5136); +#374=PLANE('',#5137); +#375=PLANE('',#5138); +#376=PLANE('',#5139); +#377=PLANE('',#5140); +#378=PLANE('',#5141); +#379=PLANE('',#5142); +#380=PLANE('',#5143); +#381=PLANE('',#5144); +#382=PLANE('',#5145); +#383=PLANE('',#5146); +#384=PLANE('',#5147); +#385=PLANE('',#5148); +#386=PLANE('',#5149); +#387=PLANE('',#5150); +#388=PLANE('',#5151); +#389=PLANE('',#5152); +#390=PLANE('',#5153); +#391=PLANE('',#5154); +#392=PLANE('',#5155); +#393=PLANE('',#5156); +#394=PLANE('',#5157); +#395=PLANE('',#5158); +#396=PLANE('',#5159); +#397=PLANE('',#5160); +#398=PLANE('',#5161); +#399=PLANE('',#5162); +#400=PLANE('',#5163); +#401=PLANE('',#5164); +#402=PLANE('',#5165); +#403=PLANE('',#5166); +#404=PLANE('',#5167); +#405=PLANE('',#5168); +#406=PLANE('',#5169); +#407=PLANE('',#5170); +#408=PLANE('',#5171); +#409=PLANE('',#5172); +#410=PLANE('',#5173); +#411=PLANE('',#5174); +#412=PLANE('',#5175); +#413=PLANE('',#5176); +#414=PLANE('',#5177); +#415=PLANE('',#5178); +#416=PLANE('',#5179); +#417=PLANE('',#5180); +#418=PLANE('',#5181); +#419=PLANE('',#5182); +#420=PLANE('',#5183); +#421=PLANE('',#5184); +#422=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6453,#6454,#6455,#6456,#6457,#6458, +#6459,#6460,#6461,#6462,#6463,#6464,#6465,#6466,#6467,#6468,#6469,#6470, +#6471,#6472,#6473,#6474,#6475,#6476),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2, +2,2,2,2,2,4),(9.99999999973244E-7,0.132837417783442,0.139750885007869,0.152636913187162, +0.170871436750014,0.195750009258678,0.223666435478234,0.257088444882691, +0.291137233285067,0.31379352908276,0.334948924069739,1.26135537959443), + .UNSPECIFIED.); +#423=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6478,#6479,#6480,#6481,#6482,#6483), + .UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.523067857196963,0.523520256357239, +1.),.UNSPECIFIED.); +#424=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6485,#6486,#6487,#6488,#6489,#6490, +#6491,#6492,#6493,#6494,#6495,#6496,#6497,#6498,#6499,#6500,#6501,#6502, +#6503,#6504,#6505,#6506,#6507,#6508,#6509,#6510),.UNSPECIFIED.,.F.,.F., +(4,2,2,2,2,2,2,2,2,2,2,2,4),(-0.0784895428523234,-0.0606321114785526,-0.058600729999265, +-0.0557806338042826,-0.0517588501311291,-0.0474592664104549,-0.0442589517945651, +-0.0410497577568977,-0.0367394816034233,-0.0326584693709896,-0.0296619577936992, +-0.0270624647054508,-1.00000000002641E-6),.UNSPECIFIED.); +#425=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6511,#6512,#6513,#6514,#6515), + .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.523520256357239,1.),.UNSPECIFIED.); +#426=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6704,#6705,#6706,#6707,#6708,#6709, +#6710,#6711,#6712,#6713,#6714,#6715,#6716,#6717,#6718,#6719,#6720,#6721, +#6722,#6723,#6724,#6725,#6726,#6727),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2, +2,2,2,2,2,4),(9.99999999973244E-7,0.133911417067958,0.137994233601913,0.142931661895947, +0.150393609339681,0.158380493723982,0.165724910760191,0.172219730046331, +0.178129938639471,0.183978837785458,0.189344967977769,0.310059347381048), + .UNSPECIFIED.); +#427=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6729,#6730,#6731,#6732,#6733,#6734), + .UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.523067857196963,0.523520256357239, +1.),.UNSPECIFIED.); +#428=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6736,#6737,#6738,#6739,#6740,#6741, +#6742,#6743,#6744,#6745,#6746,#6747,#6748,#6749,#6750,#6751,#6752,#6753, +#6754,#6755,#6756,#6757,#6758,#6759,#6760,#6761),.UNSPECIFIED.,.F.,.F., +(4,2,2,2,2,2,2,2,2,2,2,2,4),(-0.0784895428525718,-0.0606323389902902,-0.058600983391691, +-0.055780923125967,-0.0517591906920966,-0.0474596617500024,-0.0442593879075205, +-0.0410502347563877,-0.0367400135177147,-0.0326590532791705,-0.0296625798787612, +-0.0270631199092057,-9.99999999973245E-7),.UNSPECIFIED.); +#429=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6762,#6763,#6764,#6765,#6766), + .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.523520256357239,1.),.UNSPECIFIED.); +#430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6955,#6956,#6957,#6958,#6959,#6960, +#6961,#6962,#6963,#6964,#6965,#6966,#6967,#6968,#6969,#6970,#6971,#6972, +#6973,#6974,#6975,#6976),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4), +(-0.280671013168951,-0.146143801661834,-0.143844958935853,-0.142336992616384, +-0.141188455342077,-0.14012783870312,-0.139070342910573,-0.138031711840179, +-0.136787915576848,-0.134807442549063,-9.99999999917733E-7), + .UNSPECIFIED.); +#431=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6978,#6979,#6980,#6981,#6982,#6983), + .UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.523067857196963,0.523520256357239, +1.),.UNSPECIFIED.); +#432=B_SPLINE_CURVE_WITH_KNOTS('',3,(#6985,#6986,#6987,#6988,#6989,#6990, +#6991,#6992,#6993,#6994,#6995,#6996,#6997,#6998,#6999,#7000,#7001,#7002, +#7003,#7004,#7005,#7006,#7007,#7008,#7009,#7010),.UNSPECIFIED.,.F.,.F., +(4,2,2,2,2,2,2,2,2,2,2,2,4),(9.99999999973242E-7,0.0270124683312802,0.0297694435556866, +0.0332731846026764,0.0384584777680813,0.0441025489592212,0.0501364577109376, +0.060141943193179,0.0735632010854012,0.0919459679313267,0.116178632167416, +0.133919129057052,0.286836427638656),.UNSPECIFIED.); +#433=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7011,#7012,#7013,#7014,#7015), + .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.523520256357239,1.),.UNSPECIFIED.); +#434=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7204,#7205,#7206,#7207,#7208,#7209, +#7210,#7211,#7212,#7213,#7214,#7215,#7216,#7217,#7218,#7219,#7220,#7221, +#7222,#7223,#7224,#7225),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4), +(-0.280671013481212,-0.146143801676705,-0.143844958945628,-0.142336992622791, +-0.141188455345937,-0.140127838704416,-0.139070342910512,-0.138031711840136, +-0.136787915576821,-0.134807442549042,-9.99999999917733E-7), + .UNSPECIFIED.); +#435=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7227,#7228,#7229,#7230,#7231,#7232), + .UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.523067857196963,0.523520256357239, +1.),.UNSPECIFIED.); +#436=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7234,#7235,#7236,#7237,#7238,#7239, +#7240,#7241,#7242,#7243,#7244,#7245,#7246,#7247,#7248,#7249,#7250,#7251, +#7252,#7253,#7254,#7255,#7256,#7257,#7258,#7259),.UNSPECIFIED.,.F.,.F., +(4,2,2,2,2,2,2,2,2,2,2,2,4),(9.99999999973245E-7,0.0270124683312803,0.0297694435556859, +0.0332731846026743,0.0384584777680736,0.0441025489591976,0.0501364577108971, +0.0601419431931074,0.0735632010852898,0.0919459679311581,0.116178632167153, +0.133919129056712,0.28683642763768),.UNSPECIFIED.); +#437=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7260,#7261,#7262,#7263,#7264), + .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.523520256357239,1.),.UNSPECIFIED.); +#438=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7453,#7454,#7455,#7456,#7457,#7458, +#7459,#7460,#7461,#7462,#7463,#7464,#7465,#7466,#7467,#7468,#7469,#7470, +#7471,#7472,#7473,#7474),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4), +(-0.280670013902618,-0.146141802204702,-0.143842959449925,-0.142334993119258, +-0.141186455841837,-0.140125839202297,-0.139068343409072,-0.138029712337422, +-0.136785916069231,-0.134805443021587,0.),.UNSPECIFIED.); +#439=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7476,#7477,#7478,#7479,#7480,#7481), + .UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.531252016633518,0.531711206156817, +1.),.UNSPECIFIED.); +#440=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7483,#7484,#7485,#7486,#7487,#7488, +#7489,#7490,#7491,#7492,#7493,#7494,#7495,#7496,#7497,#7498,#7499,#7500, +#7501,#7502,#7503,#7504,#7505,#7506,#7507,#7508),.UNSPECIFIED.,.F.,.F., +(4,2,2,2,2,2,2,2,2,2,2,2,4),(1.00000000002876E-6,0.0270115462689338,0.0297685752217926, +0.033272428611942,0.0384578754072372,0.0441021259631305,0.0501362427950702, +0.0601422926860905,0.0735643109718988,0.0919483925195714,0.116183314264705, +0.133925994971849,0.286866473637969),.UNSPECIFIED.); +#441=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7509,#7510,#7511,#7512,#7513), + .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.531711206156817,1.),.UNSPECIFIED.); +#442=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7702,#7703,#7704,#7705,#7706,#7707, +#7708,#7709,#7710,#7711,#7712,#7713,#7714,#7715,#7716,#7717,#7718,#7719, +#7720,#7721,#7722,#7723),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4), +(-0.280670013994022,-0.146141802208611,-0.143842959452505,-0.142334993121184, +-0.141186455843418,-0.140125839203583,-0.139068343410069,-0.138029712338127, +-0.136785916069695,-0.134805443021935,0.),.UNSPECIFIED.); +#443=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7725,#7726,#7727,#7728,#7729,#7730), + .UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.,0.531252016633518,0.531711206156817, +1.),.UNSPECIFIED.); +#444=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7732,#7733,#7734,#7735,#7736,#7737, +#7738,#7739,#7740,#7741,#7742,#7743,#7744,#7745,#7746,#7747,#7748,#7749, +#7750,#7751,#7752,#7753,#7754,#7755,#7756,#7757),.UNSPECIFIED.,.F.,.F., +(4,2,2,2,2,2,2,2,2,2,2,2,4),(1.00000000002876E-6,0.0270115462689344,0.0297685752217861, +0.0332724286119201,0.0384578754071795,0.0441021259630085,0.0501362427948879, +0.0601422926858028,0.0735643109714659,0.0919483925189616,0.116183314263897, +0.133925994970881,0.286866473635552),.UNSPECIFIED.); +#445=B_SPLINE_CURVE_WITH_KNOTS('',3,(#7758,#7759,#7760,#7761,#7762), + .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.531711206156817,1.),.UNSPECIFIED.); +#446=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8008,#8009,#8010,#8011,#8012,#8013, +#8014,#8015,#8016,#8017,#8018,#8019,#8020,#8021,#8022,#8023,#8024,#8025, +#8026,#8027,#8028,#8029,#8030,#8031),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2, +2,2,2,2,2,4),(9.99999999973245E-7,0.13262837960133,0.140101881746623,0.158393761062767, +0.177277055511137,0.192164483848558,0.211176611789467,0.241864711067137, +0.272897366710469,0.292992134459161,0.312153091399785,1.23878300276416), + .UNSPECIFIED.); +#447=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8032,#8033,#8034,#8035,#8036,#8037, +#8038,#8039,#8040,#8041,#8042,#8043,#8044,#8045,#8046,#8047,#8048,#8049, +#8050,#8051,#8052,#8053,#8054,#8055),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2, +2,2,2,2,2,4),(0.,0.134515330798646,0.13712265468963,0.139230636059059,0.141334182688539, +0.143300191394715,0.144952997582417,0.146255191133063,0.148156498720588, +0.150540524172404,0.15308011779523,0.249899078567982),.UNSPECIFIED.); +#448=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8081,#8082,#8083,#8084,#8085,#8086, +#8087,#8088,#8089,#8090,#8091,#8092,#8093,#8094,#8095,#8096,#8097,#8098, +#8099,#8100,#8101,#8102,#8103,#8104,#8105,#8106),.UNSPECIFIED.,.F.,.F., +(4,2,2,2,2,2,2,2,2,2,2,2,4),(-0.151556754860097,-0.145831772983338,-0.145529529881949, +-0.144917221286853,-0.143990374018599,-0.14274247205338,-0.141297468527967, +-0.139769877302328,-0.138108615933306,-0.136873262834554,-0.134755181048486, +-0.077260727488341,0.),.UNSPECIFIED.); +#449=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8107,#8108,#8109,#8110,#8111,#8112, +#8113,#8114,#8115,#8116,#8117,#8118,#8119,#8120,#8121,#8122,#8123,#8124, +#8125,#8126,#8127,#8128,#8129,#8130,#8131,#8132),.UNSPECIFIED.,.F.,.F., +(4,2,2,2,2,2,2,2,2,2,2,2,4),(-0.151556754865515,-0.145831772986619,-0.145529529885118, +-0.144917221289815,-0.143990374021267,-0.142742472055646,-0.141297468529769, +-0.13976987730364,-0.138108615934083,-0.136873262834957,-0.134755181048281, +-0.0772607274880509,0.),.UNSPECIFIED.); +#450=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8161,#8162,#8163,#8164,#8165,#8166, +#8167,#8168,#8169,#8170,#8171),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4), +(0.,0.176257754817801,0.338434413880475,0.484822050787837,0.617157578266333, +0.734698360612477,0.837385183832361,0.926372420929584,1.),.UNSPECIFIED.); +#451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8173,#8174,#8175,#8176,#8177,#8178, +#8179,#8180,#8181,#8182,#8183,#8184,#8185,#8186,#8187,#8188,#8189,#8190, +#8191),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0642168426314309, +0.12706376569313,0.18874453834783,0.249132102835041,0.309452532710628,0.369826676247682, +0.430650141838469,0.49235193187947,0.554072106262517,0.615689783744975, +0.676797702506539,0.738439048246942,0.801316910064893,0.865184511711542, +0.931641324419076,1.),.UNSPECIFIED.); +#452=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8193,#8194,#8195,#8196,#8197,#8198, +#8199,#8200,#8201,#8202,#8203,#8204,#8205,#8206,#8207,#8208,#8209,#8210, +#8211),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0787802355821395, +0.153720644208585,0.226713530308781,0.299191166065937,0.371189996837669, +0.44300641435341,0.516689173294881,0.593217216623412,0.633872917807846, +0.676989142289979,0.722899506325828,0.771958610506144,0.824211323334836, +0.879378650627203,0.937948119330418,1.),.UNSPECIFIED.); +#453=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8229,#8230,#8231,#8232,#8233,#8234, +#8235,#8236,#8237,#8238,#8239),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4), +(0.,0.176955752131251,0.339055079642581,0.486318224942075,0.618780922835377, +0.735618827767379,0.838742476720111,0.926457067876315,1.),.UNSPECIFIED.); +#454=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8241,#8242,#8243,#8244,#8245,#8246, +#8247,#8248,#8249,#8250,#8251,#8252,#8253,#8254,#8255,#8256,#8257,#8258, +#8259),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0624813386352052, +0.123490382687291,0.183411094206311,0.243153220999779,0.302126735372087, +0.361709670832906,0.42184229169488,0.482931901446669,0.544552254431324, +0.605680719965357,0.667679738343687,0.729984387538063,0.794550921286129, +0.860345619712082,0.928907356265708,1.),.UNSPECIFIED.); +#455=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8261,#8262,#8263,#8264,#8265,#8266, +#8267,#8268,#8269,#8270,#8271,#8272,#8273,#8274,#8275,#8276,#8277,#8278, +#8279),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0701188944197145, +0.137192352785971,0.202016180724751,0.264631406974743,0.326116547145817, +0.38601030466764,0.445811846957679,0.505297696730959,0.564633277726487, +0.624282625333839,0.68390203190375,0.743952770938269,0.805387731007634, +0.868388030896279,0.933084028252477,1.),.UNSPECIFIED.); +#456=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8281,#8282,#8283,#8284,#8285,#8286, +#8287,#8288,#8289,#8290,#8291),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4), +(0.,0.0751731173762201,0.164746257511802,0.268016880987779,0.385911875652955, +0.517789479273396,0.663690977002282,0.824413220536641,1.),.UNSPECIFIED.); +#457=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8331,#8332,#8333,#8334,#8335,#8336, +#8337,#8338,#8339,#8340,#8341,#8342,#8343,#8344,#8345,#8346,#8347,#8348, +#8349,#8350,#8351,#8352,#8353,#8354),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2, +2,2,2,2,2,4),(9.99999999973245E-7,0.134517187032881,0.137124508037846,0.139232487027975, +0.14133603124686,0.143302037704131,0.144954842012011,0.146257034094993, +0.148158339548119,0.150542362346006,0.15308195314829,0.249900072226294), + .UNSPECIFIED.); +#458=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8355,#8356,#8357,#8358,#8359,#8360, +#8361,#8362,#8363,#8364,#8365,#8366,#8367,#8368,#8369,#8370,#8371,#8372, +#8373,#8374,#8375,#8376,#8377,#8378),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2, +2,2,2,2,2,4),(9.99999999973245E-7,0.132606346295834,0.140141328235262,0.158930783001542, +0.178104886277358,0.193132995766957,0.212425978027799,0.244067607237507, +0.276042705517601,0.302052529882767,0.329517720913523,1.66974788191152), + .UNSPECIFIED.); +#459=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8435,#8436,#8437,#8438,#8439,#8440, +#8441,#8442,#8443,#8444,#8445,#8446,#8447,#8448,#8449,#8450,#8451,#8452, +#8453),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.06232636599144, +0.123196245194447,0.184036220254551,0.246964085428892,0.310699395789571, +0.373267637073443,0.436587579967388,0.502124791036649,0.539004722034163, +0.583255375525985,0.634446515282812,0.692676046102116,0.758570486286528, +0.831658674792114,0.911917102274834,1.),.UNSPECIFIED.); +#460=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8461,#8462,#8463,#8464,#8465,#8466, +#8467,#8468,#8469,#8470,#8471,#8472,#8473,#8474,#8475,#8476,#8477,#8478, +#8479),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0773606839426995, +0.148541953025043,0.214007737252134,0.272994503360688,0.326409195767468, +0.373880400729808,0.416019938265759,0.452125519512633,0.518001710705456, +0.582366929733514,0.647043782341807,0.713330421064474,0.780911970350968, +0.850394959130645,0.922922973592277,1.),.UNSPECIFIED.); +#461=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8481,#8482,#8483,#8484,#8485,#8486, +#8487,#8488,#8489,#8490,#8491),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4), +(0.,0.10607090210513,0.213421680412451,0.321685488130326,0.433857939098158, +0.554081282774355,0.687587043966562,0.836465850065114,1.),.UNSPECIFIED.); +#462=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8495,#8496,#8497,#8498,#8499,#8500, +#8501),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.299184287676537,0.564898946356809, +0.798547868842619,1.),.UNSPECIFIED.); +#463=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8502,#8503,#8504,#8505,#8506,#8507, +#8508),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.262951625567485,0.515706692358362, +0.762109226953108,1.),.UNSPECIFIED.); +#464=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8782,#8783,#8784,#8785,#8786,#8787, +#8788,#8789,#8790,#8791,#8792),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4), +(0.,0.176257754817801,0.338434413880475,0.484822050787837,0.617157578266333, +0.734698360612477,0.837385183832361,0.926372420929584,1.),.UNSPECIFIED.); +#465=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8833,#8834,#8835,#8836,#8837,#8838, +#8839,#8840,#8841,#8842,#8843,#8844,#8845,#8846,#8847,#8848,#8849,#8850, +#8851),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0642168426314309, +0.12706376569313,0.18874453834783,0.249132102835041,0.309452532710628,0.369826676247682, +0.430650141838469,0.49235193187947,0.554072106262517,0.615689783744975, +0.676797702506539,0.738439048246942,0.801316910064893,0.865184511711542, +0.931641324419076,1.),.UNSPECIFIED.); +#466=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8892,#8893,#8894,#8895,#8896,#8897, +#8898,#8899,#8900,#8901,#8902,#8903,#8904,#8905,#8906,#8907,#8908,#8909, +#8910),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0787802355821395, +0.153720644208585,0.226713530308781,0.299191166065937,0.371189996837669, +0.44300641435341,0.516689173294881,0.593217216623412,0.633872917807846, +0.676989142289979,0.722899506325828,0.771958610506144,0.824211323334836, +0.879378650627203,0.937948119330418,1.),.UNSPECIFIED.); +#467=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8937,#8938,#8939,#8940,#8941,#8942, +#8943,#8944,#8945,#8946,#8947,#8948,#8949,#8950,#8951,#8952,#8953,#8954, +#8955),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0688142958243097, +0.136364824552215,0.204019353511247,0.273063738624612,0.342913319561489, +0.410965444138958,0.479168766513447,0.549308006509783,0.58765157641765, +0.630646668762791,0.678878785696649,0.731934299229583,0.791111422948047, +0.855283189513077,0.925085938677385,1.),.UNSPECIFIED.); +#468=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8957,#8958,#8959,#8960,#8961,#8962, +#8963,#8964,#8965,#8966,#8967,#8968,#8969,#8970,#8971,#8972,#8973,#8974, +#8975),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0716681496796057, +0.138913286274205,0.201184570026559,0.259124428290545,0.312255041426236, +0.360681509623111,0.405086143328645,0.445101658590711,0.51877778048826, +0.589461579033197,0.658462241985717,0.727283218368367,0.79632248690472, +0.863174893207881,0.930949380987856,1.),.UNSPECIFIED.); +#469=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9026,#9027,#9028,#9029,#9030,#9031, +#9032,#9033,#9034,#9035,#9036,#9037,#9038,#9039,#9040,#9041,#9042,#9043, +#9044),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0688142958243097, +0.136364824552215,0.204019353511247,0.273063738624612,0.342913319561489, +0.410965444138958,0.479168766513447,0.549308006509783,0.58765157641765, +0.630646668762791,0.678878785696649,0.731934299229583,0.791111422948047, +0.855283189513077,0.925085938677385,1.),.UNSPECIFIED.); +#470=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9085,#9086,#9087,#9088,#9089,#9090, +#9091,#9092,#9093,#9094,#9095,#9096,#9097,#9098,#9099,#9100,#9101,#9102, +#9103),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0716681496796057, +0.138913286274205,0.201184570026559,0.259124428290545,0.312255041426236, +0.360681509623111,0.405086143328645,0.445101658590711,0.51877778048826, +0.589461579033197,0.658462241985717,0.727283218368367,0.79632248690472, +0.863174893207881,0.930949380987856,1.),.UNSPECIFIED.); +#471=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9165,#9166,#9167,#9168,#9169,#9170, +#9171,#9172,#9173,#9174,#9175,#9176,#9177,#9178,#9179,#9180,#9181,#9182, +#9183),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0773606839426995, +0.148541953025043,0.214007737252134,0.272994503360688,0.326409195767468, +0.373880400729808,0.416019938265759,0.452125519512633,0.518001710705456, +0.582366929733514,0.647043782341807,0.713330421064474,0.780911970350968, +0.850394959130645,0.922922973592277,1.),.UNSPECIFIED.); +#472=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9208,#9209,#9210,#9211,#9212,#9213, +#9214,#9215,#9216,#9217,#9218),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4), +(0.,0.10607090210513,0.213421680412451,0.321685488130326,0.433857939098158, +0.554081282774355,0.687587043966562,0.836465850065114,1.),.UNSPECIFIED.); +#473=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9239,#9240,#9241,#9242,#9243,#9244, +#9245),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.299184287676537,0.564898946356809, +0.798547868842619,1.),.UNSPECIFIED.); +#474=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9262,#9263,#9264,#9265,#9266,#9267, +#9268),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.,0.262951625567485,0.515706692358362, +0.762109226953108,1.),.UNSPECIFIED.); +#475=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9308,#9309,#9310,#9311,#9312,#9313, +#9314,#9315,#9316,#9317,#9318,#9319,#9320,#9321,#9322,#9323,#9324,#9325, +#9326),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.06232636599144, +0.123196245194447,0.184036220254551,0.246964085428892,0.310699395789571, +0.373267637073443,0.436587579967388,0.502124791036649,0.539004722034163, +0.583255375525985,0.634446515282812,0.692676046102116,0.758570486286528, +0.831658674792114,0.911917102274834,1.),.UNSPECIFIED.); +#476=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9361,#9362,#9363,#9364,#9365,#9366, +#9367,#9368,#9369,#9370,#9371),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4), +(0.,0.176955752131251,0.339055079642581,0.486318224942075,0.618780922835377, +0.735618827767379,0.838742476720111,0.926457067876315,1.),.UNSPECIFIED.); +#477=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9412,#9413,#9414,#9415,#9416,#9417, +#9418,#9419,#9420,#9421,#9422,#9423,#9424,#9425,#9426,#9427,#9428,#9429, +#9430),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0624813386352052, +0.123490382687291,0.183411094206311,0.243153220999779,0.302126735372087, +0.361709670832906,0.42184229169488,0.482931901446669,0.544552254431324, +0.605680719965357,0.667679738343687,0.729984387538063,0.794550921286129, +0.860345619712082,0.928907356265708,1.),.UNSPECIFIED.); +#478=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9471,#9472,#9473,#9474,#9475,#9476, +#9477,#9478,#9479,#9480,#9481,#9482,#9483,#9484,#9485,#9486,#9487,#9488, +#9489),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0701188944197145, +0.137192352785971,0.202016180724751,0.264631406974743,0.326116547145817, +0.38601030466764,0.445811846957679,0.505297696730959,0.564633277726487, +0.624282625333839,0.68390203190375,0.743952770938269,0.805387731007634, +0.868388030896279,0.933084028252477,1.),.UNSPECIFIED.); +#479=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9514,#9515,#9516,#9517,#9518,#9519, +#9520,#9521,#9522,#9523,#9524),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4), +(0.,0.0751731173762201,0.164746257511802,0.268016880987779,0.385911875652955, +0.517789479273396,0.663690977002282,0.824413220536641,1.),.UNSPECIFIED.); +#480=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9539,#9540,#9541,#9542,#9543,#9544, +#9545,#9546,#9547,#9548,#9549,#9550,#9551,#9552,#9553,#9554,#9555,#9556, +#9557),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0700437554181558, +0.139238316762646,0.208197455044139,0.279173374424568,0.349986811686478, +0.418353845662656,0.487609583757151,0.557411073108389,0.595330156763242, +0.63796405156522,0.685912777711293,0.738185164652479,0.796102647184943, +0.858516543946927,0.926657726468519,1.),.UNSPECIFIED.); +#481=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9559,#9560,#9561,#9562,#9563,#9564, +#9565,#9566,#9567,#9568,#9569,#9570,#9571,#9572,#9573,#9574,#9575,#9576, +#9577),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0725383714064933, +0.140033830501251,0.202495680633622,0.260575055669416,0.313228337846466, +0.361583193753264,0.404878361295126,0.444127437305539,0.516399693337198, +0.586506670997767,0.655085373796048,0.724383783147036,0.793973528725662, +0.861637682181777,0.930110942306404,1.),.UNSPECIFIED.); +#482=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9628,#9629,#9630,#9631,#9632,#9633, +#9634,#9635,#9636,#9637,#9638,#9639,#9640,#9641,#9642,#9643,#9644,#9645, +#9646),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0700437554181558, +0.139238316762646,0.208197455044139,0.279173374424568,0.349986811686478, +0.418353845662656,0.487609583757151,0.557411073108389,0.595330156763242, +0.63796405156522,0.685912777711293,0.738185164652479,0.796102647184943, +0.858516543946927,0.926657726468519,1.),.UNSPECIFIED.); +#483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9687,#9688,#9689,#9690,#9691,#9692, +#9693,#9694,#9695,#9696,#9697,#9698,#9699,#9700,#9701,#9702,#9703,#9704, +#9705),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0725383714064933, +0.140033830501251,0.202495680633622,0.260575055669416,0.313228337846466, +0.361583193753264,0.404878361295126,0.444127437305539,0.516399693337198, +0.586506670997767,0.655085373796048,0.724383783147036,0.793973528725662, +0.861637682181777,0.930110942306404,1.),.UNSPECIFIED.); +#484=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10007,#10008,#10009,#10010,#10011, +#10012,#10013,#10014,#10015,#10016,#10017,#10018,#10019,#10020,#10021,#10022, +#10023,#10024,#10025,#10026,#10027,#10028,#10029,#10030),.UNSPECIFIED., + .F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(-0.45765838663004,-0.101128088310467, +-0.0899248278811244,-0.0808540753145371,-0.0693238459453192,-0.0604750902450066, +-0.0525503777666954,-0.0433978833550919,-0.0367506575067124,-0.0316023013499778, +-0.0261587685609079,-9.99999999917733E-7),.UNSPECIFIED.); +#485=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10218,#10219,#10220,#10221,#10222, +#10223,#10224,#10225,#10226,#10227,#10228,#10229,#10230,#10231,#10232,#10233, +#10234,#10235,#10236,#10237,#10238,#10239,#10240,#10241),.UNSPECIFIED., + .F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(-0.457658386916455,-0.101125247178771, +-0.0899223014981616,-0.0808518037693262,-0.0693218983366766,-0.0604733912389662, +-0.0525489014034859,-0.0433966641297702,-0.0367496250333467,-0.0316014135179083, +-0.0261580336635394,-9.99999999917733E-7),.UNSPECIFIED.); +#486=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10429,#10430,#10431,#10432,#10433, +#10434,#10435,#10436,#10437,#10438,#10439,#10440,#10441,#10442,#10443,#10444, +#10445,#10446,#10447,#10448,#10449,#10450,#10451,#10452),.UNSPECIFIED., + .F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(-0.454731814988933,-0.100941168819069, +-0.0897906667772706,-0.0807581239891364,-0.0692659802436831,-0.0604391554855294, +-0.0525269488081825,-0.043382243669341,-0.0367420218283215,-0.0316000602123278, +-0.0261589075113453,-9.99999999973245E-7),.UNSPECIFIED.); +#487=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10640,#10641,#10642,#10643,#10644, +#10645,#10646,#10647,#10648,#10649,#10650,#10651,#10652,#10653,#10654,#10655, +#10656,#10657,#10658,#10659,#10660,#10661,#10662,#10663),.UNSPECIFIED., + .F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(-0.454731814441628,-0.100941168793841, +-0.0897906667607974,-0.080758123978726,-0.0692659802386131,-0.0604391554829074, +-0.0525269488061904,-0.0433822436666151,-0.0367420218265958,-0.0316000602120199, +-0.0261589075115442,-9.99999999973245E-7),.UNSPECIFIED.); +#488=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10851,#10852,#10853,#10854,#10855, +#10856,#10857,#10858,#10859,#10860,#10861,#10862,#10863,#10864,#10865,#10866, +#10867,#10868,#10869,#10870,#10871,#10872,#10873,#10874,#10875,#10876), + .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(9.99999999973242E-7, +0.0268627687313503,0.0300234289214557,0.034550469919255,0.040926378295391, +0.0485427492093649,0.0561874948695898,0.067424775200485,0.082849883288905, +0.0946309460684034,0.103216385561354,0.106424115764967,0.124839441237843), + .UNSPECIFIED.); +#489=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11064,#11065,#11066,#11067,#11068, +#11069,#11070,#11071,#11072,#11073,#11074,#11075,#11076,#11077,#11078,#11079, +#11080,#11081,#11082,#11083,#11084,#11085,#11086,#11087,#11088,#11089), + .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(9.99999999917731E-7, +0.0268627687311962,0.0300234289217187,0.034550469920694,0.0409263782982913, +0.0485427492140404,0.0561874948762136,0.0674247752105857,0.0828498833037558, +0.0946309460884635,0.103216385585633,0.106424115791913,0.12483944128244), + .UNSPECIFIED.); +#490=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#6265,#6266,#6267,#6268,#6269, +#6270),(#6271,#6272,#6273,#6274,#6275,#6276),(#6277,#6278,#6279,#6280,#6281, +#6282),(#6283,#6284,#6285,#6286,#6287,#6288),(#6289,#6290,#6291,#6292,#6293, +#6294),(#6295,#6296,#6297,#6298,#6299,#6300),(#6301,#6302,#6303,#6304,#6305, +#6306),(#6307,#6308,#6309,#6310,#6311,#6312),(#6313,#6314,#6315,#6316,#6317, +#6318),(#6319,#6320,#6321,#6322,#6323,#6324),(#6325,#6326,#6327,#6328,#6329, +#6330),(#6331,#6332,#6333,#6334,#6335,#6336),(#6337,#6338,#6339,#6340,#6341, +#6342),(#6343,#6344,#6345,#6346,#6347,#6348),(#6349,#6350,#6351,#6352,#6353, +#6354),(#6355,#6356,#6357,#6358,#6359,#6360),(#6361,#6362,#6363,#6364,#6365, +#6366),(#6367,#6368,#6369,#6370,#6371,#6372),(#6373,#6374,#6375,#6376,#6377, +#6378),(#6379,#6380,#6381,#6382,#6383,#6384),(#6385,#6386,#6387,#6388,#6389, +#6390),(#6391,#6392,#6393,#6394,#6395,#6396),(#6397,#6398,#6399,#6400,#6401, +#6402),(#6403,#6404,#6405,#6406,#6407,#6408),(#6409,#6410,#6411,#6412,#6413, +#6414),(#6415,#6416,#6417,#6418,#6419,#6420),(#6421,#6422,#6423,#6424,#6425, +#6426),(#6427,#6428,#6429,#6430,#6431,#6432),(#6433,#6434,#6435,#6436,#6437, +#6438),(#6439,#6440,#6441,#6442,#6443,#6444),(#6445,#6446,#6447,#6448,#6449, +#6450)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386678203,0.108137077335641,0.162205616003461, +0.216274154671281,0.224705616003461,0.233137077335641,0.25,0.266862922664359, +0.275294383996539,0.283725845328719,0.391862922664359,0.5,0.608137077335641, +0.716274154671281,0.724705616003461,0.733137077335641,0.75,0.766862922664359, +0.775294383996539,0.783725845328719,0.837794383996539,0.891862922664359, +0.94593146133218,1.),(0.,0.523067857196963,0.523520256357239,1.), + .UNSPECIFIED.); +#491=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#6516,#6517,#6518,#6519,#6520, +#6521),(#6522,#6523,#6524,#6525,#6526,#6527),(#6528,#6529,#6530,#6531,#6532, +#6533),(#6534,#6535,#6536,#6537,#6538,#6539),(#6540,#6541,#6542,#6543,#6544, +#6545),(#6546,#6547,#6548,#6549,#6550,#6551),(#6552,#6553,#6554,#6555,#6556, +#6557),(#6558,#6559,#6560,#6561,#6562,#6563),(#6564,#6565,#6566,#6567,#6568, +#6569),(#6570,#6571,#6572,#6573,#6574,#6575),(#6576,#6577,#6578,#6579,#6580, +#6581),(#6582,#6583,#6584,#6585,#6586,#6587),(#6588,#6589,#6590,#6591,#6592, +#6593),(#6594,#6595,#6596,#6597,#6598,#6599),(#6600,#6601,#6602,#6603,#6604, +#6605),(#6606,#6607,#6608,#6609,#6610,#6611),(#6612,#6613,#6614,#6615,#6616, +#6617),(#6618,#6619,#6620,#6621,#6622,#6623),(#6624,#6625,#6626,#6627,#6628, +#6629),(#6630,#6631,#6632,#6633,#6634,#6635),(#6636,#6637,#6638,#6639,#6640, +#6641),(#6642,#6643,#6644,#6645,#6646,#6647),(#6648,#6649,#6650,#6651,#6652, +#6653),(#6654,#6655,#6656,#6657,#6658,#6659),(#6660,#6661,#6662,#6663,#6664, +#6665),(#6666,#6667,#6668,#6669,#6670,#6671),(#6672,#6673,#6674,#6675,#6676, +#6677),(#6678,#6679,#6680,#6681,#6682,#6683),(#6684,#6685,#6686,#6687,#6688, +#6689),(#6690,#6691,#6692,#6693,#6694,#6695),(#6696,#6697,#6698,#6699,#6700, +#6701)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386678203,0.108137077335641,0.162205616003461, +0.216274154671281,0.224705616003461,0.233137077335641,0.25,0.266862922664359, +0.275294383996539,0.283725845328719,0.391862922664359,0.5,0.608137077335641, +0.716274154671281,0.724705616003461,0.733137077335641,0.75,0.766862922664359, +0.775294383996539,0.783725845328719,0.837794383996539,0.891862922664359, +0.94593146133218,1.),(0.,0.523067857196963,0.523520256357239,1.), + .UNSPECIFIED.); +#492=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#6767,#6768,#6769,#6770,#6771, +#6772),(#6773,#6774,#6775,#6776,#6777,#6778),(#6779,#6780,#6781,#6782,#6783, +#6784),(#6785,#6786,#6787,#6788,#6789,#6790),(#6791,#6792,#6793,#6794,#6795, +#6796),(#6797,#6798,#6799,#6800,#6801,#6802),(#6803,#6804,#6805,#6806,#6807, +#6808),(#6809,#6810,#6811,#6812,#6813,#6814),(#6815,#6816,#6817,#6818,#6819, +#6820),(#6821,#6822,#6823,#6824,#6825,#6826),(#6827,#6828,#6829,#6830,#6831, +#6832),(#6833,#6834,#6835,#6836,#6837,#6838),(#6839,#6840,#6841,#6842,#6843, +#6844),(#6845,#6846,#6847,#6848,#6849,#6850),(#6851,#6852,#6853,#6854,#6855, +#6856),(#6857,#6858,#6859,#6860,#6861,#6862),(#6863,#6864,#6865,#6866,#6867, +#6868),(#6869,#6870,#6871,#6872,#6873,#6874),(#6875,#6876,#6877,#6878,#6879, +#6880),(#6881,#6882,#6883,#6884,#6885,#6886),(#6887,#6888,#6889,#6890,#6891, +#6892),(#6893,#6894,#6895,#6896,#6897,#6898),(#6899,#6900,#6901,#6902,#6903, +#6904),(#6905,#6906,#6907,#6908,#6909,#6910),(#6911,#6912,#6913,#6914,#6915, +#6916),(#6917,#6918,#6919,#6920,#6921,#6922),(#6923,#6924,#6925,#6926,#6927, +#6928),(#6929,#6930,#6931,#6932,#6933,#6934),(#6935,#6936,#6937,#6938,#6939, +#6940),(#6941,#6942,#6943,#6944,#6945,#6946),(#6947,#6948,#6949,#6950,#6951, +#6952)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386678203,0.108137077335641,0.162205616003461, +0.216274154671281,0.224705616003461,0.233137077335641,0.25,0.266862922664359, +0.275294383996539,0.283725845328719,0.391862922664359,0.5,0.608137077335641, +0.716274154671281,0.724705616003461,0.733137077335641,0.75,0.766862922664359, +0.775294383996539,0.783725845328719,0.837794383996539,0.891862922664359, +0.94593146133218,1.),(0.,0.523067857196963,0.523520256357239,1.), + .UNSPECIFIED.); +#493=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#7016,#7017,#7018,#7019,#7020, +#7021),(#7022,#7023,#7024,#7025,#7026,#7027),(#7028,#7029,#7030,#7031,#7032, +#7033),(#7034,#7035,#7036,#7037,#7038,#7039),(#7040,#7041,#7042,#7043,#7044, +#7045),(#7046,#7047,#7048,#7049,#7050,#7051),(#7052,#7053,#7054,#7055,#7056, +#7057),(#7058,#7059,#7060,#7061,#7062,#7063),(#7064,#7065,#7066,#7067,#7068, +#7069),(#7070,#7071,#7072,#7073,#7074,#7075),(#7076,#7077,#7078,#7079,#7080, +#7081),(#7082,#7083,#7084,#7085,#7086,#7087),(#7088,#7089,#7090,#7091,#7092, +#7093),(#7094,#7095,#7096,#7097,#7098,#7099),(#7100,#7101,#7102,#7103,#7104, +#7105),(#7106,#7107,#7108,#7109,#7110,#7111),(#7112,#7113,#7114,#7115,#7116, +#7117),(#7118,#7119,#7120,#7121,#7122,#7123),(#7124,#7125,#7126,#7127,#7128, +#7129),(#7130,#7131,#7132,#7133,#7134,#7135),(#7136,#7137,#7138,#7139,#7140, +#7141),(#7142,#7143,#7144,#7145,#7146,#7147),(#7148,#7149,#7150,#7151,#7152, +#7153),(#7154,#7155,#7156,#7157,#7158,#7159),(#7160,#7161,#7162,#7163,#7164, +#7165),(#7166,#7167,#7168,#7169,#7170,#7171),(#7172,#7173,#7174,#7175,#7176, +#7177),(#7178,#7179,#7180,#7181,#7182,#7183),(#7184,#7185,#7186,#7187,#7188, +#7189),(#7190,#7191,#7192,#7193,#7194,#7195),(#7196,#7197,#7198,#7199,#7200, +#7201)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386678203,0.108137077335641,0.162205616003461, +0.216274154671281,0.224705616003461,0.233137077335641,0.25,0.266862922664359, +0.275294383996539,0.283725845328719,0.391862922664359,0.5,0.608137077335641, +0.716274154671281,0.724705616003461,0.733137077335641,0.75,0.766862922664359, +0.775294383996539,0.783725845328719,0.837794383996539,0.891862922664359, +0.94593146133218,1.),(0.,0.523067857196963,0.523520256357239,1.), + .UNSPECIFIED.); +#494=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#7265,#7266,#7267,#7268,#7269, +#7270),(#7271,#7272,#7273,#7274,#7275,#7276),(#7277,#7278,#7279,#7280,#7281, +#7282),(#7283,#7284,#7285,#7286,#7287,#7288),(#7289,#7290,#7291,#7292,#7293, +#7294),(#7295,#7296,#7297,#7298,#7299,#7300),(#7301,#7302,#7303,#7304,#7305, +#7306),(#7307,#7308,#7309,#7310,#7311,#7312),(#7313,#7314,#7315,#7316,#7317, +#7318),(#7319,#7320,#7321,#7322,#7323,#7324),(#7325,#7326,#7327,#7328,#7329, +#7330),(#7331,#7332,#7333,#7334,#7335,#7336),(#7337,#7338,#7339,#7340,#7341, +#7342),(#7343,#7344,#7345,#7346,#7347,#7348),(#7349,#7350,#7351,#7352,#7353, +#7354),(#7355,#7356,#7357,#7358,#7359,#7360),(#7361,#7362,#7363,#7364,#7365, +#7366),(#7367,#7368,#7369,#7370,#7371,#7372),(#7373,#7374,#7375,#7376,#7377, +#7378),(#7379,#7380,#7381,#7382,#7383,#7384),(#7385,#7386,#7387,#7388,#7389, +#7390),(#7391,#7392,#7393,#7394,#7395,#7396),(#7397,#7398,#7399,#7400,#7401, +#7402),(#7403,#7404,#7405,#7406,#7407,#7408),(#7409,#7410,#7411,#7412,#7413, +#7414),(#7415,#7416,#7417,#7418,#7419,#7420),(#7421,#7422,#7423,#7424,#7425, +#7426),(#7427,#7428,#7429,#7430,#7431,#7432),(#7433,#7434,#7435,#7436,#7437, +#7438),(#7439,#7440,#7441,#7442,#7443,#7444),(#7445,#7446,#7447,#7448,#7449, +#7450)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386973935,0.108137077394787,0.162205616092181, +0.216274154789574,0.224705616092181,0.233137077394787,0.25,0.266862922605213, +0.27529438390782,0.283725845210426,0.391862922605213,0.5,0.608137077394787, +0.716274154789574,0.724705616092181,0.733137077394787,0.75,0.766862922605213, +0.77529438390782,0.783725845210426,0.83779438390782,0.891862922605213,0.945931461302607, +1.),(0.,0.531252016633518,0.531711206156817,1.),.UNSPECIFIED.); +#495=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#7514,#7515,#7516,#7517,#7518, +#7519),(#7520,#7521,#7522,#7523,#7524,#7525),(#7526,#7527,#7528,#7529,#7530, +#7531),(#7532,#7533,#7534,#7535,#7536,#7537),(#7538,#7539,#7540,#7541,#7542, +#7543),(#7544,#7545,#7546,#7547,#7548,#7549),(#7550,#7551,#7552,#7553,#7554, +#7555),(#7556,#7557,#7558,#7559,#7560,#7561),(#7562,#7563,#7564,#7565,#7566, +#7567),(#7568,#7569,#7570,#7571,#7572,#7573),(#7574,#7575,#7576,#7577,#7578, +#7579),(#7580,#7581,#7582,#7583,#7584,#7585),(#7586,#7587,#7588,#7589,#7590, +#7591),(#7592,#7593,#7594,#7595,#7596,#7597),(#7598,#7599,#7600,#7601,#7602, +#7603),(#7604,#7605,#7606,#7607,#7608,#7609),(#7610,#7611,#7612,#7613,#7614, +#7615),(#7616,#7617,#7618,#7619,#7620,#7621),(#7622,#7623,#7624,#7625,#7626, +#7627),(#7628,#7629,#7630,#7631,#7632,#7633),(#7634,#7635,#7636,#7637,#7638, +#7639),(#7640,#7641,#7642,#7643,#7644,#7645),(#7646,#7647,#7648,#7649,#7650, +#7651),(#7652,#7653,#7654,#7655,#7656,#7657),(#7658,#7659,#7660,#7661,#7662, +#7663),(#7664,#7665,#7666,#7667,#7668,#7669),(#7670,#7671,#7672,#7673,#7674, +#7675),(#7676,#7677,#7678,#7679,#7680,#7681),(#7682,#7683,#7684,#7685,#7686, +#7687),(#7688,#7689,#7690,#7691,#7692,#7693),(#7694,#7695,#7696,#7697,#7698, +#7699)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386973935,0.108137077394787,0.162205616092181, +0.216274154789574,0.224705616092181,0.233137077394787,0.25,0.266862922605213, +0.27529438390782,0.283725845210426,0.391862922605213,0.5,0.608137077394787, +0.716274154789574,0.724705616092181,0.733137077394787,0.75,0.766862922605213, +0.77529438390782,0.783725845210426,0.83779438390782,0.891862922605213,0.945931461302607, +1.),(0.,0.531252016633518,0.531711206156817,1.),.UNSPECIFIED.); +#496=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#8759,#8760),(#8761,#8762),(#8763, +#8764),(#8765,#8766),(#8767,#8768),(#8769,#8770),(#8771,#8772),(#8773,#8774), +(#8775,#8776),(#8777,#8778),(#8779,#8780)),.UNSPECIFIED.,.F.,.F.,.F.,(4, +1,1,1,1,1,1,1,4),(2,2),(0.,0.176257754817801,0.338434413880475,0.484822050787837, +0.617157578266333,0.734698360612477,0.837385183832361,0.926372420929584, +1.),(0.,0.000120000000000386),.UNSPECIFIED.); +#497=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#8794,#8795),(#8796,#8797),(#8798, +#8799),(#8800,#8801),(#8802,#8803),(#8804,#8805),(#8806,#8807),(#8808,#8809), +(#8810,#8811),(#8812,#8813),(#8814,#8815),(#8816,#8817),(#8818,#8819),(#8820, +#8821),(#8822,#8823),(#8824,#8825),(#8826,#8827),(#8828,#8829),(#8830,#8831)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(0., +0.0642168426314309,0.12706376569313,0.18874453834783,0.249132102835041, +0.309452532710628,0.369826676247682,0.430650141838469,0.49235193187947, +0.554072106262517,0.615689783744975,0.676797702506539,0.738439048246942, +0.801316910064893,0.865184511711542,0.931641324419076,1.),(0.,0.000120000000000386), + .UNSPECIFIED.); +#498=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#8853,#8854),(#8855,#8856),(#8857, +#8858),(#8859,#8860),(#8861,#8862),(#8863,#8864),(#8865,#8866),(#8867,#8868), +(#8869,#8870),(#8871,#8872),(#8873,#8874),(#8875,#8876),(#8877,#8878),(#8879, +#8880),(#8881,#8882),(#8883,#8884),(#8885,#8886),(#8887,#8888),(#8889,#8890)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(0., +0.0787802355821395,0.153720644208585,0.226713530308781,0.299191166065937, +0.371189996837669,0.44300641435341,0.516689173294881,0.593217216623412, +0.633872917807846,0.676989142289979,0.722899506325828,0.771958610506144, +0.824211323334836,0.879378650627203,0.937948119330418,1.),(0.,0.000120000000000386), + .UNSPECIFIED.); +#499=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#8987,#8988),(#8989,#8990),(#8991, +#8992),(#8993,#8994),(#8995,#8996),(#8997,#8998),(#8999,#9000),(#9001,#9002), +(#9003,#9004),(#9005,#9006),(#9007,#9008),(#9009,#9010),(#9011,#9012),(#9013, +#9014),(#9015,#9016),(#9017,#9018),(#9019,#9020),(#9021,#9022),(#9023,#9024)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(0., +0.0688142958243097,0.136364824552215,0.204019353511247,0.273063738624612, +0.342913319561489,0.410965444138958,0.479168766513447,0.549308006509783, +0.58765157641765,0.630646668762791,0.678878785696649,0.731934299229583, +0.791111422948047,0.855283189513077,0.925085938677385,1.),(0.,0.00012000000000052), + .UNSPECIFIED.); +#500=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9046,#9047),(#9048,#9049),(#9050, +#9051),(#9052,#9053),(#9054,#9055),(#9056,#9057),(#9058,#9059),(#9060,#9061), +(#9062,#9063),(#9064,#9065),(#9066,#9067),(#9068,#9069),(#9070,#9071),(#9072, +#9073),(#9074,#9075),(#9076,#9077),(#9078,#9079),(#9080,#9081),(#9082,#9083)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(0., +0.0716681496796057,0.138913286274205,0.201184570026559,0.259124428290545, +0.312255041426236,0.360681509623111,0.405086143328645,0.445101658590711, +0.51877778048826,0.589461579033197,0.658462241985717,0.727283218368367, +0.79632248690472,0.863174893207881,0.930949380987856,1.),(0.,0.000120000000000386), + .UNSPECIFIED.); +#501=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9126,#9127),(#9128,#9129),(#9130, +#9131),(#9132,#9133),(#9134,#9135),(#9136,#9137),(#9138,#9139),(#9140,#9141), +(#9142,#9143),(#9144,#9145),(#9146,#9147),(#9148,#9149),(#9150,#9151),(#9152, +#9153),(#9154,#9155),(#9156,#9157),(#9158,#9159),(#9160,#9161),(#9162,#9163)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(0., +0.0773606839426995,0.148541953025043,0.214007737252134,0.272994503360688, +0.326409195767468,0.373880400729808,0.416019938265759,0.452125519512633, +0.518001710705456,0.582366929733514,0.647043782341807,0.713330421064474, +0.780911970350968,0.850394959130645,0.922922973592277,1.),(0.,0.00012000000000052), + .UNSPECIFIED.); +#502=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9185,#9186),(#9187,#9188),(#9189, +#9190),(#9191,#9192),(#9193,#9194),(#9195,#9196),(#9197,#9198),(#9199,#9200), +(#9201,#9202),(#9203,#9204),(#9205,#9206)),.UNSPECIFIED.,.F.,.F.,.F.,(4, +1,1,1,1,1,1,1,4),(2,2),(0.,0.10607090210513,0.213421680412451,0.321685488130326, +0.433857939098158,0.554081282774355,0.687587043966562,0.836465850065114, +1.),(0.,0.000120000000000386),.UNSPECIFIED.); +#503=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9224,#9225),(#9226,#9227),(#9228, +#9229),(#9230,#9231),(#9232,#9233),(#9234,#9235),(#9236,#9237)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(2,2),(0.,0.299184287676537,0.564898946356809, +0.798547868842619,1.),(0.,0.000120000000000386),.UNSPECIFIED.); +#504=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9247,#9248),(#9249,#9250),(#9251, +#9252),(#9253,#9254),(#9255,#9256),(#9257,#9258),(#9259,#9260)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(2,2),(0.,0.262951625567485,0.515706692358362, +0.762109226953108,1.),(0.,0.000120000000000386),.UNSPECIFIED.); +#505=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9270,#9271),(#9272,#9273),(#9274, +#9275),(#9276,#9277),(#9278,#9279),(#9280,#9281),(#9282,#9283),(#9284,#9285), +(#9286,#9287),(#9288,#9289),(#9290,#9291),(#9292,#9293),(#9294,#9295),(#9296, +#9297),(#9298,#9299),(#9300,#9301),(#9302,#9303),(#9304,#9305),(#9306,#9307)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(0., +0.06232636599144,0.123196245194447,0.184036220254551,0.246964085428892, +0.310699395789571,0.373267637073443,0.436587579967388,0.502124791036649, +0.539004722034163,0.583255375525985,0.634446515282812,0.692676046102116, +0.758570486286528,0.831658674792114,0.911917102274834,1.),(0.,0.00012000000000052), + .UNSPECIFIED.); +#506=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9338,#9339),(#9340,#9341),(#9342, +#9343),(#9344,#9345),(#9346,#9347),(#9348,#9349),(#9350,#9351),(#9352,#9353), +(#9354,#9355),(#9356,#9357),(#9358,#9359)),.UNSPECIFIED.,.F.,.F.,.F.,(4, +1,1,1,1,1,1,1,4),(2,2),(0.,0.176955752131251,0.339055079642581,0.486318224942075, +0.618780922835377,0.735618827767379,0.838742476720111,0.926457067876315, +1.),(0.,0.00012000000000052),.UNSPECIFIED.); +#507=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9373,#9374),(#9375,#9376),(#9377, +#9378),(#9379,#9380),(#9381,#9382),(#9383,#9384),(#9385,#9386),(#9387,#9388), +(#9389,#9390),(#9391,#9392),(#9393,#9394),(#9395,#9396),(#9397,#9398),(#9399, +#9400),(#9401,#9402),(#9403,#9404),(#9405,#9406),(#9407,#9408),(#9409,#9410)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(0., +0.0624813386352052,0.123490382687291,0.183411094206311,0.243153220999779, +0.302126735372087,0.361709670832906,0.42184229169488,0.482931901446669, +0.544552254431324,0.605680719965357,0.667679738343687,0.729984387538063, +0.794550921286129,0.860345619712082,0.928907356265708,1.),(0.,0.000120000000000386), + .UNSPECIFIED.); +#508=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9432,#9433),(#9434,#9435),(#9436, +#9437),(#9438,#9439),(#9440,#9441),(#9442,#9443),(#9444,#9445),(#9446,#9447), +(#9448,#9449),(#9450,#9451),(#9452,#9453),(#9454,#9455),(#9456,#9457),(#9458, +#9459),(#9460,#9461),(#9462,#9463),(#9464,#9465),(#9466,#9467),(#9468,#9469)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(0., +0.0701188944197145,0.137192352785971,0.202016180724751,0.264631406974743, +0.326116547145817,0.38601030466764,0.445811846957679,0.505297696730959, +0.564633277726487,0.624282625333839,0.68390203190375,0.743952770938269, +0.805387731007634,0.868388030896279,0.933084028252477,1.),(0.,0.000120000000000386), + .UNSPECIFIED.); +#509=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9491,#9492),(#9493,#9494),(#9495, +#9496),(#9497,#9498),(#9499,#9500),(#9501,#9502),(#9503,#9504),(#9505,#9506), +(#9507,#9508),(#9509,#9510),(#9511,#9512)),.UNSPECIFIED.,.F.,.F.,.F.,(4, +1,1,1,1,1,1,1,4),(2,2),(0.,0.0751731173762201,0.164746257511802,0.268016880987779, +0.385911875652955,0.517789479273396,0.663690977002282,0.824413220536641, +1.),(0.,0.000120000000000386),.UNSPECIFIED.); +#510=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9589,#9590),(#9591,#9592),(#9593, +#9594),(#9595,#9596),(#9597,#9598),(#9599,#9600),(#9601,#9602),(#9603,#9604), +(#9605,#9606),(#9607,#9608),(#9609,#9610),(#9611,#9612),(#9613,#9614),(#9615, +#9616),(#9617,#9618),(#9619,#9620),(#9621,#9622),(#9623,#9624),(#9625,#9626)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(0., +0.0700437554181558,0.139238316762646,0.208197455044139,0.279173374424568, +0.349986811686478,0.418353845662656,0.487609583757151,0.557411073108389, +0.595330156763242,0.63796405156522,0.685912777711293,0.738185164652479, +0.796102647184943,0.858516543946927,0.926657726468519,1.),(0.,0.000120000000000386), + .UNSPECIFIED.); +#511=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9648,#9649),(#9650,#9651),(#9652, +#9653),(#9654,#9655),(#9656,#9657),(#9658,#9659),(#9660,#9661),(#9662,#9663), +(#9664,#9665),(#9666,#9667),(#9668,#9669),(#9670,#9671),(#9672,#9673),(#9674, +#9675),(#9676,#9677),(#9678,#9679),(#9680,#9681),(#9682,#9683),(#9684,#9685)), + .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(2,2),(0., +0.0725383714064933,0.140033830501251,0.202495680633622,0.260575055669416, +0.313228337846466,0.361583193753264,0.404878361295126,0.444127437305539, +0.516399693337198,0.586506670997767,0.655085373796048,0.724383783147036, +0.793973528725662,0.861637682181777,0.930110942306404,1.),(0.,0.00012000000000052), + .UNSPECIFIED.); +#512=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#10031,#10032,#10033,#10034,#10035, +#10036),(#10037,#10038,#10039,#10040,#10041,#10042),(#10043,#10044,#10045, +#10046,#10047,#10048),(#10049,#10050,#10051,#10052,#10053,#10054),(#10055, +#10056,#10057,#10058,#10059,#10060),(#10061,#10062,#10063,#10064,#10065, +#10066),(#10067,#10068,#10069,#10070,#10071,#10072),(#10073,#10074,#10075, +#10076,#10077,#10078),(#10079,#10080,#10081,#10082,#10083,#10084),(#10085, +#10086,#10087,#10088,#10089,#10090),(#10091,#10092,#10093,#10094,#10095, +#10096),(#10097,#10098,#10099,#10100,#10101,#10102),(#10103,#10104,#10105, +#10106,#10107,#10108),(#10109,#10110,#10111,#10112,#10113,#10114),(#10115, +#10116,#10117,#10118,#10119,#10120),(#10121,#10122,#10123,#10124,#10125, +#10126),(#10127,#10128,#10129,#10130,#10131,#10132),(#10133,#10134,#10135, +#10136,#10137,#10138),(#10139,#10140,#10141,#10142,#10143,#10144),(#10145, +#10146,#10147,#10148,#10149,#10150),(#10151,#10152,#10153,#10154,#10155, +#10156),(#10157,#10158,#10159,#10160,#10161,#10162),(#10163,#10164,#10165, +#10166,#10167,#10168),(#10169,#10170,#10171,#10172,#10173,#10174),(#10175, +#10176,#10177,#10178,#10179,#10180),(#10181,#10182,#10183,#10184,#10185, +#10186),(#10187,#10188,#10189,#10190,#10191,#10192),(#10193,#10194,#10195, +#10196,#10197,#10198),(#10199,#10200,#10201,#10202,#10203,#10204),(#10205, +#10206,#10207,#10208,#10209,#10210),(#10211,#10212,#10213,#10214,#10215, +#10216)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386973935,0.108137077394787,0.162205616092181, +0.216274154789574,0.224705616092181,0.233137077394787,0.25,0.266862922605213, +0.27529438390782,0.283725845210426,0.391862922605213,0.5,0.608137077394787, +0.716274154789574,0.724705616092181,0.733137077394787,0.75,0.766862922605213, +0.77529438390782,0.783725845210426,0.83779438390782,0.891862922605213,0.945931461302607, +1.),(0.,0.531252016633518,0.531711206156817,1.),.UNSPECIFIED.); +#513=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#10242,#10243,#10244,#10245,#10246, +#10247),(#10248,#10249,#10250,#10251,#10252,#10253),(#10254,#10255,#10256, +#10257,#10258,#10259),(#10260,#10261,#10262,#10263,#10264,#10265),(#10266, +#10267,#10268,#10269,#10270,#10271),(#10272,#10273,#10274,#10275,#10276, +#10277),(#10278,#10279,#10280,#10281,#10282,#10283),(#10284,#10285,#10286, +#10287,#10288,#10289),(#10290,#10291,#10292,#10293,#10294,#10295),(#10296, +#10297,#10298,#10299,#10300,#10301),(#10302,#10303,#10304,#10305,#10306, +#10307),(#10308,#10309,#10310,#10311,#10312,#10313),(#10314,#10315,#10316, +#10317,#10318,#10319),(#10320,#10321,#10322,#10323,#10324,#10325),(#10326, +#10327,#10328,#10329,#10330,#10331),(#10332,#10333,#10334,#10335,#10336, +#10337),(#10338,#10339,#10340,#10341,#10342,#10343),(#10344,#10345,#10346, +#10347,#10348,#10349),(#10350,#10351,#10352,#10353,#10354,#10355),(#10356, +#10357,#10358,#10359,#10360,#10361),(#10362,#10363,#10364,#10365,#10366, +#10367),(#10368,#10369,#10370,#10371,#10372,#10373),(#10374,#10375,#10376, +#10377,#10378,#10379),(#10380,#10381,#10382,#10383,#10384,#10385),(#10386, +#10387,#10388,#10389,#10390,#10391),(#10392,#10393,#10394,#10395,#10396, +#10397),(#10398,#10399,#10400,#10401,#10402,#10403),(#10404,#10405,#10406, +#10407,#10408,#10409),(#10410,#10411,#10412,#10413,#10414,#10415),(#10416, +#10417,#10418,#10419,#10420,#10421),(#10422,#10423,#10424,#10425,#10426, +#10427)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386973935,0.108137077394787,0.162205616092181, +0.216274154789574,0.224705616092181,0.233137077394787,0.25,0.266862922605213, +0.27529438390782,0.283725845210426,0.391862922605213,0.5,0.608137077394787, +0.716274154789574,0.724705616092181,0.733137077394787,0.75,0.766862922605213, +0.77529438390782,0.783725845210426,0.83779438390782,0.891862922605213,0.945931461302607, +1.),(0.,0.531252016633518,0.531711206156817,1.),.UNSPECIFIED.); +#514=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#10453,#10454,#10455,#10456,#10457, +#10458),(#10459,#10460,#10461,#10462,#10463,#10464),(#10465,#10466,#10467, +#10468,#10469,#10470),(#10471,#10472,#10473,#10474,#10475,#10476),(#10477, +#10478,#10479,#10480,#10481,#10482),(#10483,#10484,#10485,#10486,#10487, +#10488),(#10489,#10490,#10491,#10492,#10493,#10494),(#10495,#10496,#10497, +#10498,#10499,#10500),(#10501,#10502,#10503,#10504,#10505,#10506),(#10507, +#10508,#10509,#10510,#10511,#10512),(#10513,#10514,#10515,#10516,#10517, +#10518),(#10519,#10520,#10521,#10522,#10523,#10524),(#10525,#10526,#10527, +#10528,#10529,#10530),(#10531,#10532,#10533,#10534,#10535,#10536),(#10537, +#10538,#10539,#10540,#10541,#10542),(#10543,#10544,#10545,#10546,#10547, +#10548),(#10549,#10550,#10551,#10552,#10553,#10554),(#10555,#10556,#10557, +#10558,#10559,#10560),(#10561,#10562,#10563,#10564,#10565,#10566),(#10567, +#10568,#10569,#10570,#10571,#10572),(#10573,#10574,#10575,#10576,#10577, +#10578),(#10579,#10580,#10581,#10582,#10583,#10584),(#10585,#10586,#10587, +#10588,#10589,#10590),(#10591,#10592,#10593,#10594,#10595,#10596),(#10597, +#10598,#10599,#10600,#10601,#10602),(#10603,#10604,#10605,#10606,#10607, +#10608),(#10609,#10610,#10611,#10612,#10613,#10614),(#10615,#10616,#10617, +#10618,#10619,#10620),(#10621,#10622,#10623,#10624,#10625,#10626),(#10627, +#10628,#10629,#10630,#10631,#10632),(#10633,#10634,#10635,#10636,#10637, +#10638)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386678203,0.108137077335641,0.162205616003461, +0.216274154671281,0.224705616003461,0.233137077335641,0.25,0.266862922664359, +0.275294383996539,0.283725845328719,0.391862922664359,0.5,0.608137077335641, +0.716274154671281,0.724705616003461,0.733137077335641,0.75,0.766862922664359, +0.775294383996539,0.783725845328719,0.837794383996539,0.891862922664359, +0.94593146133218,1.),(0.,0.523067857196963,0.523520256357239,1.), + .UNSPECIFIED.); +#515=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#10664,#10665,#10666,#10667,#10668, +#10669),(#10670,#10671,#10672,#10673,#10674,#10675),(#10676,#10677,#10678, +#10679,#10680,#10681),(#10682,#10683,#10684,#10685,#10686,#10687),(#10688, +#10689,#10690,#10691,#10692,#10693),(#10694,#10695,#10696,#10697,#10698, +#10699),(#10700,#10701,#10702,#10703,#10704,#10705),(#10706,#10707,#10708, +#10709,#10710,#10711),(#10712,#10713,#10714,#10715,#10716,#10717),(#10718, +#10719,#10720,#10721,#10722,#10723),(#10724,#10725,#10726,#10727,#10728, +#10729),(#10730,#10731,#10732,#10733,#10734,#10735),(#10736,#10737,#10738, +#10739,#10740,#10741),(#10742,#10743,#10744,#10745,#10746,#10747),(#10748, +#10749,#10750,#10751,#10752,#10753),(#10754,#10755,#10756,#10757,#10758, +#10759),(#10760,#10761,#10762,#10763,#10764,#10765),(#10766,#10767,#10768, +#10769,#10770,#10771),(#10772,#10773,#10774,#10775,#10776,#10777),(#10778, +#10779,#10780,#10781,#10782,#10783),(#10784,#10785,#10786,#10787,#10788, +#10789),(#10790,#10791,#10792,#10793,#10794,#10795),(#10796,#10797,#10798, +#10799,#10800,#10801),(#10802,#10803,#10804,#10805,#10806,#10807),(#10808, +#10809,#10810,#10811,#10812,#10813),(#10814,#10815,#10816,#10817,#10818, +#10819),(#10820,#10821,#10822,#10823,#10824,#10825),(#10826,#10827,#10828, +#10829,#10830,#10831),(#10832,#10833,#10834,#10835,#10836,#10837),(#10838, +#10839,#10840,#10841,#10842,#10843),(#10844,#10845,#10846,#10847,#10848, +#10849)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386678203,0.108137077335641,0.162205616003461, +0.216274154671281,0.224705616003461,0.233137077335641,0.25,0.266862922664359, +0.275294383996539,0.283725845328719,0.391862922664359,0.5,0.608137077335641, +0.716274154671281,0.724705616003461,0.733137077335641,0.75,0.766862922664359, +0.775294383996539,0.783725845328719,0.837794383996539,0.891862922664359, +0.94593146133218,1.),(0.,0.523067857196963,0.523520256357239,1.), + .UNSPECIFIED.); +#516=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#10877,#10878,#10879,#10880,#10881, +#10882),(#10883,#10884,#10885,#10886,#10887,#10888),(#10889,#10890,#10891, +#10892,#10893,#10894),(#10895,#10896,#10897,#10898,#10899,#10900),(#10901, +#10902,#10903,#10904,#10905,#10906),(#10907,#10908,#10909,#10910,#10911, +#10912),(#10913,#10914,#10915,#10916,#10917,#10918),(#10919,#10920,#10921, +#10922,#10923,#10924),(#10925,#10926,#10927,#10928,#10929,#10930),(#10931, +#10932,#10933,#10934,#10935,#10936),(#10937,#10938,#10939,#10940,#10941, +#10942),(#10943,#10944,#10945,#10946,#10947,#10948),(#10949,#10950,#10951, +#10952,#10953,#10954),(#10955,#10956,#10957,#10958,#10959,#10960),(#10961, +#10962,#10963,#10964,#10965,#10966),(#10967,#10968,#10969,#10970,#10971, +#10972),(#10973,#10974,#10975,#10976,#10977,#10978),(#10979,#10980,#10981, +#10982,#10983,#10984),(#10985,#10986,#10987,#10988,#10989,#10990),(#10991, +#10992,#10993,#10994,#10995,#10996),(#10997,#10998,#10999,#11000,#11001, +#11002),(#11003,#11004,#11005,#11006,#11007,#11008),(#11009,#11010,#11011, +#11012,#11013,#11014),(#11015,#11016,#11017,#11018,#11019,#11020),(#11021, +#11022,#11023,#11024,#11025,#11026),(#11027,#11028,#11029,#11030,#11031, +#11032),(#11033,#11034,#11035,#11036,#11037,#11038),(#11039,#11040,#11041, +#11042,#11043,#11044),(#11045,#11046,#11047,#11048,#11049,#11050),(#11051, +#11052,#11053,#11054,#11055,#11056),(#11057,#11058,#11059,#11060,#11061, +#11062)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386678203,0.108137077335641,0.162205616003461, +0.216274154671281,0.224705616003461,0.233137077335641,0.25,0.266862922664359, +0.275294383996539,0.283725845328719,0.391862922664359,0.5,0.608137077335641, +0.716274154671281,0.724705616003461,0.733137077335641,0.75,0.766862922664359, +0.775294383996539,0.783725845328719,0.837794383996539,0.891862922664359, +0.94593146133218,1.),(0.,0.523067857196963,0.523520256357239,1.), + .UNSPECIFIED.); +#517=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#11090,#11091,#11092,#11093,#11094, +#11095),(#11096,#11097,#11098,#11099,#11100,#11101),(#11102,#11103,#11104, +#11105,#11106,#11107),(#11108,#11109,#11110,#11111,#11112,#11113),(#11114, +#11115,#11116,#11117,#11118,#11119),(#11120,#11121,#11122,#11123,#11124, +#11125),(#11126,#11127,#11128,#11129,#11130,#11131),(#11132,#11133,#11134, +#11135,#11136,#11137),(#11138,#11139,#11140,#11141,#11142,#11143),(#11144, +#11145,#11146,#11147,#11148,#11149),(#11150,#11151,#11152,#11153,#11154, +#11155),(#11156,#11157,#11158,#11159,#11160,#11161),(#11162,#11163,#11164, +#11165,#11166,#11167),(#11168,#11169,#11170,#11171,#11172,#11173),(#11174, +#11175,#11176,#11177,#11178,#11179),(#11180,#11181,#11182,#11183,#11184, +#11185),(#11186,#11187,#11188,#11189,#11190,#11191),(#11192,#11193,#11194, +#11195,#11196,#11197),(#11198,#11199,#11200,#11201,#11202,#11203),(#11204, +#11205,#11206,#11207,#11208,#11209),(#11210,#11211,#11212,#11213,#11214, +#11215),(#11216,#11217,#11218,#11219,#11220,#11221),(#11222,#11223,#11224, +#11225,#11226,#11227),(#11228,#11229,#11230,#11231,#11232,#11233),(#11234, +#11235,#11236,#11237,#11238,#11239),(#11240,#11241,#11242,#11243,#11244, +#11245),(#11246,#11247,#11248,#11249,#11250,#11251),(#11252,#11253,#11254, +#11255,#11256,#11257),(#11258,#11259,#11260,#11261,#11262,#11263),(#11264, +#11265,#11266,#11267,#11268,#11269),(#11270,#11271,#11272,#11273,#11274, +#11275)),.UNSPECIFIED.,.T.,.F.,.F.,(4,1,1,1,2,1,1,1,1,1,2,1,1,1,2,1,1,1, +1,1,2,1,1,1,4),(4,1,1,4),(0.,0.0540685386678203,0.108137077335641,0.162205616003461, +0.216274154671281,0.224705616003461,0.233137077335641,0.25,0.266862922664359, +0.275294383996539,0.283725845328719,0.391862922664359,0.5,0.608137077335641, +0.716274154671281,0.724705616003461,0.733137077335641,0.75,0.766862922664359, +0.775294383996539,0.783725845328719,0.837794383996539,0.891862922664359, +0.94593146133218,1.),(0.,0.523067857196963,0.523520256357239,1.), + .UNSPECIFIED.); +#518=FACE_OUTER_BOUND('',#778,.T.); +#519=FACE_OUTER_BOUND('',#779,.T.); +#520=FACE_OUTER_BOUND('',#780,.T.); +#521=FACE_OUTER_BOUND('',#781,.T.); +#522=FACE_OUTER_BOUND('',#782,.T.); +#523=FACE_OUTER_BOUND('',#783,.T.); +#524=FACE_OUTER_BOUND('',#784,.T.); +#525=FACE_OUTER_BOUND('',#785,.T.); +#526=FACE_OUTER_BOUND('',#786,.T.); +#527=FACE_OUTER_BOUND('',#802,.T.); +#528=FACE_OUTER_BOUND('',#803,.T.); +#529=FACE_OUTER_BOUND('',#804,.T.); +#530=FACE_OUTER_BOUND('',#805,.T.); +#531=FACE_OUTER_BOUND('',#806,.T.); +#532=FACE_OUTER_BOUND('',#807,.T.); +#533=FACE_OUTER_BOUND('',#809,.T.); +#534=FACE_OUTER_BOUND('',#812,.T.); +#535=FACE_OUTER_BOUND('',#813,.T.); +#536=FACE_OUTER_BOUND('',#816,.T.); +#537=FACE_OUTER_BOUND('',#818,.T.); +#538=FACE_OUTER_BOUND('',#819,.T.); +#539=FACE_OUTER_BOUND('',#832,.T.); +#540=FACE_OUTER_BOUND('',#833,.T.); +#541=FACE_OUTER_BOUND('',#834,.T.); +#542=FACE_OUTER_BOUND('',#835,.T.); +#543=FACE_OUTER_BOUND('',#837,.T.); +#544=FACE_OUTER_BOUND('',#838,.T.); +#545=FACE_OUTER_BOUND('',#839,.T.); +#546=FACE_OUTER_BOUND('',#840,.T.); +#547=FACE_OUTER_BOUND('',#841,.T.); +#548=FACE_OUTER_BOUND('',#842,.T.); +#549=FACE_OUTER_BOUND('',#843,.T.); +#550=FACE_OUTER_BOUND('',#844,.T.); +#551=FACE_OUTER_BOUND('',#845,.T.); +#552=FACE_OUTER_BOUND('',#846,.T.); +#553=FACE_OUTER_BOUND('',#847,.T.); +#554=FACE_OUTER_BOUND('',#848,.T.); +#555=FACE_OUTER_BOUND('',#849,.T.); +#556=FACE_OUTER_BOUND('',#850,.T.); +#557=FACE_OUTER_BOUND('',#851,.T.); +#558=FACE_OUTER_BOUND('',#852,.T.); +#559=FACE_OUTER_BOUND('',#853,.T.); +#560=FACE_OUTER_BOUND('',#854,.T.); +#561=FACE_OUTER_BOUND('',#855,.T.); +#562=FACE_OUTER_BOUND('',#856,.T.); +#563=FACE_OUTER_BOUND('',#857,.T.); +#564=FACE_OUTER_BOUND('',#858,.T.); +#565=FACE_OUTER_BOUND('',#859,.T.); +#566=FACE_OUTER_BOUND('',#860,.T.); +#567=FACE_OUTER_BOUND('',#861,.T.); +#568=FACE_OUTER_BOUND('',#862,.T.); +#569=FACE_OUTER_BOUND('',#863,.T.); +#570=FACE_OUTER_BOUND('',#864,.T.); +#571=FACE_OUTER_BOUND('',#865,.T.); +#572=FACE_OUTER_BOUND('',#866,.T.); +#573=FACE_OUTER_BOUND('',#867,.T.); +#574=FACE_OUTER_BOUND('',#868,.T.); +#575=FACE_OUTER_BOUND('',#869,.T.); +#576=FACE_OUTER_BOUND('',#870,.T.); +#577=FACE_OUTER_BOUND('',#871,.T.); +#578=FACE_OUTER_BOUND('',#872,.T.); +#579=FACE_OUTER_BOUND('',#873,.T.); +#580=FACE_OUTER_BOUND('',#874,.T.); +#581=FACE_OUTER_BOUND('',#875,.T.); +#582=FACE_OUTER_BOUND('',#876,.T.); +#583=FACE_OUTER_BOUND('',#877,.T.); +#584=FACE_OUTER_BOUND('',#878,.T.); +#585=FACE_OUTER_BOUND('',#879,.T.); +#586=FACE_OUTER_BOUND('',#880,.T.); +#587=FACE_OUTER_BOUND('',#881,.T.); +#588=FACE_OUTER_BOUND('',#882,.T.); +#589=FACE_OUTER_BOUND('',#883,.T.); +#590=FACE_OUTER_BOUND('',#884,.T.); +#591=FACE_OUTER_BOUND('',#885,.T.); +#592=FACE_OUTER_BOUND('',#886,.T.); +#593=FACE_OUTER_BOUND('',#887,.T.); +#594=FACE_OUTER_BOUND('',#888,.T.); +#595=FACE_OUTER_BOUND('',#889,.T.); +#596=FACE_OUTER_BOUND('',#890,.T.); +#597=FACE_OUTER_BOUND('',#891,.T.); +#598=FACE_OUTER_BOUND('',#892,.T.); +#599=FACE_OUTER_BOUND('',#893,.T.); +#600=FACE_OUTER_BOUND('',#894,.T.); +#601=FACE_OUTER_BOUND('',#896,.T.); +#602=FACE_OUTER_BOUND('',#897,.T.); +#603=FACE_OUTER_BOUND('',#898,.T.); +#604=FACE_OUTER_BOUND('',#899,.T.); +#605=FACE_OUTER_BOUND('',#900,.T.); +#606=FACE_OUTER_BOUND('',#901,.T.); +#607=FACE_OUTER_BOUND('',#902,.T.); +#608=FACE_OUTER_BOUND('',#903,.T.); +#609=FACE_OUTER_BOUND('',#904,.T.); +#610=FACE_OUTER_BOUND('',#905,.T.); +#611=FACE_OUTER_BOUND('',#906,.T.); +#612=FACE_OUTER_BOUND('',#907,.T.); +#613=FACE_OUTER_BOUND('',#908,.T.); +#614=FACE_OUTER_BOUND('',#909,.T.); +#615=FACE_OUTER_BOUND('',#910,.T.); +#616=FACE_OUTER_BOUND('',#911,.T.); +#617=FACE_OUTER_BOUND('',#913,.T.); +#618=FACE_OUTER_BOUND('',#914,.T.); +#619=FACE_OUTER_BOUND('',#915,.T.); +#620=FACE_OUTER_BOUND('',#916,.T.); +#621=FACE_OUTER_BOUND('',#917,.T.); +#622=FACE_OUTER_BOUND('',#918,.T.); +#623=FACE_OUTER_BOUND('',#919,.T.); +#624=FACE_OUTER_BOUND('',#920,.T.); +#625=FACE_OUTER_BOUND('',#921,.T.); +#626=FACE_OUTER_BOUND('',#922,.T.); +#627=FACE_OUTER_BOUND('',#923,.T.); +#628=FACE_OUTER_BOUND('',#924,.T.); +#629=FACE_OUTER_BOUND('',#925,.T.); +#630=FACE_OUTER_BOUND('',#926,.T.); +#631=FACE_OUTER_BOUND('',#927,.T.); +#632=FACE_OUTER_BOUND('',#928,.T.); +#633=FACE_OUTER_BOUND('',#929,.T.); +#634=FACE_OUTER_BOUND('',#930,.T.); +#635=FACE_OUTER_BOUND('',#931,.T.); +#636=FACE_OUTER_BOUND('',#932,.T.); +#637=FACE_OUTER_BOUND('',#933,.T.); +#638=FACE_OUTER_BOUND('',#934,.T.); +#639=FACE_OUTER_BOUND('',#935,.T.); +#640=FACE_OUTER_BOUND('',#936,.T.); +#641=FACE_OUTER_BOUND('',#937,.T.); +#642=FACE_OUTER_BOUND('',#938,.T.); +#643=FACE_OUTER_BOUND('',#940,.T.); +#644=FACE_OUTER_BOUND('',#941,.T.); +#645=FACE_OUTER_BOUND('',#942,.T.); +#646=FACE_OUTER_BOUND('',#943,.T.); +#647=FACE_OUTER_BOUND('',#944,.T.); +#648=FACE_OUTER_BOUND('',#945,.T.); +#649=FACE_OUTER_BOUND('',#946,.T.); +#650=FACE_OUTER_BOUND('',#947,.T.); +#651=FACE_OUTER_BOUND('',#948,.T.); +#652=FACE_OUTER_BOUND('',#949,.T.); +#653=FACE_OUTER_BOUND('',#950,.T.); +#654=FACE_OUTER_BOUND('',#951,.T.); +#655=FACE_OUTER_BOUND('',#952,.T.); +#656=FACE_OUTER_BOUND('',#953,.T.); +#657=FACE_OUTER_BOUND('',#954,.T.); +#658=FACE_OUTER_BOUND('',#955,.T.); +#659=FACE_OUTER_BOUND('',#956,.T.); +#660=FACE_OUTER_BOUND('',#957,.T.); +#661=FACE_OUTER_BOUND('',#958,.T.); +#662=FACE_OUTER_BOUND('',#959,.T.); +#663=FACE_OUTER_BOUND('',#960,.T.); +#664=FACE_OUTER_BOUND('',#961,.T.); +#665=FACE_OUTER_BOUND('',#962,.T.); +#666=FACE_OUTER_BOUND('',#963,.T.); +#667=FACE_OUTER_BOUND('',#964,.T.); +#668=FACE_OUTER_BOUND('',#965,.T.); +#669=FACE_OUTER_BOUND('',#966,.T.); +#670=FACE_OUTER_BOUND('',#967,.T.); +#671=FACE_OUTER_BOUND('',#968,.T.); +#672=FACE_OUTER_BOUND('',#970,.T.); +#673=FACE_OUTER_BOUND('',#971,.T.); +#674=FACE_OUTER_BOUND('',#972,.T.); +#675=FACE_OUTER_BOUND('',#973,.T.); +#676=FACE_OUTER_BOUND('',#974,.T.); +#677=FACE_OUTER_BOUND('',#975,.T.); +#678=FACE_OUTER_BOUND('',#976,.T.); +#679=FACE_OUTER_BOUND('',#977,.T.); +#680=FACE_OUTER_BOUND('',#978,.T.); +#681=FACE_OUTER_BOUND('',#979,.T.); +#682=FACE_OUTER_BOUND('',#980,.T.); +#683=FACE_OUTER_BOUND('',#981,.T.); +#684=FACE_OUTER_BOUND('',#982,.T.); +#685=FACE_OUTER_BOUND('',#983,.T.); +#686=FACE_OUTER_BOUND('',#984,.T.); +#687=FACE_OUTER_BOUND('',#985,.T.); +#688=FACE_OUTER_BOUND('',#986,.T.); +#689=FACE_OUTER_BOUND('',#987,.T.); +#690=FACE_OUTER_BOUND('',#988,.T.); +#691=FACE_OUTER_BOUND('',#989,.T.); +#692=FACE_OUTER_BOUND('',#990,.T.); +#693=FACE_OUTER_BOUND('',#991,.T.); +#694=FACE_OUTER_BOUND('',#992,.T.); +#695=FACE_OUTER_BOUND('',#993,.T.); +#696=FACE_OUTER_BOUND('',#994,.T.); +#697=FACE_OUTER_BOUND('',#995,.T.); +#698=FACE_OUTER_BOUND('',#996,.T.); +#699=FACE_OUTER_BOUND('',#997,.T.); +#700=FACE_OUTER_BOUND('',#998,.T.); +#701=FACE_OUTER_BOUND('',#999,.T.); +#702=FACE_OUTER_BOUND('',#1000,.T.); +#703=FACE_OUTER_BOUND('',#1001,.T.); +#704=FACE_OUTER_BOUND('',#1003,.T.); +#705=FACE_OUTER_BOUND('',#1004,.T.); +#706=FACE_OUTER_BOUND('',#1005,.T.); +#707=FACE_OUTER_BOUND('',#1006,.T.); +#708=FACE_OUTER_BOUND('',#1007,.T.); +#709=FACE_OUTER_BOUND('',#1008,.T.); +#710=FACE_OUTER_BOUND('',#1009,.T.); +#711=FACE_OUTER_BOUND('',#1010,.T.); +#712=FACE_OUTER_BOUND('',#1011,.T.); +#713=FACE_OUTER_BOUND('',#1012,.T.); +#714=FACE_OUTER_BOUND('',#1013,.T.); +#715=FACE_OUTER_BOUND('',#1014,.T.); +#716=FACE_OUTER_BOUND('',#1015,.T.); +#717=FACE_OUTER_BOUND('',#1016,.T.); +#718=FACE_OUTER_BOUND('',#1017,.T.); +#719=FACE_OUTER_BOUND('',#1018,.T.); +#720=FACE_OUTER_BOUND('',#1019,.T.); +#721=FACE_OUTER_BOUND('',#1020,.T.); +#722=FACE_OUTER_BOUND('',#1021,.T.); +#723=FACE_OUTER_BOUND('',#1022,.T.); +#724=FACE_OUTER_BOUND('',#1023,.T.); +#725=FACE_OUTER_BOUND('',#1024,.T.); +#726=FACE_OUTER_BOUND('',#1025,.T.); +#727=FACE_OUTER_BOUND('',#1026,.T.); +#728=FACE_OUTER_BOUND('',#1027,.T.); +#729=FACE_OUTER_BOUND('',#1028,.T.); +#730=FACE_OUTER_BOUND('',#1029,.T.); +#731=FACE_OUTER_BOUND('',#1030,.T.); +#732=FACE_OUTER_BOUND('',#1031,.T.); +#733=FACE_OUTER_BOUND('',#1032,.T.); +#734=FACE_OUTER_BOUND('',#1033,.T.); +#735=FACE_OUTER_BOUND('',#1034,.T.); +#736=FACE_OUTER_BOUND('',#1035,.T.); +#737=FACE_OUTER_BOUND('',#1036,.T.); +#738=FACE_OUTER_BOUND('',#1037,.T.); +#739=FACE_OUTER_BOUND('',#1038,.T.); +#740=FACE_OUTER_BOUND('',#1039,.T.); +#741=FACE_OUTER_BOUND('',#1040,.T.); +#742=FACE_OUTER_BOUND('',#1041,.T.); +#743=FACE_OUTER_BOUND('',#1042,.T.); +#744=FACE_OUTER_BOUND('',#1043,.T.); +#745=FACE_OUTER_BOUND('',#1044,.T.); +#746=FACE_OUTER_BOUND('',#1045,.T.); +#747=FACE_OUTER_BOUND('',#1046,.T.); +#748=FACE_OUTER_BOUND('',#1047,.T.); +#749=FACE_OUTER_BOUND('',#1048,.T.); +#750=FACE_OUTER_BOUND('',#1049,.T.); +#751=FACE_OUTER_BOUND('',#1050,.T.); +#752=FACE_OUTER_BOUND('',#1051,.T.); +#753=FACE_OUTER_BOUND('',#1052,.T.); +#754=FACE_OUTER_BOUND('',#1053,.T.); +#755=FACE_OUTER_BOUND('',#1054,.T.); +#756=FACE_OUTER_BOUND('',#1055,.T.); +#757=FACE_OUTER_BOUND('',#1056,.T.); +#758=FACE_OUTER_BOUND('',#1057,.T.); +#759=FACE_OUTER_BOUND('',#1058,.T.); +#760=FACE_OUTER_BOUND('',#1059,.T.); +#761=FACE_OUTER_BOUND('',#1060,.T.); +#762=FACE_OUTER_BOUND('',#1061,.T.); +#763=FACE_OUTER_BOUND('',#1062,.T.); +#764=FACE_OUTER_BOUND('',#1063,.T.); +#765=FACE_OUTER_BOUND('',#1064,.T.); +#766=FACE_OUTER_BOUND('',#1065,.T.); +#767=FACE_OUTER_BOUND('',#1066,.T.); +#768=FACE_OUTER_BOUND('',#1067,.T.); +#769=FACE_OUTER_BOUND('',#1068,.T.); +#770=FACE_OUTER_BOUND('',#1069,.T.); +#771=FACE_OUTER_BOUND('',#1070,.T.); +#772=FACE_OUTER_BOUND('',#1071,.T.); +#773=FACE_OUTER_BOUND('',#1072,.T.); +#774=FACE_OUTER_BOUND('',#1073,.T.); +#775=FACE_OUTER_BOUND('',#1074,.T.); +#776=FACE_OUTER_BOUND('',#1075,.T.); +#777=FACE_OUTER_BOUND('',#1076,.T.); +#778=EDGE_LOOP('',(#3354,#3355,#3356,#3357)); +#779=EDGE_LOOP('',(#3358,#3359,#3360,#3361)); +#780=EDGE_LOOP('',(#3362,#3363,#3364,#3365)); +#781=EDGE_LOOP('',(#3366,#3367,#3368,#3369)); +#782=EDGE_LOOP('',(#3370,#3371,#3372,#3373)); +#783=EDGE_LOOP('',(#3374,#3375,#3376,#3377)); +#784=EDGE_LOOP('',(#3378,#3379,#3380,#3381)); +#785=EDGE_LOOP('',(#3382,#3383,#3384,#3385)); +#786=EDGE_LOOP('',(#3386,#3387,#3388,#3389,#3390,#3391,#3392,#3393,#3394, +#3395,#3396,#3397,#3398,#3399)); +#787=EDGE_LOOP('',(#3400)); +#788=EDGE_LOOP('',(#3401)); +#789=EDGE_LOOP('',(#3402,#3403,#3404,#3405)); +#790=EDGE_LOOP('',(#3406,#3407,#3408,#3409)); +#791=EDGE_LOOP('',(#3410,#3411,#3412,#3413)); +#792=EDGE_LOOP('',(#3414,#3415,#3416,#3417)); +#793=EDGE_LOOP('',(#3418,#3419,#3420,#3421)); +#794=EDGE_LOOP('',(#3422,#3423,#3424,#3425)); +#795=EDGE_LOOP('',(#3426,#3427,#3428,#3429)); +#796=EDGE_LOOP('',(#3430,#3431,#3432,#3433)); +#797=EDGE_LOOP('',(#3434,#3435,#3436,#3437)); +#798=EDGE_LOOP('',(#3438,#3439,#3440,#3441)); +#799=EDGE_LOOP('',(#3442,#3443,#3444,#3445)); +#800=EDGE_LOOP('',(#3446,#3447,#3448,#3449)); +#801=EDGE_LOOP('',(#3450,#3451,#3452,#3453)); +#802=EDGE_LOOP('',(#3454,#3455,#3456,#3457,#3458,#3459,#3460,#3461,#3462, +#3463,#3464,#3465,#3466,#3467,#3468,#3469,#3470,#3471,#3472,#3473)); +#803=EDGE_LOOP('',(#3474,#3475,#3476,#3477,#3478,#3479)); +#804=EDGE_LOOP('',(#3480,#3481,#3482,#3483,#3484,#3485)); +#805=EDGE_LOOP('',(#3486,#3487,#3488,#3489,#3490,#3491)); +#806=EDGE_LOOP('',(#3492,#3493,#3494,#3495,#3496,#3497)); +#807=EDGE_LOOP('',(#3498,#3499,#3500,#3501)); +#808=EDGE_LOOP('',(#3502,#3503,#3504,#3505)); +#809=EDGE_LOOP('',(#3506,#3507,#3508,#3509,#3510,#3511,#3512,#3513,#3514, +#3515,#3516,#3517,#3518,#3519)); +#810=EDGE_LOOP('',(#3520,#3521)); +#811=EDGE_LOOP('',(#3522,#3523)); +#812=EDGE_LOOP('',(#3524,#3525,#3526,#3527)); +#813=EDGE_LOOP('',(#3528,#3529,#3530,#3531,#3532,#3533,#3534,#3535,#3536, +#3537,#3538,#3539,#3540,#3541)); +#814=EDGE_LOOP('',(#3542,#3543)); +#815=EDGE_LOOP('',(#3544,#3545)); +#816=EDGE_LOOP('',(#3546,#3547,#3548,#3549)); +#817=EDGE_LOOP('',(#3550,#3551,#3552,#3553)); +#818=EDGE_LOOP('',(#3554,#3555,#3556,#3557,#3558)); +#819=EDGE_LOOP('',(#3559,#3560,#3561,#3562,#3563,#3564)); +#820=EDGE_LOOP('',(#3565,#3566,#3567,#3568,#3569,#3570,#3571,#3572,#3573, +#3574,#3575)); +#821=EDGE_LOOP('',(#3576,#3577,#3578,#3579,#3580,#3581,#3582,#3583,#3584)); +#822=EDGE_LOOP('',(#3585,#3586,#3587,#3588,#3589,#3590)); +#823=EDGE_LOOP('',(#3591,#3592,#3593,#3594)); +#824=EDGE_LOOP('',(#3595,#3596,#3597,#3598,#3599,#3600,#3601,#3602)); +#825=EDGE_LOOP('',(#3603,#3604)); +#826=EDGE_LOOP('',(#3605,#3606)); +#827=EDGE_LOOP('',(#3607,#3608,#3609,#3610,#3611,#3612,#3613,#3614,#3615, +#3616,#3617,#3618,#3619)); +#828=EDGE_LOOP('',(#3620,#3621,#3622,#3623,#3624,#3625,#3626,#3627)); +#829=EDGE_LOOP('',(#3628,#3629,#3630,#3631,#3632,#3633)); +#830=EDGE_LOOP('',(#3634,#3635,#3636,#3637,#3638,#3639,#3640,#3641,#3642)); +#831=EDGE_LOOP('',(#3643,#3644,#3645,#3646,#3647,#3648,#3649,#3650)); +#832=EDGE_LOOP('',(#3651,#3652,#3653,#3654,#3655)); +#833=EDGE_LOOP('',(#3656,#3657,#3658,#3659)); +#834=EDGE_LOOP('',(#3660,#3661,#3662,#3663,#3664,#3665)); +#835=EDGE_LOOP('',(#3666,#3667,#3668,#3669)); +#836=EDGE_LOOP('',(#3670,#3671,#3672,#3673,#3674,#3675,#3676,#3677,#3678, +#3679,#3680,#3681,#3682,#3683,#3684,#3685)); +#837=EDGE_LOOP('',(#3686,#3687,#3688,#3689)); +#838=EDGE_LOOP('',(#3690,#3691,#3692,#3693)); +#839=EDGE_LOOP('',(#3694,#3695,#3696,#3697)); +#840=EDGE_LOOP('',(#3698,#3699,#3700,#3701)); +#841=EDGE_LOOP('',(#3702,#3703,#3704,#3705)); +#842=EDGE_LOOP('',(#3706,#3707,#3708,#3709)); +#843=EDGE_LOOP('',(#3710,#3711,#3712,#3713)); +#844=EDGE_LOOP('',(#3714,#3715,#3716,#3717)); +#845=EDGE_LOOP('',(#3718,#3719,#3720,#3721)); +#846=EDGE_LOOP('',(#3722,#3723,#3724,#3725)); +#847=EDGE_LOOP('',(#3726,#3727,#3728,#3729)); +#848=EDGE_LOOP('',(#3730,#3731,#3732,#3733)); +#849=EDGE_LOOP('',(#3734,#3735,#3736,#3737)); +#850=EDGE_LOOP('',(#3738,#3739,#3740,#3741)); +#851=EDGE_LOOP('',(#3742,#3743,#3744,#3745)); +#852=EDGE_LOOP('',(#3746,#3747,#3748,#3749,#3750,#3751)); +#853=EDGE_LOOP('',(#3752,#3753,#3754,#3755)); +#854=EDGE_LOOP('',(#3756,#3757,#3758,#3759)); +#855=EDGE_LOOP('',(#3760,#3761,#3762,#3763)); +#856=EDGE_LOOP('',(#3764,#3765,#3766,#3767)); +#857=EDGE_LOOP('',(#3768,#3769,#3770,#3771)); +#858=EDGE_LOOP('',(#3772,#3773,#3774,#3775,#3776,#3777)); +#859=EDGE_LOOP('',(#3778,#3779,#3780,#3781)); +#860=EDGE_LOOP('',(#3782,#3783,#3784,#3785)); +#861=EDGE_LOOP('',(#3786,#3787,#3788,#3789)); +#862=EDGE_LOOP('',(#3790,#3791,#3792,#3793)); +#863=EDGE_LOOP('',(#3794,#3795,#3796,#3797)); +#864=EDGE_LOOP('',(#3798,#3799,#3800,#3801)); +#865=EDGE_LOOP('',(#3802,#3803,#3804,#3805)); +#866=EDGE_LOOP('',(#3806,#3807,#3808,#3809)); +#867=EDGE_LOOP('',(#3810,#3811,#3812,#3813)); +#868=EDGE_LOOP('',(#3814,#3815,#3816,#3817)); +#869=EDGE_LOOP('',(#3818,#3819,#3820,#3821)); +#870=EDGE_LOOP('',(#3822,#3823,#3824,#3825)); +#871=EDGE_LOOP('',(#3826,#3827,#3828,#3829)); +#872=EDGE_LOOP('',(#3830,#3831,#3832,#3833)); +#873=EDGE_LOOP('',(#3834,#3835,#3836,#3837)); +#874=EDGE_LOOP('',(#3838,#3839,#3840,#3841)); +#875=EDGE_LOOP('',(#3842,#3843,#3844,#3845)); +#876=EDGE_LOOP('',(#3846,#3847,#3848,#3849)); +#877=EDGE_LOOP('',(#3850,#3851,#3852,#3853)); +#878=EDGE_LOOP('',(#3854,#3855,#3856,#3857)); +#879=EDGE_LOOP('',(#3858,#3859,#3860,#3861)); +#880=EDGE_LOOP('',(#3862,#3863,#3864,#3865)); +#881=EDGE_LOOP('',(#3866,#3867,#3868,#3869)); +#882=EDGE_LOOP('',(#3870,#3871,#3872,#3873)); +#883=EDGE_LOOP('',(#3874,#3875,#3876,#3877)); +#884=EDGE_LOOP('',(#3878,#3879,#3880,#3881)); +#885=EDGE_LOOP('',(#3882,#3883,#3884,#3885)); +#886=EDGE_LOOP('',(#3886,#3887,#3888,#3889)); +#887=EDGE_LOOP('',(#3890,#3891,#3892,#3893)); +#888=EDGE_LOOP('',(#3894,#3895,#3896,#3897)); +#889=EDGE_LOOP('',(#3898,#3899,#3900,#3901)); +#890=EDGE_LOOP('',(#3902,#3903,#3904,#3905)); +#891=EDGE_LOOP('',(#3906,#3907,#3908,#3909)); +#892=EDGE_LOOP('',(#3910,#3911,#3912,#3913)); +#893=EDGE_LOOP('',(#3914,#3915,#3916,#3917)); +#894=EDGE_LOOP('',(#3918,#3919,#3920,#3921,#3922,#3923,#3924,#3925)); +#895=EDGE_LOOP('',(#3926,#3927,#3928)); +#896=EDGE_LOOP('',(#3929,#3930,#3931,#3932)); +#897=EDGE_LOOP('',(#3933,#3934,#3935,#3936)); +#898=EDGE_LOOP('',(#3937,#3938,#3939,#3940)); +#899=EDGE_LOOP('',(#3941,#3942,#3943)); +#900=EDGE_LOOP('',(#3944,#3945,#3946,#3947)); +#901=EDGE_LOOP('',(#3948,#3949,#3950,#3951)); +#902=EDGE_LOOP('',(#3952,#3953,#3954,#3955)); +#903=EDGE_LOOP('',(#3956,#3957,#3958,#3959)); +#904=EDGE_LOOP('',(#3960,#3961,#3962,#3963)); +#905=EDGE_LOOP('',(#3964,#3965,#3966,#3967)); +#906=EDGE_LOOP('',(#3968,#3969,#3970,#3971)); +#907=EDGE_LOOP('',(#3972,#3973,#3974,#3975)); +#908=EDGE_LOOP('',(#3976,#3977,#3978,#3979)); +#909=EDGE_LOOP('',(#3980,#3981,#3982,#3983)); +#910=EDGE_LOOP('',(#3984,#3985,#3986,#3987)); +#911=EDGE_LOOP('',(#3988,#3989,#3990,#3991,#3992,#3993,#3994,#3995,#3996, +#3997,#3998)); +#912=EDGE_LOOP('',(#3999,#4000,#4001,#4002,#4003)); +#913=EDGE_LOOP('',(#4004,#4005,#4006,#4007)); +#914=EDGE_LOOP('',(#4008,#4009,#4010,#4011)); +#915=EDGE_LOOP('',(#4012,#4013,#4014,#4015)); +#916=EDGE_LOOP('',(#4016,#4017,#4018,#4019)); +#917=EDGE_LOOP('',(#4020,#4021,#4022,#4023)); +#918=EDGE_LOOP('',(#4024,#4025,#4026,#4027,#4028)); +#919=EDGE_LOOP('',(#4029,#4030,#4031,#4032)); +#920=EDGE_LOOP('',(#4033,#4034,#4035,#4036)); +#921=EDGE_LOOP('',(#4037,#4038,#4039,#4040)); +#922=EDGE_LOOP('',(#4041,#4042,#4043,#4044)); +#923=EDGE_LOOP('',(#4045,#4046,#4047,#4048)); +#924=EDGE_LOOP('',(#4049,#4050,#4051,#4052)); +#925=EDGE_LOOP('',(#4053,#4054,#4055,#4056)); +#926=EDGE_LOOP('',(#4057,#4058,#4059,#4060)); +#927=EDGE_LOOP('',(#4061,#4062,#4063,#4064)); +#928=EDGE_LOOP('',(#4065,#4066,#4067,#4068,#4069,#4070,#4071,#4072,#4073)); +#929=EDGE_LOOP('',(#4074,#4075,#4076,#4077)); +#930=EDGE_LOOP('',(#4078,#4079,#4080,#4081)); +#931=EDGE_LOOP('',(#4082,#4083,#4084,#4085)); +#932=EDGE_LOOP('',(#4086,#4087,#4088,#4089)); +#933=EDGE_LOOP('',(#4090,#4091,#4092,#4093)); +#934=EDGE_LOOP('',(#4094,#4095,#4096,#4097)); +#935=EDGE_LOOP('',(#4098,#4099,#4100,#4101)); +#936=EDGE_LOOP('',(#4102,#4103,#4104,#4105)); +#937=EDGE_LOOP('',(#4106,#4107,#4108,#4109)); +#938=EDGE_LOOP('',(#4110,#4111,#4112,#4113,#4114,#4115,#4116,#4117,#4118)); +#939=EDGE_LOOP('',(#4119,#4120,#4121,#4122,#4123)); +#940=EDGE_LOOP('',(#4124,#4125,#4126,#4127)); +#941=EDGE_LOOP('',(#4128,#4129,#4130,#4131)); +#942=EDGE_LOOP('',(#4132,#4133,#4134,#4135)); +#943=EDGE_LOOP('',(#4136,#4137,#4138,#4139)); +#944=EDGE_LOOP('',(#4140,#4141,#4142,#4143)); +#945=EDGE_LOOP('',(#4144,#4145,#4146,#4147,#4148)); +#946=EDGE_LOOP('',(#4149,#4150,#4151,#4152)); +#947=EDGE_LOOP('',(#4153,#4154,#4155,#4156)); +#948=EDGE_LOOP('',(#4157,#4158,#4159,#4160)); +#949=EDGE_LOOP('',(#4161,#4162,#4163,#4164)); +#950=EDGE_LOOP('',(#4165,#4166,#4167,#4168)); +#951=EDGE_LOOP('',(#4169,#4170,#4171,#4172)); +#952=EDGE_LOOP('',(#4173,#4174,#4175,#4176,#4177,#4178)); +#953=EDGE_LOOP('',(#4179,#4180,#4181,#4182)); +#954=EDGE_LOOP('',(#4183,#4184,#4185,#4186)); +#955=EDGE_LOOP('',(#4187,#4188,#4189,#4190)); +#956=EDGE_LOOP('',(#4191,#4192,#4193,#4194)); +#957=EDGE_LOOP('',(#4195,#4196,#4197,#4198)); +#958=EDGE_LOOP('',(#4199,#4200,#4201,#4202)); +#959=EDGE_LOOP('',(#4203,#4204,#4205,#4206,#4207,#4208)); +#960=EDGE_LOOP('',(#4209,#4210,#4211,#4212)); +#961=EDGE_LOOP('',(#4213,#4214,#4215,#4216)); +#962=EDGE_LOOP('',(#4217,#4218,#4219,#4220)); +#963=EDGE_LOOP('',(#4221,#4222,#4223,#4224)); +#964=EDGE_LOOP('',(#4225,#4226,#4227,#4228)); +#965=EDGE_LOOP('',(#4229,#4230,#4231,#4232)); +#966=EDGE_LOOP('',(#4233,#4234,#4235,#4236)); +#967=EDGE_LOOP('',(#4237,#4238,#4239,#4240)); +#968=EDGE_LOOP('',(#4241,#4242,#4243,#4244,#4245,#4246,#4247,#4248)); +#969=EDGE_LOOP('',(#4249,#4250,#4251)); +#970=EDGE_LOOP('',(#4252,#4253,#4254,#4255)); +#971=EDGE_LOOP('',(#4256,#4257,#4258,#4259)); +#972=EDGE_LOOP('',(#4260,#4261,#4262,#4263)); +#973=EDGE_LOOP('',(#4264,#4265,#4266)); +#974=EDGE_LOOP('',(#4267,#4268,#4269,#4270)); +#975=EDGE_LOOP('',(#4271,#4272,#4273,#4274)); +#976=EDGE_LOOP('',(#4275,#4276,#4277,#4278)); +#977=EDGE_LOOP('',(#4279,#4280,#4281,#4282)); +#978=EDGE_LOOP('',(#4283,#4284,#4285,#4286)); +#979=EDGE_LOOP('',(#4287,#4288,#4289,#4290)); +#980=EDGE_LOOP('',(#4291,#4292,#4293,#4294)); +#981=EDGE_LOOP('',(#4295,#4296,#4297,#4298)); +#982=EDGE_LOOP('',(#4299,#4300,#4301,#4302)); +#983=EDGE_LOOP('',(#4303,#4304,#4305,#4306)); +#984=EDGE_LOOP('',(#4307,#4308,#4309,#4310)); +#985=EDGE_LOOP('',(#4311,#4312,#4313,#4314)); +#986=EDGE_LOOP('',(#4315,#4316,#4317,#4318)); +#987=EDGE_LOOP('',(#4319,#4320,#4321,#4322)); +#988=EDGE_LOOP('',(#4323,#4324,#4325,#4326)); +#989=EDGE_LOOP('',(#4327,#4328,#4329,#4330)); +#990=EDGE_LOOP('',(#4331,#4332,#4333,#4334)); +#991=EDGE_LOOP('',(#4335,#4336,#4337,#4338)); +#992=EDGE_LOOP('',(#4339,#4340,#4341,#4342,#4343,#4344,#4345,#4346,#4347, +#4348,#4349,#4350,#4351)); +#993=EDGE_LOOP('',(#4352,#4353,#4354,#4355)); +#994=EDGE_LOOP('',(#4356,#4357,#4358,#4359)); +#995=EDGE_LOOP('',(#4360,#4361,#4362,#4363)); +#996=EDGE_LOOP('',(#4364,#4365,#4366,#4367)); +#997=EDGE_LOOP('',(#4368,#4369,#4370,#4371)); +#998=EDGE_LOOP('',(#4372,#4373,#4374,#4375)); +#999=EDGE_LOOP('',(#4376,#4377,#4378,#4379)); +#1000=EDGE_LOOP('',(#4380,#4381,#4382,#4383)); +#1001=EDGE_LOOP('',(#4384,#4385,#4386,#4387,#4388,#4389,#4390,#4391)); +#1002=EDGE_LOOP('',(#4392,#4393,#4394)); +#1003=EDGE_LOOP('',(#4395,#4396,#4397,#4398)); +#1004=EDGE_LOOP('',(#4399,#4400,#4401,#4402)); +#1005=EDGE_LOOP('',(#4403,#4404,#4405,#4406)); +#1006=EDGE_LOOP('',(#4407,#4408,#4409)); +#1007=EDGE_LOOP('',(#4410,#4411,#4412,#4413)); +#1008=EDGE_LOOP('',(#4414,#4415,#4416,#4417)); +#1009=EDGE_LOOP('',(#4418,#4419,#4420,#4421)); +#1010=EDGE_LOOP('',(#4422,#4423,#4424,#4425)); +#1011=EDGE_LOOP('',(#4426,#4427,#4428,#4429)); +#1012=EDGE_LOOP('',(#4430,#4431,#4432,#4433)); +#1013=EDGE_LOOP('',(#4434,#4435,#4436,#4437)); +#1014=EDGE_LOOP('',(#4438,#4439,#4440,#4441)); +#1015=EDGE_LOOP('',(#4442,#4443,#4444,#4445)); +#1016=EDGE_LOOP('',(#4446,#4447,#4448,#4449)); +#1017=EDGE_LOOP('',(#4450,#4451,#4452,#4453)); +#1018=EDGE_LOOP('',(#4454,#4455,#4456,#4457)); +#1019=EDGE_LOOP('',(#4458,#4459,#4460,#4461)); +#1020=EDGE_LOOP('',(#4462,#4463,#4464,#4465)); +#1021=EDGE_LOOP('',(#4466,#4467,#4468,#4469)); +#1022=EDGE_LOOP('',(#4470,#4471,#4472,#4473)); +#1023=EDGE_LOOP('',(#4474,#4475,#4476,#4477)); +#1024=EDGE_LOOP('',(#4478,#4479,#4480,#4481)); +#1025=EDGE_LOOP('',(#4482,#4483,#4484,#4485)); +#1026=EDGE_LOOP('',(#4486,#4487,#4488,#4489)); +#1027=EDGE_LOOP('',(#4490,#4491)); +#1028=EDGE_LOOP('',(#4492,#4493,#4494,#4495)); +#1029=EDGE_LOOP('',(#4496,#4497)); +#1030=EDGE_LOOP('',(#4498,#4499,#4500,#4501)); +#1031=EDGE_LOOP('',(#4502,#4503)); +#1032=EDGE_LOOP('',(#4504,#4505,#4506,#4507)); +#1033=EDGE_LOOP('',(#4508,#4509)); +#1034=EDGE_LOOP('',(#4510,#4511,#4512,#4513)); +#1035=EDGE_LOOP('',(#4514,#4515)); +#1036=EDGE_LOOP('',(#4516,#4517,#4518,#4519)); +#1037=EDGE_LOOP('',(#4520,#4521)); +#1038=EDGE_LOOP('',(#4522,#4523,#4524,#4525)); +#1039=EDGE_LOOP('',(#4526,#4527,#4528,#4529)); +#1040=EDGE_LOOP('',(#4530,#4531,#4532,#4533)); +#1041=EDGE_LOOP('',(#4534,#4535,#4536,#4537)); +#1042=EDGE_LOOP('',(#4538,#4539,#4540,#4541)); +#1043=EDGE_LOOP('',(#4542,#4543,#4544,#4545)); +#1044=EDGE_LOOP('',(#4546,#4547,#4548,#4549)); +#1045=EDGE_LOOP('',(#4550,#4551,#4552,#4553)); +#1046=EDGE_LOOP('',(#4554,#4555,#4556,#4557)); +#1047=EDGE_LOOP('',(#4558,#4559,#4560,#4561)); +#1048=EDGE_LOOP('',(#4562,#4563,#4564,#4565)); +#1049=EDGE_LOOP('',(#4566,#4567,#4568,#4569)); +#1050=EDGE_LOOP('',(#4570,#4571,#4572,#4573)); +#1051=EDGE_LOOP('',(#4574,#4575,#4576,#4577)); +#1052=EDGE_LOOP('',(#4578,#4579,#4580,#4581)); +#1053=EDGE_LOOP('',(#4582,#4583,#4584,#4585)); +#1054=EDGE_LOOP('',(#4586,#4587,#4588,#4589)); +#1055=EDGE_LOOP('',(#4590,#4591,#4592,#4593)); +#1056=EDGE_LOOP('',(#4594,#4595,#4596,#4597)); +#1057=EDGE_LOOP('',(#4598,#4599,#4600,#4601)); +#1058=EDGE_LOOP('',(#4602,#4603,#4604,#4605)); +#1059=EDGE_LOOP('',(#4606,#4607,#4608,#4609)); +#1060=EDGE_LOOP('',(#4610,#4611,#4612,#4613)); +#1061=EDGE_LOOP('',(#4614,#4615,#4616,#4617)); +#1062=EDGE_LOOP('',(#4618,#4619,#4620,#4621)); +#1063=EDGE_LOOP('',(#4622,#4623,#4624,#4625)); +#1064=EDGE_LOOP('',(#4626,#4627,#4628,#4629)); +#1065=EDGE_LOOP('',(#4630,#4631,#4632,#4633)); +#1066=EDGE_LOOP('',(#4634,#4635,#4636,#4637)); +#1067=EDGE_LOOP('',(#4638,#4639,#4640,#4641)); +#1068=EDGE_LOOP('',(#4642,#4643,#4644,#4645)); +#1069=EDGE_LOOP('',(#4646,#4647,#4648,#4649)); +#1070=EDGE_LOOP('',(#4650,#4651,#4652,#4653)); +#1071=EDGE_LOOP('',(#4654,#4655,#4656,#4657)); +#1072=EDGE_LOOP('',(#4658,#4659,#4660,#4661)); +#1073=EDGE_LOOP('',(#4662,#4663,#4664,#4665)); +#1074=EDGE_LOOP('',(#4666,#4667,#4668,#4669)); +#1075=EDGE_LOOP('',(#4670)); +#1076=EDGE_LOOP('',(#4671)); +#1077=LINE('',#6257,#1664); +#1078=LINE('',#6263,#1665); +#1079=LINE('',#7766,#1666); +#1080=LINE('',#7768,#1667); +#1081=LINE('',#7770,#1668); +#1082=LINE('',#7772,#1669); +#1083=LINE('',#7774,#1670); +#1084=LINE('',#7776,#1671); +#1085=LINE('',#7778,#1672); +#1086=LINE('',#7780,#1673); +#1087=LINE('',#7782,#1674); +#1088=LINE('',#7784,#1675); +#1089=LINE('',#7786,#1676); +#1090=LINE('',#7788,#1677); +#1091=LINE('',#7790,#1678); +#1092=LINE('',#7791,#1679); +#1093=LINE('',#7794,#1680); +#1094=LINE('',#7796,#1681); +#1095=LINE('',#7798,#1682); +#1096=LINE('',#7799,#1683); +#1097=LINE('',#7802,#1684); +#1098=LINE('',#7804,#1685); +#1099=LINE('',#7806,#1686); +#1100=LINE('',#7807,#1687); +#1101=LINE('',#7810,#1688); +#1102=LINE('',#7812,#1689); +#1103=LINE('',#7814,#1690); +#1104=LINE('',#7815,#1691); +#1105=LINE('',#7818,#1692); +#1106=LINE('',#7820,#1693); +#1107=LINE('',#7822,#1694); +#1108=LINE('',#7823,#1695); +#1109=LINE('',#7826,#1696); +#1110=LINE('',#7828,#1697); +#1111=LINE('',#7830,#1698); +#1112=LINE('',#7831,#1699); +#1113=LINE('',#7834,#1700); +#1114=LINE('',#7836,#1701); +#1115=LINE('',#7838,#1702); +#1116=LINE('',#7839,#1703); +#1117=LINE('',#7842,#1704); +#1118=LINE('',#7844,#1705); +#1119=LINE('',#7846,#1706); +#1120=LINE('',#7847,#1707); +#1121=LINE('',#7850,#1708); +#1122=LINE('',#7852,#1709); +#1123=LINE('',#7854,#1710); +#1124=LINE('',#7855,#1711); +#1125=LINE('',#7858,#1712); +#1126=LINE('',#7860,#1713); +#1127=LINE('',#7862,#1714); +#1128=LINE('',#7863,#1715); +#1129=LINE('',#7866,#1716); +#1130=LINE('',#7868,#1717); +#1131=LINE('',#7870,#1718); +#1132=LINE('',#7871,#1719); +#1133=LINE('',#7874,#1720); +#1134=LINE('',#7876,#1721); +#1135=LINE('',#7878,#1722); +#1136=LINE('',#7879,#1723); +#1137=LINE('',#7882,#1724); +#1138=LINE('',#7884,#1725); +#1139=LINE('',#7886,#1726); +#1140=LINE('',#7887,#1727); +#1141=LINE('',#7890,#1728); +#1142=LINE('',#7892,#1729); +#1143=LINE('',#7894,#1730); +#1144=LINE('',#7895,#1731); +#1145=LINE('',#7899,#1732); +#1146=LINE('',#7901,#1733); +#1147=LINE('',#7903,#1734); +#1148=LINE('',#7905,#1735); +#1149=LINE('',#7907,#1736); +#1150=LINE('',#7909,#1737); +#1151=LINE('',#7911,#1738); +#1152=LINE('',#7913,#1739); +#1153=LINE('',#7915,#1740); +#1154=LINE('',#7917,#1741); +#1155=LINE('',#7919,#1742); +#1156=LINE('',#7921,#1743); +#1157=LINE('',#7923,#1744); +#1158=LINE('',#7925,#1745); +#1159=LINE('',#7927,#1746); +#1160=LINE('',#7929,#1747); +#1161=LINE('',#7931,#1748); +#1162=LINE('',#7933,#1749); +#1163=LINE('',#7935,#1750); +#1164=LINE('',#7936,#1751); +#1165=LINE('',#7939,#1752); +#1166=LINE('',#7941,#1753); +#1167=LINE('',#7943,#1754); +#1168=LINE('',#7945,#1755); +#1169=LINE('',#7946,#1756); +#1170=LINE('',#7949,#1757); +#1171=LINE('',#7951,#1758); +#1172=LINE('',#7952,#1759); +#1173=LINE('',#7953,#1760); +#1174=LINE('',#7956,#1761); +#1175=LINE('',#7958,#1762); +#1176=LINE('',#7960,#1763); +#1177=LINE('',#7962,#1764); +#1178=LINE('',#7963,#1765); +#1179=LINE('',#7966,#1766); +#1180=LINE('',#7968,#1767); +#1181=LINE('',#7969,#1768); +#1182=LINE('',#7970,#1769); +#1183=LINE('',#7974,#1770); +#1184=LINE('',#7976,#1771); +#1185=LINE('',#7978,#1772); +#1186=LINE('',#7979,#1773); +#1187=LINE('',#7982,#1774); +#1188=LINE('',#7984,#1775); +#1189=LINE('',#7986,#1776); +#1190=LINE('',#7987,#1777); +#1191=LINE('',#7991,#1778); +#1192=LINE('',#7992,#1779); +#1193=LINE('',#7994,#1780); +#1194=LINE('',#7995,#1781); +#1195=LINE('',#7997,#1782); +#1196=LINE('',#7999,#1783); +#1197=LINE('',#8001,#1784); +#1198=LINE('',#8003,#1785); +#1199=LINE('',#8004,#1786); +#1200=LINE('',#8006,#1787); +#1201=LINE('',#8007,#1788); +#1202=LINE('',#8057,#1789); +#1203=LINE('',#8061,#1790); +#1204=LINE('',#8063,#1791); +#1205=LINE('',#8065,#1792); +#1206=LINE('',#8067,#1793); +#1207=LINE('',#8069,#1794); +#1208=LINE('',#8070,#1795); +#1209=LINE('',#8072,#1796); +#1210=LINE('',#8074,#1797); +#1211=LINE('',#8076,#1798); +#1212=LINE('',#8078,#1799); +#1213=LINE('',#8079,#1800); +#1214=LINE('',#8080,#1801); +#1215=LINE('',#8135,#1802); +#1216=LINE('',#8137,#1803); +#1217=LINE('',#8138,#1804); +#1218=LINE('',#8141,#1805); +#1219=LINE('',#8143,#1806); +#1220=LINE('',#8145,#1807); +#1221=LINE('',#8146,#1808); +#1222=LINE('',#8148,#1809); +#1223=LINE('',#8150,#1810); +#1224=LINE('',#8151,#1811); +#1225=LINE('',#8152,#1812); +#1226=LINE('',#8155,#1813); +#1227=LINE('',#8157,#1814); +#1228=LINE('',#8159,#1815); +#1229=LINE('',#8213,#1816); +#1230=LINE('',#8215,#1817); +#1231=LINE('',#8217,#1818); +#1232=LINE('',#8219,#1819); +#1233=LINE('',#8220,#1820); +#1234=LINE('',#8223,#1821); +#1235=LINE('',#8225,#1822); +#1236=LINE('',#8227,#1823); +#1237=LINE('',#8293,#1824); +#1238=LINE('',#8294,#1825); +#1239=LINE('',#8297,#1826); +#1240=LINE('',#8299,#1827); +#1241=LINE('',#8301,#1828); +#1242=LINE('',#8303,#1829); +#1243=LINE('',#8305,#1830); +#1244=LINE('',#8306,#1831); +#1245=LINE('',#8309,#1832); +#1246=LINE('',#8311,#1833); +#1247=LINE('',#8313,#1834); +#1248=LINE('',#8314,#1835); +#1249=LINE('',#8317,#1836); +#1250=LINE('',#8319,#1837); +#1251=LINE('',#8321,#1838); +#1252=LINE('',#8323,#1839); +#1253=LINE('',#8325,#1840); +#1254=LINE('',#8327,#1841); +#1255=LINE('',#8329,#1842); +#1256=LINE('',#8330,#1843); +#1257=LINE('',#8381,#1844); +#1258=LINE('',#8383,#1845); +#1259=LINE('',#8385,#1846); +#1260=LINE('',#8387,#1847); +#1261=LINE('',#8389,#1848); +#1262=LINE('',#8391,#1849); +#1263=LINE('',#8393,#1850); +#1264=LINE('',#8395,#1851); +#1265=LINE('',#8397,#1852); +#1266=LINE('',#8399,#1853); +#1267=LINE('',#8401,#1854); +#1268=LINE('',#8403,#1855); +#1269=LINE('',#8404,#1856); +#1270=LINE('',#8407,#1857); +#1271=LINE('',#8409,#1858); +#1272=LINE('',#8411,#1859); +#1273=LINE('',#8413,#1860); +#1274=LINE('',#8415,#1861); +#1275=LINE('',#8417,#1862); +#1276=LINE('',#8419,#1863); +#1277=LINE('',#8420,#1864); +#1278=LINE('',#8423,#1865); +#1279=LINE('',#8425,#1866); +#1280=LINE('',#8427,#1867); +#1281=LINE('',#8429,#1868); +#1282=LINE('',#8431,#1869); +#1283=LINE('',#8432,#1870); +#1284=LINE('',#8455,#1871); +#1285=LINE('',#8457,#1872); +#1286=LINE('',#8459,#1873); +#1287=LINE('',#8493,#1874); +#1288=LINE('',#8511,#1875); +#1289=LINE('',#8513,#1876); +#1290=LINE('',#8515,#1877); +#1291=LINE('',#8517,#1878); +#1292=LINE('',#8519,#1879); +#1293=LINE('',#8521,#1880); +#1294=LINE('',#8523,#1881); +#1295=LINE('',#8524,#1882); +#1296=LINE('',#8526,#1883); +#1297=LINE('',#8531,#1884); +#1298=LINE('',#8533,#1885); +#1299=LINE('',#8534,#1886); +#1300=LINE('',#8536,#1887); +#1301=LINE('',#8538,#1888); +#1302=LINE('',#8540,#1889); +#1303=LINE('',#8542,#1890); +#1304=LINE('',#8544,#1891); +#1305=LINE('',#8546,#1892); +#1306=LINE('',#8548,#1893); +#1307=LINE('',#8550,#1894); +#1308=LINE('',#8551,#1895); +#1309=LINE('',#8553,#1896); +#1310=LINE('',#8555,#1897); +#1311=LINE('',#8558,#1898); +#1312=LINE('',#8560,#1899); +#1313=LINE('',#8562,#1900); +#1314=LINE('',#8564,#1901); +#1315=LINE('',#8566,#1902); +#1316=LINE('',#8568,#1903); +#1317=LINE('',#8570,#1904); +#1318=LINE('',#8572,#1905); +#1319=LINE('',#8576,#1906); +#1320=LINE('',#8577,#1907); +#1321=LINE('',#8580,#1908); +#1322=LINE('',#8582,#1909); +#1323=LINE('',#8583,#1910); +#1324=LINE('',#8586,#1911); +#1325=LINE('',#8587,#1912); +#1326=LINE('',#8589,#1913); +#1327=LINE('',#8590,#1914); +#1328=LINE('',#8595,#1915); +#1329=LINE('',#8596,#1916); +#1330=LINE('',#8600,#1917); +#1331=LINE('',#8601,#1918); +#1332=LINE('',#8602,#1919); +#1333=LINE('',#8605,#1920); +#1334=LINE('',#8606,#1921); +#1335=LINE('',#8608,#1922); +#1336=LINE('',#8609,#1923); +#1337=LINE('',#8614,#1924); +#1338=LINE('',#8616,#1925); +#1339=LINE('',#8617,#1926); +#1340=LINE('',#8620,#1927); +#1341=LINE('',#8622,#1928); +#1342=LINE('',#8623,#1929); +#1343=LINE('',#8625,#1930); +#1344=LINE('',#8626,#1931); +#1345=LINE('',#8631,#1932); +#1346=LINE('',#8633,#1933); +#1347=LINE('',#8634,#1934); +#1348=LINE('',#8637,#1935); +#1349=LINE('',#8639,#1936); +#1350=LINE('',#8640,#1937); +#1351=LINE('',#8642,#1938); +#1352=LINE('',#8643,#1939); +#1353=LINE('',#8648,#1940); +#1354=LINE('',#8650,#1941); +#1355=LINE('',#8651,#1942); +#1356=LINE('',#8654,#1943); +#1357=LINE('',#8656,#1944); +#1358=LINE('',#8657,#1945); +#1359=LINE('',#8659,#1946); +#1360=LINE('',#8660,#1947); +#1361=LINE('',#8665,#1948); +#1362=LINE('',#8667,#1949); +#1363=LINE('',#8668,#1950); +#1364=LINE('',#8671,#1951); +#1365=LINE('',#8673,#1952); +#1366=LINE('',#8674,#1953); +#1367=LINE('',#8676,#1954); +#1368=LINE('',#8677,#1955); +#1369=LINE('',#8682,#1956); +#1370=LINE('',#8684,#1957); +#1371=LINE('',#8685,#1958); +#1372=LINE('',#8688,#1959); +#1373=LINE('',#8690,#1960); +#1374=LINE('',#8691,#1961); +#1375=LINE('',#8693,#1962); +#1376=LINE('',#8694,#1963); +#1377=LINE('',#8699,#1964); +#1378=LINE('',#8701,#1965); +#1379=LINE('',#8702,#1966); +#1380=LINE('',#8705,#1967); +#1381=LINE('',#8706,#1968); +#1382=LINE('',#8709,#1969); +#1383=LINE('',#8710,#1970); +#1384=LINE('',#8713,#1971); +#1385=LINE('',#8714,#1972); +#1386=LINE('',#8717,#1973); +#1387=LINE('',#8718,#1974); +#1388=LINE('',#8721,#1975); +#1389=LINE('',#8722,#1976); +#1390=LINE('',#8725,#1977); +#1391=LINE('',#8726,#1978); +#1392=LINE('',#8728,#1979); +#1393=LINE('',#8732,#1980); +#1394=LINE('',#8734,#1981); +#1395=LINE('',#8735,#1982); +#1396=LINE('',#8739,#1983); +#1397=LINE('',#8740,#1984); +#1398=LINE('',#8741,#1985); +#1399=LINE('',#8744,#1986); +#1400=LINE('',#8745,#1987); +#1401=LINE('',#8747,#1988); +#1402=LINE('',#8751,#1989); +#1403=LINE('',#8753,#1990); +#1404=LINE('',#8754,#1991); +#1405=LINE('',#8757,#1992); +#1406=LINE('',#8758,#1993); +#1407=LINE('',#8793,#1994); +#1408=LINE('',#8852,#1995); +#1409=LINE('',#8911,#1996); +#1410=LINE('',#8914,#1997); +#1411=LINE('',#8915,#1998); +#1412=LINE('',#8918,#1999); +#1413=LINE('',#8919,#2000); +#1414=LINE('',#8922,#2001); +#1415=LINE('',#8923,#2002); +#1416=LINE('',#8926,#2003); +#1417=LINE('',#8927,#2004); +#1418=LINE('',#8930,#2005); +#1419=LINE('',#8931,#2006); +#1420=LINE('',#8933,#2007); +#1421=LINE('',#8977,#2008); +#1422=LINE('',#8979,#2009); +#1423=LINE('',#8980,#2010); +#1424=LINE('',#8984,#2011); +#1425=LINE('',#8985,#2012); +#1426=LINE('',#8986,#2013); +#1427=LINE('',#9045,#2014); +#1428=LINE('',#9104,#2015); +#1429=LINE('',#9107,#2016); +#1430=LINE('',#9108,#2017); +#1431=LINE('',#9110,#2018); +#1432=LINE('',#9114,#2019); +#1433=LINE('',#9116,#2020); +#1434=LINE('',#9117,#2021); +#1435=LINE('',#9120,#2022); +#1436=LINE('',#9121,#2023); +#1437=LINE('',#9124,#2024); +#1438=LINE('',#9125,#2025); +#1439=LINE('',#9184,#2026); +#1440=LINE('',#9219,#2027); +#1441=LINE('',#9222,#2028); +#1442=LINE('',#9223,#2029); +#1443=LINE('',#9246,#2030); +#1444=LINE('',#9269,#2031); +#1445=LINE('',#9330,#2032); +#1446=LINE('',#9332,#2033); +#1447=LINE('',#9333,#2034); +#1448=LINE('',#9336,#2035); +#1449=LINE('',#9337,#2036); +#1450=LINE('',#9372,#2037); +#1451=LINE('',#9431,#2038); +#1452=LINE('',#9490,#2039); +#1453=LINE('',#9525,#2040); +#1454=LINE('',#9528,#2041); +#1455=LINE('',#9529,#2042); +#1456=LINE('',#9532,#2043); +#1457=LINE('',#9533,#2044); +#1458=LINE('',#9535,#2045); +#1459=LINE('',#9579,#2046); +#1460=LINE('',#9581,#2047); +#1461=LINE('',#9582,#2048); +#1462=LINE('',#9586,#2049); +#1463=LINE('',#9587,#2050); +#1464=LINE('',#9588,#2051); +#1465=LINE('',#9647,#2052); +#1466=LINE('',#9706,#2053); +#1467=LINE('',#9709,#2054); +#1468=LINE('',#9710,#2055); +#1469=LINE('',#9712,#2056); +#1470=LINE('',#9716,#2057); +#1471=LINE('',#9718,#2058); +#1472=LINE('',#9719,#2059); +#1473=LINE('',#9722,#2060); +#1474=LINE('',#9723,#2061); +#1475=LINE('',#9726,#2062); +#1476=LINE('',#9727,#2063); +#1477=LINE('',#9730,#2064); +#1478=LINE('',#9731,#2065); +#1479=LINE('',#9734,#2066); +#1480=LINE('',#9735,#2067); +#1481=LINE('',#9737,#2068); +#1482=LINE('',#9741,#2069); +#1483=LINE('',#9743,#2070); +#1484=LINE('',#9744,#2071); +#1485=LINE('',#9747,#2072); +#1486=LINE('',#9748,#2073); +#1487=LINE('',#9751,#2074); +#1488=LINE('',#9752,#2075); +#1489=LINE('',#9755,#2076); +#1490=LINE('',#9756,#2077); +#1491=LINE('',#9759,#2078); +#1492=LINE('',#9760,#2079); +#1493=LINE('',#9762,#2080); +#1494=LINE('',#9766,#2081); +#1495=LINE('',#9768,#2082); +#1496=LINE('',#9769,#2083); +#1497=LINE('',#9772,#2084); +#1498=LINE('',#9773,#2085); +#1499=LINE('',#9776,#2086); +#1500=LINE('',#9777,#2087); +#1501=LINE('',#9780,#2088); +#1502=LINE('',#9781,#2089); +#1503=LINE('',#9784,#2090); +#1504=LINE('',#9785,#2091); +#1505=LINE('',#9788,#2092); +#1506=LINE('',#9789,#2093); +#1507=LINE('',#9792,#2094); +#1508=LINE('',#9793,#2095); +#1509=LINE('',#9795,#2096); +#1510=LINE('',#9799,#2097); +#1511=LINE('',#9801,#2098); +#1512=LINE('',#9802,#2099); +#1513=LINE('',#9806,#2100); +#1514=LINE('',#9807,#2101); +#1515=LINE('',#9808,#2102); +#1516=LINE('',#9811,#2103); +#1517=LINE('',#9812,#2104); +#1518=LINE('',#9814,#2105); +#1519=LINE('',#9818,#2106); +#1520=LINE('',#9820,#2107); +#1521=LINE('',#9821,#2108); +#1522=LINE('',#9824,#2109); +#1523=LINE('',#9825,#2110); +#1524=LINE('',#9828,#2111); +#1525=LINE('',#9829,#2112); +#1526=LINE('',#9831,#2113); +#1527=LINE('',#9835,#2114); +#1528=LINE('',#9837,#2115); +#1529=LINE('',#9838,#2116); +#1530=LINE('',#9841,#2117); +#1531=LINE('',#9842,#2118); +#1532=LINE('',#9845,#2119); +#1533=LINE('',#9846,#2120); +#1534=LINE('',#9849,#2121); +#1535=LINE('',#9850,#2122); +#1536=LINE('',#9853,#2123); +#1537=LINE('',#9854,#2124); +#1538=LINE('',#9857,#2125); +#1539=LINE('',#9858,#2126); +#1540=LINE('',#9861,#2127); +#1541=LINE('',#9862,#2128); +#1542=LINE('',#9865,#2129); +#1543=LINE('',#9866,#2130); +#1544=LINE('',#9869,#2131); +#1545=LINE('',#9870,#2132); +#1546=LINE('',#9873,#2133); +#1547=LINE('',#9874,#2134); +#1548=LINE('',#9877,#2135); +#1549=LINE('',#9878,#2136); +#1550=LINE('',#9881,#2137); +#1551=LINE('',#9882,#2138); +#1552=LINE('',#9884,#2139); +#1553=LINE('',#9888,#2140); +#1554=LINE('',#9890,#2141); +#1555=LINE('',#9891,#2142); +#1556=LINE('',#9894,#2143); +#1557=LINE('',#9895,#2144); +#1558=LINE('',#9898,#2145); +#1559=LINE('',#9899,#2146); +#1560=LINE('',#9902,#2147); +#1561=LINE('',#9903,#2148); +#1562=LINE('',#9906,#2149); +#1563=LINE('',#9907,#2150); +#1564=LINE('',#9910,#2151); +#1565=LINE('',#9911,#2152); +#1566=LINE('',#9914,#2153); +#1567=LINE('',#9915,#2154); +#1568=LINE('',#9917,#2155); +#1569=LINE('',#9921,#2156); +#1570=LINE('',#9923,#2157); +#1571=LINE('',#9924,#2158); +#1572=LINE('',#9928,#2159); +#1573=LINE('',#9929,#2160); +#1574=LINE('',#9930,#2161); +#1575=LINE('',#9933,#2162); +#1576=LINE('',#9934,#2163); +#1577=LINE('',#9936,#2164); +#1578=LINE('',#9940,#2165); +#1579=LINE('',#9942,#2166); +#1580=LINE('',#9943,#2167); +#1581=LINE('',#9946,#2168); +#1582=LINE('',#9948,#2169); +#1583=LINE('',#9949,#2170); +#1584=LINE('',#9951,#2171); +#1585=LINE('',#9952,#2172); +#1586=LINE('',#9957,#2173); +#1587=LINE('',#9959,#2174); +#1588=LINE('',#9960,#2175); +#1589=LINE('',#9963,#2176); +#1590=LINE('',#9965,#2177); +#1591=LINE('',#9966,#2178); +#1592=LINE('',#9968,#2179); +#1593=LINE('',#9969,#2180); +#1594=LINE('',#9974,#2181); +#1595=LINE('',#9976,#2182); +#1596=LINE('',#9977,#2183); +#1597=LINE('',#9980,#2184); +#1598=LINE('',#9982,#2185); +#1599=LINE('',#9983,#2186); +#1600=LINE('',#9985,#2187); +#1601=LINE('',#9986,#2188); +#1602=LINE('',#9991,#2189); +#1603=LINE('',#9993,#2190); +#1604=LINE('',#9994,#2191); +#1605=LINE('',#9997,#2192); +#1606=LINE('',#9999,#2193); +#1607=LINE('',#10000,#2194); +#1608=LINE('',#10002,#2195); +#1609=LINE('',#10003,#2196); +#1610=LINE('',#11278,#2197); +#1611=LINE('',#11280,#2198); +#1612=LINE('',#11281,#2199); +#1613=LINE('',#11284,#2200); +#1614=LINE('',#11285,#2201); +#1615=LINE('',#11288,#2202); +#1616=LINE('',#11289,#2203); +#1617=LINE('',#11291,#2204); +#1618=LINE('',#11295,#2205); +#1619=LINE('',#11297,#2206); +#1620=LINE('',#11298,#2207); +#1621=LINE('',#11301,#2208); +#1622=LINE('',#11302,#2209); +#1623=LINE('',#11305,#2210); +#1624=LINE('',#11306,#2211); +#1625=LINE('',#11308,#2212); +#1626=LINE('',#11312,#2213); +#1627=LINE('',#11313,#2214); +#1628=LINE('',#11315,#2215); +#1629=LINE('',#11319,#2216); +#1630=LINE('',#11320,#2217); +#1631=LINE('',#11322,#2218); +#1632=LINE('',#11326,#2219); +#1633=LINE('',#11328,#2220); +#1634=LINE('',#11329,#2221); +#1635=LINE('',#11332,#2222); +#1636=LINE('',#11334,#2223); +#1637=LINE('',#11335,#2224); +#1638=LINE('',#11337,#2225); +#1639=LINE('',#11338,#2226); +#1640=LINE('',#11343,#2227); +#1641=LINE('',#11345,#2228); +#1642=LINE('',#11346,#2229); +#1643=LINE('',#11349,#2230); +#1644=LINE('',#11351,#2231); +#1645=LINE('',#11352,#2232); +#1646=LINE('',#11354,#2233); +#1647=LINE('',#11355,#2234); +#1648=LINE('',#11360,#2235); +#1649=LINE('',#11362,#2236); +#1650=LINE('',#11363,#2237); +#1651=LINE('',#11366,#2238); +#1652=LINE('',#11368,#2239); +#1653=LINE('',#11369,#2240); +#1654=LINE('',#11371,#2241); +#1655=LINE('',#11372,#2242); +#1656=LINE('',#11377,#2243); +#1657=LINE('',#11379,#2244); +#1658=LINE('',#11380,#2245); +#1659=LINE('',#11383,#2246); +#1660=LINE('',#11385,#2247); +#1661=LINE('',#11386,#2248); +#1662=LINE('',#11388,#2249); +#1663=LINE('',#11389,#2250); +#1664=VECTOR('',#5194,0.062992125984252); +#1665=VECTOR('',#5201,0.062992125984252); +#1666=VECTOR('',#5206,39.3700787401575); +#1667=VECTOR('',#5207,39.3700787401575); +#1668=VECTOR('',#5208,39.3700787401575); +#1669=VECTOR('',#5209,39.3700787401575); +#1670=VECTOR('',#5210,39.3700787401575); +#1671=VECTOR('',#5211,39.3700787401575); +#1672=VECTOR('',#5212,39.3700787401575); +#1673=VECTOR('',#5213,39.3700787401575); +#1674=VECTOR('',#5214,39.3700787401575); +#1675=VECTOR('',#5215,39.3700787401575); +#1676=VECTOR('',#5216,39.3700787401575); +#1677=VECTOR('',#5217,39.3700787401575); +#1678=VECTOR('',#5218,39.3700787401575); +#1679=VECTOR('',#5219,39.3700787401575); +#1680=VECTOR('',#5220,39.3700787401575); +#1681=VECTOR('',#5221,39.3700787401575); +#1682=VECTOR('',#5222,39.3700787401575); +#1683=VECTOR('',#5223,39.3700787401575); +#1684=VECTOR('',#5224,39.3700787401575); +#1685=VECTOR('',#5225,39.3700787401575); +#1686=VECTOR('',#5226,39.3700787401575); +#1687=VECTOR('',#5227,39.3700787401575); +#1688=VECTOR('',#5228,39.3700787401575); +#1689=VECTOR('',#5229,39.3700787401575); +#1690=VECTOR('',#5230,39.3700787401575); +#1691=VECTOR('',#5231,39.3700787401575); +#1692=VECTOR('',#5232,39.3700787401575); +#1693=VECTOR('',#5233,39.3700787401575); +#1694=VECTOR('',#5234,39.3700787401575); +#1695=VECTOR('',#5235,39.3700787401575); +#1696=VECTOR('',#5236,39.3700787401575); +#1697=VECTOR('',#5237,39.3700787401575); +#1698=VECTOR('',#5238,39.3700787401575); +#1699=VECTOR('',#5239,39.3700787401575); +#1700=VECTOR('',#5240,39.3700787401575); +#1701=VECTOR('',#5241,39.3700787401575); +#1702=VECTOR('',#5242,39.3700787401575); +#1703=VECTOR('',#5243,39.3700787401575); +#1704=VECTOR('',#5244,39.3700787401575); +#1705=VECTOR('',#5245,39.3700787401575); +#1706=VECTOR('',#5246,39.3700787401575); +#1707=VECTOR('',#5247,39.3700787401575); +#1708=VECTOR('',#5248,39.3700787401575); +#1709=VECTOR('',#5249,39.3700787401575); +#1710=VECTOR('',#5250,39.3700787401575); +#1711=VECTOR('',#5251,39.3700787401575); +#1712=VECTOR('',#5252,39.3700787401575); +#1713=VECTOR('',#5253,39.3700787401575); +#1714=VECTOR('',#5254,39.3700787401575); +#1715=VECTOR('',#5255,39.3700787401575); +#1716=VECTOR('',#5256,39.3700787401575); +#1717=VECTOR('',#5257,39.3700787401575); +#1718=VECTOR('',#5258,39.3700787401575); +#1719=VECTOR('',#5259,39.3700787401575); +#1720=VECTOR('',#5260,39.3700787401575); +#1721=VECTOR('',#5261,39.3700787401575); +#1722=VECTOR('',#5262,39.3700787401575); +#1723=VECTOR('',#5263,39.3700787401575); +#1724=VECTOR('',#5264,39.3700787401575); +#1725=VECTOR('',#5265,39.3700787401575); +#1726=VECTOR('',#5266,39.3700787401575); +#1727=VECTOR('',#5267,39.3700787401575); +#1728=VECTOR('',#5268,39.3700787401575); +#1729=VECTOR('',#5269,39.3700787401575); +#1730=VECTOR('',#5270,39.3700787401575); +#1731=VECTOR('',#5271,39.3700787401575); +#1732=VECTOR('',#5274,39.3700787401575); +#1733=VECTOR('',#5275,39.3700787401575); +#1734=VECTOR('',#5276,39.3700787401575); +#1735=VECTOR('',#5277,39.3700787401575); +#1736=VECTOR('',#5278,39.3700787401575); +#1737=VECTOR('',#5279,39.3700787401575); +#1738=VECTOR('',#5280,39.3700787401575); +#1739=VECTOR('',#5281,39.3700787401575); +#1740=VECTOR('',#5282,39.3700787401575); +#1741=VECTOR('',#5283,39.3700787401575); +#1742=VECTOR('',#5284,39.3700787401575); +#1743=VECTOR('',#5285,39.3700787401575); +#1744=VECTOR('',#5286,39.3700787401575); +#1745=VECTOR('',#5287,39.3700787401575); +#1746=VECTOR('',#5288,39.3700787401575); +#1747=VECTOR('',#5289,39.3700787401575); +#1748=VECTOR('',#5290,39.3700787401575); +#1749=VECTOR('',#5291,39.3700787401575); +#1750=VECTOR('',#5292,39.3700787401575); +#1751=VECTOR('',#5293,39.3700787401575); +#1752=VECTOR('',#5296,39.3700787401575); +#1753=VECTOR('',#5297,39.3700787401575); +#1754=VECTOR('',#5298,39.3700787401575); +#1755=VECTOR('',#5299,39.3700787401575); +#1756=VECTOR('',#5300,39.3700787401575); +#1757=VECTOR('',#5303,39.3700787401575); +#1758=VECTOR('',#5304,39.3700787401575); +#1759=VECTOR('',#5305,39.3700787401575); +#1760=VECTOR('',#5306,39.3700787401575); +#1761=VECTOR('',#5309,39.3700787401575); +#1762=VECTOR('',#5310,39.3700787401575); +#1763=VECTOR('',#5311,39.3700787401575); +#1764=VECTOR('',#5312,39.3700787401575); +#1765=VECTOR('',#5313,39.3700787401575); +#1766=VECTOR('',#5316,39.3700787401575); +#1767=VECTOR('',#5317,39.3700787401575); +#1768=VECTOR('',#5318,39.3700787401575); +#1769=VECTOR('',#5319,39.3700787401575); +#1770=VECTOR('',#5322,39.3700787401575); +#1771=VECTOR('',#5323,39.3700787401575); +#1772=VECTOR('',#5324,39.3700787401575); +#1773=VECTOR('',#5325,39.3700787401575); +#1774=VECTOR('',#5326,39.3700787401575); +#1775=VECTOR('',#5327,39.3700787401575); +#1776=VECTOR('',#5328,39.3700787401575); +#1777=VECTOR('',#5329,39.3700787401575); +#1778=VECTOR('',#5332,39.3700787401575); +#1779=VECTOR('',#5333,39.3700787401575); +#1780=VECTOR('',#5334,39.3700787401575); +#1781=VECTOR('',#5335,39.3700787401575); +#1782=VECTOR('',#5336,39.3700787401575); +#1783=VECTOR('',#5337,39.3700787401575); +#1784=VECTOR('',#5338,39.3700787401575); +#1785=VECTOR('',#5339,39.3700787401575); +#1786=VECTOR('',#5340,39.3700787401575); +#1787=VECTOR('',#5341,39.3700787401575); +#1788=VECTOR('',#5342,39.3700787401575); +#1789=VECTOR('',#5345,39.3700787401575); +#1790=VECTOR('',#5348,39.3700787401575); +#1791=VECTOR('',#5349,39.3700787401575); +#1792=VECTOR('',#5350,39.3700787401575); +#1793=VECTOR('',#5351,39.3700787401575); +#1794=VECTOR('',#5352,39.3700787401575); +#1795=VECTOR('',#5353,39.3700787401575); +#1796=VECTOR('',#5354,39.3700787401575); +#1797=VECTOR('',#5355,39.3700787401575); +#1798=VECTOR('',#5356,39.3700787401575); +#1799=VECTOR('',#5357,39.3700787401575); +#1800=VECTOR('',#5358,39.3700787401575); +#1801=VECTOR('',#5359,39.3700787401575); +#1802=VECTOR('',#5362,39.3700787401575); +#1803=VECTOR('',#5363,39.3700787401575); +#1804=VECTOR('',#5364,39.3700787401575); +#1805=VECTOR('',#5365,39.3700787401575); +#1806=VECTOR('',#5366,39.3700787401575); +#1807=VECTOR('',#5367,39.3700787401575); +#1808=VECTOR('',#5368,39.3700787401575); +#1809=VECTOR('',#5371,39.3700787401575); +#1810=VECTOR('',#5374,39.3700787401575); +#1811=VECTOR('',#5375,39.3700787401575); +#1812=VECTOR('',#5376,39.3700787401575); +#1813=VECTOR('',#5377,39.3700787401575); +#1814=VECTOR('',#5378,39.3700787401575); +#1815=VECTOR('',#5379,39.3700787401575); +#1816=VECTOR('',#5380,39.3700787401575); +#1817=VECTOR('',#5381,39.3700787401575); +#1818=VECTOR('',#5382,39.3700787401575); +#1819=VECTOR('',#5383,39.3700787401575); +#1820=VECTOR('',#5384,39.3700787401575); +#1821=VECTOR('',#5385,39.3700787401575); +#1822=VECTOR('',#5386,39.3700787401575); +#1823=VECTOR('',#5387,39.3700787401575); +#1824=VECTOR('',#5388,39.3700787401575); +#1825=VECTOR('',#5389,39.3700787401575); +#1826=VECTOR('',#5390,39.3700787401575); +#1827=VECTOR('',#5391,39.3700787401575); +#1828=VECTOR('',#5392,39.3700787401575); +#1829=VECTOR('',#5393,39.3700787401575); +#1830=VECTOR('',#5394,39.3700787401575); +#1831=VECTOR('',#5395,39.3700787401575); +#1832=VECTOR('',#5396,39.3700787401575); +#1833=VECTOR('',#5397,39.3700787401575); +#1834=VECTOR('',#5398,39.3700787401575); +#1835=VECTOR('',#5399,39.3700787401575); +#1836=VECTOR('',#5400,39.3700787401575); +#1837=VECTOR('',#5401,39.3700787401575); +#1838=VECTOR('',#5402,39.3700787401575); +#1839=VECTOR('',#5403,39.3700787401575); +#1840=VECTOR('',#5404,39.3700787401575); +#1841=VECTOR('',#5405,39.3700787401575); +#1842=VECTOR('',#5406,39.3700787401575); +#1843=VECTOR('',#5407,39.3700787401575); +#1844=VECTOR('',#5408,39.3700787401575); +#1845=VECTOR('',#5409,39.3700787401575); +#1846=VECTOR('',#5410,39.3700787401575); +#1847=VECTOR('',#5411,39.3700787401575); +#1848=VECTOR('',#5412,39.3700787401575); +#1849=VECTOR('',#5413,39.3700787401575); +#1850=VECTOR('',#5414,39.3700787401575); +#1851=VECTOR('',#5415,39.3700787401575); +#1852=VECTOR('',#5416,39.3700787401575); +#1853=VECTOR('',#5417,39.3700787401575); +#1854=VECTOR('',#5418,39.3700787401575); +#1855=VECTOR('',#5419,39.3700787401575); +#1856=VECTOR('',#5420,39.3700787401575); +#1857=VECTOR('',#5421,39.3700787401575); +#1858=VECTOR('',#5422,39.3700787401575); +#1859=VECTOR('',#5423,39.3700787401575); +#1860=VECTOR('',#5424,39.3700787401575); +#1861=VECTOR('',#5425,39.3700787401575); +#1862=VECTOR('',#5426,39.3700787401575); +#1863=VECTOR('',#5427,39.3700787401575); +#1864=VECTOR('',#5428,39.3700787401575); +#1865=VECTOR('',#5429,39.3700787401575); +#1866=VECTOR('',#5430,39.3700787401575); +#1867=VECTOR('',#5431,39.3700787401575); +#1868=VECTOR('',#5432,39.3700787401575); +#1869=VECTOR('',#5433,39.3700787401575); +#1870=VECTOR('',#5434,39.3700787401575); +#1871=VECTOR('',#5435,39.3700787401575); +#1872=VECTOR('',#5436,39.3700787401575); +#1873=VECTOR('',#5437,39.3700787401575); +#1874=VECTOR('',#5438,39.3700787401575); +#1875=VECTOR('',#5439,39.3700787401575); +#1876=VECTOR('',#5440,39.3700787401575); +#1877=VECTOR('',#5441,39.3700787401575); +#1878=VECTOR('',#5442,39.3700787401575); +#1879=VECTOR('',#5443,39.3700787401575); +#1880=VECTOR('',#5444,39.3700787401575); +#1881=VECTOR('',#5445,39.3700787401575); +#1882=VECTOR('',#5446,39.3700787401575); +#1883=VECTOR('',#5449,39.3700787401575); +#1884=VECTOR('',#5456,39.3700787401575); +#1885=VECTOR('',#5457,39.3700787401575); +#1886=VECTOR('',#5458,39.3700787401575); +#1887=VECTOR('',#5459,39.3700787401575); +#1888=VECTOR('',#5460,39.3700787401575); +#1889=VECTOR('',#5461,39.3700787401575); +#1890=VECTOR('',#5462,39.3700787401575); +#1891=VECTOR('',#5463,39.3700787401575); +#1892=VECTOR('',#5464,39.3700787401575); +#1893=VECTOR('',#5465,39.3700787401575); +#1894=VECTOR('',#5466,39.3700787401575); +#1895=VECTOR('',#5467,39.3700787401575); +#1896=VECTOR('',#5470,39.3700787401575); +#1897=VECTOR('',#5473,39.3700787401575); +#1898=VECTOR('',#5478,39.3700787401575); +#1899=VECTOR('',#5481,39.3700787401575); +#1900=VECTOR('',#5484,39.3700787401575); +#1901=VECTOR('',#5487,39.3700787401575); +#1902=VECTOR('',#5490,39.3700787401575); +#1903=VECTOR('',#5493,39.3700787401575); +#1904=VECTOR('',#5496,39.3700787401575); +#1905=VECTOR('',#5499,39.3700787401575); +#1906=VECTOR('',#5504,39.3700787401575); +#1907=VECTOR('',#5505,39.3700787401575); +#1908=VECTOR('',#5508,39.3700787401575); +#1909=VECTOR('',#5509,39.3700787401575); +#1910=VECTOR('',#5510,39.3700787401575); +#1911=VECTOR('',#5513,39.3700787401575); +#1912=VECTOR('',#5514,39.3700787401575); +#1913=VECTOR('',#5517,39.3700787401575); +#1914=VECTOR('',#5518,39.3700787401575); +#1915=VECTOR('',#5525,39.3700787401575); +#1916=VECTOR('',#5526,39.3700787401575); +#1917=VECTOR('',#5529,39.3700787401575); +#1918=VECTOR('',#5530,39.3700787401575); +#1919=VECTOR('',#5531,39.3700787401575); +#1920=VECTOR('',#5534,39.3700787401575); +#1921=VECTOR('',#5535,39.3700787401575); +#1922=VECTOR('',#5538,39.3700787401575); +#1923=VECTOR('',#5539,39.3700787401575); +#1924=VECTOR('',#5546,39.3700787401575); +#1925=VECTOR('',#5547,39.3700787401575); +#1926=VECTOR('',#5548,39.3700787401575); +#1927=VECTOR('',#5551,39.3700787401575); +#1928=VECTOR('',#5552,39.3700787401575); +#1929=VECTOR('',#5553,39.3700787401575); +#1930=VECTOR('',#5556,39.3700787401575); +#1931=VECTOR('',#5557,39.3700787401575); +#1932=VECTOR('',#5564,39.3700787401575); +#1933=VECTOR('',#5565,39.3700787401575); +#1934=VECTOR('',#5566,39.3700787401575); +#1935=VECTOR('',#5569,39.3700787401575); +#1936=VECTOR('',#5570,39.3700787401575); +#1937=VECTOR('',#5571,39.3700787401575); +#1938=VECTOR('',#5574,39.3700787401575); +#1939=VECTOR('',#5575,39.3700787401575); +#1940=VECTOR('',#5582,39.3700787401575); +#1941=VECTOR('',#5583,39.3700787401575); +#1942=VECTOR('',#5584,39.3700787401575); +#1943=VECTOR('',#5587,39.3700787401575); +#1944=VECTOR('',#5588,39.3700787401575); +#1945=VECTOR('',#5589,39.3700787401575); +#1946=VECTOR('',#5592,39.3700787401575); +#1947=VECTOR('',#5593,39.3700787401575); +#1948=VECTOR('',#5600,39.3700787401575); +#1949=VECTOR('',#5601,39.3700787401575); +#1950=VECTOR('',#5602,39.3700787401575); +#1951=VECTOR('',#5605,39.3700787401575); +#1952=VECTOR('',#5606,39.3700787401575); +#1953=VECTOR('',#5607,39.3700787401575); +#1954=VECTOR('',#5610,39.3700787401575); +#1955=VECTOR('',#5611,39.3700787401575); +#1956=VECTOR('',#5618,39.3700787401575); +#1957=VECTOR('',#5619,39.3700787401575); +#1958=VECTOR('',#5620,39.3700787401575); +#1959=VECTOR('',#5623,39.3700787401575); +#1960=VECTOR('',#5624,39.3700787401575); +#1961=VECTOR('',#5625,39.3700787401575); +#1962=VECTOR('',#5628,39.3700787401575); +#1963=VECTOR('',#5629,39.3700787401575); +#1964=VECTOR('',#5636,39.3700787401575); +#1965=VECTOR('',#5637,39.3700787401575); +#1966=VECTOR('',#5638,39.3700787401575); +#1967=VECTOR('',#5641,39.3700787401575); +#1968=VECTOR('',#5642,39.3700787401575); +#1969=VECTOR('',#5645,39.3700787401575); +#1970=VECTOR('',#5646,39.3700787401575); +#1971=VECTOR('',#5649,39.3700787401575); +#1972=VECTOR('',#5650,39.3700787401575); +#1973=VECTOR('',#5653,39.3700787401575); +#1974=VECTOR('',#5654,39.3700787401575); +#1975=VECTOR('',#5657,39.3700787401575); +#1976=VECTOR('',#5658,39.3700787401575); +#1977=VECTOR('',#5661,39.3700787401575); +#1978=VECTOR('',#5662,39.3700787401575); +#1979=VECTOR('',#5665,39.3700787401575); +#1980=VECTOR('',#5668,39.3700787401575); +#1981=VECTOR('',#5669,39.3700787401575); +#1982=VECTOR('',#5670,39.3700787401575); +#1983=VECTOR('',#5673,39.3700787401575); +#1984=VECTOR('',#5674,39.3700787401575); +#1985=VECTOR('',#5675,39.3700787401575); +#1986=VECTOR('',#5678,39.3700787401575); +#1987=VECTOR('',#5679,39.3700787401575); +#1988=VECTOR('',#5682,39.3700787401575); +#1989=VECTOR('',#5687,39.3700787401575); +#1990=VECTOR('',#5688,39.3700787401575); +#1991=VECTOR('',#5689,39.3700787401575); +#1992=VECTOR('',#5692,39.3700787401575); +#1993=VECTOR('',#5693,39.3700787401575); +#1994=VECTOR('',#5694,39.3700787401575); +#1995=VECTOR('',#5695,39.3700787401575); +#1996=VECTOR('',#5696,39.3700787401575); +#1997=VECTOR('',#5699,39.3700787401575); +#1998=VECTOR('',#5700,39.3700787401575); +#1999=VECTOR('',#5703,39.3700787401575); +#2000=VECTOR('',#5704,39.3700787401575); +#2001=VECTOR('',#5707,39.3700787401575); +#2002=VECTOR('',#5708,39.3700787401575); +#2003=VECTOR('',#5711,39.3700787401575); +#2004=VECTOR('',#5712,39.3700787401575); +#2005=VECTOR('',#5715,39.3700787401575); +#2006=VECTOR('',#5716,39.3700787401575); +#2007=VECTOR('',#5719,39.3700787401575); +#2008=VECTOR('',#5722,39.3700787401575); +#2009=VECTOR('',#5723,39.3700787401575); +#2010=VECTOR('',#5724,39.3700787401575); +#2011=VECTOR('',#5727,39.3700787401575); +#2012=VECTOR('',#5728,39.3700787401575); +#2013=VECTOR('',#5729,39.3700787401575); +#2014=VECTOR('',#5730,39.3700787401575); +#2015=VECTOR('',#5731,39.3700787401575); +#2016=VECTOR('',#5734,39.3700787401575); +#2017=VECTOR('',#5735,39.3700787401575); +#2018=VECTOR('',#5738,39.3700787401575); +#2019=VECTOR('',#5743,39.3700787401575); +#2020=VECTOR('',#5744,39.3700787401575); +#2021=VECTOR('',#5745,39.3700787401575); +#2022=VECTOR('',#5748,39.3700787401575); +#2023=VECTOR('',#5749,39.3700787401575); +#2024=VECTOR('',#5752,39.3700787401575); +#2025=VECTOR('',#5753,39.3700787401575); +#2026=VECTOR('',#5754,39.3700787401575); +#2027=VECTOR('',#5755,39.3700787401575); +#2028=VECTOR('',#5758,39.3700787401575); +#2029=VECTOR('',#5759,39.3700787401575); +#2030=VECTOR('',#5760,39.3700787401575); +#2031=VECTOR('',#5761,39.3700787401575); +#2032=VECTOR('',#5766,39.3700787401575); +#2033=VECTOR('',#5767,39.3700787401575); +#2034=VECTOR('',#5768,39.3700787401575); +#2035=VECTOR('',#5771,39.3700787401575); +#2036=VECTOR('',#5772,39.3700787401575); +#2037=VECTOR('',#5773,39.3700787401575); +#2038=VECTOR('',#5774,39.3700787401575); +#2039=VECTOR('',#5775,39.3700787401575); +#2040=VECTOR('',#5776,39.3700787401575); +#2041=VECTOR('',#5779,39.3700787401575); +#2042=VECTOR('',#5780,39.3700787401575); +#2043=VECTOR('',#5783,39.3700787401575); +#2044=VECTOR('',#5784,39.3700787401575); +#2045=VECTOR('',#5787,39.3700787401575); +#2046=VECTOR('',#5790,39.3700787401575); +#2047=VECTOR('',#5791,39.3700787401575); +#2048=VECTOR('',#5792,39.3700787401575); +#2049=VECTOR('',#5795,39.3700787401575); +#2050=VECTOR('',#5796,39.3700787401575); +#2051=VECTOR('',#5797,39.3700787401575); +#2052=VECTOR('',#5798,39.3700787401575); +#2053=VECTOR('',#5799,39.3700787401575); +#2054=VECTOR('',#5802,39.3700787401575); +#2055=VECTOR('',#5803,39.3700787401575); +#2056=VECTOR('',#5806,39.3700787401575); +#2057=VECTOR('',#5811,39.3700787401575); +#2058=VECTOR('',#5812,39.3700787401575); +#2059=VECTOR('',#5813,39.3700787401575); +#2060=VECTOR('',#5816,39.3700787401575); +#2061=VECTOR('',#5817,39.3700787401575); +#2062=VECTOR('',#5820,39.3700787401575); +#2063=VECTOR('',#5821,39.3700787401575); +#2064=VECTOR('',#5824,39.3700787401575); +#2065=VECTOR('',#5825,39.3700787401575); +#2066=VECTOR('',#5828,39.3700787401575); +#2067=VECTOR('',#5829,39.3700787401575); +#2068=VECTOR('',#5832,39.3700787401575); +#2069=VECTOR('',#5837,39.3700787401575); +#2070=VECTOR('',#5838,39.3700787401575); +#2071=VECTOR('',#5839,39.3700787401575); +#2072=VECTOR('',#5842,39.3700787401575); +#2073=VECTOR('',#5843,39.3700787401575); +#2074=VECTOR('',#5846,39.3700787401575); +#2075=VECTOR('',#5847,39.3700787401575); +#2076=VECTOR('',#5850,39.3700787401575); +#2077=VECTOR('',#5851,39.3700787401575); +#2078=VECTOR('',#5854,39.3700787401575); +#2079=VECTOR('',#5855,39.3700787401575); +#2080=VECTOR('',#5858,39.3700787401575); +#2081=VECTOR('',#5863,39.3700787401575); +#2082=VECTOR('',#5864,39.3700787401575); +#2083=VECTOR('',#5865,39.3700787401575); +#2084=VECTOR('',#5868,39.3700787401575); +#2085=VECTOR('',#5869,39.3700787401575); +#2086=VECTOR('',#5872,39.3700787401575); +#2087=VECTOR('',#5873,39.3700787401575); +#2088=VECTOR('',#5876,39.3700787401575); +#2089=VECTOR('',#5877,39.3700787401575); +#2090=VECTOR('',#5880,39.3700787401575); +#2091=VECTOR('',#5881,39.3700787401575); +#2092=VECTOR('',#5884,39.3700787401575); +#2093=VECTOR('',#5885,39.3700787401575); +#2094=VECTOR('',#5888,39.3700787401575); +#2095=VECTOR('',#5889,39.3700787401575); +#2096=VECTOR('',#5892,39.3700787401575); +#2097=VECTOR('',#5895,39.3700787401575); +#2098=VECTOR('',#5896,39.3700787401575); +#2099=VECTOR('',#5897,39.3700787401575); +#2100=VECTOR('',#5900,39.3700787401575); +#2101=VECTOR('',#5901,39.3700787401575); +#2102=VECTOR('',#5902,39.3700787401575); +#2103=VECTOR('',#5905,39.3700787401575); +#2104=VECTOR('',#5906,39.3700787401575); +#2105=VECTOR('',#5909,39.3700787401575); +#2106=VECTOR('',#5914,39.3700787401575); +#2107=VECTOR('',#5915,39.3700787401575); +#2108=VECTOR('',#5916,39.3700787401575); +#2109=VECTOR('',#5919,39.3700787401575); +#2110=VECTOR('',#5920,39.3700787401575); +#2111=VECTOR('',#5923,39.3700787401575); +#2112=VECTOR('',#5924,39.3700787401575); +#2113=VECTOR('',#5927,39.3700787401575); +#2114=VECTOR('',#5932,39.3700787401575); +#2115=VECTOR('',#5933,39.3700787401575); +#2116=VECTOR('',#5934,39.3700787401575); +#2117=VECTOR('',#5937,39.3700787401575); +#2118=VECTOR('',#5938,39.3700787401575); +#2119=VECTOR('',#5941,39.3700787401575); +#2120=VECTOR('',#5942,39.3700787401575); +#2121=VECTOR('',#5945,39.3700787401575); +#2122=VECTOR('',#5946,39.3700787401575); +#2123=VECTOR('',#5949,39.3700787401575); +#2124=VECTOR('',#5950,39.3700787401575); +#2125=VECTOR('',#5953,39.3700787401575); +#2126=VECTOR('',#5954,39.3700787401575); +#2127=VECTOR('',#5957,39.3700787401575); +#2128=VECTOR('',#5958,39.3700787401575); +#2129=VECTOR('',#5961,39.3700787401575); +#2130=VECTOR('',#5962,39.3700787401575); +#2131=VECTOR('',#5965,39.3700787401575); +#2132=VECTOR('',#5966,39.3700787401575); +#2133=VECTOR('',#5969,39.3700787401575); +#2134=VECTOR('',#5970,39.3700787401575); +#2135=VECTOR('',#5973,39.3700787401575); +#2136=VECTOR('',#5974,39.3700787401575); +#2137=VECTOR('',#5977,39.3700787401575); +#2138=VECTOR('',#5978,39.3700787401575); +#2139=VECTOR('',#5981,39.3700787401575); +#2140=VECTOR('',#5986,39.3700787401575); +#2141=VECTOR('',#5987,39.3700787401575); +#2142=VECTOR('',#5988,39.3700787401575); +#2143=VECTOR('',#5991,39.3700787401575); +#2144=VECTOR('',#5992,39.3700787401575); +#2145=VECTOR('',#5995,39.3700787401575); +#2146=VECTOR('',#5996,39.3700787401575); +#2147=VECTOR('',#5999,39.3700787401575); +#2148=VECTOR('',#6000,39.3700787401575); +#2149=VECTOR('',#6003,39.3700787401575); +#2150=VECTOR('',#6004,39.3700787401575); +#2151=VECTOR('',#6007,39.3700787401575); +#2152=VECTOR('',#6008,39.3700787401575); +#2153=VECTOR('',#6011,39.3700787401575); +#2154=VECTOR('',#6012,39.3700787401575); +#2155=VECTOR('',#6015,39.3700787401575); +#2156=VECTOR('',#6018,39.3700787401575); +#2157=VECTOR('',#6019,39.3700787401575); +#2158=VECTOR('',#6020,39.3700787401575); +#2159=VECTOR('',#6023,39.3700787401575); +#2160=VECTOR('',#6024,39.3700787401575); +#2161=VECTOR('',#6025,39.3700787401575); +#2162=VECTOR('',#6028,39.3700787401575); +#2163=VECTOR('',#6029,39.3700787401575); +#2164=VECTOR('',#6032,39.3700787401575); +#2165=VECTOR('',#6037,39.3700787401575); +#2166=VECTOR('',#6038,39.3700787401575); +#2167=VECTOR('',#6039,39.3700787401575); +#2168=VECTOR('',#6042,39.3700787401575); +#2169=VECTOR('',#6043,39.3700787401575); +#2170=VECTOR('',#6044,39.3700787401575); +#2171=VECTOR('',#6047,39.3700787401575); +#2172=VECTOR('',#6048,39.3700787401575); +#2173=VECTOR('',#6055,39.3700787401575); +#2174=VECTOR('',#6056,39.3700787401575); +#2175=VECTOR('',#6057,39.3700787401575); +#2176=VECTOR('',#6060,39.3700787401575); +#2177=VECTOR('',#6061,39.3700787401575); +#2178=VECTOR('',#6062,39.3700787401575); +#2179=VECTOR('',#6065,39.3700787401575); +#2180=VECTOR('',#6066,39.3700787401575); +#2181=VECTOR('',#6073,39.3700787401575); +#2182=VECTOR('',#6074,39.3700787401575); +#2183=VECTOR('',#6075,39.3700787401575); +#2184=VECTOR('',#6078,39.3700787401575); +#2185=VECTOR('',#6079,39.3700787401575); +#2186=VECTOR('',#6080,39.3700787401575); +#2187=VECTOR('',#6083,39.3700787401575); +#2188=VECTOR('',#6084,39.3700787401575); +#2189=VECTOR('',#6091,39.3700787401575); +#2190=VECTOR('',#6092,39.3700787401575); +#2191=VECTOR('',#6093,39.3700787401575); +#2192=VECTOR('',#6096,39.3700787401575); +#2193=VECTOR('',#6097,39.3700787401575); +#2194=VECTOR('',#6098,39.3700787401575); +#2195=VECTOR('',#6101,39.3700787401575); +#2196=VECTOR('',#6102,39.3700787401575); +#2197=VECTOR('',#6121,39.3700787401575); +#2198=VECTOR('',#6122,39.3700787401575); +#2199=VECTOR('',#6123,39.3700787401575); +#2200=VECTOR('',#6126,39.3700787401575); +#2201=VECTOR('',#6127,39.3700787401575); +#2202=VECTOR('',#6130,39.3700787401575); +#2203=VECTOR('',#6131,39.3700787401575); +#2204=VECTOR('',#6134,39.3700787401575); +#2205=VECTOR('',#6139,39.3700787401575); +#2206=VECTOR('',#6140,39.3700787401575); +#2207=VECTOR('',#6141,39.3700787401575); +#2208=VECTOR('',#6144,39.3700787401575); +#2209=VECTOR('',#6145,39.3700787401575); +#2210=VECTOR('',#6148,39.3700787401575); +#2211=VECTOR('',#6149,39.3700787401575); +#2212=VECTOR('',#6152,39.3700787401575); +#2213=VECTOR('',#6157,39.3700787401575); +#2214=VECTOR('',#6158,39.3700787401575); +#2215=VECTOR('',#6161,39.3700787401575); +#2216=VECTOR('',#6166,39.3700787401575); +#2217=VECTOR('',#6167,39.3700787401575); +#2218=VECTOR('',#6170,39.3700787401575); +#2219=VECTOR('',#6175,39.3700787401575); +#2220=VECTOR('',#6176,39.3700787401575); +#2221=VECTOR('',#6177,39.3700787401575); +#2222=VECTOR('',#6180,39.3700787401575); +#2223=VECTOR('',#6181,39.3700787401575); +#2224=VECTOR('',#6182,39.3700787401575); +#2225=VECTOR('',#6185,39.3700787401575); +#2226=VECTOR('',#6186,39.3700787401575); +#2227=VECTOR('',#6193,39.3700787401575); +#2228=VECTOR('',#6194,39.3700787401575); +#2229=VECTOR('',#6195,39.3700787401575); +#2230=VECTOR('',#6198,39.3700787401575); +#2231=VECTOR('',#6199,39.3700787401575); +#2232=VECTOR('',#6200,39.3700787401575); +#2233=VECTOR('',#6203,39.3700787401575); +#2234=VECTOR('',#6204,39.3700787401575); +#2235=VECTOR('',#6211,39.3700787401575); +#2236=VECTOR('',#6212,39.3700787401575); +#2237=VECTOR('',#6213,39.3700787401575); +#2238=VECTOR('',#6216,39.3700787401575); +#2239=VECTOR('',#6217,39.3700787401575); +#2240=VECTOR('',#6218,39.3700787401575); +#2241=VECTOR('',#6221,39.3700787401575); +#2242=VECTOR('',#6222,39.3700787401575); +#2243=VECTOR('',#6229,39.3700787401575); +#2244=VECTOR('',#6230,39.3700787401575); +#2245=VECTOR('',#6231,39.3700787401575); +#2246=VECTOR('',#6234,39.3700787401575); +#2247=VECTOR('',#6235,39.3700787401575); +#2248=VECTOR('',#6236,39.3700787401575); +#2249=VECTOR('',#6239,39.3700787401575); +#2250=VECTOR('',#6240,39.3700787401575); +#2251=CIRCLE('',#4950,0.062992125984252); +#2252=CIRCLE('',#4951,0.062992125984252); +#2253=CIRCLE('',#4953,0.062992125984252); +#2254=CIRCLE('',#4954,0.062992125984252); +#2255=VERTEX_POINT('',#6254); +#2256=VERTEX_POINT('',#6256); +#2257=VERTEX_POINT('',#6260); +#2258=VERTEX_POINT('',#6262); +#2259=VERTEX_POINT('',#6451); +#2260=VERTEX_POINT('',#6452); +#2261=VERTEX_POINT('',#6477); +#2262=VERTEX_POINT('',#6484); +#2263=VERTEX_POINT('',#6702); +#2264=VERTEX_POINT('',#6703); +#2265=VERTEX_POINT('',#6728); +#2266=VERTEX_POINT('',#6735); +#2267=VERTEX_POINT('',#6953); +#2268=VERTEX_POINT('',#6954); +#2269=VERTEX_POINT('',#6977); +#2270=VERTEX_POINT('',#6984); +#2271=VERTEX_POINT('',#7202); +#2272=VERTEX_POINT('',#7203); +#2273=VERTEX_POINT('',#7226); +#2274=VERTEX_POINT('',#7233); +#2275=VERTEX_POINT('',#7451); +#2276=VERTEX_POINT('',#7452); +#2277=VERTEX_POINT('',#7475); +#2278=VERTEX_POINT('',#7482); +#2279=VERTEX_POINT('',#7700); +#2280=VERTEX_POINT('',#7701); +#2281=VERTEX_POINT('',#7724); +#2282=VERTEX_POINT('',#7731); +#2283=VERTEX_POINT('',#7764); +#2284=VERTEX_POINT('',#7765); +#2285=VERTEX_POINT('',#7767); +#2286=VERTEX_POINT('',#7769); +#2287=VERTEX_POINT('',#7771); +#2288=VERTEX_POINT('',#7773); +#2289=VERTEX_POINT('',#7775); +#2290=VERTEX_POINT('',#7777); +#2291=VERTEX_POINT('',#7779); +#2292=VERTEX_POINT('',#7781); +#2293=VERTEX_POINT('',#7783); +#2294=VERTEX_POINT('',#7785); +#2295=VERTEX_POINT('',#7787); +#2296=VERTEX_POINT('',#7789); +#2297=VERTEX_POINT('',#7792); +#2298=VERTEX_POINT('',#7793); +#2299=VERTEX_POINT('',#7795); +#2300=VERTEX_POINT('',#7797); +#2301=VERTEX_POINT('',#7800); +#2302=VERTEX_POINT('',#7801); +#2303=VERTEX_POINT('',#7803); +#2304=VERTEX_POINT('',#7805); +#2305=VERTEX_POINT('',#7808); +#2306=VERTEX_POINT('',#7809); +#2307=VERTEX_POINT('',#7811); +#2308=VERTEX_POINT('',#7813); +#2309=VERTEX_POINT('',#7816); +#2310=VERTEX_POINT('',#7817); +#2311=VERTEX_POINT('',#7819); +#2312=VERTEX_POINT('',#7821); +#2313=VERTEX_POINT('',#7824); +#2314=VERTEX_POINT('',#7825); +#2315=VERTEX_POINT('',#7827); +#2316=VERTEX_POINT('',#7829); +#2317=VERTEX_POINT('',#7832); +#2318=VERTEX_POINT('',#7833); +#2319=VERTEX_POINT('',#7835); +#2320=VERTEX_POINT('',#7837); +#2321=VERTEX_POINT('',#7840); +#2322=VERTEX_POINT('',#7841); +#2323=VERTEX_POINT('',#7843); +#2324=VERTEX_POINT('',#7845); +#2325=VERTEX_POINT('',#7848); +#2326=VERTEX_POINT('',#7849); +#2327=VERTEX_POINT('',#7851); +#2328=VERTEX_POINT('',#7853); +#2329=VERTEX_POINT('',#7856); +#2330=VERTEX_POINT('',#7857); +#2331=VERTEX_POINT('',#7859); +#2332=VERTEX_POINT('',#7861); +#2333=VERTEX_POINT('',#7864); +#2334=VERTEX_POINT('',#7865); +#2335=VERTEX_POINT('',#7867); +#2336=VERTEX_POINT('',#7869); +#2337=VERTEX_POINT('',#7872); +#2338=VERTEX_POINT('',#7873); +#2339=VERTEX_POINT('',#7875); +#2340=VERTEX_POINT('',#7877); +#2341=VERTEX_POINT('',#7880); +#2342=VERTEX_POINT('',#7881); +#2343=VERTEX_POINT('',#7883); +#2344=VERTEX_POINT('',#7885); +#2345=VERTEX_POINT('',#7888); +#2346=VERTEX_POINT('',#7889); +#2347=VERTEX_POINT('',#7891); +#2348=VERTEX_POINT('',#7893); +#2349=VERTEX_POINT('',#7897); +#2350=VERTEX_POINT('',#7898); +#2351=VERTEX_POINT('',#7900); +#2352=VERTEX_POINT('',#7902); +#2353=VERTEX_POINT('',#7904); +#2354=VERTEX_POINT('',#7906); +#2355=VERTEX_POINT('',#7908); +#2356=VERTEX_POINT('',#7910); +#2357=VERTEX_POINT('',#7912); +#2358=VERTEX_POINT('',#7914); +#2359=VERTEX_POINT('',#7916); +#2360=VERTEX_POINT('',#7918); +#2361=VERTEX_POINT('',#7920); +#2362=VERTEX_POINT('',#7922); +#2363=VERTEX_POINT('',#7924); +#2364=VERTEX_POINT('',#7926); +#2365=VERTEX_POINT('',#7928); +#2366=VERTEX_POINT('',#7930); +#2367=VERTEX_POINT('',#7932); +#2368=VERTEX_POINT('',#7934); +#2369=VERTEX_POINT('',#7938); +#2370=VERTEX_POINT('',#7940); +#2371=VERTEX_POINT('',#7942); +#2372=VERTEX_POINT('',#7944); +#2373=VERTEX_POINT('',#7948); +#2374=VERTEX_POINT('',#7950); +#2375=VERTEX_POINT('',#7955); +#2376=VERTEX_POINT('',#7957); +#2377=VERTEX_POINT('',#7959); +#2378=VERTEX_POINT('',#7961); +#2379=VERTEX_POINT('',#7965); +#2380=VERTEX_POINT('',#7967); +#2381=VERTEX_POINT('',#7972); +#2382=VERTEX_POINT('',#7973); +#2383=VERTEX_POINT('',#7975); +#2384=VERTEX_POINT('',#7977); +#2385=VERTEX_POINT('',#7980); +#2386=VERTEX_POINT('',#7981); +#2387=VERTEX_POINT('',#7983); +#2388=VERTEX_POINT('',#7985); +#2389=VERTEX_POINT('',#7989); +#2390=VERTEX_POINT('',#7990); +#2391=VERTEX_POINT('',#7993); +#2392=VERTEX_POINT('',#7996); +#2393=VERTEX_POINT('',#7998); +#2394=VERTEX_POINT('',#8000); +#2395=VERTEX_POINT('',#8002); +#2396=VERTEX_POINT('',#8005); +#2397=VERTEX_POINT('',#8059); +#2398=VERTEX_POINT('',#8060); +#2399=VERTEX_POINT('',#8062); +#2400=VERTEX_POINT('',#8064); +#2401=VERTEX_POINT('',#8066); +#2402=VERTEX_POINT('',#8068); +#2403=VERTEX_POINT('',#8071); +#2404=VERTEX_POINT('',#8073); +#2405=VERTEX_POINT('',#8075); +#2406=VERTEX_POINT('',#8077); +#2407=VERTEX_POINT('',#8134); +#2408=VERTEX_POINT('',#8136); +#2409=VERTEX_POINT('',#8139); +#2410=VERTEX_POINT('',#8140); +#2411=VERTEX_POINT('',#8142); +#2412=VERTEX_POINT('',#8144); +#2413=VERTEX_POINT('',#8153); +#2414=VERTEX_POINT('',#8154); +#2415=VERTEX_POINT('',#8156); +#2416=VERTEX_POINT('',#8158); +#2417=VERTEX_POINT('',#8160); +#2418=VERTEX_POINT('',#8172); +#2419=VERTEX_POINT('',#8192); +#2420=VERTEX_POINT('',#8212); +#2421=VERTEX_POINT('',#8214); +#2422=VERTEX_POINT('',#8216); +#2423=VERTEX_POINT('',#8218); +#2424=VERTEX_POINT('',#8221); +#2425=VERTEX_POINT('',#8222); +#2426=VERTEX_POINT('',#8224); +#2427=VERTEX_POINT('',#8226); +#2428=VERTEX_POINT('',#8228); +#2429=VERTEX_POINT('',#8240); +#2430=VERTEX_POINT('',#8260); +#2431=VERTEX_POINT('',#8280); +#2432=VERTEX_POINT('',#8292); +#2433=VERTEX_POINT('',#8295); +#2434=VERTEX_POINT('',#8296); +#2435=VERTEX_POINT('',#8298); +#2436=VERTEX_POINT('',#8300); +#2437=VERTEX_POINT('',#8302); +#2438=VERTEX_POINT('',#8304); +#2439=VERTEX_POINT('',#8307); +#2440=VERTEX_POINT('',#8308); +#2441=VERTEX_POINT('',#8310); +#2442=VERTEX_POINT('',#8312); +#2443=VERTEX_POINT('',#8315); +#2444=VERTEX_POINT('',#8316); +#2445=VERTEX_POINT('',#8318); +#2446=VERTEX_POINT('',#8320); +#2447=VERTEX_POINT('',#8322); +#2448=VERTEX_POINT('',#8324); +#2449=VERTEX_POINT('',#8326); +#2450=VERTEX_POINT('',#8328); +#2451=VERTEX_POINT('',#8379); +#2452=VERTEX_POINT('',#8380); +#2453=VERTEX_POINT('',#8382); +#2454=VERTEX_POINT('',#8384); +#2455=VERTEX_POINT('',#8386); +#2456=VERTEX_POINT('',#8388); +#2457=VERTEX_POINT('',#8390); +#2458=VERTEX_POINT('',#8392); +#2459=VERTEX_POINT('',#8394); +#2460=VERTEX_POINT('',#8396); +#2461=VERTEX_POINT('',#8398); +#2462=VERTEX_POINT('',#8400); +#2463=VERTEX_POINT('',#8402); +#2464=VERTEX_POINT('',#8405); +#2465=VERTEX_POINT('',#8406); +#2466=VERTEX_POINT('',#8408); +#2467=VERTEX_POINT('',#8410); +#2468=VERTEX_POINT('',#8412); +#2469=VERTEX_POINT('',#8414); +#2470=VERTEX_POINT('',#8416); +#2471=VERTEX_POINT('',#8418); +#2472=VERTEX_POINT('',#8421); +#2473=VERTEX_POINT('',#8422); +#2474=VERTEX_POINT('',#8424); +#2475=VERTEX_POINT('',#8426); +#2476=VERTEX_POINT('',#8428); +#2477=VERTEX_POINT('',#8430); +#2478=VERTEX_POINT('',#8433); +#2479=VERTEX_POINT('',#8434); +#2480=VERTEX_POINT('',#8454); +#2481=VERTEX_POINT('',#8456); +#2482=VERTEX_POINT('',#8458); +#2483=VERTEX_POINT('',#8460); +#2484=VERTEX_POINT('',#8480); +#2485=VERTEX_POINT('',#8492); +#2486=VERTEX_POINT('',#8494); +#2487=VERTEX_POINT('',#8509); +#2488=VERTEX_POINT('',#8510); +#2489=VERTEX_POINT('',#8512); +#2490=VERTEX_POINT('',#8514); +#2491=VERTEX_POINT('',#8516); +#2492=VERTEX_POINT('',#8518); +#2493=VERTEX_POINT('',#8520); +#2494=VERTEX_POINT('',#8522); +#2495=VERTEX_POINT('',#8530); +#2496=VERTEX_POINT('',#8532); +#2497=VERTEX_POINT('',#8535); +#2498=VERTEX_POINT('',#8537); +#2499=VERTEX_POINT('',#8539); +#2500=VERTEX_POINT('',#8541); +#2501=VERTEX_POINT('',#8543); +#2502=VERTEX_POINT('',#8545); +#2503=VERTEX_POINT('',#8547); +#2504=VERTEX_POINT('',#8549); +#2505=VERTEX_POINT('',#8575); +#2506=VERTEX_POINT('',#8579); +#2507=VERTEX_POINT('',#8581); +#2508=VERTEX_POINT('',#8585); +#2509=VERTEX_POINT('',#8594); +#2510=VERTEX_POINT('',#8598); +#2511=VERTEX_POINT('',#8599); +#2512=VERTEX_POINT('',#8604); +#2513=VERTEX_POINT('',#8613); +#2514=VERTEX_POINT('',#8615); +#2515=VERTEX_POINT('',#8619); +#2516=VERTEX_POINT('',#8621); +#2517=VERTEX_POINT('',#8630); +#2518=VERTEX_POINT('',#8632); +#2519=VERTEX_POINT('',#8636); +#2520=VERTEX_POINT('',#8638); +#2521=VERTEX_POINT('',#8647); +#2522=VERTEX_POINT('',#8649); +#2523=VERTEX_POINT('',#8653); +#2524=VERTEX_POINT('',#8655); +#2525=VERTEX_POINT('',#8664); +#2526=VERTEX_POINT('',#8666); +#2527=VERTEX_POINT('',#8670); +#2528=VERTEX_POINT('',#8672); +#2529=VERTEX_POINT('',#8681); +#2530=VERTEX_POINT('',#8683); +#2531=VERTEX_POINT('',#8687); +#2532=VERTEX_POINT('',#8689); +#2533=VERTEX_POINT('',#8698); +#2534=VERTEX_POINT('',#8700); +#2535=VERTEX_POINT('',#8704); +#2536=VERTEX_POINT('',#8708); +#2537=VERTEX_POINT('',#8712); +#2538=VERTEX_POINT('',#8716); +#2539=VERTEX_POINT('',#8720); +#2540=VERTEX_POINT('',#8724); +#2541=VERTEX_POINT('',#8730); +#2542=VERTEX_POINT('',#8731); +#2543=VERTEX_POINT('',#8733); +#2544=VERTEX_POINT('',#8737); +#2545=VERTEX_POINT('',#8738); +#2546=VERTEX_POINT('',#8743); +#2547=VERTEX_POINT('',#8750); +#2548=VERTEX_POINT('',#8752); +#2549=VERTEX_POINT('',#8756); +#2550=VERTEX_POINT('',#8781); +#2551=VERTEX_POINT('',#8832); +#2552=VERTEX_POINT('',#8891); +#2553=VERTEX_POINT('',#8913); +#2554=VERTEX_POINT('',#8917); +#2555=VERTEX_POINT('',#8921); +#2556=VERTEX_POINT('',#8925); +#2557=VERTEX_POINT('',#8929); +#2558=VERTEX_POINT('',#8935); +#2559=VERTEX_POINT('',#8936); +#2560=VERTEX_POINT('',#8956); +#2561=VERTEX_POINT('',#8976); +#2562=VERTEX_POINT('',#8978); +#2563=VERTEX_POINT('',#8982); +#2564=VERTEX_POINT('',#8983); +#2565=VERTEX_POINT('',#9025); +#2566=VERTEX_POINT('',#9084); +#2567=VERTEX_POINT('',#9106); +#2568=VERTEX_POINT('',#9113); +#2569=VERTEX_POINT('',#9115); +#2570=VERTEX_POINT('',#9119); +#2571=VERTEX_POINT('',#9123); +#2572=VERTEX_POINT('',#9164); +#2573=VERTEX_POINT('',#9207); +#2574=VERTEX_POINT('',#9221); +#2575=VERTEX_POINT('',#9238); +#2576=VERTEX_POINT('',#9261); +#2577=VERTEX_POINT('',#9329); +#2578=VERTEX_POINT('',#9331); +#2579=VERTEX_POINT('',#9335); +#2580=VERTEX_POINT('',#9360); +#2581=VERTEX_POINT('',#9411); +#2582=VERTEX_POINT('',#9470); +#2583=VERTEX_POINT('',#9513); +#2584=VERTEX_POINT('',#9527); +#2585=VERTEX_POINT('',#9531); +#2586=VERTEX_POINT('',#9537); +#2587=VERTEX_POINT('',#9538); +#2588=VERTEX_POINT('',#9558); +#2589=VERTEX_POINT('',#9578); +#2590=VERTEX_POINT('',#9580); +#2591=VERTEX_POINT('',#9584); +#2592=VERTEX_POINT('',#9585); +#2593=VERTEX_POINT('',#9627); +#2594=VERTEX_POINT('',#9686); +#2595=VERTEX_POINT('',#9708); +#2596=VERTEX_POINT('',#9715); +#2597=VERTEX_POINT('',#9717); +#2598=VERTEX_POINT('',#9721); +#2599=VERTEX_POINT('',#9725); +#2600=VERTEX_POINT('',#9729); +#2601=VERTEX_POINT('',#9733); +#2602=VERTEX_POINT('',#9740); +#2603=VERTEX_POINT('',#9742); +#2604=VERTEX_POINT('',#9746); +#2605=VERTEX_POINT('',#9750); +#2606=VERTEX_POINT('',#9754); +#2607=VERTEX_POINT('',#9758); +#2608=VERTEX_POINT('',#9765); +#2609=VERTEX_POINT('',#9767); +#2610=VERTEX_POINT('',#9771); +#2611=VERTEX_POINT('',#9775); +#2612=VERTEX_POINT('',#9779); +#2613=VERTEX_POINT('',#9783); +#2614=VERTEX_POINT('',#9787); +#2615=VERTEX_POINT('',#9791); +#2616=VERTEX_POINT('',#9797); +#2617=VERTEX_POINT('',#9798); +#2618=VERTEX_POINT('',#9800); +#2619=VERTEX_POINT('',#9804); +#2620=VERTEX_POINT('',#9805); +#2621=VERTEX_POINT('',#9810); +#2622=VERTEX_POINT('',#9817); +#2623=VERTEX_POINT('',#9819); +#2624=VERTEX_POINT('',#9823); +#2625=VERTEX_POINT('',#9827); +#2626=VERTEX_POINT('',#9834); +#2627=VERTEX_POINT('',#9836); +#2628=VERTEX_POINT('',#9840); +#2629=VERTEX_POINT('',#9844); +#2630=VERTEX_POINT('',#9848); +#2631=VERTEX_POINT('',#9852); +#2632=VERTEX_POINT('',#9856); +#2633=VERTEX_POINT('',#9860); +#2634=VERTEX_POINT('',#9864); +#2635=VERTEX_POINT('',#9868); +#2636=VERTEX_POINT('',#9872); +#2637=VERTEX_POINT('',#9876); +#2638=VERTEX_POINT('',#9880); +#2639=VERTEX_POINT('',#9887); +#2640=VERTEX_POINT('',#9889); +#2641=VERTEX_POINT('',#9893); +#2642=VERTEX_POINT('',#9897); +#2643=VERTEX_POINT('',#9901); +#2644=VERTEX_POINT('',#9905); +#2645=VERTEX_POINT('',#9909); +#2646=VERTEX_POINT('',#9913); +#2647=VERTEX_POINT('',#9919); +#2648=VERTEX_POINT('',#9920); +#2649=VERTEX_POINT('',#9922); +#2650=VERTEX_POINT('',#9926); +#2651=VERTEX_POINT('',#9927); +#2652=VERTEX_POINT('',#9932); +#2653=VERTEX_POINT('',#9939); +#2654=VERTEX_POINT('',#9941); +#2655=VERTEX_POINT('',#9945); +#2656=VERTEX_POINT('',#9947); +#2657=VERTEX_POINT('',#9956); +#2658=VERTEX_POINT('',#9958); +#2659=VERTEX_POINT('',#9962); +#2660=VERTEX_POINT('',#9964); +#2661=VERTEX_POINT('',#9973); +#2662=VERTEX_POINT('',#9975); +#2663=VERTEX_POINT('',#9979); +#2664=VERTEX_POINT('',#9981); +#2665=VERTEX_POINT('',#9990); +#2666=VERTEX_POINT('',#9992); +#2667=VERTEX_POINT('',#9996); +#2668=VERTEX_POINT('',#9998); +#2669=VERTEX_POINT('',#11277); +#2670=VERTEX_POINT('',#11279); +#2671=VERTEX_POINT('',#11283); +#2672=VERTEX_POINT('',#11287); +#2673=VERTEX_POINT('',#11294); +#2674=VERTEX_POINT('',#11296); +#2675=VERTEX_POINT('',#11300); +#2676=VERTEX_POINT('',#11304); +#2677=VERTEX_POINT('',#11311); +#2678=VERTEX_POINT('',#11318); +#2679=VERTEX_POINT('',#11325); +#2680=VERTEX_POINT('',#11327); +#2681=VERTEX_POINT('',#11331); +#2682=VERTEX_POINT('',#11333); +#2683=VERTEX_POINT('',#11342); +#2684=VERTEX_POINT('',#11344); +#2685=VERTEX_POINT('',#11348); +#2686=VERTEX_POINT('',#11350); +#2687=VERTEX_POINT('',#11359); +#2688=VERTEX_POINT('',#11361); +#2689=VERTEX_POINT('',#11365); +#2690=VERTEX_POINT('',#11367); +#2691=VERTEX_POINT('',#11376); +#2692=VERTEX_POINT('',#11378); +#2693=VERTEX_POINT('',#11382); +#2694=VERTEX_POINT('',#11384); +#2695=EDGE_CURVE('',#2255,#2255,#2251,.T.); +#2696=EDGE_CURVE('',#2255,#2256,#1077,.T.); +#2697=EDGE_CURVE('',#2256,#2256,#2252,.T.); +#2698=EDGE_CURVE('',#2257,#2257,#2253,.T.); +#2699=EDGE_CURVE('',#2257,#2258,#1078,.T.); +#2700=EDGE_CURVE('',#2258,#2258,#2254,.T.); +#2701=EDGE_CURVE('',#2259,#2260,#422,.F.); +#2702=EDGE_CURVE('',#2259,#2261,#423,.T.); +#2703=EDGE_CURVE('',#2261,#2262,#424,.T.); +#2704=EDGE_CURVE('',#2260,#2262,#425,.T.); +#2705=EDGE_CURVE('',#2263,#2264,#426,.F.); +#2706=EDGE_CURVE('',#2263,#2265,#427,.T.); +#2707=EDGE_CURVE('',#2265,#2266,#428,.T.); +#2708=EDGE_CURVE('',#2264,#2266,#429,.T.); +#2709=EDGE_CURVE('',#2267,#2268,#430,.T.); +#2710=EDGE_CURVE('',#2268,#2269,#431,.T.); +#2711=EDGE_CURVE('',#2270,#2269,#432,.F.); +#2712=EDGE_CURVE('',#2267,#2270,#433,.T.); +#2713=EDGE_CURVE('',#2271,#2272,#434,.T.); +#2714=EDGE_CURVE('',#2272,#2273,#435,.T.); +#2715=EDGE_CURVE('',#2274,#2273,#436,.F.); +#2716=EDGE_CURVE('',#2271,#2274,#437,.T.); +#2717=EDGE_CURVE('',#2275,#2276,#438,.T.); +#2718=EDGE_CURVE('',#2276,#2277,#439,.T.); +#2719=EDGE_CURVE('',#2278,#2277,#440,.F.); +#2720=EDGE_CURVE('',#2275,#2278,#441,.T.); +#2721=EDGE_CURVE('',#2279,#2280,#442,.T.); +#2722=EDGE_CURVE('',#2280,#2281,#443,.T.); +#2723=EDGE_CURVE('',#2282,#2281,#444,.F.); +#2724=EDGE_CURVE('',#2279,#2282,#445,.T.); +#2725=EDGE_CURVE('',#2283,#2284,#1079,.T.); +#2726=EDGE_CURVE('',#2285,#2283,#1080,.T.); +#2727=EDGE_CURVE('',#2286,#2285,#1081,.T.); +#2728=EDGE_CURVE('',#2286,#2287,#1082,.T.); +#2729=EDGE_CURVE('',#2288,#2287,#1083,.T.); +#2730=EDGE_CURVE('',#2289,#2288,#1084,.T.); +#2731=EDGE_CURVE('',#2289,#2290,#1085,.T.); +#2732=EDGE_CURVE('',#2290,#2291,#1086,.T.); +#2733=EDGE_CURVE('',#2291,#2292,#1087,.T.); +#2734=EDGE_CURVE('',#2293,#2292,#1088,.T.); +#2735=EDGE_CURVE('',#2293,#2294,#1089,.T.); +#2736=EDGE_CURVE('',#2294,#2295,#1090,.T.); +#2737=EDGE_CURVE('',#2295,#2296,#1091,.T.); +#2738=EDGE_CURVE('',#2284,#2296,#1092,.T.); +#2739=EDGE_CURVE('',#2297,#2298,#1093,.T.); +#2740=EDGE_CURVE('',#2297,#2299,#1094,.T.); +#2741=EDGE_CURVE('',#2299,#2300,#1095,.T.); +#2742=EDGE_CURVE('',#2298,#2300,#1096,.T.); +#2743=EDGE_CURVE('',#2301,#2302,#1097,.T.); +#2744=EDGE_CURVE('',#2301,#2303,#1098,.T.); +#2745=EDGE_CURVE('',#2303,#2304,#1099,.T.); +#2746=EDGE_CURVE('',#2302,#2304,#1100,.T.); +#2747=EDGE_CURVE('',#2305,#2306,#1101,.T.); +#2748=EDGE_CURVE('',#2305,#2307,#1102,.T.); +#2749=EDGE_CURVE('',#2307,#2308,#1103,.T.); +#2750=EDGE_CURVE('',#2306,#2308,#1104,.T.); +#2751=EDGE_CURVE('',#2309,#2310,#1105,.T.); +#2752=EDGE_CURVE('',#2309,#2311,#1106,.T.); +#2753=EDGE_CURVE('',#2311,#2312,#1107,.T.); +#2754=EDGE_CURVE('',#2310,#2312,#1108,.T.); +#2755=EDGE_CURVE('',#2313,#2314,#1109,.T.); +#2756=EDGE_CURVE('',#2313,#2315,#1110,.T.); +#2757=EDGE_CURVE('',#2315,#2316,#1111,.T.); +#2758=EDGE_CURVE('',#2314,#2316,#1112,.T.); +#2759=EDGE_CURVE('',#2317,#2318,#1113,.T.); +#2760=EDGE_CURVE('',#2317,#2319,#1114,.T.); +#2761=EDGE_CURVE('',#2319,#2320,#1115,.T.); +#2762=EDGE_CURVE('',#2318,#2320,#1116,.T.); +#2763=EDGE_CURVE('',#2321,#2322,#1117,.T.); +#2764=EDGE_CURVE('',#2321,#2323,#1118,.T.); +#2765=EDGE_CURVE('',#2323,#2324,#1119,.T.); +#2766=EDGE_CURVE('',#2322,#2324,#1120,.T.); +#2767=EDGE_CURVE('',#2325,#2326,#1121,.T.); +#2768=EDGE_CURVE('',#2325,#2327,#1122,.T.); +#2769=EDGE_CURVE('',#2327,#2328,#1123,.T.); +#2770=EDGE_CURVE('',#2326,#2328,#1124,.T.); +#2771=EDGE_CURVE('',#2329,#2330,#1125,.T.); +#2772=EDGE_CURVE('',#2329,#2331,#1126,.T.); +#2773=EDGE_CURVE('',#2331,#2332,#1127,.T.); +#2774=EDGE_CURVE('',#2330,#2332,#1128,.T.); +#2775=EDGE_CURVE('',#2333,#2334,#1129,.T.); +#2776=EDGE_CURVE('',#2333,#2335,#1130,.T.); +#2777=EDGE_CURVE('',#2335,#2336,#1131,.T.); +#2778=EDGE_CURVE('',#2334,#2336,#1132,.T.); +#2779=EDGE_CURVE('',#2337,#2338,#1133,.T.); +#2780=EDGE_CURVE('',#2337,#2339,#1134,.T.); +#2781=EDGE_CURVE('',#2339,#2340,#1135,.T.); +#2782=EDGE_CURVE('',#2338,#2340,#1136,.T.); +#2783=EDGE_CURVE('',#2341,#2342,#1137,.T.); +#2784=EDGE_CURVE('',#2341,#2343,#1138,.T.); +#2785=EDGE_CURVE('',#2343,#2344,#1139,.T.); +#2786=EDGE_CURVE('',#2342,#2344,#1140,.T.); +#2787=EDGE_CURVE('',#2345,#2346,#1141,.T.); +#2788=EDGE_CURVE('',#2345,#2347,#1142,.T.); +#2789=EDGE_CURVE('',#2347,#2348,#1143,.T.); +#2790=EDGE_CURVE('',#2346,#2348,#1144,.T.); +#2791=EDGE_CURVE('',#2349,#2350,#1145,.T.); +#2792=EDGE_CURVE('',#2349,#2351,#1146,.T.); +#2793=EDGE_CURVE('',#2351,#2352,#1147,.T.); +#2794=EDGE_CURVE('',#2352,#2353,#1148,.T.); +#2795=EDGE_CURVE('',#2353,#2354,#1149,.T.); +#2796=EDGE_CURVE('',#2354,#2355,#1150,.T.); +#2797=EDGE_CURVE('',#2355,#2356,#1151,.T.); +#2798=EDGE_CURVE('',#2356,#2357,#1152,.T.); +#2799=EDGE_CURVE('',#2357,#2358,#1153,.T.); +#2800=EDGE_CURVE('',#2358,#2359,#1154,.T.); +#2801=EDGE_CURVE('',#2359,#2360,#1155,.T.); +#2802=EDGE_CURVE('',#2360,#2361,#1156,.T.); +#2803=EDGE_CURVE('',#2362,#2361,#1157,.T.); +#2804=EDGE_CURVE('',#2363,#2362,#1158,.T.); +#2805=EDGE_CURVE('',#2363,#2364,#1159,.T.); +#2806=EDGE_CURVE('',#2364,#2365,#1160,.T.); +#2807=EDGE_CURVE('',#2365,#2366,#1161,.T.); +#2808=EDGE_CURVE('',#2366,#2367,#1162,.T.); +#2809=EDGE_CURVE('',#2367,#2368,#1163,.T.); +#2810=EDGE_CURVE('',#2350,#2368,#1164,.T.); +#2811=EDGE_CURVE('',#2369,#2349,#1165,.T.); +#2812=EDGE_CURVE('',#2370,#2369,#1166,.T.); +#2813=EDGE_CURVE('',#2370,#2371,#1167,.T.); +#2814=EDGE_CURVE('',#2371,#2372,#1168,.T.); +#2815=EDGE_CURVE('',#2351,#2372,#1169,.T.); +#2816=EDGE_CURVE('',#2373,#2368,#1170,.T.); +#2817=EDGE_CURVE('',#2367,#2374,#1171,.T.); +#2818=EDGE_CURVE('',#2374,#2371,#1172,.T.); +#2819=EDGE_CURVE('',#2373,#2370,#1173,.T.); +#2820=EDGE_CURVE('',#2375,#2363,#1174,.T.); +#2821=EDGE_CURVE('',#2376,#2375,#1175,.T.); +#2822=EDGE_CURVE('',#2376,#2377,#1176,.T.); +#2823=EDGE_CURVE('',#2377,#2378,#1177,.T.); +#2824=EDGE_CURVE('',#2364,#2378,#1178,.T.); +#2825=EDGE_CURVE('',#2379,#2361,#1179,.T.); +#2826=EDGE_CURVE('',#2360,#2380,#1180,.T.); +#2827=EDGE_CURVE('',#2380,#2377,#1181,.T.); +#2828=EDGE_CURVE('',#2379,#2376,#1182,.T.); +#2829=EDGE_CURVE('',#2381,#2382,#1183,.T.); +#2830=EDGE_CURVE('',#2383,#2381,#1184,.T.); +#2831=EDGE_CURVE('',#2383,#2384,#1185,.T.); +#2832=EDGE_CURVE('',#2384,#2382,#1186,.T.); +#2833=EDGE_CURVE('',#2385,#2386,#1187,.T.); +#2834=EDGE_CURVE('',#2386,#2387,#1188,.T.); +#2835=EDGE_CURVE('',#2387,#2388,#1189,.T.); +#2836=EDGE_CURVE('',#2388,#2385,#1190,.T.); +#2837=EDGE_CURVE('',#2389,#2390,#1191,.T.); +#2838=EDGE_CURVE('',#2390,#2381,#1192,.T.); +#2839=EDGE_CURVE('',#2382,#2391,#1193,.T.); +#2840=EDGE_CURVE('',#2391,#2288,#1194,.T.); +#2841=EDGE_CURVE('',#2392,#2287,#1195,.T.); +#2842=EDGE_CURVE('',#2392,#2393,#1196,.T.); +#2843=EDGE_CURVE('',#2394,#2393,#1197,.T.); +#2844=EDGE_CURVE('',#2394,#2395,#1198,.T.); +#2845=EDGE_CURVE('',#2283,#2395,#1199,.T.); +#2846=EDGE_CURVE('',#2396,#2284,#1200,.T.); +#2847=EDGE_CURVE('',#2389,#2396,#1201,.T.); +#2848=EDGE_CURVE('',#2272,#2271,#446,.F.); +#2849=EDGE_CURVE('',#2268,#2267,#447,.F.); +#2850=EDGE_CURVE('',#2383,#2389,#1202,.T.); +#2851=EDGE_CURVE('',#2397,#2398,#1203,.T.); +#2852=EDGE_CURVE('',#2398,#2399,#1204,.T.); +#2853=EDGE_CURVE('',#2399,#2400,#1205,.T.); +#2854=EDGE_CURVE('',#2400,#2401,#1206,.T.); +#2855=EDGE_CURVE('',#2401,#2402,#1207,.T.); +#2856=EDGE_CURVE('',#2296,#2402,#1208,.T.); +#2857=EDGE_CURVE('',#2295,#2403,#1209,.T.); +#2858=EDGE_CURVE('',#2403,#2404,#1210,.T.); +#2859=EDGE_CURVE('',#2404,#2405,#1211,.T.); +#2860=EDGE_CURVE('',#2405,#2406,#1212,.T.); +#2861=EDGE_CURVE('',#2406,#2292,#1213,.T.); +#2862=EDGE_CURVE('',#2291,#2397,#1214,.T.); +#2863=EDGE_CURVE('',#2264,#2263,#448,.T.); +#2864=EDGE_CURVE('',#2260,#2259,#449,.T.); +#2865=EDGE_CURVE('',#2398,#2407,#1215,.T.); +#2866=EDGE_CURVE('',#2407,#2408,#1216,.T.); +#2867=EDGE_CURVE('',#2408,#2399,#1217,.T.); +#2868=EDGE_CURVE('',#2409,#2410,#1218,.T.); +#2869=EDGE_CURVE('',#2411,#2409,#1219,.T.); +#2870=EDGE_CURVE('',#2412,#2411,#1220,.T.); +#2871=EDGE_CURVE('',#2410,#2412,#1221,.T.); +#2872=EDGE_CURVE('',#2290,#2407,#1222,.T.); +#2873=EDGE_CURVE('',#2402,#2396,#1223,.T.); +#2874=EDGE_CURVE('',#2408,#2401,#1224,.T.); +#2875=EDGE_CURVE('',#2408,#2383,#1225,.T.); +#2876=EDGE_CURVE('',#2413,#2414,#1226,.T.); +#2877=EDGE_CURVE('',#2414,#2415,#1227,.T.); +#2878=EDGE_CURVE('',#2415,#2416,#1228,.T.); +#2879=EDGE_CURVE('',#2416,#2417,#450,.T.); +#2880=EDGE_CURVE('',#2417,#2418,#451,.T.); +#2881=EDGE_CURVE('',#2418,#2419,#452,.T.); +#2882=EDGE_CURVE('',#2419,#2420,#1229,.T.); +#2883=EDGE_CURVE('',#2420,#2421,#1230,.T.); +#2884=EDGE_CURVE('',#2421,#2422,#1231,.T.); +#2885=EDGE_CURVE('',#2422,#2423,#1232,.T.); +#2886=EDGE_CURVE('',#2423,#2413,#1233,.T.); +#2887=EDGE_CURVE('',#2424,#2425,#1234,.T.); +#2888=EDGE_CURVE('',#2425,#2426,#1235,.T.); +#2889=EDGE_CURVE('',#2426,#2427,#1236,.T.); +#2890=EDGE_CURVE('',#2427,#2428,#453,.T.); +#2891=EDGE_CURVE('',#2428,#2429,#454,.T.); +#2892=EDGE_CURVE('',#2429,#2430,#455,.T.); +#2893=EDGE_CURVE('',#2430,#2431,#456,.T.); +#2894=EDGE_CURVE('',#2431,#2432,#1237,.T.); +#2895=EDGE_CURVE('',#2432,#2424,#1238,.T.); +#2896=EDGE_CURVE('',#2433,#2434,#1239,.T.); +#2897=EDGE_CURVE('',#2434,#2435,#1240,.T.); +#2898=EDGE_CURVE('',#2435,#2436,#1241,.T.); +#2899=EDGE_CURVE('',#2436,#2437,#1242,.T.); +#2900=EDGE_CURVE('',#2437,#2438,#1243,.T.); +#2901=EDGE_CURVE('',#2438,#2433,#1244,.T.); +#2902=EDGE_CURVE('',#2439,#2440,#1245,.T.); +#2903=EDGE_CURVE('',#2440,#2441,#1246,.T.); +#2904=EDGE_CURVE('',#2441,#2442,#1247,.T.); +#2905=EDGE_CURVE('',#2442,#2439,#1248,.T.); +#2906=EDGE_CURVE('',#2443,#2444,#1249,.T.); +#2907=EDGE_CURVE('',#2444,#2445,#1250,.T.); +#2908=EDGE_CURVE('',#2445,#2446,#1251,.T.); +#2909=EDGE_CURVE('',#2446,#2447,#1252,.T.); +#2910=EDGE_CURVE('',#2447,#2448,#1253,.T.); +#2911=EDGE_CURVE('',#2448,#2449,#1254,.T.); +#2912=EDGE_CURVE('',#2449,#2450,#1255,.T.); +#2913=EDGE_CURVE('',#2450,#2443,#1256,.T.); +#2914=EDGE_CURVE('',#2276,#2275,#457,.F.); +#2915=EDGE_CURVE('',#2280,#2279,#458,.F.); +#2916=EDGE_CURVE('',#2451,#2452,#1257,.T.); +#2917=EDGE_CURVE('',#2452,#2453,#1258,.T.); +#2918=EDGE_CURVE('',#2453,#2454,#1259,.T.); +#2919=EDGE_CURVE('',#2454,#2455,#1260,.T.); +#2920=EDGE_CURVE('',#2455,#2456,#1261,.T.); +#2921=EDGE_CURVE('',#2456,#2457,#1262,.T.); +#2922=EDGE_CURVE('',#2457,#2458,#1263,.T.); +#2923=EDGE_CURVE('',#2458,#2459,#1264,.T.); +#2924=EDGE_CURVE('',#2459,#2460,#1265,.T.); +#2925=EDGE_CURVE('',#2460,#2461,#1266,.T.); +#2926=EDGE_CURVE('',#2461,#2462,#1267,.T.); +#2927=EDGE_CURVE('',#2462,#2463,#1268,.T.); +#2928=EDGE_CURVE('',#2463,#2451,#1269,.T.); +#2929=EDGE_CURVE('',#2464,#2465,#1270,.T.); +#2930=EDGE_CURVE('',#2465,#2466,#1271,.T.); +#2931=EDGE_CURVE('',#2466,#2467,#1272,.T.); +#2932=EDGE_CURVE('',#2467,#2468,#1273,.T.); +#2933=EDGE_CURVE('',#2468,#2469,#1274,.T.); +#2934=EDGE_CURVE('',#2469,#2470,#1275,.T.); +#2935=EDGE_CURVE('',#2470,#2471,#1276,.T.); +#2936=EDGE_CURVE('',#2471,#2464,#1277,.T.); +#2937=EDGE_CURVE('',#2472,#2473,#1278,.T.); +#2938=EDGE_CURVE('',#2473,#2474,#1279,.T.); +#2939=EDGE_CURVE('',#2474,#2475,#1280,.T.); +#2940=EDGE_CURVE('',#2475,#2476,#1281,.T.); +#2941=EDGE_CURVE('',#2476,#2477,#1282,.T.); +#2942=EDGE_CURVE('',#2477,#2472,#1283,.T.); +#2943=EDGE_CURVE('',#2478,#2479,#459,.T.); +#2944=EDGE_CURVE('',#2479,#2480,#1284,.T.); +#2945=EDGE_CURVE('',#2480,#2481,#1285,.T.); +#2946=EDGE_CURVE('',#2481,#2482,#1286,.T.); +#2947=EDGE_CURVE('',#2482,#2483,#460,.T.); +#2948=EDGE_CURVE('',#2483,#2484,#461,.T.); +#2949=EDGE_CURVE('',#2484,#2485,#1287,.T.); +#2950=EDGE_CURVE('',#2485,#2486,#462,.T.); +#2951=EDGE_CURVE('',#2486,#2478,#463,.T.); +#2952=EDGE_CURVE('',#2487,#2488,#1288,.T.); +#2953=EDGE_CURVE('',#2488,#2489,#1289,.T.); +#2954=EDGE_CURVE('',#2489,#2490,#1290,.T.); +#2955=EDGE_CURVE('',#2490,#2491,#1291,.T.); +#2956=EDGE_CURVE('',#2491,#2492,#1292,.T.); +#2957=EDGE_CURVE('',#2492,#2493,#1293,.T.); +#2958=EDGE_CURVE('',#2493,#2494,#1294,.T.); +#2959=EDGE_CURVE('',#2494,#2487,#1295,.T.); +#2960=EDGE_CURVE('',#2384,#2289,#1296,.T.); +#2961=EDGE_CURVE('',#2495,#2374,#1297,.T.); +#2962=EDGE_CURVE('',#2496,#2495,#1298,.T.); +#2963=EDGE_CURVE('',#2378,#2496,#1299,.T.); +#2964=EDGE_CURVE('',#2497,#2380,#1300,.T.); +#2965=EDGE_CURVE('',#2498,#2497,#1301,.T.); +#2966=EDGE_CURVE('',#2499,#2498,#1302,.T.); +#2967=EDGE_CURVE('',#2500,#2499,#1303,.T.); +#2968=EDGE_CURVE('',#2501,#2500,#1304,.T.); +#2969=EDGE_CURVE('',#2502,#2501,#1305,.T.); +#2970=EDGE_CURVE('',#2503,#2502,#1306,.T.); +#2971=EDGE_CURVE('',#2504,#2503,#1307,.T.); +#2972=EDGE_CURVE('',#2372,#2504,#1308,.T.); +#2973=EDGE_CURVE('',#2366,#2495,#1309,.T.); +#2974=EDGE_CURVE('',#2365,#2496,#1310,.T.); +#2975=EDGE_CURVE('',#2359,#2497,#1311,.T.); +#2976=EDGE_CURVE('',#2358,#2498,#1312,.T.); +#2977=EDGE_CURVE('',#2357,#2499,#1313,.T.); +#2978=EDGE_CURVE('',#2356,#2500,#1314,.T.); +#2979=EDGE_CURVE('',#2355,#2501,#1315,.T.); +#2980=EDGE_CURVE('',#2354,#2502,#1316,.T.); +#2981=EDGE_CURVE('',#2353,#2503,#1317,.T.); +#2982=EDGE_CURVE('',#2352,#2504,#1318,.T.); +#2983=EDGE_CURVE('',#2406,#2505,#1319,.T.); +#2984=EDGE_CURVE('',#2505,#2293,#1320,.T.); +#2985=EDGE_CURVE('',#2404,#2506,#1321,.T.); +#2986=EDGE_CURVE('',#2506,#2507,#1322,.T.); +#2987=EDGE_CURVE('',#2507,#2405,#1323,.T.); +#2988=EDGE_CURVE('',#2294,#2508,#1324,.T.); +#2989=EDGE_CURVE('',#2508,#2403,#1325,.T.); +#2990=EDGE_CURVE('',#2506,#2508,#1326,.T.); +#2991=EDGE_CURVE('',#2505,#2507,#1327,.T.); +#2992=EDGE_CURVE('',#2395,#2509,#1328,.T.); +#2993=EDGE_CURVE('',#2285,#2509,#1329,.T.); +#2994=EDGE_CURVE('',#2510,#2511,#1330,.T.); +#2995=EDGE_CURVE('',#2510,#2394,#1331,.T.); +#2996=EDGE_CURVE('',#2393,#2511,#1332,.T.); +#2997=EDGE_CURVE('',#2512,#2286,#1333,.T.); +#2998=EDGE_CURVE('',#2512,#2392,#1334,.T.); +#2999=EDGE_CURVE('',#2509,#2510,#1335,.T.); +#3000=EDGE_CURVE('',#2511,#2512,#1336,.T.); +#3001=EDGE_CURVE('',#2348,#2513,#1337,.T.); +#3002=EDGE_CURVE('',#2514,#2513,#1338,.T.); +#3003=EDGE_CURVE('',#2346,#2514,#1339,.T.); +#3004=EDGE_CURVE('',#2513,#2515,#1340,.T.); +#3005=EDGE_CURVE('',#2516,#2515,#1341,.T.); +#3006=EDGE_CURVE('',#2514,#2516,#1342,.T.); +#3007=EDGE_CURVE('',#2515,#2347,#1343,.T.); +#3008=EDGE_CURVE('',#2516,#2345,#1344,.T.); +#3009=EDGE_CURVE('',#2344,#2517,#1345,.T.); +#3010=EDGE_CURVE('',#2518,#2517,#1346,.T.); +#3011=EDGE_CURVE('',#2342,#2518,#1347,.T.); +#3012=EDGE_CURVE('',#2517,#2519,#1348,.T.); +#3013=EDGE_CURVE('',#2520,#2519,#1349,.T.); +#3014=EDGE_CURVE('',#2518,#2520,#1350,.T.); +#3015=EDGE_CURVE('',#2519,#2343,#1351,.T.); +#3016=EDGE_CURVE('',#2520,#2341,#1352,.T.); +#3017=EDGE_CURVE('',#2340,#2521,#1353,.T.); +#3018=EDGE_CURVE('',#2522,#2521,#1354,.T.); +#3019=EDGE_CURVE('',#2338,#2522,#1355,.T.); +#3020=EDGE_CURVE('',#2521,#2523,#1356,.T.); +#3021=EDGE_CURVE('',#2524,#2523,#1357,.T.); +#3022=EDGE_CURVE('',#2522,#2524,#1358,.T.); +#3023=EDGE_CURVE('',#2523,#2339,#1359,.T.); +#3024=EDGE_CURVE('',#2524,#2337,#1360,.T.); +#3025=EDGE_CURVE('',#2336,#2525,#1361,.T.); +#3026=EDGE_CURVE('',#2526,#2525,#1362,.T.); +#3027=EDGE_CURVE('',#2334,#2526,#1363,.T.); +#3028=EDGE_CURVE('',#2525,#2527,#1364,.T.); +#3029=EDGE_CURVE('',#2528,#2527,#1365,.T.); +#3030=EDGE_CURVE('',#2526,#2528,#1366,.T.); +#3031=EDGE_CURVE('',#2527,#2335,#1367,.T.); +#3032=EDGE_CURVE('',#2528,#2333,#1368,.T.); +#3033=EDGE_CURVE('',#2332,#2529,#1369,.T.); +#3034=EDGE_CURVE('',#2530,#2529,#1370,.T.); +#3035=EDGE_CURVE('',#2330,#2530,#1371,.T.); +#3036=EDGE_CURVE('',#2529,#2531,#1372,.T.); +#3037=EDGE_CURVE('',#2532,#2531,#1373,.T.); +#3038=EDGE_CURVE('',#2530,#2532,#1374,.T.); +#3039=EDGE_CURVE('',#2531,#2331,#1375,.T.); +#3040=EDGE_CURVE('',#2532,#2329,#1376,.T.); +#3041=EDGE_CURVE('',#2533,#2488,#1377,.T.); +#3042=EDGE_CURVE('',#2533,#2534,#1378,.T.); +#3043=EDGE_CURVE('',#2534,#2489,#1379,.T.); +#3044=EDGE_CURVE('',#2534,#2535,#1380,.T.); +#3045=EDGE_CURVE('',#2535,#2490,#1381,.T.); +#3046=EDGE_CURVE('',#2535,#2536,#1382,.T.); +#3047=EDGE_CURVE('',#2536,#2491,#1383,.T.); +#3048=EDGE_CURVE('',#2536,#2537,#1384,.T.); +#3049=EDGE_CURVE('',#2537,#2492,#1385,.T.); +#3050=EDGE_CURVE('',#2537,#2538,#1386,.T.); +#3051=EDGE_CURVE('',#2538,#2493,#1387,.T.); +#3052=EDGE_CURVE('',#2538,#2539,#1388,.T.); +#3053=EDGE_CURVE('',#2539,#2494,#1389,.T.); +#3054=EDGE_CURVE('',#2539,#2540,#1390,.T.); +#3055=EDGE_CURVE('',#2540,#2487,#1391,.T.); +#3056=EDGE_CURVE('',#2540,#2533,#1392,.T.); +#3057=EDGE_CURVE('',#2541,#2542,#1393,.T.); +#3058=EDGE_CURVE('',#2543,#2541,#1394,.T.); +#3059=EDGE_CURVE('',#2542,#2543,#1395,.T.); +#3060=EDGE_CURVE('',#2544,#2545,#1396,.T.); +#3061=EDGE_CURVE('',#2542,#2544,#1397,.T.); +#3062=EDGE_CURVE('',#2543,#2545,#1398,.T.); +#3063=EDGE_CURVE('',#2546,#2544,#1399,.T.); +#3064=EDGE_CURVE('',#2541,#2546,#1400,.T.); +#3065=EDGE_CURVE('',#2545,#2546,#1401,.T.); +#3066=EDGE_CURVE('',#2547,#2414,#1402,.T.); +#3067=EDGE_CURVE('',#2547,#2548,#1403,.T.); +#3068=EDGE_CURVE('',#2548,#2415,#1404,.T.); +#3069=EDGE_CURVE('',#2548,#2549,#1405,.T.); +#3070=EDGE_CURVE('',#2549,#2416,#1406,.T.); +#3071=EDGE_CURVE('',#2549,#2550,#464,.T.); +#3072=EDGE_CURVE('',#2550,#2417,#1407,.T.); +#3073=EDGE_CURVE('',#2550,#2551,#465,.T.); +#3074=EDGE_CURVE('',#2551,#2418,#1408,.T.); +#3075=EDGE_CURVE('',#2551,#2552,#466,.T.); +#3076=EDGE_CURVE('',#2552,#2419,#1409,.T.); +#3077=EDGE_CURVE('',#2552,#2553,#1410,.T.); +#3078=EDGE_CURVE('',#2553,#2420,#1411,.T.); +#3079=EDGE_CURVE('',#2553,#2554,#1412,.T.); +#3080=EDGE_CURVE('',#2554,#2421,#1413,.T.); +#3081=EDGE_CURVE('',#2554,#2555,#1414,.T.); +#3082=EDGE_CURVE('',#2555,#2422,#1415,.T.); +#3083=EDGE_CURVE('',#2555,#2556,#1416,.T.); +#3084=EDGE_CURVE('',#2556,#2423,#1417,.T.); +#3085=EDGE_CURVE('',#2556,#2557,#1418,.T.); +#3086=EDGE_CURVE('',#2557,#2413,#1419,.T.); +#3087=EDGE_CURVE('',#2557,#2547,#1420,.T.); +#3088=EDGE_CURVE('',#2558,#2559,#467,.T.); +#3089=EDGE_CURVE('',#2560,#2558,#468,.T.); +#3090=EDGE_CURVE('',#2561,#2560,#1421,.T.); +#3091=EDGE_CURVE('',#2562,#2561,#1422,.T.); +#3092=EDGE_CURVE('',#2559,#2562,#1423,.T.); +#3093=EDGE_CURVE('',#2563,#2564,#1424,.T.); +#3094=EDGE_CURVE('',#2559,#2563,#1425,.T.); +#3095=EDGE_CURVE('',#2562,#2564,#1426,.T.); +#3096=EDGE_CURVE('',#2565,#2563,#469,.T.); +#3097=EDGE_CURVE('',#2558,#2565,#1427,.T.); +#3098=EDGE_CURVE('',#2566,#2565,#470,.T.); +#3099=EDGE_CURVE('',#2560,#2566,#1428,.T.); +#3100=EDGE_CURVE('',#2567,#2566,#1429,.T.); +#3101=EDGE_CURVE('',#2561,#2567,#1430,.T.); +#3102=EDGE_CURVE('',#2564,#2567,#1431,.T.); +#3103=EDGE_CURVE('',#2568,#2479,#1432,.T.); +#3104=EDGE_CURVE('',#2568,#2569,#1433,.T.); +#3105=EDGE_CURVE('',#2569,#2480,#1434,.T.); +#3106=EDGE_CURVE('',#2569,#2570,#1435,.T.); +#3107=EDGE_CURVE('',#2570,#2481,#1436,.T.); +#3108=EDGE_CURVE('',#2570,#2571,#1437,.T.); +#3109=EDGE_CURVE('',#2571,#2482,#1438,.T.); +#3110=EDGE_CURVE('',#2571,#2572,#471,.T.); +#3111=EDGE_CURVE('',#2572,#2483,#1439,.T.); +#3112=EDGE_CURVE('',#2572,#2573,#472,.T.); +#3113=EDGE_CURVE('',#2573,#2484,#1440,.T.); +#3114=EDGE_CURVE('',#2573,#2574,#1441,.T.); +#3115=EDGE_CURVE('',#2574,#2485,#1442,.T.); +#3116=EDGE_CURVE('',#2574,#2575,#473,.T.); +#3117=EDGE_CURVE('',#2575,#2486,#1443,.T.); +#3118=EDGE_CURVE('',#2575,#2576,#474,.T.); +#3119=EDGE_CURVE('',#2576,#2478,#1444,.T.); +#3120=EDGE_CURVE('',#2576,#2568,#475,.T.); +#3121=EDGE_CURVE('',#2577,#2425,#1445,.T.); +#3122=EDGE_CURVE('',#2577,#2578,#1446,.T.); +#3123=EDGE_CURVE('',#2578,#2426,#1447,.T.); +#3124=EDGE_CURVE('',#2578,#2579,#1448,.T.); +#3125=EDGE_CURVE('',#2579,#2427,#1449,.T.); +#3126=EDGE_CURVE('',#2579,#2580,#476,.T.); +#3127=EDGE_CURVE('',#2580,#2428,#1450,.T.); +#3128=EDGE_CURVE('',#2580,#2581,#477,.T.); +#3129=EDGE_CURVE('',#2581,#2429,#1451,.T.); +#3130=EDGE_CURVE('',#2581,#2582,#478,.T.); +#3131=EDGE_CURVE('',#2582,#2430,#1452,.T.); +#3132=EDGE_CURVE('',#2582,#2583,#479,.T.); +#3133=EDGE_CURVE('',#2583,#2431,#1453,.T.); +#3134=EDGE_CURVE('',#2583,#2584,#1454,.T.); +#3135=EDGE_CURVE('',#2584,#2432,#1455,.T.); +#3136=EDGE_CURVE('',#2584,#2585,#1456,.T.); +#3137=EDGE_CURVE('',#2585,#2424,#1457,.T.); +#3138=EDGE_CURVE('',#2585,#2577,#1458,.T.); +#3139=EDGE_CURVE('',#2586,#2587,#480,.T.); +#3140=EDGE_CURVE('',#2588,#2586,#481,.T.); +#3141=EDGE_CURVE('',#2589,#2588,#1459,.T.); +#3142=EDGE_CURVE('',#2590,#2589,#1460,.T.); +#3143=EDGE_CURVE('',#2587,#2590,#1461,.T.); +#3144=EDGE_CURVE('',#2591,#2592,#1462,.T.); +#3145=EDGE_CURVE('',#2587,#2591,#1463,.T.); +#3146=EDGE_CURVE('',#2590,#2592,#1464,.T.); +#3147=EDGE_CURVE('',#2593,#2591,#482,.T.); +#3148=EDGE_CURVE('',#2586,#2593,#1465,.T.); +#3149=EDGE_CURVE('',#2594,#2593,#483,.T.); +#3150=EDGE_CURVE('',#2588,#2594,#1466,.T.); +#3151=EDGE_CURVE('',#2595,#2594,#1467,.T.); +#3152=EDGE_CURVE('',#2589,#2595,#1468,.T.); +#3153=EDGE_CURVE('',#2592,#2595,#1469,.T.); +#3154=EDGE_CURVE('',#2596,#2473,#1470,.T.); +#3155=EDGE_CURVE('',#2596,#2597,#1471,.T.); +#3156=EDGE_CURVE('',#2597,#2474,#1472,.T.); +#3157=EDGE_CURVE('',#2597,#2598,#1473,.T.); +#3158=EDGE_CURVE('',#2598,#2475,#1474,.T.); +#3159=EDGE_CURVE('',#2598,#2599,#1475,.T.); +#3160=EDGE_CURVE('',#2599,#2476,#1476,.T.); +#3161=EDGE_CURVE('',#2599,#2600,#1477,.T.); +#3162=EDGE_CURVE('',#2600,#2477,#1478,.T.); +#3163=EDGE_CURVE('',#2600,#2601,#1479,.T.); +#3164=EDGE_CURVE('',#2601,#2472,#1480,.T.); +#3165=EDGE_CURVE('',#2601,#2596,#1481,.T.); +#3166=EDGE_CURVE('',#2602,#2434,#1482,.T.); +#3167=EDGE_CURVE('',#2602,#2603,#1483,.T.); +#3168=EDGE_CURVE('',#2603,#2435,#1484,.T.); +#3169=EDGE_CURVE('',#2603,#2604,#1485,.T.); +#3170=EDGE_CURVE('',#2604,#2436,#1486,.T.); +#3171=EDGE_CURVE('',#2604,#2605,#1487,.T.); +#3172=EDGE_CURVE('',#2605,#2437,#1488,.T.); +#3173=EDGE_CURVE('',#2605,#2606,#1489,.T.); +#3174=EDGE_CURVE('',#2606,#2438,#1490,.T.); +#3175=EDGE_CURVE('',#2606,#2607,#1491,.T.); +#3176=EDGE_CURVE('',#2607,#2433,#1492,.T.); +#3177=EDGE_CURVE('',#2607,#2602,#1493,.T.); +#3178=EDGE_CURVE('',#2608,#2465,#1494,.T.); +#3179=EDGE_CURVE('',#2608,#2609,#1495,.T.); +#3180=EDGE_CURVE('',#2609,#2466,#1496,.T.); +#3181=EDGE_CURVE('',#2609,#2610,#1497,.T.); +#3182=EDGE_CURVE('',#2610,#2467,#1498,.T.); +#3183=EDGE_CURVE('',#2610,#2611,#1499,.T.); +#3184=EDGE_CURVE('',#2611,#2468,#1500,.T.); +#3185=EDGE_CURVE('',#2611,#2612,#1501,.T.); +#3186=EDGE_CURVE('',#2612,#2469,#1502,.T.); +#3187=EDGE_CURVE('',#2612,#2613,#1503,.T.); +#3188=EDGE_CURVE('',#2613,#2470,#1504,.T.); +#3189=EDGE_CURVE('',#2613,#2614,#1505,.T.); +#3190=EDGE_CURVE('',#2614,#2471,#1506,.T.); +#3191=EDGE_CURVE('',#2614,#2615,#1507,.T.); +#3192=EDGE_CURVE('',#2615,#2464,#1508,.T.); +#3193=EDGE_CURVE('',#2615,#2608,#1509,.T.); +#3194=EDGE_CURVE('',#2616,#2617,#1510,.T.); +#3195=EDGE_CURVE('',#2618,#2616,#1511,.T.); +#3196=EDGE_CURVE('',#2617,#2618,#1512,.T.); +#3197=EDGE_CURVE('',#2619,#2620,#1513,.T.); +#3198=EDGE_CURVE('',#2617,#2619,#1514,.T.); +#3199=EDGE_CURVE('',#2618,#2620,#1515,.T.); +#3200=EDGE_CURVE('',#2621,#2619,#1516,.T.); +#3201=EDGE_CURVE('',#2616,#2621,#1517,.T.); +#3202=EDGE_CURVE('',#2620,#2621,#1518,.T.); +#3203=EDGE_CURVE('',#2622,#2440,#1519,.T.); +#3204=EDGE_CURVE('',#2622,#2623,#1520,.T.); +#3205=EDGE_CURVE('',#2623,#2441,#1521,.T.); +#3206=EDGE_CURVE('',#2623,#2624,#1522,.T.); +#3207=EDGE_CURVE('',#2624,#2442,#1523,.T.); +#3208=EDGE_CURVE('',#2624,#2625,#1524,.T.); +#3209=EDGE_CURVE('',#2625,#2439,#1525,.T.); +#3210=EDGE_CURVE('',#2625,#2622,#1526,.T.); +#3211=EDGE_CURVE('',#2626,#2452,#1527,.T.); +#3212=EDGE_CURVE('',#2626,#2627,#1528,.T.); +#3213=EDGE_CURVE('',#2627,#2453,#1529,.T.); +#3214=EDGE_CURVE('',#2627,#2628,#1530,.T.); +#3215=EDGE_CURVE('',#2628,#2454,#1531,.T.); +#3216=EDGE_CURVE('',#2628,#2629,#1532,.T.); +#3217=EDGE_CURVE('',#2629,#2455,#1533,.T.); +#3218=EDGE_CURVE('',#2629,#2630,#1534,.T.); +#3219=EDGE_CURVE('',#2630,#2456,#1535,.T.); +#3220=EDGE_CURVE('',#2630,#2631,#1536,.T.); +#3221=EDGE_CURVE('',#2631,#2457,#1537,.T.); +#3222=EDGE_CURVE('',#2631,#2632,#1538,.T.); +#3223=EDGE_CURVE('',#2632,#2458,#1539,.T.); +#3224=EDGE_CURVE('',#2632,#2633,#1540,.T.); +#3225=EDGE_CURVE('',#2633,#2459,#1541,.T.); +#3226=EDGE_CURVE('',#2633,#2634,#1542,.T.); +#3227=EDGE_CURVE('',#2634,#2460,#1543,.T.); +#3228=EDGE_CURVE('',#2634,#2635,#1544,.T.); +#3229=EDGE_CURVE('',#2635,#2461,#1545,.T.); +#3230=EDGE_CURVE('',#2635,#2636,#1546,.T.); +#3231=EDGE_CURVE('',#2636,#2462,#1547,.T.); +#3232=EDGE_CURVE('',#2636,#2637,#1548,.T.); +#3233=EDGE_CURVE('',#2637,#2463,#1549,.T.); +#3234=EDGE_CURVE('',#2637,#2638,#1550,.T.); +#3235=EDGE_CURVE('',#2638,#2451,#1551,.T.); +#3236=EDGE_CURVE('',#2638,#2626,#1552,.T.); +#3237=EDGE_CURVE('',#2639,#2444,#1553,.T.); +#3238=EDGE_CURVE('',#2639,#2640,#1554,.T.); +#3239=EDGE_CURVE('',#2640,#2445,#1555,.T.); +#3240=EDGE_CURVE('',#2640,#2641,#1556,.T.); +#3241=EDGE_CURVE('',#2641,#2446,#1557,.T.); +#3242=EDGE_CURVE('',#2641,#2642,#1558,.T.); +#3243=EDGE_CURVE('',#2642,#2447,#1559,.T.); +#3244=EDGE_CURVE('',#2642,#2643,#1560,.T.); +#3245=EDGE_CURVE('',#2643,#2448,#1561,.T.); +#3246=EDGE_CURVE('',#2643,#2644,#1562,.T.); +#3247=EDGE_CURVE('',#2644,#2449,#1563,.T.); +#3248=EDGE_CURVE('',#2644,#2645,#1564,.T.); +#3249=EDGE_CURVE('',#2645,#2450,#1565,.T.); +#3250=EDGE_CURVE('',#2645,#2646,#1566,.T.); +#3251=EDGE_CURVE('',#2646,#2443,#1567,.T.); +#3252=EDGE_CURVE('',#2646,#2639,#1568,.T.); +#3253=EDGE_CURVE('',#2647,#2648,#1569,.T.); +#3254=EDGE_CURVE('',#2649,#2647,#1570,.T.); +#3255=EDGE_CURVE('',#2648,#2649,#1571,.T.); +#3256=EDGE_CURVE('',#2650,#2651,#1572,.T.); +#3257=EDGE_CURVE('',#2648,#2650,#1573,.T.); +#3258=EDGE_CURVE('',#2649,#2651,#1574,.T.); +#3259=EDGE_CURVE('',#2652,#2650,#1575,.T.); +#3260=EDGE_CURVE('',#2647,#2652,#1576,.T.); +#3261=EDGE_CURVE('',#2651,#2652,#1577,.T.); +#3262=EDGE_CURVE('',#2328,#2653,#1578,.T.); +#3263=EDGE_CURVE('',#2654,#2653,#1579,.T.); +#3264=EDGE_CURVE('',#2326,#2654,#1580,.T.); +#3265=EDGE_CURVE('',#2653,#2655,#1581,.T.); +#3266=EDGE_CURVE('',#2656,#2655,#1582,.T.); +#3267=EDGE_CURVE('',#2654,#2656,#1583,.T.); +#3268=EDGE_CURVE('',#2655,#2327,#1584,.T.); +#3269=EDGE_CURVE('',#2656,#2325,#1585,.T.); +#3270=EDGE_CURVE('',#2324,#2657,#1586,.T.); +#3271=EDGE_CURVE('',#2658,#2657,#1587,.T.); +#3272=EDGE_CURVE('',#2322,#2658,#1588,.T.); +#3273=EDGE_CURVE('',#2657,#2659,#1589,.T.); +#3274=EDGE_CURVE('',#2660,#2659,#1590,.T.); +#3275=EDGE_CURVE('',#2658,#2660,#1591,.T.); +#3276=EDGE_CURVE('',#2659,#2323,#1592,.T.); +#3277=EDGE_CURVE('',#2660,#2321,#1593,.T.); +#3278=EDGE_CURVE('',#2320,#2661,#1594,.T.); +#3279=EDGE_CURVE('',#2662,#2661,#1595,.T.); +#3280=EDGE_CURVE('',#2318,#2662,#1596,.T.); +#3281=EDGE_CURVE('',#2661,#2663,#1597,.T.); +#3282=EDGE_CURVE('',#2664,#2663,#1598,.T.); +#3283=EDGE_CURVE('',#2662,#2664,#1599,.T.); +#3284=EDGE_CURVE('',#2663,#2319,#1600,.T.); +#3285=EDGE_CURVE('',#2664,#2317,#1601,.T.); +#3286=EDGE_CURVE('',#2316,#2665,#1602,.T.); +#3287=EDGE_CURVE('',#2666,#2665,#1603,.T.); +#3288=EDGE_CURVE('',#2314,#2666,#1604,.T.); +#3289=EDGE_CURVE('',#2665,#2667,#1605,.T.); +#3290=EDGE_CURVE('',#2668,#2667,#1606,.T.); +#3291=EDGE_CURVE('',#2666,#2668,#1607,.T.); +#3292=EDGE_CURVE('',#2667,#2315,#1608,.T.); +#3293=EDGE_CURVE('',#2668,#2313,#1609,.T.); +#3294=EDGE_CURVE('',#2281,#2282,#484,.T.); +#3295=EDGE_CURVE('',#2277,#2278,#485,.T.); +#3296=EDGE_CURVE('',#2273,#2274,#486,.T.); +#3297=EDGE_CURVE('',#2269,#2270,#487,.T.); +#3298=EDGE_CURVE('',#2266,#2265,#488,.F.); +#3299=EDGE_CURVE('',#2262,#2261,#489,.F.); +#3300=EDGE_CURVE('',#2669,#2410,#1610,.T.); +#3301=EDGE_CURVE('',#2670,#2669,#1611,.T.); +#3302=EDGE_CURVE('',#2670,#2409,#1612,.T.); +#3303=EDGE_CURVE('',#2671,#2670,#1613,.T.); +#3304=EDGE_CURVE('',#2671,#2411,#1614,.T.); +#3305=EDGE_CURVE('',#2672,#2671,#1615,.T.); +#3306=EDGE_CURVE('',#2672,#2412,#1616,.T.); +#3307=EDGE_CURVE('',#2669,#2672,#1617,.T.); +#3308=EDGE_CURVE('',#2673,#2386,#1618,.T.); +#3309=EDGE_CURVE('',#2673,#2674,#1619,.T.); +#3310=EDGE_CURVE('',#2674,#2387,#1620,.T.); +#3311=EDGE_CURVE('',#2674,#2675,#1621,.T.); +#3312=EDGE_CURVE('',#2675,#2388,#1622,.T.); +#3313=EDGE_CURVE('',#2675,#2676,#1623,.T.); +#3314=EDGE_CURVE('',#2676,#2385,#1624,.T.); +#3315=EDGE_CURVE('',#2676,#2673,#1625,.T.); +#3316=EDGE_CURVE('',#2677,#2362,#1626,.T.); +#3317=EDGE_CURVE('',#2375,#2677,#1627,.T.); +#3318=EDGE_CURVE('',#2677,#2379,#1628,.T.); +#3319=EDGE_CURVE('',#2678,#2350,#1629,.T.); +#3320=EDGE_CURVE('',#2369,#2678,#1630,.T.); +#3321=EDGE_CURVE('',#2678,#2373,#1631,.T.); +#3322=EDGE_CURVE('',#2312,#2679,#1632,.T.); +#3323=EDGE_CURVE('',#2680,#2679,#1633,.T.); +#3324=EDGE_CURVE('',#2310,#2680,#1634,.T.); +#3325=EDGE_CURVE('',#2679,#2681,#1635,.T.); +#3326=EDGE_CURVE('',#2682,#2681,#1636,.T.); +#3327=EDGE_CURVE('',#2680,#2682,#1637,.T.); +#3328=EDGE_CURVE('',#2681,#2311,#1638,.T.); +#3329=EDGE_CURVE('',#2682,#2309,#1639,.T.); +#3330=EDGE_CURVE('',#2308,#2683,#1640,.T.); +#3331=EDGE_CURVE('',#2684,#2683,#1641,.T.); +#3332=EDGE_CURVE('',#2306,#2684,#1642,.T.); +#3333=EDGE_CURVE('',#2683,#2685,#1643,.T.); +#3334=EDGE_CURVE('',#2686,#2685,#1644,.T.); +#3335=EDGE_CURVE('',#2684,#2686,#1645,.T.); +#3336=EDGE_CURVE('',#2685,#2307,#1646,.T.); +#3337=EDGE_CURVE('',#2686,#2305,#1647,.T.); +#3338=EDGE_CURVE('',#2304,#2687,#1648,.T.); +#3339=EDGE_CURVE('',#2688,#2687,#1649,.T.); +#3340=EDGE_CURVE('',#2302,#2688,#1650,.T.); +#3341=EDGE_CURVE('',#2687,#2689,#1651,.T.); +#3342=EDGE_CURVE('',#2690,#2689,#1652,.T.); +#3343=EDGE_CURVE('',#2688,#2690,#1653,.T.); +#3344=EDGE_CURVE('',#2689,#2303,#1654,.T.); +#3345=EDGE_CURVE('',#2690,#2301,#1655,.T.); +#3346=EDGE_CURVE('',#2300,#2691,#1656,.T.); +#3347=EDGE_CURVE('',#2692,#2691,#1657,.T.); +#3348=EDGE_CURVE('',#2298,#2692,#1658,.T.); +#3349=EDGE_CURVE('',#2691,#2693,#1659,.T.); +#3350=EDGE_CURVE('',#2694,#2693,#1660,.T.); +#3351=EDGE_CURVE('',#2692,#2694,#1661,.T.); +#3352=EDGE_CURVE('',#2693,#2299,#1662,.T.); +#3353=EDGE_CURVE('',#2694,#2297,#1663,.T.); +#3354=ORIENTED_EDGE('',*,*,#2695,.T.); +#3355=ORIENTED_EDGE('',*,*,#2696,.T.); +#3356=ORIENTED_EDGE('',*,*,#2697,.T.); +#3357=ORIENTED_EDGE('',*,*,#2696,.F.); +#3358=ORIENTED_EDGE('',*,*,#2698,.T.); +#3359=ORIENTED_EDGE('',*,*,#2699,.T.); +#3360=ORIENTED_EDGE('',*,*,#2700,.T.); +#3361=ORIENTED_EDGE('',*,*,#2699,.F.); +#3362=ORIENTED_EDGE('',*,*,#2701,.F.); +#3363=ORIENTED_EDGE('',*,*,#2702,.T.); +#3364=ORIENTED_EDGE('',*,*,#2703,.T.); +#3365=ORIENTED_EDGE('',*,*,#2704,.F.); +#3366=ORIENTED_EDGE('',*,*,#2705,.F.); +#3367=ORIENTED_EDGE('',*,*,#2706,.T.); +#3368=ORIENTED_EDGE('',*,*,#2707,.T.); +#3369=ORIENTED_EDGE('',*,*,#2708,.F.); +#3370=ORIENTED_EDGE('',*,*,#2709,.T.); +#3371=ORIENTED_EDGE('',*,*,#2710,.T.); +#3372=ORIENTED_EDGE('',*,*,#2711,.F.); +#3373=ORIENTED_EDGE('',*,*,#2712,.F.); +#3374=ORIENTED_EDGE('',*,*,#2713,.T.); +#3375=ORIENTED_EDGE('',*,*,#2714,.T.); +#3376=ORIENTED_EDGE('',*,*,#2715,.F.); +#3377=ORIENTED_EDGE('',*,*,#2716,.F.); +#3378=ORIENTED_EDGE('',*,*,#2717,.T.); +#3379=ORIENTED_EDGE('',*,*,#2718,.T.); +#3380=ORIENTED_EDGE('',*,*,#2719,.F.); +#3381=ORIENTED_EDGE('',*,*,#2720,.F.); +#3382=ORIENTED_EDGE('',*,*,#2721,.T.); +#3383=ORIENTED_EDGE('',*,*,#2722,.T.); +#3384=ORIENTED_EDGE('',*,*,#2723,.F.); +#3385=ORIENTED_EDGE('',*,*,#2724,.F.); +#3386=ORIENTED_EDGE('',*,*,#2725,.F.); +#3387=ORIENTED_EDGE('',*,*,#2726,.F.); +#3388=ORIENTED_EDGE('',*,*,#2727,.F.); +#3389=ORIENTED_EDGE('',*,*,#2728,.T.); +#3390=ORIENTED_EDGE('',*,*,#2729,.F.); +#3391=ORIENTED_EDGE('',*,*,#2730,.F.); +#3392=ORIENTED_EDGE('',*,*,#2731,.T.); +#3393=ORIENTED_EDGE('',*,*,#2732,.T.); +#3394=ORIENTED_EDGE('',*,*,#2733,.T.); +#3395=ORIENTED_EDGE('',*,*,#2734,.F.); +#3396=ORIENTED_EDGE('',*,*,#2735,.T.); +#3397=ORIENTED_EDGE('',*,*,#2736,.T.); +#3398=ORIENTED_EDGE('',*,*,#2737,.T.); +#3399=ORIENTED_EDGE('',*,*,#2738,.F.); +#3400=ORIENTED_EDGE('',*,*,#2695,.F.); +#3401=ORIENTED_EDGE('',*,*,#2698,.F.); +#3402=ORIENTED_EDGE('',*,*,#2739,.F.); +#3403=ORIENTED_EDGE('',*,*,#2740,.T.); +#3404=ORIENTED_EDGE('',*,*,#2741,.T.); +#3405=ORIENTED_EDGE('',*,*,#2742,.F.); +#3406=ORIENTED_EDGE('',*,*,#2743,.F.); +#3407=ORIENTED_EDGE('',*,*,#2744,.T.); +#3408=ORIENTED_EDGE('',*,*,#2745,.T.); +#3409=ORIENTED_EDGE('',*,*,#2746,.F.); +#3410=ORIENTED_EDGE('',*,*,#2747,.F.); +#3411=ORIENTED_EDGE('',*,*,#2748,.T.); +#3412=ORIENTED_EDGE('',*,*,#2749,.T.); +#3413=ORIENTED_EDGE('',*,*,#2750,.F.); +#3414=ORIENTED_EDGE('',*,*,#2751,.F.); +#3415=ORIENTED_EDGE('',*,*,#2752,.T.); +#3416=ORIENTED_EDGE('',*,*,#2753,.T.); +#3417=ORIENTED_EDGE('',*,*,#2754,.F.); +#3418=ORIENTED_EDGE('',*,*,#2755,.F.); +#3419=ORIENTED_EDGE('',*,*,#2756,.T.); +#3420=ORIENTED_EDGE('',*,*,#2757,.T.); +#3421=ORIENTED_EDGE('',*,*,#2758,.F.); +#3422=ORIENTED_EDGE('',*,*,#2759,.F.); +#3423=ORIENTED_EDGE('',*,*,#2760,.T.); +#3424=ORIENTED_EDGE('',*,*,#2761,.T.); +#3425=ORIENTED_EDGE('',*,*,#2762,.F.); +#3426=ORIENTED_EDGE('',*,*,#2763,.F.); +#3427=ORIENTED_EDGE('',*,*,#2764,.T.); +#3428=ORIENTED_EDGE('',*,*,#2765,.T.); +#3429=ORIENTED_EDGE('',*,*,#2766,.F.); +#3430=ORIENTED_EDGE('',*,*,#2767,.F.); +#3431=ORIENTED_EDGE('',*,*,#2768,.T.); +#3432=ORIENTED_EDGE('',*,*,#2769,.T.); +#3433=ORIENTED_EDGE('',*,*,#2770,.F.); +#3434=ORIENTED_EDGE('',*,*,#2771,.F.); +#3435=ORIENTED_EDGE('',*,*,#2772,.T.); +#3436=ORIENTED_EDGE('',*,*,#2773,.T.); +#3437=ORIENTED_EDGE('',*,*,#2774,.F.); +#3438=ORIENTED_EDGE('',*,*,#2775,.F.); +#3439=ORIENTED_EDGE('',*,*,#2776,.T.); +#3440=ORIENTED_EDGE('',*,*,#2777,.T.); +#3441=ORIENTED_EDGE('',*,*,#2778,.F.); +#3442=ORIENTED_EDGE('',*,*,#2779,.F.); +#3443=ORIENTED_EDGE('',*,*,#2780,.T.); +#3444=ORIENTED_EDGE('',*,*,#2781,.T.); +#3445=ORIENTED_EDGE('',*,*,#2782,.F.); +#3446=ORIENTED_EDGE('',*,*,#2783,.F.); +#3447=ORIENTED_EDGE('',*,*,#2784,.T.); +#3448=ORIENTED_EDGE('',*,*,#2785,.T.); +#3449=ORIENTED_EDGE('',*,*,#2786,.F.); +#3450=ORIENTED_EDGE('',*,*,#2787,.F.); +#3451=ORIENTED_EDGE('',*,*,#2788,.T.); +#3452=ORIENTED_EDGE('',*,*,#2789,.T.); +#3453=ORIENTED_EDGE('',*,*,#2790,.F.); +#3454=ORIENTED_EDGE('',*,*,#2791,.F.); +#3455=ORIENTED_EDGE('',*,*,#2792,.T.); +#3456=ORIENTED_EDGE('',*,*,#2793,.T.); +#3457=ORIENTED_EDGE('',*,*,#2794,.T.); +#3458=ORIENTED_EDGE('',*,*,#2795,.T.); +#3459=ORIENTED_EDGE('',*,*,#2796,.T.); +#3460=ORIENTED_EDGE('',*,*,#2797,.T.); +#3461=ORIENTED_EDGE('',*,*,#2798,.T.); +#3462=ORIENTED_EDGE('',*,*,#2799,.T.); +#3463=ORIENTED_EDGE('',*,*,#2800,.T.); +#3464=ORIENTED_EDGE('',*,*,#2801,.T.); +#3465=ORIENTED_EDGE('',*,*,#2802,.T.); +#3466=ORIENTED_EDGE('',*,*,#2803,.F.); +#3467=ORIENTED_EDGE('',*,*,#2804,.F.); +#3468=ORIENTED_EDGE('',*,*,#2805,.T.); +#3469=ORIENTED_EDGE('',*,*,#2806,.T.); +#3470=ORIENTED_EDGE('',*,*,#2807,.T.); +#3471=ORIENTED_EDGE('',*,*,#2808,.T.); +#3472=ORIENTED_EDGE('',*,*,#2809,.T.); +#3473=ORIENTED_EDGE('',*,*,#2810,.F.); +#3474=ORIENTED_EDGE('',*,*,#2811,.F.); +#3475=ORIENTED_EDGE('',*,*,#2812,.F.); +#3476=ORIENTED_EDGE('',*,*,#2813,.T.); +#3477=ORIENTED_EDGE('',*,*,#2814,.T.); +#3478=ORIENTED_EDGE('',*,*,#2815,.F.); +#3479=ORIENTED_EDGE('',*,*,#2792,.F.); +#3480=ORIENTED_EDGE('',*,*,#2816,.T.); +#3481=ORIENTED_EDGE('',*,*,#2809,.F.); +#3482=ORIENTED_EDGE('',*,*,#2817,.T.); +#3483=ORIENTED_EDGE('',*,*,#2818,.T.); +#3484=ORIENTED_EDGE('',*,*,#2813,.F.); +#3485=ORIENTED_EDGE('',*,*,#2819,.F.); +#3486=ORIENTED_EDGE('',*,*,#2820,.F.); +#3487=ORIENTED_EDGE('',*,*,#2821,.F.); +#3488=ORIENTED_EDGE('',*,*,#2822,.T.); +#3489=ORIENTED_EDGE('',*,*,#2823,.T.); +#3490=ORIENTED_EDGE('',*,*,#2824,.F.); +#3491=ORIENTED_EDGE('',*,*,#2805,.F.); +#3492=ORIENTED_EDGE('',*,*,#2825,.T.); +#3493=ORIENTED_EDGE('',*,*,#2802,.F.); +#3494=ORIENTED_EDGE('',*,*,#2826,.T.); +#3495=ORIENTED_EDGE('',*,*,#2827,.T.); +#3496=ORIENTED_EDGE('',*,*,#2822,.F.); +#3497=ORIENTED_EDGE('',*,*,#2828,.F.); +#3498=ORIENTED_EDGE('',*,*,#2829,.F.); +#3499=ORIENTED_EDGE('',*,*,#2830,.F.); +#3500=ORIENTED_EDGE('',*,*,#2831,.T.); +#3501=ORIENTED_EDGE('',*,*,#2832,.T.); +#3502=ORIENTED_EDGE('',*,*,#2833,.T.); +#3503=ORIENTED_EDGE('',*,*,#2834,.T.); +#3504=ORIENTED_EDGE('',*,*,#2835,.T.); +#3505=ORIENTED_EDGE('',*,*,#2836,.T.); +#3506=ORIENTED_EDGE('',*,*,#2837,.T.); +#3507=ORIENTED_EDGE('',*,*,#2838,.T.); +#3508=ORIENTED_EDGE('',*,*,#2829,.T.); +#3509=ORIENTED_EDGE('',*,*,#2839,.T.); +#3510=ORIENTED_EDGE('',*,*,#2840,.T.); +#3511=ORIENTED_EDGE('',*,*,#2729,.T.); +#3512=ORIENTED_EDGE('',*,*,#2841,.F.); +#3513=ORIENTED_EDGE('',*,*,#2842,.T.); +#3514=ORIENTED_EDGE('',*,*,#2843,.F.); +#3515=ORIENTED_EDGE('',*,*,#2844,.T.); +#3516=ORIENTED_EDGE('',*,*,#2845,.F.); +#3517=ORIENTED_EDGE('',*,*,#2725,.T.); +#3518=ORIENTED_EDGE('',*,*,#2846,.F.); +#3519=ORIENTED_EDGE('',*,*,#2847,.F.); +#3520=ORIENTED_EDGE('',*,*,#2713,.F.); +#3521=ORIENTED_EDGE('',*,*,#2848,.F.); +#3522=ORIENTED_EDGE('',*,*,#2709,.F.); +#3523=ORIENTED_EDGE('',*,*,#2849,.F.); +#3524=ORIENTED_EDGE('',*,*,#2838,.F.); +#3525=ORIENTED_EDGE('',*,*,#2837,.F.); +#3526=ORIENTED_EDGE('',*,*,#2850,.F.); +#3527=ORIENTED_EDGE('',*,*,#2830,.T.); +#3528=ORIENTED_EDGE('',*,*,#2851,.T.); +#3529=ORIENTED_EDGE('',*,*,#2852,.T.); +#3530=ORIENTED_EDGE('',*,*,#2853,.T.); +#3531=ORIENTED_EDGE('',*,*,#2854,.T.); +#3532=ORIENTED_EDGE('',*,*,#2855,.T.); +#3533=ORIENTED_EDGE('',*,*,#2856,.F.); +#3534=ORIENTED_EDGE('',*,*,#2737,.F.); +#3535=ORIENTED_EDGE('',*,*,#2857,.T.); +#3536=ORIENTED_EDGE('',*,*,#2858,.T.); +#3537=ORIENTED_EDGE('',*,*,#2859,.T.); +#3538=ORIENTED_EDGE('',*,*,#2860,.T.); +#3539=ORIENTED_EDGE('',*,*,#2861,.T.); +#3540=ORIENTED_EDGE('',*,*,#2733,.F.); +#3541=ORIENTED_EDGE('',*,*,#2862,.T.); +#3542=ORIENTED_EDGE('',*,*,#2705,.T.); +#3543=ORIENTED_EDGE('',*,*,#2863,.T.); +#3544=ORIENTED_EDGE('',*,*,#2701,.T.); +#3545=ORIENTED_EDGE('',*,*,#2864,.T.); +#3546=ORIENTED_EDGE('',*,*,#2852,.F.); +#3547=ORIENTED_EDGE('',*,*,#2865,.T.); +#3548=ORIENTED_EDGE('',*,*,#2866,.T.); +#3549=ORIENTED_EDGE('',*,*,#2867,.T.); +#3550=ORIENTED_EDGE('',*,*,#2868,.F.); +#3551=ORIENTED_EDGE('',*,*,#2869,.F.); +#3552=ORIENTED_EDGE('',*,*,#2870,.F.); +#3553=ORIENTED_EDGE('',*,*,#2871,.F.); +#3554=ORIENTED_EDGE('',*,*,#2851,.F.); +#3555=ORIENTED_EDGE('',*,*,#2862,.F.); +#3556=ORIENTED_EDGE('',*,*,#2732,.F.); +#3557=ORIENTED_EDGE('',*,*,#2872,.T.); +#3558=ORIENTED_EDGE('',*,*,#2865,.F.); +#3559=ORIENTED_EDGE('',*,*,#2873,.F.); +#3560=ORIENTED_EDGE('',*,*,#2855,.F.); +#3561=ORIENTED_EDGE('',*,*,#2874,.F.); +#3562=ORIENTED_EDGE('',*,*,#2875,.T.); +#3563=ORIENTED_EDGE('',*,*,#2850,.T.); +#3564=ORIENTED_EDGE('',*,*,#2847,.T.); +#3565=ORIENTED_EDGE('',*,*,#2876,.T.); +#3566=ORIENTED_EDGE('',*,*,#2877,.T.); +#3567=ORIENTED_EDGE('',*,*,#2878,.T.); +#3568=ORIENTED_EDGE('',*,*,#2879,.T.); +#3569=ORIENTED_EDGE('',*,*,#2880,.T.); +#3570=ORIENTED_EDGE('',*,*,#2881,.T.); +#3571=ORIENTED_EDGE('',*,*,#2882,.T.); +#3572=ORIENTED_EDGE('',*,*,#2883,.T.); +#3573=ORIENTED_EDGE('',*,*,#2884,.T.); +#3574=ORIENTED_EDGE('',*,*,#2885,.T.); +#3575=ORIENTED_EDGE('',*,*,#2886,.T.); +#3576=ORIENTED_EDGE('',*,*,#2887,.T.); +#3577=ORIENTED_EDGE('',*,*,#2888,.T.); +#3578=ORIENTED_EDGE('',*,*,#2889,.T.); +#3579=ORIENTED_EDGE('',*,*,#2890,.T.); +#3580=ORIENTED_EDGE('',*,*,#2891,.T.); +#3581=ORIENTED_EDGE('',*,*,#2892,.T.); +#3582=ORIENTED_EDGE('',*,*,#2893,.T.); +#3583=ORIENTED_EDGE('',*,*,#2894,.T.); +#3584=ORIENTED_EDGE('',*,*,#2895,.T.); +#3585=ORIENTED_EDGE('',*,*,#2896,.T.); +#3586=ORIENTED_EDGE('',*,*,#2897,.T.); +#3587=ORIENTED_EDGE('',*,*,#2898,.T.); +#3588=ORIENTED_EDGE('',*,*,#2899,.T.); +#3589=ORIENTED_EDGE('',*,*,#2900,.T.); +#3590=ORIENTED_EDGE('',*,*,#2901,.T.); +#3591=ORIENTED_EDGE('',*,*,#2902,.T.); +#3592=ORIENTED_EDGE('',*,*,#2903,.T.); +#3593=ORIENTED_EDGE('',*,*,#2904,.T.); +#3594=ORIENTED_EDGE('',*,*,#2905,.T.); +#3595=ORIENTED_EDGE('',*,*,#2906,.T.); +#3596=ORIENTED_EDGE('',*,*,#2907,.T.); +#3597=ORIENTED_EDGE('',*,*,#2908,.T.); +#3598=ORIENTED_EDGE('',*,*,#2909,.T.); +#3599=ORIENTED_EDGE('',*,*,#2910,.T.); +#3600=ORIENTED_EDGE('',*,*,#2911,.T.); +#3601=ORIENTED_EDGE('',*,*,#2912,.T.); +#3602=ORIENTED_EDGE('',*,*,#2913,.T.); +#3603=ORIENTED_EDGE('',*,*,#2717,.F.); +#3604=ORIENTED_EDGE('',*,*,#2914,.F.); +#3605=ORIENTED_EDGE('',*,*,#2721,.F.); +#3606=ORIENTED_EDGE('',*,*,#2915,.F.); +#3607=ORIENTED_EDGE('',*,*,#2916,.T.); +#3608=ORIENTED_EDGE('',*,*,#2917,.T.); +#3609=ORIENTED_EDGE('',*,*,#2918,.T.); +#3610=ORIENTED_EDGE('',*,*,#2919,.T.); +#3611=ORIENTED_EDGE('',*,*,#2920,.T.); +#3612=ORIENTED_EDGE('',*,*,#2921,.T.); +#3613=ORIENTED_EDGE('',*,*,#2922,.T.); +#3614=ORIENTED_EDGE('',*,*,#2923,.T.); +#3615=ORIENTED_EDGE('',*,*,#2924,.T.); +#3616=ORIENTED_EDGE('',*,*,#2925,.T.); +#3617=ORIENTED_EDGE('',*,*,#2926,.T.); +#3618=ORIENTED_EDGE('',*,*,#2927,.T.); +#3619=ORIENTED_EDGE('',*,*,#2928,.T.); +#3620=ORIENTED_EDGE('',*,*,#2929,.T.); +#3621=ORIENTED_EDGE('',*,*,#2930,.T.); +#3622=ORIENTED_EDGE('',*,*,#2931,.T.); +#3623=ORIENTED_EDGE('',*,*,#2932,.T.); +#3624=ORIENTED_EDGE('',*,*,#2933,.T.); +#3625=ORIENTED_EDGE('',*,*,#2934,.T.); +#3626=ORIENTED_EDGE('',*,*,#2935,.T.); +#3627=ORIENTED_EDGE('',*,*,#2936,.T.); +#3628=ORIENTED_EDGE('',*,*,#2937,.T.); +#3629=ORIENTED_EDGE('',*,*,#2938,.T.); +#3630=ORIENTED_EDGE('',*,*,#2939,.T.); +#3631=ORIENTED_EDGE('',*,*,#2940,.T.); +#3632=ORIENTED_EDGE('',*,*,#2941,.T.); +#3633=ORIENTED_EDGE('',*,*,#2942,.T.); +#3634=ORIENTED_EDGE('',*,*,#2943,.T.); +#3635=ORIENTED_EDGE('',*,*,#2944,.T.); +#3636=ORIENTED_EDGE('',*,*,#2945,.T.); +#3637=ORIENTED_EDGE('',*,*,#2946,.T.); +#3638=ORIENTED_EDGE('',*,*,#2947,.T.); +#3639=ORIENTED_EDGE('',*,*,#2948,.T.); +#3640=ORIENTED_EDGE('',*,*,#2949,.T.); +#3641=ORIENTED_EDGE('',*,*,#2950,.T.); +#3642=ORIENTED_EDGE('',*,*,#2951,.T.); +#3643=ORIENTED_EDGE('',*,*,#2952,.T.); +#3644=ORIENTED_EDGE('',*,*,#2953,.T.); +#3645=ORIENTED_EDGE('',*,*,#2954,.T.); +#3646=ORIENTED_EDGE('',*,*,#2955,.T.); +#3647=ORIENTED_EDGE('',*,*,#2956,.T.); +#3648=ORIENTED_EDGE('',*,*,#2957,.T.); +#3649=ORIENTED_EDGE('',*,*,#2958,.T.); +#3650=ORIENTED_EDGE('',*,*,#2959,.T.); +#3651=ORIENTED_EDGE('',*,*,#2840,.F.); +#3652=ORIENTED_EDGE('',*,*,#2839,.F.); +#3653=ORIENTED_EDGE('',*,*,#2832,.F.); +#3654=ORIENTED_EDGE('',*,*,#2960,.T.); +#3655=ORIENTED_EDGE('',*,*,#2730,.T.); +#3656=ORIENTED_EDGE('',*,*,#2854,.F.); +#3657=ORIENTED_EDGE('',*,*,#2853,.F.); +#3658=ORIENTED_EDGE('',*,*,#2867,.F.); +#3659=ORIENTED_EDGE('',*,*,#2874,.T.); +#3660=ORIENTED_EDGE('',*,*,#2831,.F.); +#3661=ORIENTED_EDGE('',*,*,#2875,.F.); +#3662=ORIENTED_EDGE('',*,*,#2866,.F.); +#3663=ORIENTED_EDGE('',*,*,#2872,.F.); +#3664=ORIENTED_EDGE('',*,*,#2731,.F.); +#3665=ORIENTED_EDGE('',*,*,#2960,.F.); +#3666=ORIENTED_EDGE('',*,*,#2846,.T.); +#3667=ORIENTED_EDGE('',*,*,#2738,.T.); +#3668=ORIENTED_EDGE('',*,*,#2856,.T.); +#3669=ORIENTED_EDGE('',*,*,#2873,.T.); +#3670=ORIENTED_EDGE('',*,*,#2961,.F.); +#3671=ORIENTED_EDGE('',*,*,#2962,.F.); +#3672=ORIENTED_EDGE('',*,*,#2963,.F.); +#3673=ORIENTED_EDGE('',*,*,#2823,.F.); +#3674=ORIENTED_EDGE('',*,*,#2827,.F.); +#3675=ORIENTED_EDGE('',*,*,#2964,.F.); +#3676=ORIENTED_EDGE('',*,*,#2965,.F.); +#3677=ORIENTED_EDGE('',*,*,#2966,.F.); +#3678=ORIENTED_EDGE('',*,*,#2967,.F.); +#3679=ORIENTED_EDGE('',*,*,#2968,.F.); +#3680=ORIENTED_EDGE('',*,*,#2969,.F.); +#3681=ORIENTED_EDGE('',*,*,#2970,.F.); +#3682=ORIENTED_EDGE('',*,*,#2971,.F.); +#3683=ORIENTED_EDGE('',*,*,#2972,.F.); +#3684=ORIENTED_EDGE('',*,*,#2814,.F.); +#3685=ORIENTED_EDGE('',*,*,#2818,.F.); +#3686=ORIENTED_EDGE('',*,*,#2961,.T.); +#3687=ORIENTED_EDGE('',*,*,#2817,.F.); +#3688=ORIENTED_EDGE('',*,*,#2808,.F.); +#3689=ORIENTED_EDGE('',*,*,#2973,.T.); +#3690=ORIENTED_EDGE('',*,*,#2962,.T.); +#3691=ORIENTED_EDGE('',*,*,#2973,.F.); +#3692=ORIENTED_EDGE('',*,*,#2807,.F.); +#3693=ORIENTED_EDGE('',*,*,#2974,.T.); +#3694=ORIENTED_EDGE('',*,*,#2963,.T.); +#3695=ORIENTED_EDGE('',*,*,#2974,.F.); +#3696=ORIENTED_EDGE('',*,*,#2806,.F.); +#3697=ORIENTED_EDGE('',*,*,#2824,.T.); +#3698=ORIENTED_EDGE('',*,*,#2964,.T.); +#3699=ORIENTED_EDGE('',*,*,#2826,.F.); +#3700=ORIENTED_EDGE('',*,*,#2801,.F.); +#3701=ORIENTED_EDGE('',*,*,#2975,.T.); +#3702=ORIENTED_EDGE('',*,*,#2965,.T.); +#3703=ORIENTED_EDGE('',*,*,#2975,.F.); +#3704=ORIENTED_EDGE('',*,*,#2800,.F.); +#3705=ORIENTED_EDGE('',*,*,#2976,.T.); +#3706=ORIENTED_EDGE('',*,*,#2966,.T.); +#3707=ORIENTED_EDGE('',*,*,#2976,.F.); +#3708=ORIENTED_EDGE('',*,*,#2799,.F.); +#3709=ORIENTED_EDGE('',*,*,#2977,.T.); +#3710=ORIENTED_EDGE('',*,*,#2967,.T.); +#3711=ORIENTED_EDGE('',*,*,#2977,.F.); +#3712=ORIENTED_EDGE('',*,*,#2798,.F.); +#3713=ORIENTED_EDGE('',*,*,#2978,.T.); +#3714=ORIENTED_EDGE('',*,*,#2968,.T.); +#3715=ORIENTED_EDGE('',*,*,#2978,.F.); +#3716=ORIENTED_EDGE('',*,*,#2797,.F.); +#3717=ORIENTED_EDGE('',*,*,#2979,.T.); +#3718=ORIENTED_EDGE('',*,*,#2969,.T.); +#3719=ORIENTED_EDGE('',*,*,#2979,.F.); +#3720=ORIENTED_EDGE('',*,*,#2796,.F.); +#3721=ORIENTED_EDGE('',*,*,#2980,.T.); +#3722=ORIENTED_EDGE('',*,*,#2970,.T.); +#3723=ORIENTED_EDGE('',*,*,#2980,.F.); +#3724=ORIENTED_EDGE('',*,*,#2795,.F.); +#3725=ORIENTED_EDGE('',*,*,#2981,.T.); +#3726=ORIENTED_EDGE('',*,*,#2971,.T.); +#3727=ORIENTED_EDGE('',*,*,#2981,.F.); +#3728=ORIENTED_EDGE('',*,*,#2794,.F.); +#3729=ORIENTED_EDGE('',*,*,#2982,.T.); +#3730=ORIENTED_EDGE('',*,*,#2972,.T.); +#3731=ORIENTED_EDGE('',*,*,#2982,.F.); +#3732=ORIENTED_EDGE('',*,*,#2793,.F.); +#3733=ORIENTED_EDGE('',*,*,#2815,.T.); +#3734=ORIENTED_EDGE('',*,*,#2861,.F.); +#3735=ORIENTED_EDGE('',*,*,#2983,.T.); +#3736=ORIENTED_EDGE('',*,*,#2984,.T.); +#3737=ORIENTED_EDGE('',*,*,#2734,.T.); +#3738=ORIENTED_EDGE('',*,*,#2859,.F.); +#3739=ORIENTED_EDGE('',*,*,#2985,.T.); +#3740=ORIENTED_EDGE('',*,*,#2986,.T.); +#3741=ORIENTED_EDGE('',*,*,#2987,.T.); +#3742=ORIENTED_EDGE('',*,*,#2988,.T.); +#3743=ORIENTED_EDGE('',*,*,#2989,.T.); +#3744=ORIENTED_EDGE('',*,*,#2857,.F.); +#3745=ORIENTED_EDGE('',*,*,#2736,.F.); +#3746=ORIENTED_EDGE('',*,*,#2986,.F.); +#3747=ORIENTED_EDGE('',*,*,#2990,.T.); +#3748=ORIENTED_EDGE('',*,*,#2988,.F.); +#3749=ORIENTED_EDGE('',*,*,#2735,.F.); +#3750=ORIENTED_EDGE('',*,*,#2984,.F.); +#3751=ORIENTED_EDGE('',*,*,#2991,.T.); +#3752=ORIENTED_EDGE('',*,*,#2990,.F.); +#3753=ORIENTED_EDGE('',*,*,#2985,.F.); +#3754=ORIENTED_EDGE('',*,*,#2858,.F.); +#3755=ORIENTED_EDGE('',*,*,#2989,.F.); +#3756=ORIENTED_EDGE('',*,*,#2991,.F.); +#3757=ORIENTED_EDGE('',*,*,#2983,.F.); +#3758=ORIENTED_EDGE('',*,*,#2860,.F.); +#3759=ORIENTED_EDGE('',*,*,#2987,.F.); +#3760=ORIENTED_EDGE('',*,*,#2845,.T.); +#3761=ORIENTED_EDGE('',*,*,#2992,.T.); +#3762=ORIENTED_EDGE('',*,*,#2993,.F.); +#3763=ORIENTED_EDGE('',*,*,#2726,.T.); +#3764=ORIENTED_EDGE('',*,*,#2994,.F.); +#3765=ORIENTED_EDGE('',*,*,#2995,.T.); +#3766=ORIENTED_EDGE('',*,*,#2843,.T.); +#3767=ORIENTED_EDGE('',*,*,#2996,.T.); +#3768=ORIENTED_EDGE('',*,*,#2997,.F.); +#3769=ORIENTED_EDGE('',*,*,#2998,.T.); +#3770=ORIENTED_EDGE('',*,*,#2841,.T.); +#3771=ORIENTED_EDGE('',*,*,#2728,.F.); +#3772=ORIENTED_EDGE('',*,*,#2993,.T.); +#3773=ORIENTED_EDGE('',*,*,#2999,.T.); +#3774=ORIENTED_EDGE('',*,*,#2994,.T.); +#3775=ORIENTED_EDGE('',*,*,#3000,.T.); +#3776=ORIENTED_EDGE('',*,*,#2997,.T.); +#3777=ORIENTED_EDGE('',*,*,#2727,.T.); +#3778=ORIENTED_EDGE('',*,*,#2999,.F.); +#3779=ORIENTED_EDGE('',*,*,#2992,.F.); +#3780=ORIENTED_EDGE('',*,*,#2844,.F.); +#3781=ORIENTED_EDGE('',*,*,#2995,.F.); +#3782=ORIENTED_EDGE('',*,*,#3000,.F.); +#3783=ORIENTED_EDGE('',*,*,#2996,.F.); +#3784=ORIENTED_EDGE('',*,*,#2842,.F.); +#3785=ORIENTED_EDGE('',*,*,#2998,.F.); +#3786=ORIENTED_EDGE('',*,*,#3001,.T.); +#3787=ORIENTED_EDGE('',*,*,#3002,.F.); +#3788=ORIENTED_EDGE('',*,*,#3003,.F.); +#3789=ORIENTED_EDGE('',*,*,#2790,.T.); +#3790=ORIENTED_EDGE('',*,*,#3004,.T.); +#3791=ORIENTED_EDGE('',*,*,#3005,.F.); +#3792=ORIENTED_EDGE('',*,*,#3006,.F.); +#3793=ORIENTED_EDGE('',*,*,#3002,.T.); +#3794=ORIENTED_EDGE('',*,*,#3007,.T.); +#3795=ORIENTED_EDGE('',*,*,#2788,.F.); +#3796=ORIENTED_EDGE('',*,*,#3008,.F.); +#3797=ORIENTED_EDGE('',*,*,#3005,.T.); +#3798=ORIENTED_EDGE('',*,*,#3003,.T.); +#3799=ORIENTED_EDGE('',*,*,#3006,.T.); +#3800=ORIENTED_EDGE('',*,*,#3008,.T.); +#3801=ORIENTED_EDGE('',*,*,#2787,.T.); +#3802=ORIENTED_EDGE('',*,*,#3001,.F.); +#3803=ORIENTED_EDGE('',*,*,#2789,.F.); +#3804=ORIENTED_EDGE('',*,*,#3007,.F.); +#3805=ORIENTED_EDGE('',*,*,#3004,.F.); +#3806=ORIENTED_EDGE('',*,*,#3009,.T.); +#3807=ORIENTED_EDGE('',*,*,#3010,.F.); +#3808=ORIENTED_EDGE('',*,*,#3011,.F.); +#3809=ORIENTED_EDGE('',*,*,#2786,.T.); +#3810=ORIENTED_EDGE('',*,*,#3012,.T.); +#3811=ORIENTED_EDGE('',*,*,#3013,.F.); +#3812=ORIENTED_EDGE('',*,*,#3014,.F.); +#3813=ORIENTED_EDGE('',*,*,#3010,.T.); +#3814=ORIENTED_EDGE('',*,*,#3015,.T.); +#3815=ORIENTED_EDGE('',*,*,#2784,.F.); +#3816=ORIENTED_EDGE('',*,*,#3016,.F.); +#3817=ORIENTED_EDGE('',*,*,#3013,.T.); +#3818=ORIENTED_EDGE('',*,*,#3011,.T.); +#3819=ORIENTED_EDGE('',*,*,#3014,.T.); +#3820=ORIENTED_EDGE('',*,*,#3016,.T.); +#3821=ORIENTED_EDGE('',*,*,#2783,.T.); +#3822=ORIENTED_EDGE('',*,*,#3009,.F.); +#3823=ORIENTED_EDGE('',*,*,#2785,.F.); +#3824=ORIENTED_EDGE('',*,*,#3015,.F.); +#3825=ORIENTED_EDGE('',*,*,#3012,.F.); +#3826=ORIENTED_EDGE('',*,*,#3017,.T.); +#3827=ORIENTED_EDGE('',*,*,#3018,.F.); +#3828=ORIENTED_EDGE('',*,*,#3019,.F.); +#3829=ORIENTED_EDGE('',*,*,#2782,.T.); +#3830=ORIENTED_EDGE('',*,*,#3020,.T.); +#3831=ORIENTED_EDGE('',*,*,#3021,.F.); +#3832=ORIENTED_EDGE('',*,*,#3022,.F.); +#3833=ORIENTED_EDGE('',*,*,#3018,.T.); +#3834=ORIENTED_EDGE('',*,*,#3023,.T.); +#3835=ORIENTED_EDGE('',*,*,#2780,.F.); +#3836=ORIENTED_EDGE('',*,*,#3024,.F.); +#3837=ORIENTED_EDGE('',*,*,#3021,.T.); +#3838=ORIENTED_EDGE('',*,*,#3019,.T.); +#3839=ORIENTED_EDGE('',*,*,#3022,.T.); +#3840=ORIENTED_EDGE('',*,*,#3024,.T.); +#3841=ORIENTED_EDGE('',*,*,#2779,.T.); +#3842=ORIENTED_EDGE('',*,*,#3017,.F.); +#3843=ORIENTED_EDGE('',*,*,#2781,.F.); +#3844=ORIENTED_EDGE('',*,*,#3023,.F.); +#3845=ORIENTED_EDGE('',*,*,#3020,.F.); +#3846=ORIENTED_EDGE('',*,*,#3025,.T.); +#3847=ORIENTED_EDGE('',*,*,#3026,.F.); +#3848=ORIENTED_EDGE('',*,*,#3027,.F.); +#3849=ORIENTED_EDGE('',*,*,#2778,.T.); +#3850=ORIENTED_EDGE('',*,*,#3028,.T.); +#3851=ORIENTED_EDGE('',*,*,#3029,.F.); +#3852=ORIENTED_EDGE('',*,*,#3030,.F.); +#3853=ORIENTED_EDGE('',*,*,#3026,.T.); +#3854=ORIENTED_EDGE('',*,*,#3031,.T.); +#3855=ORIENTED_EDGE('',*,*,#2776,.F.); +#3856=ORIENTED_EDGE('',*,*,#3032,.F.); +#3857=ORIENTED_EDGE('',*,*,#3029,.T.); +#3858=ORIENTED_EDGE('',*,*,#3027,.T.); +#3859=ORIENTED_EDGE('',*,*,#3030,.T.); +#3860=ORIENTED_EDGE('',*,*,#3032,.T.); +#3861=ORIENTED_EDGE('',*,*,#2775,.T.); +#3862=ORIENTED_EDGE('',*,*,#3025,.F.); +#3863=ORIENTED_EDGE('',*,*,#2777,.F.); +#3864=ORIENTED_EDGE('',*,*,#3031,.F.); +#3865=ORIENTED_EDGE('',*,*,#3028,.F.); +#3866=ORIENTED_EDGE('',*,*,#3033,.T.); +#3867=ORIENTED_EDGE('',*,*,#3034,.F.); +#3868=ORIENTED_EDGE('',*,*,#3035,.F.); +#3869=ORIENTED_EDGE('',*,*,#2774,.T.); +#3870=ORIENTED_EDGE('',*,*,#3036,.T.); +#3871=ORIENTED_EDGE('',*,*,#3037,.F.); +#3872=ORIENTED_EDGE('',*,*,#3038,.F.); +#3873=ORIENTED_EDGE('',*,*,#3034,.T.); +#3874=ORIENTED_EDGE('',*,*,#3039,.T.); +#3875=ORIENTED_EDGE('',*,*,#2772,.F.); +#3876=ORIENTED_EDGE('',*,*,#3040,.F.); +#3877=ORIENTED_EDGE('',*,*,#3037,.T.); +#3878=ORIENTED_EDGE('',*,*,#3035,.T.); +#3879=ORIENTED_EDGE('',*,*,#3038,.T.); +#3880=ORIENTED_EDGE('',*,*,#3040,.T.); +#3881=ORIENTED_EDGE('',*,*,#2771,.T.); +#3882=ORIENTED_EDGE('',*,*,#3033,.F.); +#3883=ORIENTED_EDGE('',*,*,#2773,.F.); +#3884=ORIENTED_EDGE('',*,*,#3039,.F.); +#3885=ORIENTED_EDGE('',*,*,#3036,.F.); +#3886=ORIENTED_EDGE('',*,*,#2953,.F.); +#3887=ORIENTED_EDGE('',*,*,#3041,.F.); +#3888=ORIENTED_EDGE('',*,*,#3042,.T.); +#3889=ORIENTED_EDGE('',*,*,#3043,.T.); +#3890=ORIENTED_EDGE('',*,*,#2954,.F.); +#3891=ORIENTED_EDGE('',*,*,#3043,.F.); +#3892=ORIENTED_EDGE('',*,*,#3044,.T.); +#3893=ORIENTED_EDGE('',*,*,#3045,.T.); +#3894=ORIENTED_EDGE('',*,*,#2955,.F.); +#3895=ORIENTED_EDGE('',*,*,#3045,.F.); +#3896=ORIENTED_EDGE('',*,*,#3046,.T.); +#3897=ORIENTED_EDGE('',*,*,#3047,.T.); +#3898=ORIENTED_EDGE('',*,*,#2956,.F.); +#3899=ORIENTED_EDGE('',*,*,#3047,.F.); +#3900=ORIENTED_EDGE('',*,*,#3048,.T.); +#3901=ORIENTED_EDGE('',*,*,#3049,.T.); +#3902=ORIENTED_EDGE('',*,*,#2957,.F.); +#3903=ORIENTED_EDGE('',*,*,#3049,.F.); +#3904=ORIENTED_EDGE('',*,*,#3050,.T.); +#3905=ORIENTED_EDGE('',*,*,#3051,.T.); +#3906=ORIENTED_EDGE('',*,*,#2958,.F.); +#3907=ORIENTED_EDGE('',*,*,#3051,.F.); +#3908=ORIENTED_EDGE('',*,*,#3052,.T.); +#3909=ORIENTED_EDGE('',*,*,#3053,.T.); +#3910=ORIENTED_EDGE('',*,*,#2959,.F.); +#3911=ORIENTED_EDGE('',*,*,#3053,.F.); +#3912=ORIENTED_EDGE('',*,*,#3054,.T.); +#3913=ORIENTED_EDGE('',*,*,#3055,.T.); +#3914=ORIENTED_EDGE('',*,*,#2952,.F.); +#3915=ORIENTED_EDGE('',*,*,#3055,.F.); +#3916=ORIENTED_EDGE('',*,*,#3056,.T.); +#3917=ORIENTED_EDGE('',*,*,#3041,.T.); +#3918=ORIENTED_EDGE('',*,*,#3042,.F.); +#3919=ORIENTED_EDGE('',*,*,#3056,.F.); +#3920=ORIENTED_EDGE('',*,*,#3054,.F.); +#3921=ORIENTED_EDGE('',*,*,#3052,.F.); +#3922=ORIENTED_EDGE('',*,*,#3050,.F.); +#3923=ORIENTED_EDGE('',*,*,#3048,.F.); +#3924=ORIENTED_EDGE('',*,*,#3046,.F.); +#3925=ORIENTED_EDGE('',*,*,#3044,.F.); +#3926=ORIENTED_EDGE('',*,*,#3057,.F.); +#3927=ORIENTED_EDGE('',*,*,#3058,.F.); +#3928=ORIENTED_EDGE('',*,*,#3059,.F.); +#3929=ORIENTED_EDGE('',*,*,#3060,.F.); +#3930=ORIENTED_EDGE('',*,*,#3061,.F.); +#3931=ORIENTED_EDGE('',*,*,#3059,.T.); +#3932=ORIENTED_EDGE('',*,*,#3062,.T.); +#3933=ORIENTED_EDGE('',*,*,#3063,.F.); +#3934=ORIENTED_EDGE('',*,*,#3064,.F.); +#3935=ORIENTED_EDGE('',*,*,#3057,.T.); +#3936=ORIENTED_EDGE('',*,*,#3061,.T.); +#3937=ORIENTED_EDGE('',*,*,#3065,.F.); +#3938=ORIENTED_EDGE('',*,*,#3062,.F.); +#3939=ORIENTED_EDGE('',*,*,#3058,.T.); +#3940=ORIENTED_EDGE('',*,*,#3064,.T.); +#3941=ORIENTED_EDGE('',*,*,#3063,.T.); +#3942=ORIENTED_EDGE('',*,*,#3060,.T.); +#3943=ORIENTED_EDGE('',*,*,#3065,.T.); +#3944=ORIENTED_EDGE('',*,*,#2877,.F.); +#3945=ORIENTED_EDGE('',*,*,#3066,.F.); +#3946=ORIENTED_EDGE('',*,*,#3067,.T.); +#3947=ORIENTED_EDGE('',*,*,#3068,.T.); +#3948=ORIENTED_EDGE('',*,*,#2878,.F.); +#3949=ORIENTED_EDGE('',*,*,#3068,.F.); +#3950=ORIENTED_EDGE('',*,*,#3069,.T.); +#3951=ORIENTED_EDGE('',*,*,#3070,.T.); +#3952=ORIENTED_EDGE('',*,*,#2879,.F.); +#3953=ORIENTED_EDGE('',*,*,#3070,.F.); +#3954=ORIENTED_EDGE('',*,*,#3071,.T.); +#3955=ORIENTED_EDGE('',*,*,#3072,.T.); +#3956=ORIENTED_EDGE('',*,*,#2880,.F.); +#3957=ORIENTED_EDGE('',*,*,#3072,.F.); +#3958=ORIENTED_EDGE('',*,*,#3073,.T.); +#3959=ORIENTED_EDGE('',*,*,#3074,.T.); +#3960=ORIENTED_EDGE('',*,*,#2881,.F.); +#3961=ORIENTED_EDGE('',*,*,#3074,.F.); +#3962=ORIENTED_EDGE('',*,*,#3075,.T.); +#3963=ORIENTED_EDGE('',*,*,#3076,.T.); +#3964=ORIENTED_EDGE('',*,*,#2882,.F.); +#3965=ORIENTED_EDGE('',*,*,#3076,.F.); +#3966=ORIENTED_EDGE('',*,*,#3077,.T.); +#3967=ORIENTED_EDGE('',*,*,#3078,.T.); +#3968=ORIENTED_EDGE('',*,*,#2883,.F.); +#3969=ORIENTED_EDGE('',*,*,#3078,.F.); +#3970=ORIENTED_EDGE('',*,*,#3079,.T.); +#3971=ORIENTED_EDGE('',*,*,#3080,.T.); +#3972=ORIENTED_EDGE('',*,*,#2884,.F.); +#3973=ORIENTED_EDGE('',*,*,#3080,.F.); +#3974=ORIENTED_EDGE('',*,*,#3081,.T.); +#3975=ORIENTED_EDGE('',*,*,#3082,.T.); +#3976=ORIENTED_EDGE('',*,*,#2885,.F.); +#3977=ORIENTED_EDGE('',*,*,#3082,.F.); +#3978=ORIENTED_EDGE('',*,*,#3083,.T.); +#3979=ORIENTED_EDGE('',*,*,#3084,.T.); +#3980=ORIENTED_EDGE('',*,*,#2886,.F.); +#3981=ORIENTED_EDGE('',*,*,#3084,.F.); +#3982=ORIENTED_EDGE('',*,*,#3085,.T.); +#3983=ORIENTED_EDGE('',*,*,#3086,.T.); +#3984=ORIENTED_EDGE('',*,*,#2876,.F.); +#3985=ORIENTED_EDGE('',*,*,#3086,.F.); +#3986=ORIENTED_EDGE('',*,*,#3087,.T.); +#3987=ORIENTED_EDGE('',*,*,#3066,.T.); +#3988=ORIENTED_EDGE('',*,*,#3067,.F.); +#3989=ORIENTED_EDGE('',*,*,#3087,.F.); +#3990=ORIENTED_EDGE('',*,*,#3085,.F.); +#3991=ORIENTED_EDGE('',*,*,#3083,.F.); +#3992=ORIENTED_EDGE('',*,*,#3081,.F.); +#3993=ORIENTED_EDGE('',*,*,#3079,.F.); +#3994=ORIENTED_EDGE('',*,*,#3077,.F.); +#3995=ORIENTED_EDGE('',*,*,#3075,.F.); +#3996=ORIENTED_EDGE('',*,*,#3073,.F.); +#3997=ORIENTED_EDGE('',*,*,#3071,.F.); +#3998=ORIENTED_EDGE('',*,*,#3069,.F.); +#3999=ORIENTED_EDGE('',*,*,#3088,.F.); +#4000=ORIENTED_EDGE('',*,*,#3089,.F.); +#4001=ORIENTED_EDGE('',*,*,#3090,.F.); +#4002=ORIENTED_EDGE('',*,*,#3091,.F.); +#4003=ORIENTED_EDGE('',*,*,#3092,.F.); +#4004=ORIENTED_EDGE('',*,*,#3093,.F.); +#4005=ORIENTED_EDGE('',*,*,#3094,.F.); +#4006=ORIENTED_EDGE('',*,*,#3092,.T.); +#4007=ORIENTED_EDGE('',*,*,#3095,.T.); +#4008=ORIENTED_EDGE('',*,*,#3096,.F.); +#4009=ORIENTED_EDGE('',*,*,#3097,.F.); +#4010=ORIENTED_EDGE('',*,*,#3088,.T.); +#4011=ORIENTED_EDGE('',*,*,#3094,.T.); +#4012=ORIENTED_EDGE('',*,*,#3098,.F.); +#4013=ORIENTED_EDGE('',*,*,#3099,.F.); +#4014=ORIENTED_EDGE('',*,*,#3089,.T.); +#4015=ORIENTED_EDGE('',*,*,#3097,.T.); +#4016=ORIENTED_EDGE('',*,*,#3100,.F.); +#4017=ORIENTED_EDGE('',*,*,#3101,.F.); +#4018=ORIENTED_EDGE('',*,*,#3090,.T.); +#4019=ORIENTED_EDGE('',*,*,#3099,.T.); +#4020=ORIENTED_EDGE('',*,*,#3102,.F.); +#4021=ORIENTED_EDGE('',*,*,#3095,.F.); +#4022=ORIENTED_EDGE('',*,*,#3091,.T.); +#4023=ORIENTED_EDGE('',*,*,#3101,.T.); +#4024=ORIENTED_EDGE('',*,*,#3096,.T.); +#4025=ORIENTED_EDGE('',*,*,#3093,.T.); +#4026=ORIENTED_EDGE('',*,*,#3102,.T.); +#4027=ORIENTED_EDGE('',*,*,#3100,.T.); +#4028=ORIENTED_EDGE('',*,*,#3098,.T.); +#4029=ORIENTED_EDGE('',*,*,#2944,.F.); +#4030=ORIENTED_EDGE('',*,*,#3103,.F.); +#4031=ORIENTED_EDGE('',*,*,#3104,.T.); +#4032=ORIENTED_EDGE('',*,*,#3105,.T.); +#4033=ORIENTED_EDGE('',*,*,#2945,.F.); +#4034=ORIENTED_EDGE('',*,*,#3105,.F.); +#4035=ORIENTED_EDGE('',*,*,#3106,.T.); +#4036=ORIENTED_EDGE('',*,*,#3107,.T.); +#4037=ORIENTED_EDGE('',*,*,#2946,.F.); +#4038=ORIENTED_EDGE('',*,*,#3107,.F.); +#4039=ORIENTED_EDGE('',*,*,#3108,.T.); +#4040=ORIENTED_EDGE('',*,*,#3109,.T.); +#4041=ORIENTED_EDGE('',*,*,#2947,.F.); +#4042=ORIENTED_EDGE('',*,*,#3109,.F.); +#4043=ORIENTED_EDGE('',*,*,#3110,.T.); +#4044=ORIENTED_EDGE('',*,*,#3111,.T.); +#4045=ORIENTED_EDGE('',*,*,#2948,.F.); +#4046=ORIENTED_EDGE('',*,*,#3111,.F.); +#4047=ORIENTED_EDGE('',*,*,#3112,.T.); +#4048=ORIENTED_EDGE('',*,*,#3113,.T.); +#4049=ORIENTED_EDGE('',*,*,#2949,.F.); +#4050=ORIENTED_EDGE('',*,*,#3113,.F.); +#4051=ORIENTED_EDGE('',*,*,#3114,.T.); +#4052=ORIENTED_EDGE('',*,*,#3115,.T.); +#4053=ORIENTED_EDGE('',*,*,#2950,.F.); +#4054=ORIENTED_EDGE('',*,*,#3115,.F.); +#4055=ORIENTED_EDGE('',*,*,#3116,.T.); +#4056=ORIENTED_EDGE('',*,*,#3117,.T.); +#4057=ORIENTED_EDGE('',*,*,#2951,.F.); +#4058=ORIENTED_EDGE('',*,*,#3117,.F.); +#4059=ORIENTED_EDGE('',*,*,#3118,.T.); +#4060=ORIENTED_EDGE('',*,*,#3119,.T.); +#4061=ORIENTED_EDGE('',*,*,#2943,.F.); +#4062=ORIENTED_EDGE('',*,*,#3119,.F.); +#4063=ORIENTED_EDGE('',*,*,#3120,.T.); +#4064=ORIENTED_EDGE('',*,*,#3103,.T.); +#4065=ORIENTED_EDGE('',*,*,#3104,.F.); +#4066=ORIENTED_EDGE('',*,*,#3120,.F.); +#4067=ORIENTED_EDGE('',*,*,#3118,.F.); +#4068=ORIENTED_EDGE('',*,*,#3116,.F.); +#4069=ORIENTED_EDGE('',*,*,#3114,.F.); +#4070=ORIENTED_EDGE('',*,*,#3112,.F.); +#4071=ORIENTED_EDGE('',*,*,#3110,.F.); +#4072=ORIENTED_EDGE('',*,*,#3108,.F.); +#4073=ORIENTED_EDGE('',*,*,#3106,.F.); +#4074=ORIENTED_EDGE('',*,*,#2888,.F.); +#4075=ORIENTED_EDGE('',*,*,#3121,.F.); +#4076=ORIENTED_EDGE('',*,*,#3122,.T.); +#4077=ORIENTED_EDGE('',*,*,#3123,.T.); +#4078=ORIENTED_EDGE('',*,*,#2889,.F.); +#4079=ORIENTED_EDGE('',*,*,#3123,.F.); +#4080=ORIENTED_EDGE('',*,*,#3124,.T.); +#4081=ORIENTED_EDGE('',*,*,#3125,.T.); +#4082=ORIENTED_EDGE('',*,*,#2890,.F.); +#4083=ORIENTED_EDGE('',*,*,#3125,.F.); +#4084=ORIENTED_EDGE('',*,*,#3126,.T.); +#4085=ORIENTED_EDGE('',*,*,#3127,.T.); +#4086=ORIENTED_EDGE('',*,*,#2891,.F.); +#4087=ORIENTED_EDGE('',*,*,#3127,.F.); +#4088=ORIENTED_EDGE('',*,*,#3128,.T.); +#4089=ORIENTED_EDGE('',*,*,#3129,.T.); +#4090=ORIENTED_EDGE('',*,*,#2892,.F.); +#4091=ORIENTED_EDGE('',*,*,#3129,.F.); +#4092=ORIENTED_EDGE('',*,*,#3130,.T.); +#4093=ORIENTED_EDGE('',*,*,#3131,.T.); +#4094=ORIENTED_EDGE('',*,*,#2893,.F.); +#4095=ORIENTED_EDGE('',*,*,#3131,.F.); +#4096=ORIENTED_EDGE('',*,*,#3132,.T.); +#4097=ORIENTED_EDGE('',*,*,#3133,.T.); +#4098=ORIENTED_EDGE('',*,*,#2894,.F.); +#4099=ORIENTED_EDGE('',*,*,#3133,.F.); +#4100=ORIENTED_EDGE('',*,*,#3134,.T.); +#4101=ORIENTED_EDGE('',*,*,#3135,.T.); +#4102=ORIENTED_EDGE('',*,*,#2895,.F.); +#4103=ORIENTED_EDGE('',*,*,#3135,.F.); +#4104=ORIENTED_EDGE('',*,*,#3136,.T.); +#4105=ORIENTED_EDGE('',*,*,#3137,.T.); +#4106=ORIENTED_EDGE('',*,*,#2887,.F.); +#4107=ORIENTED_EDGE('',*,*,#3137,.F.); +#4108=ORIENTED_EDGE('',*,*,#3138,.T.); +#4109=ORIENTED_EDGE('',*,*,#3121,.T.); +#4110=ORIENTED_EDGE('',*,*,#3122,.F.); +#4111=ORIENTED_EDGE('',*,*,#3138,.F.); +#4112=ORIENTED_EDGE('',*,*,#3136,.F.); +#4113=ORIENTED_EDGE('',*,*,#3134,.F.); +#4114=ORIENTED_EDGE('',*,*,#3132,.F.); +#4115=ORIENTED_EDGE('',*,*,#3130,.F.); +#4116=ORIENTED_EDGE('',*,*,#3128,.F.); +#4117=ORIENTED_EDGE('',*,*,#3126,.F.); +#4118=ORIENTED_EDGE('',*,*,#3124,.F.); +#4119=ORIENTED_EDGE('',*,*,#3139,.F.); +#4120=ORIENTED_EDGE('',*,*,#3140,.F.); +#4121=ORIENTED_EDGE('',*,*,#3141,.F.); +#4122=ORIENTED_EDGE('',*,*,#3142,.F.); +#4123=ORIENTED_EDGE('',*,*,#3143,.F.); +#4124=ORIENTED_EDGE('',*,*,#3144,.F.); +#4125=ORIENTED_EDGE('',*,*,#3145,.F.); +#4126=ORIENTED_EDGE('',*,*,#3143,.T.); +#4127=ORIENTED_EDGE('',*,*,#3146,.T.); +#4128=ORIENTED_EDGE('',*,*,#3147,.F.); +#4129=ORIENTED_EDGE('',*,*,#3148,.F.); +#4130=ORIENTED_EDGE('',*,*,#3139,.T.); +#4131=ORIENTED_EDGE('',*,*,#3145,.T.); +#4132=ORIENTED_EDGE('',*,*,#3149,.F.); +#4133=ORIENTED_EDGE('',*,*,#3150,.F.); +#4134=ORIENTED_EDGE('',*,*,#3140,.T.); +#4135=ORIENTED_EDGE('',*,*,#3148,.T.); +#4136=ORIENTED_EDGE('',*,*,#3151,.F.); +#4137=ORIENTED_EDGE('',*,*,#3152,.F.); +#4138=ORIENTED_EDGE('',*,*,#3141,.T.); +#4139=ORIENTED_EDGE('',*,*,#3150,.T.); +#4140=ORIENTED_EDGE('',*,*,#3153,.F.); +#4141=ORIENTED_EDGE('',*,*,#3146,.F.); +#4142=ORIENTED_EDGE('',*,*,#3142,.T.); +#4143=ORIENTED_EDGE('',*,*,#3152,.T.); +#4144=ORIENTED_EDGE('',*,*,#3147,.T.); +#4145=ORIENTED_EDGE('',*,*,#3144,.T.); +#4146=ORIENTED_EDGE('',*,*,#3153,.T.); +#4147=ORIENTED_EDGE('',*,*,#3151,.T.); +#4148=ORIENTED_EDGE('',*,*,#3149,.T.); +#4149=ORIENTED_EDGE('',*,*,#2938,.F.); +#4150=ORIENTED_EDGE('',*,*,#3154,.F.); +#4151=ORIENTED_EDGE('',*,*,#3155,.T.); +#4152=ORIENTED_EDGE('',*,*,#3156,.T.); +#4153=ORIENTED_EDGE('',*,*,#2939,.F.); +#4154=ORIENTED_EDGE('',*,*,#3156,.F.); +#4155=ORIENTED_EDGE('',*,*,#3157,.T.); +#4156=ORIENTED_EDGE('',*,*,#3158,.T.); +#4157=ORIENTED_EDGE('',*,*,#2940,.F.); +#4158=ORIENTED_EDGE('',*,*,#3158,.F.); +#4159=ORIENTED_EDGE('',*,*,#3159,.T.); +#4160=ORIENTED_EDGE('',*,*,#3160,.T.); +#4161=ORIENTED_EDGE('',*,*,#2941,.F.); +#4162=ORIENTED_EDGE('',*,*,#3160,.F.); +#4163=ORIENTED_EDGE('',*,*,#3161,.T.); +#4164=ORIENTED_EDGE('',*,*,#3162,.T.); +#4165=ORIENTED_EDGE('',*,*,#2942,.F.); +#4166=ORIENTED_EDGE('',*,*,#3162,.F.); +#4167=ORIENTED_EDGE('',*,*,#3163,.T.); +#4168=ORIENTED_EDGE('',*,*,#3164,.T.); +#4169=ORIENTED_EDGE('',*,*,#2937,.F.); +#4170=ORIENTED_EDGE('',*,*,#3164,.F.); +#4171=ORIENTED_EDGE('',*,*,#3165,.T.); +#4172=ORIENTED_EDGE('',*,*,#3154,.T.); +#4173=ORIENTED_EDGE('',*,*,#3155,.F.); +#4174=ORIENTED_EDGE('',*,*,#3165,.F.); +#4175=ORIENTED_EDGE('',*,*,#3163,.F.); +#4176=ORIENTED_EDGE('',*,*,#3161,.F.); +#4177=ORIENTED_EDGE('',*,*,#3159,.F.); +#4178=ORIENTED_EDGE('',*,*,#3157,.F.); +#4179=ORIENTED_EDGE('',*,*,#2897,.F.); +#4180=ORIENTED_EDGE('',*,*,#3166,.F.); +#4181=ORIENTED_EDGE('',*,*,#3167,.T.); +#4182=ORIENTED_EDGE('',*,*,#3168,.T.); +#4183=ORIENTED_EDGE('',*,*,#2898,.F.); +#4184=ORIENTED_EDGE('',*,*,#3168,.F.); +#4185=ORIENTED_EDGE('',*,*,#3169,.T.); +#4186=ORIENTED_EDGE('',*,*,#3170,.T.); +#4187=ORIENTED_EDGE('',*,*,#2899,.F.); +#4188=ORIENTED_EDGE('',*,*,#3170,.F.); +#4189=ORIENTED_EDGE('',*,*,#3171,.T.); +#4190=ORIENTED_EDGE('',*,*,#3172,.T.); +#4191=ORIENTED_EDGE('',*,*,#2900,.F.); +#4192=ORIENTED_EDGE('',*,*,#3172,.F.); +#4193=ORIENTED_EDGE('',*,*,#3173,.T.); +#4194=ORIENTED_EDGE('',*,*,#3174,.T.); +#4195=ORIENTED_EDGE('',*,*,#2901,.F.); +#4196=ORIENTED_EDGE('',*,*,#3174,.F.); +#4197=ORIENTED_EDGE('',*,*,#3175,.T.); +#4198=ORIENTED_EDGE('',*,*,#3176,.T.); +#4199=ORIENTED_EDGE('',*,*,#2896,.F.); +#4200=ORIENTED_EDGE('',*,*,#3176,.F.); +#4201=ORIENTED_EDGE('',*,*,#3177,.T.); +#4202=ORIENTED_EDGE('',*,*,#3166,.T.); +#4203=ORIENTED_EDGE('',*,*,#3167,.F.); +#4204=ORIENTED_EDGE('',*,*,#3177,.F.); +#4205=ORIENTED_EDGE('',*,*,#3175,.F.); +#4206=ORIENTED_EDGE('',*,*,#3173,.F.); +#4207=ORIENTED_EDGE('',*,*,#3171,.F.); +#4208=ORIENTED_EDGE('',*,*,#3169,.F.); +#4209=ORIENTED_EDGE('',*,*,#2930,.F.); +#4210=ORIENTED_EDGE('',*,*,#3178,.F.); +#4211=ORIENTED_EDGE('',*,*,#3179,.T.); +#4212=ORIENTED_EDGE('',*,*,#3180,.T.); +#4213=ORIENTED_EDGE('',*,*,#2931,.F.); +#4214=ORIENTED_EDGE('',*,*,#3180,.F.); +#4215=ORIENTED_EDGE('',*,*,#3181,.T.); +#4216=ORIENTED_EDGE('',*,*,#3182,.T.); +#4217=ORIENTED_EDGE('',*,*,#2932,.F.); +#4218=ORIENTED_EDGE('',*,*,#3182,.F.); +#4219=ORIENTED_EDGE('',*,*,#3183,.T.); +#4220=ORIENTED_EDGE('',*,*,#3184,.T.); +#4221=ORIENTED_EDGE('',*,*,#2933,.F.); +#4222=ORIENTED_EDGE('',*,*,#3184,.F.); +#4223=ORIENTED_EDGE('',*,*,#3185,.T.); +#4224=ORIENTED_EDGE('',*,*,#3186,.T.); +#4225=ORIENTED_EDGE('',*,*,#2934,.F.); +#4226=ORIENTED_EDGE('',*,*,#3186,.F.); +#4227=ORIENTED_EDGE('',*,*,#3187,.T.); +#4228=ORIENTED_EDGE('',*,*,#3188,.T.); +#4229=ORIENTED_EDGE('',*,*,#2935,.F.); +#4230=ORIENTED_EDGE('',*,*,#3188,.F.); +#4231=ORIENTED_EDGE('',*,*,#3189,.T.); +#4232=ORIENTED_EDGE('',*,*,#3190,.T.); +#4233=ORIENTED_EDGE('',*,*,#2936,.F.); +#4234=ORIENTED_EDGE('',*,*,#3190,.F.); +#4235=ORIENTED_EDGE('',*,*,#3191,.T.); +#4236=ORIENTED_EDGE('',*,*,#3192,.T.); +#4237=ORIENTED_EDGE('',*,*,#2929,.F.); +#4238=ORIENTED_EDGE('',*,*,#3192,.F.); +#4239=ORIENTED_EDGE('',*,*,#3193,.T.); +#4240=ORIENTED_EDGE('',*,*,#3178,.T.); +#4241=ORIENTED_EDGE('',*,*,#3179,.F.); +#4242=ORIENTED_EDGE('',*,*,#3193,.F.); +#4243=ORIENTED_EDGE('',*,*,#3191,.F.); +#4244=ORIENTED_EDGE('',*,*,#3189,.F.); +#4245=ORIENTED_EDGE('',*,*,#3187,.F.); +#4246=ORIENTED_EDGE('',*,*,#3185,.F.); +#4247=ORIENTED_EDGE('',*,*,#3183,.F.); +#4248=ORIENTED_EDGE('',*,*,#3181,.F.); +#4249=ORIENTED_EDGE('',*,*,#3194,.F.); +#4250=ORIENTED_EDGE('',*,*,#3195,.F.); +#4251=ORIENTED_EDGE('',*,*,#3196,.F.); +#4252=ORIENTED_EDGE('',*,*,#3197,.F.); +#4253=ORIENTED_EDGE('',*,*,#3198,.F.); +#4254=ORIENTED_EDGE('',*,*,#3196,.T.); +#4255=ORIENTED_EDGE('',*,*,#3199,.T.); +#4256=ORIENTED_EDGE('',*,*,#3200,.F.); +#4257=ORIENTED_EDGE('',*,*,#3201,.F.); +#4258=ORIENTED_EDGE('',*,*,#3194,.T.); +#4259=ORIENTED_EDGE('',*,*,#3198,.T.); +#4260=ORIENTED_EDGE('',*,*,#3202,.F.); +#4261=ORIENTED_EDGE('',*,*,#3199,.F.); +#4262=ORIENTED_EDGE('',*,*,#3195,.T.); +#4263=ORIENTED_EDGE('',*,*,#3201,.T.); +#4264=ORIENTED_EDGE('',*,*,#3200,.T.); +#4265=ORIENTED_EDGE('',*,*,#3197,.T.); +#4266=ORIENTED_EDGE('',*,*,#3202,.T.); +#4267=ORIENTED_EDGE('',*,*,#2903,.F.); +#4268=ORIENTED_EDGE('',*,*,#3203,.F.); +#4269=ORIENTED_EDGE('',*,*,#3204,.T.); +#4270=ORIENTED_EDGE('',*,*,#3205,.T.); +#4271=ORIENTED_EDGE('',*,*,#2904,.F.); +#4272=ORIENTED_EDGE('',*,*,#3205,.F.); +#4273=ORIENTED_EDGE('',*,*,#3206,.T.); +#4274=ORIENTED_EDGE('',*,*,#3207,.T.); +#4275=ORIENTED_EDGE('',*,*,#2905,.F.); +#4276=ORIENTED_EDGE('',*,*,#3207,.F.); +#4277=ORIENTED_EDGE('',*,*,#3208,.T.); +#4278=ORIENTED_EDGE('',*,*,#3209,.T.); +#4279=ORIENTED_EDGE('',*,*,#2902,.F.); +#4280=ORIENTED_EDGE('',*,*,#3209,.F.); +#4281=ORIENTED_EDGE('',*,*,#3210,.T.); +#4282=ORIENTED_EDGE('',*,*,#3203,.T.); +#4283=ORIENTED_EDGE('',*,*,#3204,.F.); +#4284=ORIENTED_EDGE('',*,*,#3210,.F.); +#4285=ORIENTED_EDGE('',*,*,#3208,.F.); +#4286=ORIENTED_EDGE('',*,*,#3206,.F.); +#4287=ORIENTED_EDGE('',*,*,#2917,.F.); +#4288=ORIENTED_EDGE('',*,*,#3211,.F.); +#4289=ORIENTED_EDGE('',*,*,#3212,.T.); +#4290=ORIENTED_EDGE('',*,*,#3213,.T.); +#4291=ORIENTED_EDGE('',*,*,#2918,.F.); +#4292=ORIENTED_EDGE('',*,*,#3213,.F.); +#4293=ORIENTED_EDGE('',*,*,#3214,.T.); +#4294=ORIENTED_EDGE('',*,*,#3215,.T.); +#4295=ORIENTED_EDGE('',*,*,#2919,.F.); +#4296=ORIENTED_EDGE('',*,*,#3215,.F.); +#4297=ORIENTED_EDGE('',*,*,#3216,.T.); +#4298=ORIENTED_EDGE('',*,*,#3217,.T.); +#4299=ORIENTED_EDGE('',*,*,#2920,.F.); +#4300=ORIENTED_EDGE('',*,*,#3217,.F.); +#4301=ORIENTED_EDGE('',*,*,#3218,.T.); +#4302=ORIENTED_EDGE('',*,*,#3219,.T.); +#4303=ORIENTED_EDGE('',*,*,#2921,.F.); +#4304=ORIENTED_EDGE('',*,*,#3219,.F.); +#4305=ORIENTED_EDGE('',*,*,#3220,.T.); +#4306=ORIENTED_EDGE('',*,*,#3221,.T.); +#4307=ORIENTED_EDGE('',*,*,#2922,.F.); +#4308=ORIENTED_EDGE('',*,*,#3221,.F.); +#4309=ORIENTED_EDGE('',*,*,#3222,.T.); +#4310=ORIENTED_EDGE('',*,*,#3223,.T.); +#4311=ORIENTED_EDGE('',*,*,#2923,.F.); +#4312=ORIENTED_EDGE('',*,*,#3223,.F.); +#4313=ORIENTED_EDGE('',*,*,#3224,.T.); +#4314=ORIENTED_EDGE('',*,*,#3225,.T.); +#4315=ORIENTED_EDGE('',*,*,#2924,.F.); +#4316=ORIENTED_EDGE('',*,*,#3225,.F.); +#4317=ORIENTED_EDGE('',*,*,#3226,.T.); +#4318=ORIENTED_EDGE('',*,*,#3227,.T.); +#4319=ORIENTED_EDGE('',*,*,#2925,.F.); +#4320=ORIENTED_EDGE('',*,*,#3227,.F.); +#4321=ORIENTED_EDGE('',*,*,#3228,.T.); +#4322=ORIENTED_EDGE('',*,*,#3229,.T.); +#4323=ORIENTED_EDGE('',*,*,#2926,.F.); +#4324=ORIENTED_EDGE('',*,*,#3229,.F.); +#4325=ORIENTED_EDGE('',*,*,#3230,.T.); +#4326=ORIENTED_EDGE('',*,*,#3231,.T.); +#4327=ORIENTED_EDGE('',*,*,#2927,.F.); +#4328=ORIENTED_EDGE('',*,*,#3231,.F.); +#4329=ORIENTED_EDGE('',*,*,#3232,.T.); +#4330=ORIENTED_EDGE('',*,*,#3233,.T.); +#4331=ORIENTED_EDGE('',*,*,#2928,.F.); +#4332=ORIENTED_EDGE('',*,*,#3233,.F.); +#4333=ORIENTED_EDGE('',*,*,#3234,.T.); +#4334=ORIENTED_EDGE('',*,*,#3235,.T.); +#4335=ORIENTED_EDGE('',*,*,#2916,.F.); +#4336=ORIENTED_EDGE('',*,*,#3235,.F.); +#4337=ORIENTED_EDGE('',*,*,#3236,.T.); +#4338=ORIENTED_EDGE('',*,*,#3211,.T.); +#4339=ORIENTED_EDGE('',*,*,#3212,.F.); +#4340=ORIENTED_EDGE('',*,*,#3236,.F.); +#4341=ORIENTED_EDGE('',*,*,#3234,.F.); +#4342=ORIENTED_EDGE('',*,*,#3232,.F.); +#4343=ORIENTED_EDGE('',*,*,#3230,.F.); +#4344=ORIENTED_EDGE('',*,*,#3228,.F.); +#4345=ORIENTED_EDGE('',*,*,#3226,.F.); +#4346=ORIENTED_EDGE('',*,*,#3224,.F.); +#4347=ORIENTED_EDGE('',*,*,#3222,.F.); +#4348=ORIENTED_EDGE('',*,*,#3220,.F.); +#4349=ORIENTED_EDGE('',*,*,#3218,.F.); +#4350=ORIENTED_EDGE('',*,*,#3216,.F.); +#4351=ORIENTED_EDGE('',*,*,#3214,.F.); +#4352=ORIENTED_EDGE('',*,*,#2907,.F.); +#4353=ORIENTED_EDGE('',*,*,#3237,.F.); +#4354=ORIENTED_EDGE('',*,*,#3238,.T.); +#4355=ORIENTED_EDGE('',*,*,#3239,.T.); +#4356=ORIENTED_EDGE('',*,*,#2908,.F.); +#4357=ORIENTED_EDGE('',*,*,#3239,.F.); +#4358=ORIENTED_EDGE('',*,*,#3240,.T.); +#4359=ORIENTED_EDGE('',*,*,#3241,.T.); +#4360=ORIENTED_EDGE('',*,*,#2909,.F.); +#4361=ORIENTED_EDGE('',*,*,#3241,.F.); +#4362=ORIENTED_EDGE('',*,*,#3242,.T.); +#4363=ORIENTED_EDGE('',*,*,#3243,.T.); +#4364=ORIENTED_EDGE('',*,*,#2910,.F.); +#4365=ORIENTED_EDGE('',*,*,#3243,.F.); +#4366=ORIENTED_EDGE('',*,*,#3244,.T.); +#4367=ORIENTED_EDGE('',*,*,#3245,.T.); +#4368=ORIENTED_EDGE('',*,*,#2911,.F.); +#4369=ORIENTED_EDGE('',*,*,#3245,.F.); +#4370=ORIENTED_EDGE('',*,*,#3246,.T.); +#4371=ORIENTED_EDGE('',*,*,#3247,.T.); +#4372=ORIENTED_EDGE('',*,*,#2912,.F.); +#4373=ORIENTED_EDGE('',*,*,#3247,.F.); +#4374=ORIENTED_EDGE('',*,*,#3248,.T.); +#4375=ORIENTED_EDGE('',*,*,#3249,.T.); +#4376=ORIENTED_EDGE('',*,*,#2913,.F.); +#4377=ORIENTED_EDGE('',*,*,#3249,.F.); +#4378=ORIENTED_EDGE('',*,*,#3250,.T.); +#4379=ORIENTED_EDGE('',*,*,#3251,.T.); +#4380=ORIENTED_EDGE('',*,*,#2906,.F.); +#4381=ORIENTED_EDGE('',*,*,#3251,.F.); +#4382=ORIENTED_EDGE('',*,*,#3252,.T.); +#4383=ORIENTED_EDGE('',*,*,#3237,.T.); +#4384=ORIENTED_EDGE('',*,*,#3238,.F.); +#4385=ORIENTED_EDGE('',*,*,#3252,.F.); +#4386=ORIENTED_EDGE('',*,*,#3250,.F.); +#4387=ORIENTED_EDGE('',*,*,#3248,.F.); +#4388=ORIENTED_EDGE('',*,*,#3246,.F.); +#4389=ORIENTED_EDGE('',*,*,#3244,.F.); +#4390=ORIENTED_EDGE('',*,*,#3242,.F.); +#4391=ORIENTED_EDGE('',*,*,#3240,.F.); +#4392=ORIENTED_EDGE('',*,*,#3253,.F.); +#4393=ORIENTED_EDGE('',*,*,#3254,.F.); +#4394=ORIENTED_EDGE('',*,*,#3255,.F.); +#4395=ORIENTED_EDGE('',*,*,#3256,.F.); +#4396=ORIENTED_EDGE('',*,*,#3257,.F.); +#4397=ORIENTED_EDGE('',*,*,#3255,.T.); +#4398=ORIENTED_EDGE('',*,*,#3258,.T.); +#4399=ORIENTED_EDGE('',*,*,#3259,.F.); +#4400=ORIENTED_EDGE('',*,*,#3260,.F.); +#4401=ORIENTED_EDGE('',*,*,#3253,.T.); +#4402=ORIENTED_EDGE('',*,*,#3257,.T.); +#4403=ORIENTED_EDGE('',*,*,#3261,.F.); +#4404=ORIENTED_EDGE('',*,*,#3258,.F.); +#4405=ORIENTED_EDGE('',*,*,#3254,.T.); +#4406=ORIENTED_EDGE('',*,*,#3260,.T.); +#4407=ORIENTED_EDGE('',*,*,#3259,.T.); +#4408=ORIENTED_EDGE('',*,*,#3256,.T.); +#4409=ORIENTED_EDGE('',*,*,#3261,.T.); +#4410=ORIENTED_EDGE('',*,*,#3262,.T.); +#4411=ORIENTED_EDGE('',*,*,#3263,.F.); +#4412=ORIENTED_EDGE('',*,*,#3264,.F.); +#4413=ORIENTED_EDGE('',*,*,#2770,.T.); +#4414=ORIENTED_EDGE('',*,*,#3265,.T.); +#4415=ORIENTED_EDGE('',*,*,#3266,.F.); +#4416=ORIENTED_EDGE('',*,*,#3267,.F.); +#4417=ORIENTED_EDGE('',*,*,#3263,.T.); +#4418=ORIENTED_EDGE('',*,*,#3268,.T.); +#4419=ORIENTED_EDGE('',*,*,#2768,.F.); +#4420=ORIENTED_EDGE('',*,*,#3269,.F.); +#4421=ORIENTED_EDGE('',*,*,#3266,.T.); +#4422=ORIENTED_EDGE('',*,*,#3264,.T.); +#4423=ORIENTED_EDGE('',*,*,#3267,.T.); +#4424=ORIENTED_EDGE('',*,*,#3269,.T.); +#4425=ORIENTED_EDGE('',*,*,#2767,.T.); +#4426=ORIENTED_EDGE('',*,*,#3262,.F.); +#4427=ORIENTED_EDGE('',*,*,#2769,.F.); +#4428=ORIENTED_EDGE('',*,*,#3268,.F.); +#4429=ORIENTED_EDGE('',*,*,#3265,.F.); +#4430=ORIENTED_EDGE('',*,*,#3270,.T.); +#4431=ORIENTED_EDGE('',*,*,#3271,.F.); +#4432=ORIENTED_EDGE('',*,*,#3272,.F.); +#4433=ORIENTED_EDGE('',*,*,#2766,.T.); +#4434=ORIENTED_EDGE('',*,*,#3273,.T.); +#4435=ORIENTED_EDGE('',*,*,#3274,.F.); +#4436=ORIENTED_EDGE('',*,*,#3275,.F.); +#4437=ORIENTED_EDGE('',*,*,#3271,.T.); +#4438=ORIENTED_EDGE('',*,*,#3276,.T.); +#4439=ORIENTED_EDGE('',*,*,#2764,.F.); +#4440=ORIENTED_EDGE('',*,*,#3277,.F.); +#4441=ORIENTED_EDGE('',*,*,#3274,.T.); +#4442=ORIENTED_EDGE('',*,*,#3272,.T.); +#4443=ORIENTED_EDGE('',*,*,#3275,.T.); +#4444=ORIENTED_EDGE('',*,*,#3277,.T.); +#4445=ORIENTED_EDGE('',*,*,#2763,.T.); +#4446=ORIENTED_EDGE('',*,*,#3270,.F.); +#4447=ORIENTED_EDGE('',*,*,#2765,.F.); +#4448=ORIENTED_EDGE('',*,*,#3276,.F.); +#4449=ORIENTED_EDGE('',*,*,#3273,.F.); +#4450=ORIENTED_EDGE('',*,*,#3278,.T.); +#4451=ORIENTED_EDGE('',*,*,#3279,.F.); +#4452=ORIENTED_EDGE('',*,*,#3280,.F.); +#4453=ORIENTED_EDGE('',*,*,#2762,.T.); +#4454=ORIENTED_EDGE('',*,*,#3281,.T.); +#4455=ORIENTED_EDGE('',*,*,#3282,.F.); +#4456=ORIENTED_EDGE('',*,*,#3283,.F.); +#4457=ORIENTED_EDGE('',*,*,#3279,.T.); +#4458=ORIENTED_EDGE('',*,*,#3284,.T.); +#4459=ORIENTED_EDGE('',*,*,#2760,.F.); +#4460=ORIENTED_EDGE('',*,*,#3285,.F.); +#4461=ORIENTED_EDGE('',*,*,#3282,.T.); +#4462=ORIENTED_EDGE('',*,*,#3280,.T.); +#4463=ORIENTED_EDGE('',*,*,#3283,.T.); +#4464=ORIENTED_EDGE('',*,*,#3285,.T.); +#4465=ORIENTED_EDGE('',*,*,#2759,.T.); +#4466=ORIENTED_EDGE('',*,*,#3278,.F.); +#4467=ORIENTED_EDGE('',*,*,#2761,.F.); +#4468=ORIENTED_EDGE('',*,*,#3284,.F.); +#4469=ORIENTED_EDGE('',*,*,#3281,.F.); +#4470=ORIENTED_EDGE('',*,*,#3286,.T.); +#4471=ORIENTED_EDGE('',*,*,#3287,.F.); +#4472=ORIENTED_EDGE('',*,*,#3288,.F.); +#4473=ORIENTED_EDGE('',*,*,#2758,.T.); +#4474=ORIENTED_EDGE('',*,*,#3289,.T.); +#4475=ORIENTED_EDGE('',*,*,#3290,.F.); +#4476=ORIENTED_EDGE('',*,*,#3291,.F.); +#4477=ORIENTED_EDGE('',*,*,#3287,.T.); +#4478=ORIENTED_EDGE('',*,*,#3292,.T.); +#4479=ORIENTED_EDGE('',*,*,#2756,.F.); +#4480=ORIENTED_EDGE('',*,*,#3293,.F.); +#4481=ORIENTED_EDGE('',*,*,#3290,.T.); +#4482=ORIENTED_EDGE('',*,*,#3288,.T.); +#4483=ORIENTED_EDGE('',*,*,#3291,.T.); +#4484=ORIENTED_EDGE('',*,*,#3293,.T.); +#4485=ORIENTED_EDGE('',*,*,#2755,.T.); +#4486=ORIENTED_EDGE('',*,*,#3286,.F.); +#4487=ORIENTED_EDGE('',*,*,#2757,.F.); +#4488=ORIENTED_EDGE('',*,*,#3292,.F.); +#4489=ORIENTED_EDGE('',*,*,#3289,.F.); +#4490=ORIENTED_EDGE('',*,*,#2723,.T.); +#4491=ORIENTED_EDGE('',*,*,#3294,.T.); +#4492=ORIENTED_EDGE('',*,*,#2722,.F.); +#4493=ORIENTED_EDGE('',*,*,#2915,.T.); +#4494=ORIENTED_EDGE('',*,*,#2724,.T.); +#4495=ORIENTED_EDGE('',*,*,#3294,.F.); +#4496=ORIENTED_EDGE('',*,*,#2719,.T.); +#4497=ORIENTED_EDGE('',*,*,#3295,.T.); +#4498=ORIENTED_EDGE('',*,*,#2718,.F.); +#4499=ORIENTED_EDGE('',*,*,#2914,.T.); +#4500=ORIENTED_EDGE('',*,*,#2720,.T.); +#4501=ORIENTED_EDGE('',*,*,#3295,.F.); +#4502=ORIENTED_EDGE('',*,*,#2715,.T.); +#4503=ORIENTED_EDGE('',*,*,#3296,.T.); +#4504=ORIENTED_EDGE('',*,*,#2714,.F.); +#4505=ORIENTED_EDGE('',*,*,#2848,.T.); +#4506=ORIENTED_EDGE('',*,*,#2716,.T.); +#4507=ORIENTED_EDGE('',*,*,#3296,.F.); +#4508=ORIENTED_EDGE('',*,*,#2711,.T.); +#4509=ORIENTED_EDGE('',*,*,#3297,.T.); +#4510=ORIENTED_EDGE('',*,*,#2710,.F.); +#4511=ORIENTED_EDGE('',*,*,#2849,.T.); +#4512=ORIENTED_EDGE('',*,*,#2712,.T.); +#4513=ORIENTED_EDGE('',*,*,#3297,.F.); +#4514=ORIENTED_EDGE('',*,*,#3298,.F.); +#4515=ORIENTED_EDGE('',*,*,#2707,.F.); +#4516=ORIENTED_EDGE('',*,*,#2706,.F.); +#4517=ORIENTED_EDGE('',*,*,#2863,.F.); +#4518=ORIENTED_EDGE('',*,*,#2708,.T.); +#4519=ORIENTED_EDGE('',*,*,#3298,.T.); +#4520=ORIENTED_EDGE('',*,*,#3299,.F.); +#4521=ORIENTED_EDGE('',*,*,#2703,.F.); +#4522=ORIENTED_EDGE('',*,*,#2702,.F.); +#4523=ORIENTED_EDGE('',*,*,#2864,.F.); +#4524=ORIENTED_EDGE('',*,*,#2704,.T.); +#4525=ORIENTED_EDGE('',*,*,#3299,.T.); +#4526=ORIENTED_EDGE('',*,*,#2868,.T.); +#4527=ORIENTED_EDGE('',*,*,#3300,.F.); +#4528=ORIENTED_EDGE('',*,*,#3301,.F.); +#4529=ORIENTED_EDGE('',*,*,#3302,.T.); +#4530=ORIENTED_EDGE('',*,*,#2869,.T.); +#4531=ORIENTED_EDGE('',*,*,#3302,.F.); +#4532=ORIENTED_EDGE('',*,*,#3303,.F.); +#4533=ORIENTED_EDGE('',*,*,#3304,.T.); +#4534=ORIENTED_EDGE('',*,*,#2870,.T.); +#4535=ORIENTED_EDGE('',*,*,#3304,.F.); +#4536=ORIENTED_EDGE('',*,*,#3305,.F.); +#4537=ORIENTED_EDGE('',*,*,#3306,.T.); +#4538=ORIENTED_EDGE('',*,*,#2871,.T.); +#4539=ORIENTED_EDGE('',*,*,#3306,.F.); +#4540=ORIENTED_EDGE('',*,*,#3307,.F.); +#4541=ORIENTED_EDGE('',*,*,#3300,.T.); +#4542=ORIENTED_EDGE('',*,*,#3301,.T.); +#4543=ORIENTED_EDGE('',*,*,#3307,.T.); +#4544=ORIENTED_EDGE('',*,*,#3305,.T.); +#4545=ORIENTED_EDGE('',*,*,#3303,.T.); +#4546=ORIENTED_EDGE('',*,*,#2834,.F.); +#4547=ORIENTED_EDGE('',*,*,#3308,.F.); +#4548=ORIENTED_EDGE('',*,*,#3309,.T.); +#4549=ORIENTED_EDGE('',*,*,#3310,.T.); +#4550=ORIENTED_EDGE('',*,*,#2835,.F.); +#4551=ORIENTED_EDGE('',*,*,#3310,.F.); +#4552=ORIENTED_EDGE('',*,*,#3311,.T.); +#4553=ORIENTED_EDGE('',*,*,#3312,.T.); +#4554=ORIENTED_EDGE('',*,*,#2836,.F.); +#4555=ORIENTED_EDGE('',*,*,#3312,.F.); +#4556=ORIENTED_EDGE('',*,*,#3313,.T.); +#4557=ORIENTED_EDGE('',*,*,#3314,.T.); +#4558=ORIENTED_EDGE('',*,*,#2833,.F.); +#4559=ORIENTED_EDGE('',*,*,#3314,.F.); +#4560=ORIENTED_EDGE('',*,*,#3315,.T.); +#4561=ORIENTED_EDGE('',*,*,#3308,.T.); +#4562=ORIENTED_EDGE('',*,*,#3309,.F.); +#4563=ORIENTED_EDGE('',*,*,#3315,.F.); +#4564=ORIENTED_EDGE('',*,*,#3313,.F.); +#4565=ORIENTED_EDGE('',*,*,#3311,.F.); +#4566=ORIENTED_EDGE('',*,*,#2804,.T.); +#4567=ORIENTED_EDGE('',*,*,#3316,.F.); +#4568=ORIENTED_EDGE('',*,*,#3317,.F.); +#4569=ORIENTED_EDGE('',*,*,#2820,.T.); +#4570=ORIENTED_EDGE('',*,*,#2803,.T.); +#4571=ORIENTED_EDGE('',*,*,#2825,.F.); +#4572=ORIENTED_EDGE('',*,*,#3318,.F.); +#4573=ORIENTED_EDGE('',*,*,#3316,.T.); +#4574=ORIENTED_EDGE('',*,*,#2828,.T.); +#4575=ORIENTED_EDGE('',*,*,#2821,.T.); +#4576=ORIENTED_EDGE('',*,*,#3317,.T.); +#4577=ORIENTED_EDGE('',*,*,#3318,.T.); +#4578=ORIENTED_EDGE('',*,*,#2791,.T.); +#4579=ORIENTED_EDGE('',*,*,#3319,.F.); +#4580=ORIENTED_EDGE('',*,*,#3320,.F.); +#4581=ORIENTED_EDGE('',*,*,#2811,.T.); +#4582=ORIENTED_EDGE('',*,*,#2810,.T.); +#4583=ORIENTED_EDGE('',*,*,#2816,.F.); +#4584=ORIENTED_EDGE('',*,*,#3321,.F.); +#4585=ORIENTED_EDGE('',*,*,#3319,.T.); +#4586=ORIENTED_EDGE('',*,*,#2812,.T.); +#4587=ORIENTED_EDGE('',*,*,#3320,.T.); +#4588=ORIENTED_EDGE('',*,*,#3321,.T.); +#4589=ORIENTED_EDGE('',*,*,#2819,.T.); +#4590=ORIENTED_EDGE('',*,*,#3322,.T.); +#4591=ORIENTED_EDGE('',*,*,#3323,.F.); +#4592=ORIENTED_EDGE('',*,*,#3324,.F.); +#4593=ORIENTED_EDGE('',*,*,#2754,.T.); +#4594=ORIENTED_EDGE('',*,*,#3325,.T.); +#4595=ORIENTED_EDGE('',*,*,#3326,.F.); +#4596=ORIENTED_EDGE('',*,*,#3327,.F.); +#4597=ORIENTED_EDGE('',*,*,#3323,.T.); +#4598=ORIENTED_EDGE('',*,*,#3328,.T.); +#4599=ORIENTED_EDGE('',*,*,#2752,.F.); +#4600=ORIENTED_EDGE('',*,*,#3329,.F.); +#4601=ORIENTED_EDGE('',*,*,#3326,.T.); +#4602=ORIENTED_EDGE('',*,*,#3324,.T.); +#4603=ORIENTED_EDGE('',*,*,#3327,.T.); +#4604=ORIENTED_EDGE('',*,*,#3329,.T.); +#4605=ORIENTED_EDGE('',*,*,#2751,.T.); +#4606=ORIENTED_EDGE('',*,*,#3322,.F.); +#4607=ORIENTED_EDGE('',*,*,#2753,.F.); +#4608=ORIENTED_EDGE('',*,*,#3328,.F.); +#4609=ORIENTED_EDGE('',*,*,#3325,.F.); +#4610=ORIENTED_EDGE('',*,*,#3330,.T.); +#4611=ORIENTED_EDGE('',*,*,#3331,.F.); +#4612=ORIENTED_EDGE('',*,*,#3332,.F.); +#4613=ORIENTED_EDGE('',*,*,#2750,.T.); +#4614=ORIENTED_EDGE('',*,*,#3333,.T.); +#4615=ORIENTED_EDGE('',*,*,#3334,.F.); +#4616=ORIENTED_EDGE('',*,*,#3335,.F.); +#4617=ORIENTED_EDGE('',*,*,#3331,.T.); +#4618=ORIENTED_EDGE('',*,*,#3336,.T.); +#4619=ORIENTED_EDGE('',*,*,#2748,.F.); +#4620=ORIENTED_EDGE('',*,*,#3337,.F.); +#4621=ORIENTED_EDGE('',*,*,#3334,.T.); +#4622=ORIENTED_EDGE('',*,*,#2747,.T.); +#4623=ORIENTED_EDGE('',*,*,#3332,.T.); +#4624=ORIENTED_EDGE('',*,*,#3335,.T.); +#4625=ORIENTED_EDGE('',*,*,#3337,.T.); +#4626=ORIENTED_EDGE('',*,*,#2749,.F.); +#4627=ORIENTED_EDGE('',*,*,#3336,.F.); +#4628=ORIENTED_EDGE('',*,*,#3333,.F.); +#4629=ORIENTED_EDGE('',*,*,#3330,.F.); +#4630=ORIENTED_EDGE('',*,*,#3338,.T.); +#4631=ORIENTED_EDGE('',*,*,#3339,.F.); +#4632=ORIENTED_EDGE('',*,*,#3340,.F.); +#4633=ORIENTED_EDGE('',*,*,#2746,.T.); +#4634=ORIENTED_EDGE('',*,*,#3341,.T.); +#4635=ORIENTED_EDGE('',*,*,#3342,.F.); +#4636=ORIENTED_EDGE('',*,*,#3343,.F.); +#4637=ORIENTED_EDGE('',*,*,#3339,.T.); +#4638=ORIENTED_EDGE('',*,*,#3344,.T.); +#4639=ORIENTED_EDGE('',*,*,#2744,.F.); +#4640=ORIENTED_EDGE('',*,*,#3345,.F.); +#4641=ORIENTED_EDGE('',*,*,#3342,.T.); +#4642=ORIENTED_EDGE('',*,*,#3340,.T.); +#4643=ORIENTED_EDGE('',*,*,#3343,.T.); +#4644=ORIENTED_EDGE('',*,*,#3345,.T.); +#4645=ORIENTED_EDGE('',*,*,#2743,.T.); +#4646=ORIENTED_EDGE('',*,*,#3338,.F.); +#4647=ORIENTED_EDGE('',*,*,#2745,.F.); +#4648=ORIENTED_EDGE('',*,*,#3344,.F.); +#4649=ORIENTED_EDGE('',*,*,#3341,.F.); +#4650=ORIENTED_EDGE('',*,*,#3346,.T.); +#4651=ORIENTED_EDGE('',*,*,#3347,.F.); +#4652=ORIENTED_EDGE('',*,*,#3348,.F.); +#4653=ORIENTED_EDGE('',*,*,#2742,.T.); +#4654=ORIENTED_EDGE('',*,*,#3349,.T.); +#4655=ORIENTED_EDGE('',*,*,#3350,.F.); +#4656=ORIENTED_EDGE('',*,*,#3351,.F.); +#4657=ORIENTED_EDGE('',*,*,#3347,.T.); +#4658=ORIENTED_EDGE('',*,*,#3352,.T.); +#4659=ORIENTED_EDGE('',*,*,#2740,.F.); +#4660=ORIENTED_EDGE('',*,*,#3353,.F.); +#4661=ORIENTED_EDGE('',*,*,#3350,.T.); +#4662=ORIENTED_EDGE('',*,*,#2739,.T.); +#4663=ORIENTED_EDGE('',*,*,#3348,.T.); +#4664=ORIENTED_EDGE('',*,*,#3351,.T.); +#4665=ORIENTED_EDGE('',*,*,#3353,.T.); +#4666=ORIENTED_EDGE('',*,*,#2741,.F.); +#4667=ORIENTED_EDGE('',*,*,#3352,.F.); +#4668=ORIENTED_EDGE('',*,*,#3349,.F.); +#4669=ORIENTED_EDGE('',*,*,#3346,.F.); +#4670=ORIENTED_EDGE('',*,*,#2700,.F.); +#4671=ORIENTED_EDGE('',*,*,#2697,.F.); +#4672=CYLINDRICAL_SURFACE('',#4949,0.062992125984252); +#4673=CYLINDRICAL_SURFACE('',#4952,0.062992125984252); +#4674=ADVANCED_FACE('',(#518),#4672,.T.); +#4675=ADVANCED_FACE('',(#519),#4673,.T.); +#4676=ADVANCED_FACE('',(#520),#490,.F.); +#4677=ADVANCED_FACE('',(#521),#491,.F.); +#4678=ADVANCED_FACE('',(#522),#492,.T.); +#4679=ADVANCED_FACE('',(#523),#493,.T.); +#4680=ADVANCED_FACE('',(#524),#494,.T.); +#4681=ADVANCED_FACE('',(#525),#495,.T.); +#4682=ADVANCED_FACE('',(#526,#153,#154,#155,#156,#157,#158,#159,#160,#161, +#162,#163,#164,#165,#166,#167),#192,.T.); +#4683=ADVANCED_FACE('',(#527),#193,.F.); +#4684=ADVANCED_FACE('',(#528),#194,.F.); +#4685=ADVANCED_FACE('',(#529),#195,.F.); +#4686=ADVANCED_FACE('',(#530),#196,.F.); +#4687=ADVANCED_FACE('',(#531),#197,.F.); +#4688=ADVANCED_FACE('',(#532,#168),#198,.T.); +#4689=ADVANCED_FACE('',(#533,#169,#170),#199,.T.); +#4690=ADVANCED_FACE('',(#534),#200,.T.); +#4691=ADVANCED_FACE('',(#535,#171,#172),#201,.T.); +#4692=ADVANCED_FACE('',(#536,#173),#202,.T.); +#4693=ADVANCED_FACE('',(#537),#203,.T.); +#4694=ADVANCED_FACE('',(#538,#174,#175,#176,#177,#178,#179,#180,#181,#182, +#183,#184,#185),#204,.T.); +#4695=ADVANCED_FACE('',(#539),#205,.T.); +#4696=ADVANCED_FACE('',(#540),#206,.T.); +#4697=ADVANCED_FACE('',(#541),#207,.F.); +#4698=ADVANCED_FACE('',(#542,#186),#208,.T.); +#4699=ADVANCED_FACE('',(#543),#209,.F.); +#4700=ADVANCED_FACE('',(#544),#210,.F.); +#4701=ADVANCED_FACE('',(#545),#211,.F.); +#4702=ADVANCED_FACE('',(#546),#212,.F.); +#4703=ADVANCED_FACE('',(#547),#213,.F.); +#4704=ADVANCED_FACE('',(#548),#214,.F.); +#4705=ADVANCED_FACE('',(#549),#215,.F.); +#4706=ADVANCED_FACE('',(#550),#216,.F.); +#4707=ADVANCED_FACE('',(#551),#217,.F.); +#4708=ADVANCED_FACE('',(#552),#218,.F.); +#4709=ADVANCED_FACE('',(#553),#219,.F.); +#4710=ADVANCED_FACE('',(#554),#220,.F.); +#4711=ADVANCED_FACE('',(#555),#221,.T.); +#4712=ADVANCED_FACE('',(#556),#222,.T.); +#4713=ADVANCED_FACE('',(#557),#223,.T.); +#4714=ADVANCED_FACE('',(#558),#224,.F.); +#4715=ADVANCED_FACE('',(#559),#225,.F.); +#4716=ADVANCED_FACE('',(#560),#226,.F.); +#4717=ADVANCED_FACE('',(#561),#227,.F.); +#4718=ADVANCED_FACE('',(#562),#228,.F.); +#4719=ADVANCED_FACE('',(#563),#229,.F.); +#4720=ADVANCED_FACE('',(#564),#230,.F.); +#4721=ADVANCED_FACE('',(#565),#231,.F.); +#4722=ADVANCED_FACE('',(#566),#232,.F.); +#4723=ADVANCED_FACE('',(#567),#233,.F.); +#4724=ADVANCED_FACE('',(#568),#234,.F.); +#4725=ADVANCED_FACE('',(#569),#235,.F.); +#4726=ADVANCED_FACE('',(#570),#236,.T.); +#4727=ADVANCED_FACE('',(#571),#237,.F.); +#4728=ADVANCED_FACE('',(#572),#238,.F.); +#4729=ADVANCED_FACE('',(#573),#239,.F.); +#4730=ADVANCED_FACE('',(#574),#240,.F.); +#4731=ADVANCED_FACE('',(#575),#241,.T.); +#4732=ADVANCED_FACE('',(#576),#242,.F.); +#4733=ADVANCED_FACE('',(#577),#243,.F.); +#4734=ADVANCED_FACE('',(#578),#244,.F.); +#4735=ADVANCED_FACE('',(#579),#245,.F.); +#4736=ADVANCED_FACE('',(#580),#246,.T.); +#4737=ADVANCED_FACE('',(#581),#247,.F.); +#4738=ADVANCED_FACE('',(#582),#248,.F.); +#4739=ADVANCED_FACE('',(#583),#249,.F.); +#4740=ADVANCED_FACE('',(#584),#250,.F.); +#4741=ADVANCED_FACE('',(#585),#251,.T.); +#4742=ADVANCED_FACE('',(#586),#252,.F.); +#4743=ADVANCED_FACE('',(#587),#253,.F.); +#4744=ADVANCED_FACE('',(#588),#254,.F.); +#4745=ADVANCED_FACE('',(#589),#255,.F.); +#4746=ADVANCED_FACE('',(#590),#256,.T.); +#4747=ADVANCED_FACE('',(#591),#257,.F.); +#4748=ADVANCED_FACE('',(#592),#258,.T.); +#4749=ADVANCED_FACE('',(#593),#259,.T.); +#4750=ADVANCED_FACE('',(#594),#260,.T.); +#4751=ADVANCED_FACE('',(#595),#261,.T.); +#4752=ADVANCED_FACE('',(#596),#262,.T.); +#4753=ADVANCED_FACE('',(#597),#263,.T.); +#4754=ADVANCED_FACE('',(#598),#264,.T.); +#4755=ADVANCED_FACE('',(#599),#265,.T.); +#4756=ADVANCED_FACE('',(#600,#187),#266,.T.); +#4757=ADVANCED_FACE('',(#601),#267,.T.); +#4758=ADVANCED_FACE('',(#602),#268,.T.); +#4759=ADVANCED_FACE('',(#603),#269,.T.); +#4760=ADVANCED_FACE('',(#604),#270,.T.); +#4761=ADVANCED_FACE('',(#605),#271,.T.); +#4762=ADVANCED_FACE('',(#606),#272,.T.); +#4763=ADVANCED_FACE('',(#607),#496,.T.); +#4764=ADVANCED_FACE('',(#608),#497,.T.); +#4765=ADVANCED_FACE('',(#609),#498,.T.); +#4766=ADVANCED_FACE('',(#610),#273,.T.); +#4767=ADVANCED_FACE('',(#611),#274,.T.); +#4768=ADVANCED_FACE('',(#612),#275,.T.); +#4769=ADVANCED_FACE('',(#613),#276,.T.); +#4770=ADVANCED_FACE('',(#614),#277,.T.); +#4771=ADVANCED_FACE('',(#615),#278,.T.); +#4772=ADVANCED_FACE('',(#616,#188),#279,.T.); +#4773=ADVANCED_FACE('',(#617),#280,.T.); +#4774=ADVANCED_FACE('',(#618),#499,.T.); +#4775=ADVANCED_FACE('',(#619),#500,.T.); +#4776=ADVANCED_FACE('',(#620),#281,.T.); +#4777=ADVANCED_FACE('',(#621),#282,.T.); +#4778=ADVANCED_FACE('',(#622),#283,.T.); +#4779=ADVANCED_FACE('',(#623),#284,.T.); +#4780=ADVANCED_FACE('',(#624),#285,.T.); +#4781=ADVANCED_FACE('',(#625),#286,.T.); +#4782=ADVANCED_FACE('',(#626),#501,.T.); +#4783=ADVANCED_FACE('',(#627),#502,.T.); +#4784=ADVANCED_FACE('',(#628),#287,.T.); +#4785=ADVANCED_FACE('',(#629),#503,.T.); +#4786=ADVANCED_FACE('',(#630),#504,.T.); +#4787=ADVANCED_FACE('',(#631),#505,.T.); +#4788=ADVANCED_FACE('',(#632),#288,.T.); +#4789=ADVANCED_FACE('',(#633),#289,.T.); +#4790=ADVANCED_FACE('',(#634),#290,.T.); +#4791=ADVANCED_FACE('',(#635),#506,.T.); +#4792=ADVANCED_FACE('',(#636),#507,.T.); +#4793=ADVANCED_FACE('',(#637),#508,.T.); +#4794=ADVANCED_FACE('',(#638),#509,.T.); +#4795=ADVANCED_FACE('',(#639),#291,.T.); +#4796=ADVANCED_FACE('',(#640),#292,.T.); +#4797=ADVANCED_FACE('',(#641),#293,.T.); +#4798=ADVANCED_FACE('',(#642,#189),#294,.T.); +#4799=ADVANCED_FACE('',(#643),#295,.T.); +#4800=ADVANCED_FACE('',(#644),#510,.T.); +#4801=ADVANCED_FACE('',(#645),#511,.T.); +#4802=ADVANCED_FACE('',(#646),#296,.T.); +#4803=ADVANCED_FACE('',(#647),#297,.T.); +#4804=ADVANCED_FACE('',(#648),#298,.T.); +#4805=ADVANCED_FACE('',(#649),#299,.T.); +#4806=ADVANCED_FACE('',(#650),#300,.T.); +#4807=ADVANCED_FACE('',(#651),#301,.T.); +#4808=ADVANCED_FACE('',(#652),#302,.T.); +#4809=ADVANCED_FACE('',(#653),#303,.T.); +#4810=ADVANCED_FACE('',(#654),#304,.T.); +#4811=ADVANCED_FACE('',(#655),#305,.F.); +#4812=ADVANCED_FACE('',(#656),#306,.T.); +#4813=ADVANCED_FACE('',(#657),#307,.T.); +#4814=ADVANCED_FACE('',(#658),#308,.T.); +#4815=ADVANCED_FACE('',(#659),#309,.T.); +#4816=ADVANCED_FACE('',(#660),#310,.T.); +#4817=ADVANCED_FACE('',(#661),#311,.T.); +#4818=ADVANCED_FACE('',(#662),#312,.F.); +#4819=ADVANCED_FACE('',(#663),#313,.T.); +#4820=ADVANCED_FACE('',(#664),#314,.T.); +#4821=ADVANCED_FACE('',(#665),#315,.T.); +#4822=ADVANCED_FACE('',(#666),#316,.T.); +#4823=ADVANCED_FACE('',(#667),#317,.T.); +#4824=ADVANCED_FACE('',(#668),#318,.T.); +#4825=ADVANCED_FACE('',(#669),#319,.T.); +#4826=ADVANCED_FACE('',(#670),#320,.T.); +#4827=ADVANCED_FACE('',(#671,#190),#321,.T.); +#4828=ADVANCED_FACE('',(#672),#322,.T.); +#4829=ADVANCED_FACE('',(#673),#323,.T.); +#4830=ADVANCED_FACE('',(#674),#324,.T.); +#4831=ADVANCED_FACE('',(#675),#325,.T.); +#4832=ADVANCED_FACE('',(#676),#326,.T.); +#4833=ADVANCED_FACE('',(#677),#327,.T.); +#4834=ADVANCED_FACE('',(#678),#328,.T.); +#4835=ADVANCED_FACE('',(#679),#329,.T.); +#4836=ADVANCED_FACE('',(#680),#330,.F.); +#4837=ADVANCED_FACE('',(#681),#331,.T.); +#4838=ADVANCED_FACE('',(#682),#332,.T.); +#4839=ADVANCED_FACE('',(#683),#333,.T.); +#4840=ADVANCED_FACE('',(#684),#334,.T.); +#4841=ADVANCED_FACE('',(#685),#335,.T.); +#4842=ADVANCED_FACE('',(#686),#336,.T.); +#4843=ADVANCED_FACE('',(#687),#337,.T.); +#4844=ADVANCED_FACE('',(#688),#338,.T.); +#4845=ADVANCED_FACE('',(#689),#339,.T.); +#4846=ADVANCED_FACE('',(#690),#340,.T.); +#4847=ADVANCED_FACE('',(#691),#341,.T.); +#4848=ADVANCED_FACE('',(#692),#342,.T.); +#4849=ADVANCED_FACE('',(#693),#343,.T.); +#4850=ADVANCED_FACE('',(#694),#344,.F.); +#4851=ADVANCED_FACE('',(#695),#345,.T.); +#4852=ADVANCED_FACE('',(#696),#346,.T.); +#4853=ADVANCED_FACE('',(#697),#347,.T.); +#4854=ADVANCED_FACE('',(#698),#348,.T.); +#4855=ADVANCED_FACE('',(#699),#349,.T.); +#4856=ADVANCED_FACE('',(#700),#350,.T.); +#4857=ADVANCED_FACE('',(#701),#351,.T.); +#4858=ADVANCED_FACE('',(#702),#352,.T.); +#4859=ADVANCED_FACE('',(#703,#191),#353,.T.); +#4860=ADVANCED_FACE('',(#704),#354,.T.); +#4861=ADVANCED_FACE('',(#705),#355,.T.); +#4862=ADVANCED_FACE('',(#706),#356,.T.); +#4863=ADVANCED_FACE('',(#707),#357,.T.); +#4864=ADVANCED_FACE('',(#708),#358,.F.); +#4865=ADVANCED_FACE('',(#709),#359,.F.); +#4866=ADVANCED_FACE('',(#710),#360,.F.); +#4867=ADVANCED_FACE('',(#711),#361,.T.); +#4868=ADVANCED_FACE('',(#712),#362,.F.); +#4869=ADVANCED_FACE('',(#713),#363,.F.); +#4870=ADVANCED_FACE('',(#714),#364,.F.); +#4871=ADVANCED_FACE('',(#715),#365,.F.); +#4872=ADVANCED_FACE('',(#716),#366,.T.); +#4873=ADVANCED_FACE('',(#717),#367,.F.); +#4874=ADVANCED_FACE('',(#718),#368,.F.); +#4875=ADVANCED_FACE('',(#719),#369,.F.); +#4876=ADVANCED_FACE('',(#720),#370,.F.); +#4877=ADVANCED_FACE('',(#721),#371,.T.); +#4878=ADVANCED_FACE('',(#722),#372,.F.); +#4879=ADVANCED_FACE('',(#723),#373,.F.); +#4880=ADVANCED_FACE('',(#724),#374,.F.); +#4881=ADVANCED_FACE('',(#725),#375,.F.); +#4882=ADVANCED_FACE('',(#726),#376,.T.); +#4883=ADVANCED_FACE('',(#727),#377,.F.); +#4884=ADVANCED_FACE('',(#728),#378,.T.); +#4885=ADVANCED_FACE('',(#729),#512,.T.); +#4886=ADVANCED_FACE('',(#730),#379,.T.); +#4887=ADVANCED_FACE('',(#731),#513,.T.); +#4888=ADVANCED_FACE('',(#732),#380,.T.); +#4889=ADVANCED_FACE('',(#733),#514,.T.); +#4890=ADVANCED_FACE('',(#734),#381,.T.); +#4891=ADVANCED_FACE('',(#735),#515,.T.); +#4892=ADVANCED_FACE('',(#736),#382,.T.); +#4893=ADVANCED_FACE('',(#737),#516,.F.); +#4894=ADVANCED_FACE('',(#738),#383,.T.); +#4895=ADVANCED_FACE('',(#739),#517,.F.); +#4896=ADVANCED_FACE('',(#740),#384,.F.); +#4897=ADVANCED_FACE('',(#741),#385,.F.); +#4898=ADVANCED_FACE('',(#742),#386,.F.); +#4899=ADVANCED_FACE('',(#743),#387,.F.); +#4900=ADVANCED_FACE('',(#744),#388,.T.); +#4901=ADVANCED_FACE('',(#745),#389,.T.); +#4902=ADVANCED_FACE('',(#746),#390,.T.); +#4903=ADVANCED_FACE('',(#747),#391,.T.); +#4904=ADVANCED_FACE('',(#748),#392,.T.); +#4905=ADVANCED_FACE('',(#749),#393,.T.); +#4906=ADVANCED_FACE('',(#750),#394,.F.); +#4907=ADVANCED_FACE('',(#751),#395,.F.); +#4908=ADVANCED_FACE('',(#752),#396,.T.); +#4909=ADVANCED_FACE('',(#753),#397,.F.); +#4910=ADVANCED_FACE('',(#754),#398,.F.); +#4911=ADVANCED_FACE('',(#755),#399,.F.); +#4912=ADVANCED_FACE('',(#756),#400,.F.); +#4913=ADVANCED_FACE('',(#757),#401,.F.); +#4914=ADVANCED_FACE('',(#758),#402,.F.); +#4915=ADVANCED_FACE('',(#759),#403,.T.); +#4916=ADVANCED_FACE('',(#760),#404,.F.); +#4917=ADVANCED_FACE('',(#761),#405,.F.); +#4918=ADVANCED_FACE('',(#762),#406,.F.); +#4919=ADVANCED_FACE('',(#763),#407,.F.); +#4920=ADVANCED_FACE('',(#764),#408,.T.); +#4921=ADVANCED_FACE('',(#765),#409,.F.); +#4922=ADVANCED_FACE('',(#766),#410,.F.); +#4923=ADVANCED_FACE('',(#767),#411,.F.); +#4924=ADVANCED_FACE('',(#768),#412,.F.); +#4925=ADVANCED_FACE('',(#769),#413,.T.); +#4926=ADVANCED_FACE('',(#770),#414,.F.); +#4927=ADVANCED_FACE('',(#771),#415,.F.); +#4928=ADVANCED_FACE('',(#772),#416,.F.); +#4929=ADVANCED_FACE('',(#773),#417,.F.); +#4930=ADVANCED_FACE('',(#774),#418,.T.); +#4931=ADVANCED_FACE('',(#775),#419,.F.); +#4932=ADVANCED_FACE('',(#776),#420,.F.); +#4933=ADVANCED_FACE('',(#777),#421,.F.); +#4934=CLOSED_SHELL('',(#4674,#4675,#4676,#4677,#4678,#4679,#4680,#4681, +#4682,#4683,#4684,#4685,#4686,#4687,#4688,#4689,#4690,#4691,#4692,#4693, +#4694,#4695,#4696,#4697,#4698,#4699,#4700,#4701,#4702,#4703,#4704,#4705, +#4706,#4707,#4708,#4709,#4710,#4711,#4712,#4713,#4714,#4715,#4716,#4717, +#4718,#4719,#4720,#4721,#4722,#4723,#4724,#4725,#4726,#4727,#4728,#4729, +#4730,#4731,#4732,#4733,#4734,#4735,#4736,#4737,#4738,#4739,#4740,#4741, +#4742,#4743,#4744,#4745,#4746,#4747,#4748,#4749,#4750,#4751,#4752,#4753, +#4754,#4755,#4756,#4757,#4758,#4759,#4760,#4761,#4762,#4763,#4764,#4765, +#4766,#4767,#4768,#4769,#4770,#4771,#4772,#4773,#4774,#4775,#4776,#4777, +#4778,#4779,#4780,#4781,#4782,#4783,#4784,#4785,#4786,#4787,#4788,#4789, +#4790,#4791,#4792,#4793,#4794,#4795,#4796,#4797,#4798,#4799,#4800,#4801, +#4802,#4803,#4804,#4805,#4806,#4807,#4808,#4809,#4810,#4811,#4812,#4813, +#4814,#4815,#4816,#4817,#4818,#4819,#4820,#4821,#4822,#4823,#4824,#4825, +#4826,#4827,#4828,#4829,#4830,#4831,#4832,#4833,#4834,#4835,#4836,#4837, +#4838,#4839,#4840,#4841,#4842,#4843,#4844,#4845,#4846,#4847,#4848,#4849, +#4850,#4851,#4852,#4853,#4854,#4855,#4856,#4857,#4858,#4859,#4860,#4861, +#4862,#4863,#4864,#4865,#4866,#4867,#4868,#4869,#4870,#4871,#4872,#4873, +#4874,#4875,#4876,#4877,#4878,#4879,#4880,#4881,#4882,#4883,#4884,#4885, +#4886,#4887,#4888,#4889,#4890,#4891,#4892,#4893,#4894,#4895,#4896,#4897, +#4898,#4899,#4900,#4901,#4902,#4903,#4904,#4905,#4906,#4907,#4908,#4909, +#4910,#4911,#4912,#4913,#4914,#4915,#4916,#4917,#4918,#4919,#4920,#4921, +#4922,#4923,#4924,#4925,#4926,#4927,#4928,#4929,#4930,#4931,#4932,#4933)); +#4935=DERIVED_UNIT_ELEMENT(#4937,1.); +#4936=DERIVED_UNIT_ELEMENT(#11404,-3.); +#4937=( +MASS_UNIT() +NAMED_UNIT(*) +SI_UNIT(.KILO.,.GRAM.) +); +#4938=DERIVED_UNIT((#4935,#4936)); +#4939=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(7850.),#4938); +#4940=PROPERTY_DEFINITION_REPRESENTATION(#4945,#4942); +#4941=PROPERTY_DEFINITION_REPRESENTATION(#4946,#4943); +#4942=REPRESENTATION('material name',(#4944),#11399); +#4943=REPRESENTATION('density',(#4939),#11399); +#4944=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); +#4945=PROPERTY_DEFINITION('material property','material name',#11417); +#4946=PROPERTY_DEFINITION('material property','density of part',#11417); +#4947=AXIS2_PLACEMENT_3D('placement',#6251,#5186,#5187); +#4948=AXIS2_PLACEMENT_3D('placement',#6252,#5188,#5189); +#4949=AXIS2_PLACEMENT_3D('',#6253,#5190,#5191); +#4950=AXIS2_PLACEMENT_3D('',#6255,#5192,#5193); +#4951=AXIS2_PLACEMENT_3D('',#6258,#5195,#5196); +#4952=AXIS2_PLACEMENT_3D('',#6259,#5197,#5198); +#4953=AXIS2_PLACEMENT_3D('',#6261,#5199,#5200); +#4954=AXIS2_PLACEMENT_3D('',#6264,#5202,#5203); +#4955=AXIS2_PLACEMENT_3D('',#7763,#5204,#5205); +#4956=AXIS2_PLACEMENT_3D('',#7896,#5272,#5273); +#4957=AXIS2_PLACEMENT_3D('',#7937,#5294,#5295); +#4958=AXIS2_PLACEMENT_3D('',#7947,#5301,#5302); +#4959=AXIS2_PLACEMENT_3D('',#7954,#5307,#5308); +#4960=AXIS2_PLACEMENT_3D('',#7964,#5314,#5315); +#4961=AXIS2_PLACEMENT_3D('',#7971,#5320,#5321); +#4962=AXIS2_PLACEMENT_3D('',#7988,#5330,#5331); +#4963=AXIS2_PLACEMENT_3D('',#8056,#5343,#5344); +#4964=AXIS2_PLACEMENT_3D('',#8058,#5346,#5347); +#4965=AXIS2_PLACEMENT_3D('',#8133,#5360,#5361); +#4966=AXIS2_PLACEMENT_3D('',#8147,#5369,#5370); +#4967=AXIS2_PLACEMENT_3D('',#8149,#5372,#5373); +#4968=AXIS2_PLACEMENT_3D('',#8525,#5447,#5448); +#4969=AXIS2_PLACEMENT_3D('',#8527,#5450,#5451); +#4970=AXIS2_PLACEMENT_3D('',#8528,#5452,#5453); +#4971=AXIS2_PLACEMENT_3D('',#8529,#5454,#5455); +#4972=AXIS2_PLACEMENT_3D('',#8552,#5468,#5469); +#4973=AXIS2_PLACEMENT_3D('',#8554,#5471,#5472); +#4974=AXIS2_PLACEMENT_3D('',#8556,#5474,#5475); +#4975=AXIS2_PLACEMENT_3D('',#8557,#5476,#5477); +#4976=AXIS2_PLACEMENT_3D('',#8559,#5479,#5480); +#4977=AXIS2_PLACEMENT_3D('',#8561,#5482,#5483); +#4978=AXIS2_PLACEMENT_3D('',#8563,#5485,#5486); +#4979=AXIS2_PLACEMENT_3D('',#8565,#5488,#5489); +#4980=AXIS2_PLACEMENT_3D('',#8567,#5491,#5492); +#4981=AXIS2_PLACEMENT_3D('',#8569,#5494,#5495); +#4982=AXIS2_PLACEMENT_3D('',#8571,#5497,#5498); +#4983=AXIS2_PLACEMENT_3D('',#8573,#5500,#5501); +#4984=AXIS2_PLACEMENT_3D('',#8574,#5502,#5503); +#4985=AXIS2_PLACEMENT_3D('',#8578,#5506,#5507); +#4986=AXIS2_PLACEMENT_3D('',#8584,#5511,#5512); +#4987=AXIS2_PLACEMENT_3D('',#8588,#5515,#5516); +#4988=AXIS2_PLACEMENT_3D('',#8591,#5519,#5520); +#4989=AXIS2_PLACEMENT_3D('',#8592,#5521,#5522); +#4990=AXIS2_PLACEMENT_3D('',#8593,#5523,#5524); +#4991=AXIS2_PLACEMENT_3D('',#8597,#5527,#5528); +#4992=AXIS2_PLACEMENT_3D('',#8603,#5532,#5533); +#4993=AXIS2_PLACEMENT_3D('',#8607,#5536,#5537); +#4994=AXIS2_PLACEMENT_3D('',#8610,#5540,#5541); +#4995=AXIS2_PLACEMENT_3D('',#8611,#5542,#5543); +#4996=AXIS2_PLACEMENT_3D('',#8612,#5544,#5545); +#4997=AXIS2_PLACEMENT_3D('',#8618,#5549,#5550); +#4998=AXIS2_PLACEMENT_3D('',#8624,#5554,#5555); +#4999=AXIS2_PLACEMENT_3D('',#8627,#5558,#5559); +#5000=AXIS2_PLACEMENT_3D('',#8628,#5560,#5561); +#5001=AXIS2_PLACEMENT_3D('',#8629,#5562,#5563); +#5002=AXIS2_PLACEMENT_3D('',#8635,#5567,#5568); +#5003=AXIS2_PLACEMENT_3D('',#8641,#5572,#5573); +#5004=AXIS2_PLACEMENT_3D('',#8644,#5576,#5577); +#5005=AXIS2_PLACEMENT_3D('',#8645,#5578,#5579); +#5006=AXIS2_PLACEMENT_3D('',#8646,#5580,#5581); +#5007=AXIS2_PLACEMENT_3D('',#8652,#5585,#5586); +#5008=AXIS2_PLACEMENT_3D('',#8658,#5590,#5591); +#5009=AXIS2_PLACEMENT_3D('',#8661,#5594,#5595); +#5010=AXIS2_PLACEMENT_3D('',#8662,#5596,#5597); +#5011=AXIS2_PLACEMENT_3D('',#8663,#5598,#5599); +#5012=AXIS2_PLACEMENT_3D('',#8669,#5603,#5604); +#5013=AXIS2_PLACEMENT_3D('',#8675,#5608,#5609); +#5014=AXIS2_PLACEMENT_3D('',#8678,#5612,#5613); +#5015=AXIS2_PLACEMENT_3D('',#8679,#5614,#5615); +#5016=AXIS2_PLACEMENT_3D('',#8680,#5616,#5617); +#5017=AXIS2_PLACEMENT_3D('',#8686,#5621,#5622); +#5018=AXIS2_PLACEMENT_3D('',#8692,#5626,#5627); +#5019=AXIS2_PLACEMENT_3D('',#8695,#5630,#5631); +#5020=AXIS2_PLACEMENT_3D('',#8696,#5632,#5633); +#5021=AXIS2_PLACEMENT_3D('',#8697,#5634,#5635); +#5022=AXIS2_PLACEMENT_3D('',#8703,#5639,#5640); +#5023=AXIS2_PLACEMENT_3D('',#8707,#5643,#5644); +#5024=AXIS2_PLACEMENT_3D('',#8711,#5647,#5648); +#5025=AXIS2_PLACEMENT_3D('',#8715,#5651,#5652); +#5026=AXIS2_PLACEMENT_3D('',#8719,#5655,#5656); +#5027=AXIS2_PLACEMENT_3D('',#8723,#5659,#5660); +#5028=AXIS2_PLACEMENT_3D('',#8727,#5663,#5664); +#5029=AXIS2_PLACEMENT_3D('',#8729,#5666,#5667); +#5030=AXIS2_PLACEMENT_3D('',#8736,#5671,#5672); +#5031=AXIS2_PLACEMENT_3D('',#8742,#5676,#5677); +#5032=AXIS2_PLACEMENT_3D('',#8746,#5680,#5681); +#5033=AXIS2_PLACEMENT_3D('',#8748,#5683,#5684); +#5034=AXIS2_PLACEMENT_3D('',#8749,#5685,#5686); +#5035=AXIS2_PLACEMENT_3D('',#8755,#5690,#5691); +#5036=AXIS2_PLACEMENT_3D('',#8912,#5697,#5698); +#5037=AXIS2_PLACEMENT_3D('',#8916,#5701,#5702); +#5038=AXIS2_PLACEMENT_3D('',#8920,#5705,#5706); +#5039=AXIS2_PLACEMENT_3D('',#8924,#5709,#5710); +#5040=AXIS2_PLACEMENT_3D('',#8928,#5713,#5714); +#5041=AXIS2_PLACEMENT_3D('',#8932,#5717,#5718); +#5042=AXIS2_PLACEMENT_3D('',#8934,#5720,#5721); +#5043=AXIS2_PLACEMENT_3D('',#8981,#5725,#5726); +#5044=AXIS2_PLACEMENT_3D('',#9105,#5732,#5733); +#5045=AXIS2_PLACEMENT_3D('',#9109,#5736,#5737); +#5046=AXIS2_PLACEMENT_3D('',#9111,#5739,#5740); +#5047=AXIS2_PLACEMENT_3D('',#9112,#5741,#5742); +#5048=AXIS2_PLACEMENT_3D('',#9118,#5746,#5747); +#5049=AXIS2_PLACEMENT_3D('',#9122,#5750,#5751); +#5050=AXIS2_PLACEMENT_3D('',#9220,#5756,#5757); +#5051=AXIS2_PLACEMENT_3D('',#9327,#5762,#5763); +#5052=AXIS2_PLACEMENT_3D('',#9328,#5764,#5765); +#5053=AXIS2_PLACEMENT_3D('',#9334,#5769,#5770); +#5054=AXIS2_PLACEMENT_3D('',#9526,#5777,#5778); +#5055=AXIS2_PLACEMENT_3D('',#9530,#5781,#5782); +#5056=AXIS2_PLACEMENT_3D('',#9534,#5785,#5786); +#5057=AXIS2_PLACEMENT_3D('',#9536,#5788,#5789); +#5058=AXIS2_PLACEMENT_3D('',#9583,#5793,#5794); +#5059=AXIS2_PLACEMENT_3D('',#9707,#5800,#5801); +#5060=AXIS2_PLACEMENT_3D('',#9711,#5804,#5805); +#5061=AXIS2_PLACEMENT_3D('',#9713,#5807,#5808); +#5062=AXIS2_PLACEMENT_3D('',#9714,#5809,#5810); +#5063=AXIS2_PLACEMENT_3D('',#9720,#5814,#5815); +#5064=AXIS2_PLACEMENT_3D('',#9724,#5818,#5819); +#5065=AXIS2_PLACEMENT_3D('',#9728,#5822,#5823); +#5066=AXIS2_PLACEMENT_3D('',#9732,#5826,#5827); +#5067=AXIS2_PLACEMENT_3D('',#9736,#5830,#5831); +#5068=AXIS2_PLACEMENT_3D('',#9738,#5833,#5834); +#5069=AXIS2_PLACEMENT_3D('',#9739,#5835,#5836); +#5070=AXIS2_PLACEMENT_3D('',#9745,#5840,#5841); +#5071=AXIS2_PLACEMENT_3D('',#9749,#5844,#5845); +#5072=AXIS2_PLACEMENT_3D('',#9753,#5848,#5849); +#5073=AXIS2_PLACEMENT_3D('',#9757,#5852,#5853); +#5074=AXIS2_PLACEMENT_3D('',#9761,#5856,#5857); +#5075=AXIS2_PLACEMENT_3D('',#9763,#5859,#5860); +#5076=AXIS2_PLACEMENT_3D('',#9764,#5861,#5862); +#5077=AXIS2_PLACEMENT_3D('',#9770,#5866,#5867); +#5078=AXIS2_PLACEMENT_3D('',#9774,#5870,#5871); +#5079=AXIS2_PLACEMENT_3D('',#9778,#5874,#5875); +#5080=AXIS2_PLACEMENT_3D('',#9782,#5878,#5879); +#5081=AXIS2_PLACEMENT_3D('',#9786,#5882,#5883); +#5082=AXIS2_PLACEMENT_3D('',#9790,#5886,#5887); +#5083=AXIS2_PLACEMENT_3D('',#9794,#5890,#5891); +#5084=AXIS2_PLACEMENT_3D('',#9796,#5893,#5894); +#5085=AXIS2_PLACEMENT_3D('',#9803,#5898,#5899); +#5086=AXIS2_PLACEMENT_3D('',#9809,#5903,#5904); +#5087=AXIS2_PLACEMENT_3D('',#9813,#5907,#5908); +#5088=AXIS2_PLACEMENT_3D('',#9815,#5910,#5911); +#5089=AXIS2_PLACEMENT_3D('',#9816,#5912,#5913); +#5090=AXIS2_PLACEMENT_3D('',#9822,#5917,#5918); +#5091=AXIS2_PLACEMENT_3D('',#9826,#5921,#5922); +#5092=AXIS2_PLACEMENT_3D('',#9830,#5925,#5926); +#5093=AXIS2_PLACEMENT_3D('',#9832,#5928,#5929); +#5094=AXIS2_PLACEMENT_3D('',#9833,#5930,#5931); +#5095=AXIS2_PLACEMENT_3D('',#9839,#5935,#5936); +#5096=AXIS2_PLACEMENT_3D('',#9843,#5939,#5940); +#5097=AXIS2_PLACEMENT_3D('',#9847,#5943,#5944); +#5098=AXIS2_PLACEMENT_3D('',#9851,#5947,#5948); +#5099=AXIS2_PLACEMENT_3D('',#9855,#5951,#5952); +#5100=AXIS2_PLACEMENT_3D('',#9859,#5955,#5956); +#5101=AXIS2_PLACEMENT_3D('',#9863,#5959,#5960); +#5102=AXIS2_PLACEMENT_3D('',#9867,#5963,#5964); +#5103=AXIS2_PLACEMENT_3D('',#9871,#5967,#5968); +#5104=AXIS2_PLACEMENT_3D('',#9875,#5971,#5972); +#5105=AXIS2_PLACEMENT_3D('',#9879,#5975,#5976); +#5106=AXIS2_PLACEMENT_3D('',#9883,#5979,#5980); +#5107=AXIS2_PLACEMENT_3D('',#9885,#5982,#5983); +#5108=AXIS2_PLACEMENT_3D('',#9886,#5984,#5985); +#5109=AXIS2_PLACEMENT_3D('',#9892,#5989,#5990); +#5110=AXIS2_PLACEMENT_3D('',#9896,#5993,#5994); +#5111=AXIS2_PLACEMENT_3D('',#9900,#5997,#5998); +#5112=AXIS2_PLACEMENT_3D('',#9904,#6001,#6002); +#5113=AXIS2_PLACEMENT_3D('',#9908,#6005,#6006); +#5114=AXIS2_PLACEMENT_3D('',#9912,#6009,#6010); +#5115=AXIS2_PLACEMENT_3D('',#9916,#6013,#6014); +#5116=AXIS2_PLACEMENT_3D('',#9918,#6016,#6017); +#5117=AXIS2_PLACEMENT_3D('',#9925,#6021,#6022); +#5118=AXIS2_PLACEMENT_3D('',#9931,#6026,#6027); +#5119=AXIS2_PLACEMENT_3D('',#9935,#6030,#6031); +#5120=AXIS2_PLACEMENT_3D('',#9937,#6033,#6034); +#5121=AXIS2_PLACEMENT_3D('',#9938,#6035,#6036); +#5122=AXIS2_PLACEMENT_3D('',#9944,#6040,#6041); +#5123=AXIS2_PLACEMENT_3D('',#9950,#6045,#6046); +#5124=AXIS2_PLACEMENT_3D('',#9953,#6049,#6050); +#5125=AXIS2_PLACEMENT_3D('',#9954,#6051,#6052); +#5126=AXIS2_PLACEMENT_3D('',#9955,#6053,#6054); +#5127=AXIS2_PLACEMENT_3D('',#9961,#6058,#6059); +#5128=AXIS2_PLACEMENT_3D('',#9967,#6063,#6064); +#5129=AXIS2_PLACEMENT_3D('',#9970,#6067,#6068); +#5130=AXIS2_PLACEMENT_3D('',#9971,#6069,#6070); +#5131=AXIS2_PLACEMENT_3D('',#9972,#6071,#6072); +#5132=AXIS2_PLACEMENT_3D('',#9978,#6076,#6077); +#5133=AXIS2_PLACEMENT_3D('',#9984,#6081,#6082); +#5134=AXIS2_PLACEMENT_3D('',#9987,#6085,#6086); +#5135=AXIS2_PLACEMENT_3D('',#9988,#6087,#6088); +#5136=AXIS2_PLACEMENT_3D('',#9989,#6089,#6090); +#5137=AXIS2_PLACEMENT_3D('',#9995,#6094,#6095); +#5138=AXIS2_PLACEMENT_3D('',#10001,#6099,#6100); +#5139=AXIS2_PLACEMENT_3D('',#10004,#6103,#6104); +#5140=AXIS2_PLACEMENT_3D('',#10005,#6105,#6106); +#5141=AXIS2_PLACEMENT_3D('',#10006,#6107,#6108); +#5142=AXIS2_PLACEMENT_3D('',#10217,#6109,#6110); +#5143=AXIS2_PLACEMENT_3D('',#10428,#6111,#6112); +#5144=AXIS2_PLACEMENT_3D('',#10639,#6113,#6114); +#5145=AXIS2_PLACEMENT_3D('',#10850,#6115,#6116); +#5146=AXIS2_PLACEMENT_3D('',#11063,#6117,#6118); +#5147=AXIS2_PLACEMENT_3D('',#11276,#6119,#6120); +#5148=AXIS2_PLACEMENT_3D('',#11282,#6124,#6125); +#5149=AXIS2_PLACEMENT_3D('',#11286,#6128,#6129); +#5150=AXIS2_PLACEMENT_3D('',#11290,#6132,#6133); +#5151=AXIS2_PLACEMENT_3D('',#11292,#6135,#6136); +#5152=AXIS2_PLACEMENT_3D('',#11293,#6137,#6138); +#5153=AXIS2_PLACEMENT_3D('',#11299,#6142,#6143); +#5154=AXIS2_PLACEMENT_3D('',#11303,#6146,#6147); +#5155=AXIS2_PLACEMENT_3D('',#11307,#6150,#6151); +#5156=AXIS2_PLACEMENT_3D('',#11309,#6153,#6154); +#5157=AXIS2_PLACEMENT_3D('',#11310,#6155,#6156); +#5158=AXIS2_PLACEMENT_3D('',#11314,#6159,#6160); +#5159=AXIS2_PLACEMENT_3D('',#11316,#6162,#6163); +#5160=AXIS2_PLACEMENT_3D('',#11317,#6164,#6165); +#5161=AXIS2_PLACEMENT_3D('',#11321,#6168,#6169); +#5162=AXIS2_PLACEMENT_3D('',#11323,#6171,#6172); +#5163=AXIS2_PLACEMENT_3D('',#11324,#6173,#6174); +#5164=AXIS2_PLACEMENT_3D('',#11330,#6178,#6179); +#5165=AXIS2_PLACEMENT_3D('',#11336,#6183,#6184); +#5166=AXIS2_PLACEMENT_3D('',#11339,#6187,#6188); +#5167=AXIS2_PLACEMENT_3D('',#11340,#6189,#6190); +#5168=AXIS2_PLACEMENT_3D('',#11341,#6191,#6192); +#5169=AXIS2_PLACEMENT_3D('',#11347,#6196,#6197); +#5170=AXIS2_PLACEMENT_3D('',#11353,#6201,#6202); +#5171=AXIS2_PLACEMENT_3D('',#11356,#6205,#6206); +#5172=AXIS2_PLACEMENT_3D('',#11357,#6207,#6208); +#5173=AXIS2_PLACEMENT_3D('',#11358,#6209,#6210); +#5174=AXIS2_PLACEMENT_3D('',#11364,#6214,#6215); +#5175=AXIS2_PLACEMENT_3D('',#11370,#6219,#6220); +#5176=AXIS2_PLACEMENT_3D('',#11373,#6223,#6224); +#5177=AXIS2_PLACEMENT_3D('',#11374,#6225,#6226); +#5178=AXIS2_PLACEMENT_3D('',#11375,#6227,#6228); +#5179=AXIS2_PLACEMENT_3D('',#11381,#6232,#6233); +#5180=AXIS2_PLACEMENT_3D('',#11387,#6237,#6238); +#5181=AXIS2_PLACEMENT_3D('',#11390,#6241,#6242); +#5182=AXIS2_PLACEMENT_3D('',#11391,#6243,#6244); +#5183=AXIS2_PLACEMENT_3D('',#11392,#6245,#6246); +#5184=AXIS2_PLACEMENT_3D('',#11393,#6247,#6248); +#5185=AXIS2_PLACEMENT_3D('',#11394,#6249,#6250); +#5186=DIRECTION('axis',(0.,0.,1.)); +#5187=DIRECTION('refdir',(1.,0.,0.)); +#5188=DIRECTION('axis',(0.,0.,1.)); +#5189=DIRECTION('refdir',(1.,0.,0.)); +#5190=DIRECTION('center_axis',(0.,1.,0.)); +#5191=DIRECTION('ref_axis',(0.,0.,1.)); +#5192=DIRECTION('center_axis',(0.,-1.,0.)); +#5193=DIRECTION('ref_axis',(0.,0.,-1.)); +#5194=DIRECTION('',(0.,-1.,0.)); +#5195=DIRECTION('center_axis',(0.,1.,0.)); +#5196=DIRECTION('ref_axis',(0.,0.,1.)); +#5197=DIRECTION('center_axis',(0.,1.,0.)); +#5198=DIRECTION('ref_axis',(0.,0.,1.)); +#5199=DIRECTION('center_axis',(0.,-1.,0.)); +#5200=DIRECTION('ref_axis',(0.,0.,-1.)); +#5201=DIRECTION('',(0.,-1.,0.)); +#5202=DIRECTION('center_axis',(0.,1.,0.)); +#5203=DIRECTION('ref_axis',(0.,0.,1.)); +#5204=DIRECTION('center_axis',(0.,-1.,0.)); +#5205=DIRECTION('ref_axis',(0.,0.,-1.)); +#5206=DIRECTION('',(0.,0.,1.)); +#5207=DIRECTION('',(-1.,-4.15502628976481E-16,0.)); +#5208=DIRECTION('',(0.,0.,1.)); +#5209=DIRECTION('',(-1.,-4.15502628976481E-16,0.)); +#5210=DIRECTION('',(0.,0.,1.)); +#5211=DIRECTION('',(0.,0.,1.)); +#5212=DIRECTION('',(1.,0.,0.)); +#5213=DIRECTION('',(0.,0.,1.)); +#5214=DIRECTION('',(0.,0.,1.)); +#5215=DIRECTION('',(1.,-4.15502628976481E-16,0.)); +#5216=DIRECTION('',(0.,0.,1.)); +#5217=DIRECTION('',(1.,-4.15502628976481E-16,0.)); +#5218=DIRECTION('',(0.,0.,1.)); +#5219=DIRECTION('',(1.,0.,0.)); +#5220=DIRECTION('',(1.,0.,0.)); +#5221=DIRECTION('',(0.,0.,1.)); +#5222=DIRECTION('',(1.,0.,0.)); +#5223=DIRECTION('',(0.,0.,1.)); +#5224=DIRECTION('',(1.,0.,0.)); +#5225=DIRECTION('',(0.,0.,1.)); +#5226=DIRECTION('',(1.,0.,0.)); +#5227=DIRECTION('',(0.,0.,1.)); +#5228=DIRECTION('',(1.,0.,0.)); +#5229=DIRECTION('',(0.,0.,1.)); +#5230=DIRECTION('',(1.,0.,0.)); +#5231=DIRECTION('',(0.,0.,1.)); +#5232=DIRECTION('',(1.,0.,0.)); +#5233=DIRECTION('',(0.,0.,1.)); +#5234=DIRECTION('',(1.,0.,0.)); +#5235=DIRECTION('',(0.,0.,1.)); +#5236=DIRECTION('',(-1.,0.,0.)); +#5237=DIRECTION('',(0.,0.,-1.)); +#5238=DIRECTION('',(-1.,0.,0.)); +#5239=DIRECTION('',(0.,0.,-1.)); +#5240=DIRECTION('',(-1.,0.,0.)); +#5241=DIRECTION('',(0.,0.,-1.)); +#5242=DIRECTION('',(-1.,0.,0.)); +#5243=DIRECTION('',(0.,0.,-1.)); +#5244=DIRECTION('',(-1.,0.,0.)); +#5245=DIRECTION('',(0.,0.,-1.)); +#5246=DIRECTION('',(-1.,0.,0.)); +#5247=DIRECTION('',(0.,0.,-1.)); +#5248=DIRECTION('',(-1.,0.,0.)); +#5249=DIRECTION('',(0.,0.,-1.)); +#5250=DIRECTION('',(-1.,0.,0.)); +#5251=DIRECTION('',(0.,0.,-1.)); +#5252=DIRECTION('',(1.,0.,0.)); +#5253=DIRECTION('',(0.,0.,1.)); +#5254=DIRECTION('',(1.,0.,0.)); +#5255=DIRECTION('',(0.,0.,1.)); +#5256=DIRECTION('',(1.,0.,0.)); +#5257=DIRECTION('',(0.,0.,1.)); +#5258=DIRECTION('',(1.,0.,0.)); +#5259=DIRECTION('',(0.,0.,1.)); +#5260=DIRECTION('',(1.,0.,0.)); +#5261=DIRECTION('',(0.,0.,1.)); +#5262=DIRECTION('',(1.,0.,0.)); +#5263=DIRECTION('',(0.,0.,1.)); +#5264=DIRECTION('',(1.,0.,0.)); +#5265=DIRECTION('',(0.,0.,1.)); +#5266=DIRECTION('',(1.,0.,0.)); +#5267=DIRECTION('',(0.,0.,1.)); +#5268=DIRECTION('',(1.,0.,0.)); +#5269=DIRECTION('',(0.,0.,1.)); +#5270=DIRECTION('',(1.,0.,0.)); +#5271=DIRECTION('',(0.,0.,1.)); +#5272=DIRECTION('center_axis',(0.,0.,-1.)); +#5273=DIRECTION('ref_axis',(-1.,0.,0.)); +#5274=DIRECTION('',(-1.,-1.73472347597681E-16,0.)); +#5275=DIRECTION('',(-1.82987708436372E-16,1.,0.)); +#5276=DIRECTION('',(-1.,-3.25260651745651E-16,0.)); +#5277=DIRECTION('',(4.33680868994202E-16,1.,0.)); +#5278=DIRECTION('',(1.,2.16840434497101E-16,0.)); +#5279=DIRECTION('',(-2.89120579329468E-16,1.,0.)); +#5280=DIRECTION('',(-1.,-1.44560289664734E-16,0.)); +#5281=DIRECTION('',(2.89120579329468E-16,-1.,0.)); +#5282=DIRECTION('',(1.,0.,0.)); +#5283=DIRECTION('',(4.33680868994202E-16,-1.,0.)); +#5284=DIRECTION('',(-1.,-5.42101086242752E-17,0.)); +#5285=DIRECTION('',(1.82987708436372E-16,-1.,0.)); +#5286=DIRECTION('',(-1.,0.,0.)); +#5287=DIRECTION('',(0.,1.,0.)); +#5288=DIRECTION('',(1.,0.,0.)); +#5289=DIRECTION('',(5.78241158658936E-16,-1.,0.)); +#5290=DIRECTION('',(1.,1.73472347597681E-16,0.)); +#5291=DIRECTION('',(0.,1.,0.)); +#5292=DIRECTION('',(1.,2.47817639425258E-16,0.)); +#5293=DIRECTION('',(0.,-1.,0.)); +#5294=DIRECTION('center_axis',(1.,1.82987708436372E-16,0.)); +#5295=DIRECTION('ref_axis',(-1.82987708436372E-16,1.,0.)); +#5296=DIRECTION('',(0.,0.,-1.)); +#5297=DIRECTION('',(-5.78241158658936E-16,1.,0.)); +#5298=DIRECTION('',(0.,0.,1.)); +#5299=DIRECTION('',(-1.82987708436372E-16,1.,0.)); +#5300=DIRECTION('',(0.,0.,1.)); +#5301=DIRECTION('center_axis',(2.47817639425258E-16,-1.,0.)); +#5302=DIRECTION('ref_axis',(1.,2.47817639425258E-16,0.)); +#5303=DIRECTION('',(0.,0.,-1.)); +#5304=DIRECTION('',(0.,0.,1.)); +#5305=DIRECTION('',(1.,2.47817639425258E-16,0.)); +#5306=DIRECTION('',(1.,0.,0.)); +#5307=DIRECTION('center_axis',(0.,-1.,0.)); +#5308=DIRECTION('ref_axis',(0.,0.,-1.)); +#5309=DIRECTION('',(0.,0.,-1.)); +#5310=DIRECTION('',(1.,0.,0.)); +#5311=DIRECTION('',(0.,0.,1.)); +#5312=DIRECTION('',(1.,0.,0.)); +#5313=DIRECTION('',(0.,0.,1.)); +#5314=DIRECTION('center_axis',(-1.,-1.82987708436372E-16,0.)); +#5315=DIRECTION('ref_axis',(1.82987708436372E-16,-1.,0.)); +#5316=DIRECTION('',(0.,0.,-1.)); +#5317=DIRECTION('',(0.,0.,1.)); +#5318=DIRECTION('',(1.82987708436372E-16,-1.,0.)); +#5319=DIRECTION('',(0.,-1.,0.)); +#5320=DIRECTION('center_axis',(-1.,0.,0.)); +#5321=DIRECTION('ref_axis',(0.,0.,1.)); +#5322=DIRECTION('',(0.,-1.,-1.76293036176505E-16)); +#5323=DIRECTION('',(0.,-0.69919706176197,0.714928995651615)); +#5324=DIRECTION('',(0.,-1.,0.)); +#5325=DIRECTION('',(0.,-1.51086887268805E-16,1.)); +#5326=DIRECTION('',(0.,0.,1.)); +#5327=DIRECTION('',(0.,-1.,0.)); +#5328=DIRECTION('',(0.,0.,-1.)); +#5329=DIRECTION('',(0.,1.,0.)); +#5330=DIRECTION('center_axis',(-1.,0.,0.)); +#5331=DIRECTION('ref_axis',(0.,0.,1.)); +#5332=DIRECTION('',(0.,-0.92233170190411,-0.386399057533358)); +#5333=DIRECTION('',(0.,1.33501512383032E-16,-1.)); +#5334=DIRECTION('',(0.,-1.51086887268805E-16,1.)); +#5335=DIRECTION('',(0.,-1.,-4.33680868994202E-16)); +#5336=DIRECTION('',(0.,1.,3.94255335449274E-16)); +#5337=DIRECTION('',(0.,-0.939692620785908,0.342020143325669)); +#5338=DIRECTION('',(0.,-1.33440267382831E-15,-1.)); +#5339=DIRECTION('',(0.,0.93969262078591,0.342020143325665)); +#5340=DIRECTION('',(0.,-1.,-1.31418445149758E-16)); +#5341=DIRECTION('',(0.,-1.,0.)); +#5342=DIRECTION('',(0.,0.,1.)); +#5343=DIRECTION('center_axis',(-1.,0.,0.)); +#5344=DIRECTION('ref_axis',(0.,0.,1.)); +#5345=DIRECTION('',(0.,0.,1.)); +#5346=DIRECTION('center_axis',(1.,0.,0.)); +#5347=DIRECTION('ref_axis',(0.,0.,-1.)); +#5348=DIRECTION('',(0.,1.51086887268805E-16,-1.)); +#5349=DIRECTION('',(0.,1.,1.76293036176505E-16)); +#5350=DIRECTION('',(0.,0.,1.)); +#5351=DIRECTION('',(0.,0.812453276758331,0.583026305654085)); +#5352=DIRECTION('',(0.,0.,1.)); +#5353=DIRECTION('',(0.,1.,0.)); +#5354=DIRECTION('',(0.,-1.,-1.31418445149758E-16)); +#5355=DIRECTION('',(0.,-0.93969262078591,-0.342020143325665)); +#5356=DIRECTION('',(0.,-1.33440267382831E-15,-1.)); +#5357=DIRECTION('',(0.,0.93969262078591,-0.342020143325663)); +#5358=DIRECTION('',(0.,1.,3.94255335449274E-16)); +#5359=DIRECTION('',(0.,1.,4.33680868994202E-16)); +#5360=DIRECTION('center_axis',(1.,0.,0.)); +#5361=DIRECTION('ref_axis',(0.,0.,-1.)); +#5362=DIRECTION('',(0.,1.51086887268805E-16,-1.)); +#5363=DIRECTION('',(0.,1.,0.)); +#5364=DIRECTION('',(0.,-0.69919706176197,0.714928995651615)); +#5365=DIRECTION('',(0.,-1.,0.)); +#5366=DIRECTION('',(0.,0.,1.)); +#5367=DIRECTION('',(0.,1.,0.)); +#5368=DIRECTION('',(0.,0.,-1.)); +#5369=DIRECTION('center_axis',(1.,0.,0.)); +#5370=DIRECTION('ref_axis',(0.,0.,-1.)); +#5371=DIRECTION('',(0.,1.,0.)); +#5372=DIRECTION('center_axis',(0.,1.,0.)); +#5373=DIRECTION('ref_axis',(0.,0.,1.)); +#5374=DIRECTION('',(-1.,0.,0.)); +#5375=DIRECTION('',(0.,0.,1.)); +#5376=DIRECTION('',(-1.,0.,0.)); +#5377=DIRECTION('',(-1.,0.,0.)); +#5378=DIRECTION('',(0.,0.,-1.)); +#5379=DIRECTION('',(1.,0.,0.)); +#5380=DIRECTION('',(0.622788232243938,0.,0.782390450976027)); +#5381=DIRECTION('',(-1.,0.,0.)); +#5382=DIRECTION('',(-0.622788232243938,0.,-0.782390450976027)); +#5383=DIRECTION('',(-1.,0.,0.)); +#5384=DIRECTION('',(0.,0.,1.)); +#5385=DIRECTION('',(-1.,0.,0.)); +#5386=DIRECTION('',(0.,0.,-1.)); +#5387=DIRECTION('',(1.,0.,0.)); +#5388=DIRECTION('',(-1.,0.,0.)); +#5389=DIRECTION('',(0.,0.,1.)); +#5390=DIRECTION('',(-1.,0.,0.)); +#5391=DIRECTION('',(0.496686511837684,0.,-0.867930013859709)); +#5392=DIRECTION('',(1.,0.,0.)); +#5393=DIRECTION('',(0.,0.,1.)); +#5394=DIRECTION('',(-1.,0.,0.)); +#5395=DIRECTION('',(0.,0.,-1.)); +#5396=DIRECTION('',(-1.,0.,0.)); +#5397=DIRECTION('',(0.,0.,-1.)); +#5398=DIRECTION('',(1.,0.,0.)); +#5399=DIRECTION('',(0.,0.,1.)); +#5400=DIRECTION('',(0.428584206872173,0.,-0.903501841514311)); +#5401=DIRECTION('',(1.,0.,0.)); +#5402=DIRECTION('',(0.419058177461747,0.,0.907959384500451)); +#5403=DIRECTION('',(-1.,0.,0.)); +#5404=DIRECTION('',(-0.418842224744607,0.,-0.90805902383655)); +#5405=DIRECTION('',(-1.,0.,0.)); +#5406=DIRECTION('',(-0.428596026355543,0.,0.903496234741595)); +#5407=DIRECTION('',(-1.,0.,0.)); +#5408=DIRECTION('',(-0.131351992543885,0.,0.991335792784035)); +#5409=DIRECTION('',(-1.,0.,0.)); +#5410=DIRECTION('',(0.130875760050872,0.,-0.991398777198715)); +#5411=DIRECTION('',(1.,0.,0.)); +#5412=DIRECTION('',(0.44948027739213,0.,0.893290255312065)); +#5413=DIRECTION('',(0.448609500244122,0.,-0.893727875972725)); +#5414=DIRECTION('',(1.,0.,0.)); +#5415=DIRECTION('',(0.131656421426928,0.,0.991295408390988)); +#5416=DIRECTION('',(-1.,0.,0.)); +#5417=DIRECTION('',(-0.131910648635163,0.,-0.991261610664234)); +#5418=DIRECTION('',(-0.448407623259109,0.,0.893829180214607)); +#5419=DIRECTION('',(-1.,0.,0.)); +#5420=DIRECTION('',(-0.448999766609312,0.,-0.893531873849379)); +#5421=DIRECTION('',(0.428584206872173,0.,-0.903501841514311)); +#5422=DIRECTION('',(1.,0.,0.)); +#5423=DIRECTION('',(0.419058177461747,0.,0.907959384500452)); +#5424=DIRECTION('',(-1.,0.,0.)); +#5425=DIRECTION('',(-0.418842224744609,0.,-0.908059023836549)); +#5426=DIRECTION('',(-1.,0.,0.)); +#5427=DIRECTION('',(-0.428596026355544,0.,0.903496234741594)); +#5428=DIRECTION('',(-1.,0.,0.)); +#5429=DIRECTION('',(-1.,0.,0.)); +#5430=DIRECTION('',(0.496686511837684,0.,-0.867930013859709)); +#5431=DIRECTION('',(1.,0.,0.)); +#5432=DIRECTION('',(0.,0.,1.)); +#5433=DIRECTION('',(-1.,0.,0.)); +#5434=DIRECTION('',(0.,0.,-1.)); +#5435=DIRECTION('',(0.,0.,-1.)); +#5436=DIRECTION('',(1.,0.,0.)); +#5437=DIRECTION('',(0.,0.,1.)); +#5438=DIRECTION('',(0.566528822887066,0.,-0.824041924199367)); +#5439=DIRECTION('',(0.428584206872173,0.,-0.903501841514311)); +#5440=DIRECTION('',(1.,0.,0.)); +#5441=DIRECTION('',(0.419058177461747,0.,0.907959384500452)); +#5442=DIRECTION('',(-1.,0.,0.)); +#5443=DIRECTION('',(-0.418842224744607,0.,-0.90805902383655)); +#5444=DIRECTION('',(-1.,0.,0.)); +#5445=DIRECTION('',(-0.428596026355543,0.,0.903496234741595)); +#5446=DIRECTION('',(-1.,0.,0.)); +#5447=DIRECTION('center_axis',(-1.,0.,0.)); +#5448=DIRECTION('ref_axis',(0.,0.,1.)); +#5449=DIRECTION('',(0.,-1.,0.)); +#5450=DIRECTION('center_axis',(1.,0.,0.)); +#5451=DIRECTION('ref_axis',(0.,0.,-1.)); +#5452=DIRECTION('center_axis',(0.,0.,1.)); +#5453=DIRECTION('ref_axis',(1.,0.,0.)); +#5454=DIRECTION('center_axis',(0.,0.,1.)); +#5455=DIRECTION('ref_axis',(1.,0.,0.)); +#5456=DIRECTION('',(0.,1.,0.)); +#5457=DIRECTION('',(1.,1.73472347597681E-16,0.)); +#5458=DIRECTION('',(5.78241158658936E-16,-1.,0.)); +#5459=DIRECTION('',(-1.,-5.42101086242752E-17,0.)); +#5460=DIRECTION('',(4.33680868994202E-16,-1.,0.)); +#5461=DIRECTION('',(1.,0.,0.)); +#5462=DIRECTION('',(2.89120579329468E-16,-1.,0.)); +#5463=DIRECTION('',(-1.,-1.44560289664734E-16,0.)); +#5464=DIRECTION('',(-2.89120579329468E-16,1.,0.)); +#5465=DIRECTION('',(1.,2.16840434497101E-16,0.)); +#5466=DIRECTION('',(4.33680868994202E-16,1.,0.)); +#5467=DIRECTION('',(-1.,-3.25260651745651E-16,0.)); +#5468=DIRECTION('center_axis',(1.,0.,0.)); +#5469=DIRECTION('ref_axis',(0.,0.,-1.)); +#5470=DIRECTION('',(0.,0.,1.)); +#5471=DIRECTION('center_axis',(1.73472347597681E-16,-1.,0.)); +#5472=DIRECTION('ref_axis',(1.,1.73472347597681E-16,0.)); +#5473=DIRECTION('',(0.,0.,1.)); +#5474=DIRECTION('center_axis',(-1.,-5.78241158658936E-16,0.)); +#5475=DIRECTION('ref_axis',(5.78241158658936E-16,-1.,0.)); +#5476=DIRECTION('center_axis',(-5.42101086242752E-17,1.,0.)); +#5477=DIRECTION('ref_axis',(-1.,-5.42101086242752E-17,0.)); +#5478=DIRECTION('',(0.,0.,1.)); +#5479=DIRECTION('center_axis',(-1.,-4.33680868994202E-16,0.)); +#5480=DIRECTION('ref_axis',(4.33680868994202E-16,-1.,0.)); +#5481=DIRECTION('',(0.,0.,1.)); +#5482=DIRECTION('center_axis',(0.,-1.,0.)); +#5483=DIRECTION('ref_axis',(0.,0.,-1.)); +#5484=DIRECTION('',(0.,0.,1.)); +#5485=DIRECTION('center_axis',(-1.,-2.89120579329468E-16,0.)); +#5486=DIRECTION('ref_axis',(2.89120579329468E-16,-1.,0.)); +#5487=DIRECTION('',(0.,0.,1.)); +#5488=DIRECTION('center_axis',(-1.44560289664734E-16,1.,0.)); +#5489=DIRECTION('ref_axis',(-1.,-1.44560289664734E-16,0.)); +#5490=DIRECTION('',(0.,0.,1.)); +#5491=DIRECTION('center_axis',(1.,2.89120579329468E-16,0.)); +#5492=DIRECTION('ref_axis',(-2.89120579329468E-16,1.,0.)); +#5493=DIRECTION('',(0.,0.,1.)); +#5494=DIRECTION('center_axis',(2.16840434497101E-16,-1.,0.)); +#5495=DIRECTION('ref_axis',(1.,2.16840434497101E-16,0.)); +#5496=DIRECTION('',(0.,0.,1.)); +#5497=DIRECTION('center_axis',(1.,-4.33680868994202E-16,0.)); +#5498=DIRECTION('ref_axis',(4.33680868994202E-16,1.,0.)); +#5499=DIRECTION('',(0.,0.,1.)); +#5500=DIRECTION('center_axis',(-3.25260651745651E-16,1.,0.)); +#5501=DIRECTION('ref_axis',(-1.,-3.25260651745651E-16,0.)); +#5502=DIRECTION('center_axis',(1.63814128367178E-31,3.94255335449274E-16, +-1.)); +#5503=DIRECTION('ref_axis',(0.,1.,3.94255335449275E-16)); +#5504=DIRECTION('',(-1.,4.15502628976481E-16,0.)); +#5505=DIRECTION('',(0.,1.,3.94255335449274E-16)); +#5506=DIRECTION('center_axis',(-4.15502628976481E-16,-1.,1.33440267382831E-15)); +#5507=DIRECTION('ref_axis',(0.,-1.33440267382831E-15,-1.)); +#5508=DIRECTION('',(-1.,4.15502628976481E-16,0.)); +#5509=DIRECTION('',(0.,-1.33440267382831E-15,-1.)); +#5510=DIRECTION('',(1.,-4.15502628976481E-16,0.)); +#5511=DIRECTION('center_axis',(-5.4604709455726E-32,-1.31418445149758E-16, +1.)); +#5512=DIRECTION('ref_axis',(0.,-1.,-1.31418445149758E-16)); +#5513=DIRECTION('',(0.,-1.,-1.31418445149758E-16)); +#5514=DIRECTION('',(1.,-4.15502628976481E-16,0.)); +#5515=DIRECTION('center_axis',(1.,0.,0.)); +#5516=DIRECTION('ref_axis',(0.,0.,-1.)); +#5517=DIRECTION('',(0.,0.93969262078591,0.342020143325665)); +#5518=DIRECTION('',(0.,-0.93969262078591,0.342020143325663)); +#5519=DIRECTION('center_axis',(1.42110268714727E-16,0.342020143325665,-0.93969262078591)); +#5520=DIRECTION('ref_axis',(1.,-4.15502628976481E-16,0.)); +#5521=DIRECTION('center_axis',(1.42110268714726E-16,0.342020143325663,0.93969262078591)); +#5522=DIRECTION('ref_axis',(1.,-4.15502628976481E-16,0.)); +#5523=DIRECTION('center_axis',(-5.4604709455726E-32,1.31418445149758E-16, +-1.)); +#5524=DIRECTION('ref_axis',(0.,1.,1.31418445149758E-16)); +#5525=DIRECTION('',(1.,4.15502628976481E-16,0.)); +#5526=DIRECTION('',(0.,-1.,-1.31418445149758E-16)); +#5527=DIRECTION('center_axis',(-4.15502628976481E-16,1.,-1.33440267382831E-15)); +#5528=DIRECTION('ref_axis',(0.,1.33440267382831E-15,1.)); +#5529=DIRECTION('',(0.,-1.33440267382831E-15,-1.)); +#5530=DIRECTION('',(-1.,-4.15502628976481E-16,0.)); +#5531=DIRECTION('',(1.,4.15502628976481E-16,0.)); +#5532=DIRECTION('center_axis',(1.63814128367178E-31,-3.94255335449274E-16, +1.)); +#5533=DIRECTION('ref_axis',(0.,-1.,-3.94255335449275E-16)); +#5534=DIRECTION('',(0.,1.,3.94255335449274E-16)); +#5535=DIRECTION('',(-1.,-4.15502628976481E-16,0.)); +#5536=DIRECTION('center_axis',(-1.,0.,0.)); +#5537=DIRECTION('ref_axis',(0.,0.,-1.)); +#5538=DIRECTION('',(0.,-0.93969262078591,-0.342020143325665)); +#5539=DIRECTION('',(0.,0.939692620785908,-0.342020143325669)); +#5540=DIRECTION('center_axis',(-1.42110268714727E-16,0.342020143325665, +-0.93969262078591)); +#5541=DIRECTION('ref_axis',(-1.,-4.15502628976481E-16,0.)); +#5542=DIRECTION('center_axis',(-1.42110268714728E-16,0.342020143325669, +0.939692620785908)); +#5543=DIRECTION('ref_axis',(-1.,-4.15502628976481E-16,0.)); +#5544=DIRECTION('center_axis',(-1.,5.25673780599032E-16,0.)); +#5545=DIRECTION('ref_axis',(-5.25673780599032E-16,-1.,0.)); +#5546=DIRECTION('',(-5.25673780599032E-16,-1.,0.)); +#5547=DIRECTION('',(0.,0.,1.)); +#5548=DIRECTION('',(-5.25673780599032E-16,-1.,0.)); +#5549=DIRECTION('center_axis',(0.,1.,0.)); +#5550=DIRECTION('ref_axis',(0.,0.,1.)); +#5551=DIRECTION('',(-1.,0.,0.)); +#5552=DIRECTION('',(0.,0.,1.)); +#5553=DIRECTION('',(-1.,0.,0.)); +#5554=DIRECTION('center_axis',(1.,0.,0.)); +#5555=DIRECTION('ref_axis',(0.,0.,-1.)); +#5556=DIRECTION('',(0.,1.,0.)); +#5557=DIRECTION('',(0.,1.,0.)); +#5558=DIRECTION('center_axis',(0.,0.,-1.)); +#5559=DIRECTION('ref_axis',(-1.,0.,0.)); +#5560=DIRECTION('center_axis',(0.,0.,-1.)); +#5561=DIRECTION('ref_axis',(-1.,0.,0.)); +#5562=DIRECTION('center_axis',(-1.,5.25673780599032E-16,0.)); +#5563=DIRECTION('ref_axis',(-5.25673780599032E-16,-1.,0.)); +#5564=DIRECTION('',(-5.25673780599032E-16,-1.,0.)); +#5565=DIRECTION('',(0.,0.,1.)); +#5566=DIRECTION('',(-5.25673780599032E-16,-1.,0.)); +#5567=DIRECTION('center_axis',(0.,1.,0.)); +#5568=DIRECTION('ref_axis',(0.,0.,1.)); +#5569=DIRECTION('',(-1.,0.,0.)); +#5570=DIRECTION('',(0.,0.,1.)); +#5571=DIRECTION('',(-1.,0.,0.)); +#5572=DIRECTION('center_axis',(1.,0.,0.)); +#5573=DIRECTION('ref_axis',(0.,0.,-1.)); +#5574=DIRECTION('',(0.,1.,0.)); +#5575=DIRECTION('',(0.,1.,0.)); +#5576=DIRECTION('center_axis',(0.,0.,-1.)); +#5577=DIRECTION('ref_axis',(-1.,0.,0.)); +#5578=DIRECTION('center_axis',(0.,0.,-1.)); +#5579=DIRECTION('ref_axis',(-1.,0.,0.)); +#5580=DIRECTION('center_axis',(-1.,5.25673780599032E-16,0.)); +#5581=DIRECTION('ref_axis',(-5.25673780599032E-16,-1.,0.)); +#5582=DIRECTION('',(-5.25673780599032E-16,-1.,0.)); +#5583=DIRECTION('',(0.,0.,1.)); +#5584=DIRECTION('',(-5.25673780599032E-16,-1.,0.)); +#5585=DIRECTION('center_axis',(0.,1.,0.)); +#5586=DIRECTION('ref_axis',(0.,0.,1.)); +#5587=DIRECTION('',(-1.,0.,0.)); +#5588=DIRECTION('',(0.,0.,1.)); +#5589=DIRECTION('',(-1.,0.,0.)); +#5590=DIRECTION('center_axis',(1.,0.,0.)); +#5591=DIRECTION('ref_axis',(0.,0.,-1.)); +#5592=DIRECTION('',(0.,1.,0.)); +#5593=DIRECTION('',(0.,1.,0.)); +#5594=DIRECTION('center_axis',(0.,0.,-1.)); +#5595=DIRECTION('ref_axis',(-1.,0.,0.)); +#5596=DIRECTION('center_axis',(0.,0.,-1.)); +#5597=DIRECTION('ref_axis',(-1.,0.,0.)); +#5598=DIRECTION('center_axis',(-1.,5.25673780599032E-16,0.)); +#5599=DIRECTION('ref_axis',(-5.25673780599032E-16,-1.,0.)); +#5600=DIRECTION('',(-5.25673780599032E-16,-1.,0.)); +#5601=DIRECTION('',(0.,0.,1.)); +#5602=DIRECTION('',(-5.25673780599032E-16,-1.,0.)); +#5603=DIRECTION('center_axis',(0.,1.,0.)); +#5604=DIRECTION('ref_axis',(0.,0.,1.)); +#5605=DIRECTION('',(-1.,0.,0.)); +#5606=DIRECTION('',(0.,0.,1.)); +#5607=DIRECTION('',(-1.,0.,0.)); +#5608=DIRECTION('center_axis',(1.,0.,0.)); +#5609=DIRECTION('ref_axis',(0.,0.,-1.)); +#5610=DIRECTION('',(0.,1.,0.)); +#5611=DIRECTION('',(0.,1.,0.)); +#5612=DIRECTION('center_axis',(0.,0.,-1.)); +#5613=DIRECTION('ref_axis',(-1.,0.,0.)); +#5614=DIRECTION('center_axis',(0.,0.,-1.)); +#5615=DIRECTION('ref_axis',(-1.,0.,0.)); +#5616=DIRECTION('center_axis',(-1.,5.25673780599032E-16,0.)); +#5617=DIRECTION('ref_axis',(-5.25673780599032E-16,-1.,0.)); +#5618=DIRECTION('',(-5.25673780599032E-16,-1.,0.)); +#5619=DIRECTION('',(0.,0.,1.)); +#5620=DIRECTION('',(-5.25673780599032E-16,-1.,0.)); +#5621=DIRECTION('center_axis',(0.,1.,0.)); +#5622=DIRECTION('ref_axis',(0.,0.,1.)); +#5623=DIRECTION('',(-1.,0.,0.)); +#5624=DIRECTION('',(0.,0.,1.)); +#5625=DIRECTION('',(-1.,0.,0.)); +#5626=DIRECTION('center_axis',(1.,0.,0.)); +#5627=DIRECTION('ref_axis',(0.,0.,-1.)); +#5628=DIRECTION('',(0.,1.,0.)); +#5629=DIRECTION('',(0.,1.,0.)); +#5630=DIRECTION('center_axis',(0.,0.,-1.)); +#5631=DIRECTION('ref_axis',(-1.,0.,0.)); +#5632=DIRECTION('center_axis',(0.,0.,-1.)); +#5633=DIRECTION('ref_axis',(-1.,0.,0.)); +#5634=DIRECTION('center_axis',(0.,0.,1.)); +#5635=DIRECTION('ref_axis',(1.,0.,0.)); +#5636=DIRECTION('',(0.,1.,0.)); +#5637=DIRECTION('',(1.,0.,0.)); +#5638=DIRECTION('',(0.,1.,0.)); +#5639=DIRECTION('center_axis',(-0.907959384500452,0.,0.419058177461747)); +#5640=DIRECTION('ref_axis',(0.419058177461747,0.,0.907959384500452)); +#5641=DIRECTION('',(0.419058177461747,0.,0.907959384500452)); +#5642=DIRECTION('',(0.,1.,0.)); +#5643=DIRECTION('center_axis',(0.,0.,-1.)); +#5644=DIRECTION('ref_axis',(-1.,0.,0.)); +#5645=DIRECTION('',(-1.,0.,0.)); +#5646=DIRECTION('',(0.,1.,0.)); +#5647=DIRECTION('center_axis',(0.90805902383655,0.,-0.418842224744607)); +#5648=DIRECTION('ref_axis',(-0.418842224744607,0.,-0.90805902383655)); +#5649=DIRECTION('',(-0.418842224744607,0.,-0.90805902383655)); +#5650=DIRECTION('',(0.,1.,0.)); +#5651=DIRECTION('center_axis',(0.,0.,-1.)); +#5652=DIRECTION('ref_axis',(-1.,0.,0.)); +#5653=DIRECTION('',(-1.,0.,0.)); +#5654=DIRECTION('',(0.,1.,0.)); +#5655=DIRECTION('center_axis',(-0.903496234741595,0.,-0.428596026355543)); +#5656=DIRECTION('ref_axis',(-0.428596026355543,0.,0.903496234741595)); +#5657=DIRECTION('',(-0.428596026355543,0.,0.903496234741595)); +#5658=DIRECTION('',(0.,1.,0.)); +#5659=DIRECTION('center_axis',(0.,0.,-1.)); +#5660=DIRECTION('ref_axis',(-1.,0.,0.)); +#5661=DIRECTION('',(-1.,0.,0.)); +#5662=DIRECTION('',(0.,1.,0.)); +#5663=DIRECTION('center_axis',(0.903501841514311,0.,0.428584206872173)); +#5664=DIRECTION('ref_axis',(0.428584206872173,0.,-0.903501841514311)); +#5665=DIRECTION('',(0.428584206872173,0.,-0.903501841514311)); +#5666=DIRECTION('center_axis',(0.,1.,0.)); +#5667=DIRECTION('ref_axis',(0.,0.,1.)); +#5668=DIRECTION('',(1.,0.,0.)); +#5669=DIRECTION('',(-0.428351119156279,0.,0.903612371936973)); +#5670=DIRECTION('',(-0.418997788826974,0.,-0.907987253742092)); +#5671=DIRECTION('center_axis',(0.907987253742092,0.,-0.418997788826974)); +#5672=DIRECTION('ref_axis',(-0.418997788826974,0.,-0.907987253742092)); +#5673=DIRECTION('',(-0.418997788826974,0.,-0.907987253742092)); +#5674=DIRECTION('',(0.,1.,0.)); +#5675=DIRECTION('',(0.,1.,0.)); +#5676=DIRECTION('center_axis',(0.,0.,1.)); +#5677=DIRECTION('ref_axis',(1.,0.,0.)); +#5678=DIRECTION('',(1.,0.,0.)); +#5679=DIRECTION('',(0.,1.,0.)); +#5680=DIRECTION('center_axis',(-0.903612371936973,0.,-0.428351119156279)); +#5681=DIRECTION('ref_axis',(-0.428351119156279,0.,0.903612371936973)); +#5682=DIRECTION('',(-0.428351119156279,0.,0.903612371936973)); +#5683=DIRECTION('center_axis',(0.,1.,0.)); +#5684=DIRECTION('ref_axis',(0.,0.,1.)); +#5685=DIRECTION('center_axis',(1.,0.,0.)); +#5686=DIRECTION('ref_axis',(0.,0.,-1.)); +#5687=DIRECTION('',(0.,1.,0.)); +#5688=DIRECTION('',(0.,0.,-1.)); +#5689=DIRECTION('',(0.,1.,0.)); +#5690=DIRECTION('center_axis',(0.,0.,1.)); +#5691=DIRECTION('ref_axis',(1.,0.,0.)); +#5692=DIRECTION('',(1.,0.,0.)); +#5693=DIRECTION('',(0.,1.,0.)); +#5694=DIRECTION('',(0.,1.,0.)); +#5695=DIRECTION('',(0.,1.,0.)); +#5696=DIRECTION('',(0.,1.,0.)); +#5697=DIRECTION('center_axis',(-0.782390450976027,0.,0.622788232243938)); +#5698=DIRECTION('ref_axis',(0.622788232243938,0.,0.782390450976027)); +#5699=DIRECTION('',(0.622788232243938,0.,0.782390450976027)); +#5700=DIRECTION('',(0.,1.,0.)); +#5701=DIRECTION('center_axis',(0.,0.,-1.)); +#5702=DIRECTION('ref_axis',(-1.,0.,0.)); +#5703=DIRECTION('',(-1.,0.,0.)); +#5704=DIRECTION('',(0.,1.,0.)); +#5705=DIRECTION('center_axis',(0.782390450976027,0.,-0.622788232243938)); +#5706=DIRECTION('ref_axis',(-0.622788232243938,0.,-0.782390450976027)); +#5707=DIRECTION('',(-0.622788232243938,0.,-0.782390450976027)); +#5708=DIRECTION('',(0.,1.,0.)); +#5709=DIRECTION('center_axis',(0.,0.,-1.)); +#5710=DIRECTION('ref_axis',(-1.,0.,0.)); +#5711=DIRECTION('',(-1.,0.,0.)); +#5712=DIRECTION('',(0.,1.,0.)); +#5713=DIRECTION('center_axis',(-1.,0.,0.)); +#5714=DIRECTION('ref_axis',(0.,0.,1.)); +#5715=DIRECTION('',(0.,0.,1.)); +#5716=DIRECTION('',(0.,1.,0.)); +#5717=DIRECTION('center_axis',(0.,0.,-1.)); +#5718=DIRECTION('ref_axis',(-1.,0.,0.)); +#5719=DIRECTION('',(-1.,0.,0.)); +#5720=DIRECTION('center_axis',(-9.02614458009601E-14,1.,1.23672417267862E-11)); +#5721=DIRECTION('ref_axis',(0.,-1.23672417267862E-11,1.)); +#5722=DIRECTION('',(0.999970673881284,0.,0.0076584187277564)); +#5723=DIRECTION('',(0.,0.,1.)); +#5724=DIRECTION('',(-1.,0.,0.)); +#5725=DIRECTION('center_axis',(0.,0.,-1.)); +#5726=DIRECTION('ref_axis',(-1.,0.,0.)); +#5727=DIRECTION('',(-1.,0.,0.)); +#5728=DIRECTION('',(0.,1.,0.)); +#5729=DIRECTION('',(0.,1.,0.)); +#5730=DIRECTION('',(0.,1.,0.)); +#5731=DIRECTION('',(0.,1.,0.)); +#5732=DIRECTION('center_axis',(-0.0076584187277564,0.,0.999970673881285)); +#5733=DIRECTION('ref_axis',(0.999970673881285,0.,0.0076584187277564)); +#5734=DIRECTION('',(0.999970673881284,0.,0.0076584187277564)); +#5735=DIRECTION('',(0.,1.,0.)); +#5736=DIRECTION('center_axis',(-1.,0.,0.)); +#5737=DIRECTION('ref_axis',(0.,0.,1.)); +#5738=DIRECTION('',(0.,0.,1.)); +#5739=DIRECTION('center_axis',(0.,1.,0.)); +#5740=DIRECTION('ref_axis',(0.,0.,1.)); +#5741=DIRECTION('center_axis',(1.,0.,0.)); +#5742=DIRECTION('ref_axis',(0.,0.,-1.)); +#5743=DIRECTION('',(0.,1.,0.)); +#5744=DIRECTION('',(0.,0.,-1.)); +#5745=DIRECTION('',(0.,1.,0.)); +#5746=DIRECTION('center_axis',(0.,0.,1.)); +#5747=DIRECTION('ref_axis',(1.,0.,0.)); +#5748=DIRECTION('',(1.,0.,0.)); +#5749=DIRECTION('',(0.,1.,0.)); +#5750=DIRECTION('center_axis',(-1.,0.,0.)); +#5751=DIRECTION('ref_axis',(0.,0.,1.)); +#5752=DIRECTION('',(0.,0.,1.)); +#5753=DIRECTION('',(0.,1.,0.)); +#5754=DIRECTION('',(0.,1.,0.)); +#5755=DIRECTION('',(0.,1.,0.)); +#5756=DIRECTION('center_axis',(0.824041924199367,0.,0.566528822887066)); +#5757=DIRECTION('ref_axis',(0.566528822887066,0.,-0.824041924199367)); +#5758=DIRECTION('',(0.566528822887066,0.,-0.824041924199367)); +#5759=DIRECTION('',(0.,1.,0.)); +#5760=DIRECTION('',(0.,1.,0.)); +#5761=DIRECTION('',(0.,1.,0.)); +#5762=DIRECTION('center_axis',(0.,1.,0.)); +#5763=DIRECTION('ref_axis',(0.,0.,1.)); +#5764=DIRECTION('center_axis',(1.,0.,0.)); +#5765=DIRECTION('ref_axis',(0.,0.,-1.)); +#5766=DIRECTION('',(0.,1.,0.)); +#5767=DIRECTION('',(0.,0.,-1.)); +#5768=DIRECTION('',(0.,1.,0.)); +#5769=DIRECTION('center_axis',(0.,0.,1.)); +#5770=DIRECTION('ref_axis',(1.,0.,0.)); +#5771=DIRECTION('',(1.,0.,0.)); +#5772=DIRECTION('',(0.,1.,0.)); +#5773=DIRECTION('',(0.,1.,0.)); +#5774=DIRECTION('',(0.,1.,0.)); +#5775=DIRECTION('',(0.,1.,0.)); +#5776=DIRECTION('',(0.,1.,0.)); +#5777=DIRECTION('center_axis',(0.,0.,-1.)); +#5778=DIRECTION('ref_axis',(-1.,0.,0.)); +#5779=DIRECTION('',(-1.,0.,0.)); +#5780=DIRECTION('',(0.,1.,0.)); +#5781=DIRECTION('center_axis',(-1.,0.,0.)); +#5782=DIRECTION('ref_axis',(0.,0.,1.)); +#5783=DIRECTION('',(0.,0.,1.)); +#5784=DIRECTION('',(0.,1.,0.)); +#5785=DIRECTION('center_axis',(0.,0.,-1.)); +#5786=DIRECTION('ref_axis',(-1.,0.,0.)); +#5787=DIRECTION('',(-1.,0.,0.)); +#5788=DIRECTION('center_axis',(-5.09457340439145E-14,1.,2.12813013620776E-12)); +#5789=DIRECTION('ref_axis',(0.,-2.12813013620776E-12,1.)); +#5790=DIRECTION('',(0.999920808071693,0.,0.0125848156622296)); +#5791=DIRECTION('',(0.,0.,1.)); +#5792=DIRECTION('',(-1.,0.,0.)); +#5793=DIRECTION('center_axis',(0.,0.,-1.)); +#5794=DIRECTION('ref_axis',(-1.,0.,0.)); +#5795=DIRECTION('',(-1.,0.,0.)); +#5796=DIRECTION('',(0.,1.,0.)); +#5797=DIRECTION('',(0.,1.,0.)); +#5798=DIRECTION('',(0.,1.,0.)); +#5799=DIRECTION('',(0.,1.,0.)); +#5800=DIRECTION('center_axis',(-0.0125848156622296,0.,0.999920808071693)); +#5801=DIRECTION('ref_axis',(0.999920808071693,0.,0.0125848156622296)); +#5802=DIRECTION('',(0.999920808071693,0.,0.0125848156622296)); +#5803=DIRECTION('',(0.,1.,0.)); +#5804=DIRECTION('center_axis',(-1.,0.,0.)); +#5805=DIRECTION('ref_axis',(0.,0.,1.)); +#5806=DIRECTION('',(0.,0.,1.)); +#5807=DIRECTION('center_axis',(0.,1.,0.)); +#5808=DIRECTION('ref_axis',(0.,0.,1.)); +#5809=DIRECTION('center_axis',(0.867930013859709,0.,0.496686511837684)); +#5810=DIRECTION('ref_axis',(0.496686511837684,0.,-0.867930013859709)); +#5811=DIRECTION('',(0.,1.,0.)); +#5812=DIRECTION('',(0.496686511837684,0.,-0.867930013859709)); +#5813=DIRECTION('',(0.,1.,0.)); +#5814=DIRECTION('center_axis',(0.,0.,1.)); +#5815=DIRECTION('ref_axis',(1.,0.,0.)); +#5816=DIRECTION('',(1.,0.,0.)); +#5817=DIRECTION('',(0.,1.,0.)); +#5818=DIRECTION('center_axis',(-1.,0.,0.)); +#5819=DIRECTION('ref_axis',(0.,0.,1.)); +#5820=DIRECTION('',(0.,0.,1.)); +#5821=DIRECTION('',(0.,1.,0.)); +#5822=DIRECTION('center_axis',(0.,0.,-1.)); +#5823=DIRECTION('ref_axis',(-1.,0.,0.)); +#5824=DIRECTION('',(-1.,0.,0.)); +#5825=DIRECTION('',(0.,1.,0.)); +#5826=DIRECTION('center_axis',(1.,0.,0.)); +#5827=DIRECTION('ref_axis',(0.,0.,-1.)); +#5828=DIRECTION('',(0.,0.,-1.)); +#5829=DIRECTION('',(0.,1.,0.)); +#5830=DIRECTION('center_axis',(0.,0.,-1.)); +#5831=DIRECTION('ref_axis',(-1.,0.,0.)); +#5832=DIRECTION('',(-1.,0.,0.)); +#5833=DIRECTION('center_axis',(0.,-1.,0.)); +#5834=DIRECTION('ref_axis',(0.,0.,-1.)); +#5835=DIRECTION('center_axis',(0.867930013859709,0.,0.496686511837684)); +#5836=DIRECTION('ref_axis',(0.496686511837684,0.,-0.867930013859709)); +#5837=DIRECTION('',(0.,1.,0.)); +#5838=DIRECTION('',(0.496686511837684,0.,-0.867930013859709)); +#5839=DIRECTION('',(0.,1.,0.)); +#5840=DIRECTION('center_axis',(0.,0.,1.)); +#5841=DIRECTION('ref_axis',(1.,0.,0.)); +#5842=DIRECTION('',(1.,0.,0.)); +#5843=DIRECTION('',(0.,1.,0.)); +#5844=DIRECTION('center_axis',(-1.,0.,0.)); +#5845=DIRECTION('ref_axis',(0.,0.,1.)); +#5846=DIRECTION('',(0.,0.,1.)); +#5847=DIRECTION('',(0.,1.,0.)); +#5848=DIRECTION('center_axis',(0.,0.,-1.)); +#5849=DIRECTION('ref_axis',(-1.,0.,0.)); +#5850=DIRECTION('',(-1.,0.,0.)); +#5851=DIRECTION('',(0.,1.,0.)); +#5852=DIRECTION('center_axis',(1.,0.,0.)); +#5853=DIRECTION('ref_axis',(0.,0.,-1.)); +#5854=DIRECTION('',(0.,0.,-1.)); +#5855=DIRECTION('',(0.,1.,0.)); +#5856=DIRECTION('center_axis',(0.,0.,-1.)); +#5857=DIRECTION('ref_axis',(-1.,0.,0.)); +#5858=DIRECTION('',(-1.,0.,0.)); +#5859=DIRECTION('center_axis',(0.,-1.,0.)); +#5860=DIRECTION('ref_axis',(0.,0.,-1.)); +#5861=DIRECTION('center_axis',(0.,0.,1.)); +#5862=DIRECTION('ref_axis',(1.,0.,0.)); +#5863=DIRECTION('',(0.,1.,0.)); +#5864=DIRECTION('',(1.,0.,0.)); +#5865=DIRECTION('',(0.,1.,0.)); +#5866=DIRECTION('center_axis',(-0.907959384500452,0.,0.419058177461747)); +#5867=DIRECTION('ref_axis',(0.419058177461747,0.,0.907959384500452)); +#5868=DIRECTION('',(0.419058177461747,0.,0.907959384500452)); +#5869=DIRECTION('',(0.,1.,0.)); +#5870=DIRECTION('center_axis',(0.,0.,-1.)); +#5871=DIRECTION('ref_axis',(-1.,0.,0.)); +#5872=DIRECTION('',(-1.,0.,0.)); +#5873=DIRECTION('',(0.,1.,0.)); +#5874=DIRECTION('center_axis',(0.908059023836549,0.,-0.418842224744609)); +#5875=DIRECTION('ref_axis',(-0.418842224744609,0.,-0.908059023836549)); +#5876=DIRECTION('',(-0.418842224744609,0.,-0.908059023836549)); +#5877=DIRECTION('',(0.,1.,0.)); +#5878=DIRECTION('center_axis',(0.,0.,-1.)); +#5879=DIRECTION('ref_axis',(-1.,0.,0.)); +#5880=DIRECTION('',(-1.,0.,0.)); +#5881=DIRECTION('',(0.,1.,0.)); +#5882=DIRECTION('center_axis',(-0.903496234741594,0.,-0.428596026355544)); +#5883=DIRECTION('ref_axis',(-0.428596026355544,0.,0.903496234741594)); +#5884=DIRECTION('',(-0.428596026355544,0.,0.903496234741594)); +#5885=DIRECTION('',(0.,1.,0.)); +#5886=DIRECTION('center_axis',(0.,0.,-1.)); +#5887=DIRECTION('ref_axis',(-1.,0.,0.)); +#5888=DIRECTION('',(-1.,0.,0.)); +#5889=DIRECTION('',(0.,1.,0.)); +#5890=DIRECTION('center_axis',(0.903501841514311,0.,0.428584206872173)); +#5891=DIRECTION('ref_axis',(0.428584206872173,0.,-0.903501841514311)); +#5892=DIRECTION('',(0.428584206872173,0.,-0.903501841514311)); +#5893=DIRECTION('center_axis',(0.,1.,0.)); +#5894=DIRECTION('ref_axis',(0.,0.,1.)); +#5895=DIRECTION('',(1.,0.,0.)); +#5896=DIRECTION('',(-0.428351119156278,0.,0.903612371936974)); +#5897=DIRECTION('',(-0.418997788826976,0.,-0.907987253742091)); +#5898=DIRECTION('center_axis',(0.907987253742092,0.,-0.418997788826976)); +#5899=DIRECTION('ref_axis',(-0.418997788826976,0.,-0.907987253742092)); +#5900=DIRECTION('',(-0.418997788826976,0.,-0.907987253742091)); +#5901=DIRECTION('',(0.,1.,0.)); +#5902=DIRECTION('',(0.,1.,0.)); +#5903=DIRECTION('center_axis',(0.,0.,1.)); +#5904=DIRECTION('ref_axis',(1.,0.,0.)); +#5905=DIRECTION('',(1.,0.,0.)); +#5906=DIRECTION('',(0.,1.,0.)); +#5907=DIRECTION('center_axis',(-0.903612371936974,0.,-0.428351119156278)); +#5908=DIRECTION('ref_axis',(-0.428351119156278,0.,0.903612371936974)); +#5909=DIRECTION('',(-0.428351119156278,0.,0.903612371936974)); +#5910=DIRECTION('center_axis',(0.,1.,0.)); +#5911=DIRECTION('ref_axis',(0.,0.,1.)); +#5912=DIRECTION('center_axis',(1.,0.,0.)); +#5913=DIRECTION('ref_axis',(0.,0.,-1.)); +#5914=DIRECTION('',(0.,1.,0.)); +#5915=DIRECTION('',(0.,0.,-1.)); +#5916=DIRECTION('',(0.,1.,0.)); +#5917=DIRECTION('center_axis',(0.,0.,1.)); +#5918=DIRECTION('ref_axis',(1.,0.,0.)); +#5919=DIRECTION('',(1.,0.,0.)); +#5920=DIRECTION('',(0.,1.,0.)); +#5921=DIRECTION('center_axis',(-1.,0.,0.)); +#5922=DIRECTION('ref_axis',(0.,0.,1.)); +#5923=DIRECTION('',(0.,0.,1.)); +#5924=DIRECTION('',(0.,1.,0.)); +#5925=DIRECTION('center_axis',(0.,0.,-1.)); +#5926=DIRECTION('ref_axis',(-1.,0.,0.)); +#5927=DIRECTION('',(-1.,0.,0.)); +#5928=DIRECTION('center_axis',(0.,-1.,0.)); +#5929=DIRECTION('ref_axis',(0.,0.,-1.)); +#5930=DIRECTION('center_axis',(0.,0.,-1.)); +#5931=DIRECTION('ref_axis',(-1.,0.,0.)); +#5932=DIRECTION('',(0.,1.,0.)); +#5933=DIRECTION('',(-1.,0.,0.)); +#5934=DIRECTION('',(0.,1.,0.)); +#5935=DIRECTION('center_axis',(0.991398777198715,0.,0.130875760050872)); +#5936=DIRECTION('ref_axis',(0.130875760050872,0.,-0.991398777198715)); +#5937=DIRECTION('',(0.130875760050872,0.,-0.991398777198715)); +#5938=DIRECTION('',(0.,1.,0.)); +#5939=DIRECTION('center_axis',(0.,0.,1.)); +#5940=DIRECTION('ref_axis',(1.,0.,0.)); +#5941=DIRECTION('',(1.,0.,0.)); +#5942=DIRECTION('',(0.,1.,0.)); +#5943=DIRECTION('center_axis',(-0.893290255312065,0.,0.44948027739213)); +#5944=DIRECTION('ref_axis',(0.44948027739213,0.,0.893290255312065)); +#5945=DIRECTION('',(0.44948027739213,0.,0.893290255312065)); +#5946=DIRECTION('',(0.,1.,0.)); +#5947=DIRECTION('center_axis',(0.893727875972725,0.,0.448609500244122)); +#5948=DIRECTION('ref_axis',(0.448609500244122,0.,-0.893727875972725)); +#5949=DIRECTION('',(0.448609500244122,0.,-0.893727875972725)); +#5950=DIRECTION('',(0.,1.,0.)); +#5951=DIRECTION('center_axis',(0.,0.,1.)); +#5952=DIRECTION('ref_axis',(1.,0.,0.)); +#5953=DIRECTION('',(1.,0.,0.)); +#5954=DIRECTION('',(0.,1.,0.)); +#5955=DIRECTION('center_axis',(-0.991295408390988,0.,0.131656421426928)); +#5956=DIRECTION('ref_axis',(0.131656421426928,0.,0.991295408390988)); +#5957=DIRECTION('',(0.131656421426928,0.,0.991295408390988)); +#5958=DIRECTION('',(0.,1.,0.)); +#5959=DIRECTION('center_axis',(0.,0.,-1.)); +#5960=DIRECTION('ref_axis',(-1.,0.,0.)); +#5961=DIRECTION('',(-1.,0.,0.)); +#5962=DIRECTION('',(0.,1.,0.)); +#5963=DIRECTION('center_axis',(0.991261610664234,0.,-0.131910648635163)); +#5964=DIRECTION('ref_axis',(-0.131910648635163,0.,-0.991261610664234)); +#5965=DIRECTION('',(-0.131910648635163,0.,-0.991261610664234)); +#5966=DIRECTION('',(0.,1.,0.)); +#5967=DIRECTION('center_axis',(-0.893829180214607,0.,-0.448407623259109)); +#5968=DIRECTION('ref_axis',(-0.448407623259109,0.,0.893829180214607)); +#5969=DIRECTION('',(-0.448407623259109,0.,0.893829180214607)); +#5970=DIRECTION('',(0.,1.,0.)); +#5971=DIRECTION('center_axis',(0.,0.,-1.)); +#5972=DIRECTION('ref_axis',(-1.,0.,0.)); +#5973=DIRECTION('',(-1.,0.,0.)); +#5974=DIRECTION('',(0.,1.,0.)); +#5975=DIRECTION('center_axis',(0.893531873849379,0.,-0.448999766609312)); +#5976=DIRECTION('ref_axis',(-0.448999766609312,0.,-0.893531873849379)); +#5977=DIRECTION('',(-0.448999766609312,0.,-0.893531873849379)); +#5978=DIRECTION('',(0.,1.,0.)); +#5979=DIRECTION('center_axis',(-0.991335792784035,0.,-0.131351992543885)); +#5980=DIRECTION('ref_axis',(-0.131351992543885,0.,0.991335792784035)); +#5981=DIRECTION('',(-0.131351992543885,0.,0.991335792784035)); +#5982=DIRECTION('center_axis',(0.,-1.,0.)); +#5983=DIRECTION('ref_axis',(0.,0.,-1.)); +#5984=DIRECTION('center_axis',(0.,0.,1.)); +#5985=DIRECTION('ref_axis',(1.,0.,0.)); +#5986=DIRECTION('',(0.,1.,0.)); +#5987=DIRECTION('',(1.,0.,0.)); +#5988=DIRECTION('',(0.,1.,0.)); +#5989=DIRECTION('center_axis',(-0.907959384500452,0.,0.419058177461747)); +#5990=DIRECTION('ref_axis',(0.419058177461747,0.,0.907959384500452)); +#5991=DIRECTION('',(0.419058177461747,0.,0.907959384500451)); +#5992=DIRECTION('',(0.,1.,0.)); +#5993=DIRECTION('center_axis',(0.,0.,-1.)); +#5994=DIRECTION('ref_axis',(-1.,0.,0.)); +#5995=DIRECTION('',(-1.,0.,0.)); +#5996=DIRECTION('',(0.,1.,0.)); +#5997=DIRECTION('center_axis',(0.90805902383655,0.,-0.418842224744607)); +#5998=DIRECTION('ref_axis',(-0.418842224744607,0.,-0.90805902383655)); +#5999=DIRECTION('',(-0.418842224744607,0.,-0.90805902383655)); +#6000=DIRECTION('',(0.,1.,0.)); +#6001=DIRECTION('center_axis',(0.,0.,-1.)); +#6002=DIRECTION('ref_axis',(-1.,0.,0.)); +#6003=DIRECTION('',(-1.,0.,0.)); +#6004=DIRECTION('',(0.,1.,0.)); +#6005=DIRECTION('center_axis',(-0.903496234741595,0.,-0.428596026355543)); +#6006=DIRECTION('ref_axis',(-0.428596026355543,0.,0.903496234741595)); +#6007=DIRECTION('',(-0.428596026355543,0.,0.903496234741595)); +#6008=DIRECTION('',(0.,1.,0.)); +#6009=DIRECTION('center_axis',(0.,0.,-1.)); +#6010=DIRECTION('ref_axis',(-1.,0.,0.)); +#6011=DIRECTION('',(-1.,0.,0.)); +#6012=DIRECTION('',(0.,1.,0.)); +#6013=DIRECTION('center_axis',(0.903501841514311,0.,0.428584206872173)); +#6014=DIRECTION('ref_axis',(0.428584206872173,0.,-0.903501841514311)); +#6015=DIRECTION('',(0.428584206872173,0.,-0.903501841514311)); +#6016=DIRECTION('center_axis',(0.,1.,0.)); +#6017=DIRECTION('ref_axis',(0.,0.,1.)); +#6018=DIRECTION('',(1.,0.,0.)); +#6019=DIRECTION('',(-0.428351119156281,0.,0.903612371936973)); +#6020=DIRECTION('',(-0.418997788826973,0.,-0.907987253742093)); +#6021=DIRECTION('center_axis',(0.907987253742093,0.,-0.418997788826973)); +#6022=DIRECTION('ref_axis',(-0.418997788826973,0.,-0.907987253742093)); +#6023=DIRECTION('',(-0.418997788826973,0.,-0.907987253742093)); +#6024=DIRECTION('',(0.,1.,0.)); +#6025=DIRECTION('',(0.,1.,0.)); +#6026=DIRECTION('center_axis',(0.,0.,1.)); +#6027=DIRECTION('ref_axis',(1.,0.,0.)); +#6028=DIRECTION('',(1.,0.,0.)); +#6029=DIRECTION('',(0.,1.,0.)); +#6030=DIRECTION('center_axis',(-0.903612371936973,0.,-0.428351119156281)); +#6031=DIRECTION('ref_axis',(-0.428351119156281,0.,0.903612371936973)); +#6032=DIRECTION('',(-0.428351119156281,0.,0.903612371936973)); +#6033=DIRECTION('center_axis',(0.,1.,0.)); +#6034=DIRECTION('ref_axis',(0.,0.,1.)); +#6035=DIRECTION('center_axis',(1.,2.62836890299516E-16,0.)); +#6036=DIRECTION('ref_axis',(-2.62836890299516E-16,1.,0.)); +#6037=DIRECTION('',(2.62836890299516E-16,-1.,0.)); +#6038=DIRECTION('',(0.,0.,-1.)); +#6039=DIRECTION('',(2.62836890299516E-16,-1.,0.)); +#6040=DIRECTION('center_axis',(0.,1.,0.)); +#6041=DIRECTION('ref_axis',(0.,0.,1.)); +#6042=DIRECTION('',(1.,0.,0.)); +#6043=DIRECTION('',(0.,0.,-1.)); +#6044=DIRECTION('',(1.,0.,0.)); +#6045=DIRECTION('center_axis',(-1.,0.,0.)); +#6046=DIRECTION('ref_axis',(0.,0.,1.)); +#6047=DIRECTION('',(0.,1.,0.)); +#6048=DIRECTION('',(0.,1.,0.)); +#6049=DIRECTION('center_axis',(0.,0.,1.)); +#6050=DIRECTION('ref_axis',(1.,0.,0.)); +#6051=DIRECTION('center_axis',(0.,0.,1.)); +#6052=DIRECTION('ref_axis',(1.,0.,0.)); +#6053=DIRECTION('center_axis',(1.,2.62836890299516E-16,0.)); +#6054=DIRECTION('ref_axis',(-2.62836890299516E-16,1.,0.)); +#6055=DIRECTION('',(2.62836890299516E-16,-1.,0.)); +#6056=DIRECTION('',(0.,0.,-1.)); +#6057=DIRECTION('',(2.62836890299516E-16,-1.,0.)); +#6058=DIRECTION('center_axis',(0.,1.,0.)); +#6059=DIRECTION('ref_axis',(0.,0.,1.)); +#6060=DIRECTION('',(1.,0.,0.)); +#6061=DIRECTION('',(0.,0.,-1.)); +#6062=DIRECTION('',(1.,0.,0.)); +#6063=DIRECTION('center_axis',(-1.,0.,0.)); +#6064=DIRECTION('ref_axis',(0.,0.,1.)); +#6065=DIRECTION('',(0.,1.,0.)); +#6066=DIRECTION('',(0.,1.,0.)); +#6067=DIRECTION('center_axis',(0.,0.,1.)); +#6068=DIRECTION('ref_axis',(1.,0.,0.)); +#6069=DIRECTION('center_axis',(0.,0.,1.)); +#6070=DIRECTION('ref_axis',(1.,0.,0.)); +#6071=DIRECTION('center_axis',(1.,2.62836890299516E-16,0.)); +#6072=DIRECTION('ref_axis',(-2.62836890299516E-16,1.,0.)); +#6073=DIRECTION('',(2.62836890299516E-16,-1.,0.)); +#6074=DIRECTION('',(0.,0.,-1.)); +#6075=DIRECTION('',(2.62836890299516E-16,-1.,0.)); +#6076=DIRECTION('center_axis',(0.,1.,0.)); +#6077=DIRECTION('ref_axis',(0.,0.,1.)); +#6078=DIRECTION('',(1.,0.,0.)); +#6079=DIRECTION('',(0.,0.,-1.)); +#6080=DIRECTION('',(1.,0.,0.)); +#6081=DIRECTION('center_axis',(-1.,0.,0.)); +#6082=DIRECTION('ref_axis',(0.,0.,1.)); +#6083=DIRECTION('',(0.,1.,0.)); +#6084=DIRECTION('',(0.,1.,0.)); +#6085=DIRECTION('center_axis',(0.,0.,1.)); +#6086=DIRECTION('ref_axis',(1.,0.,0.)); +#6087=DIRECTION('center_axis',(0.,0.,1.)); +#6088=DIRECTION('ref_axis',(1.,0.,0.)); +#6089=DIRECTION('center_axis',(1.,2.62836890299516E-16,0.)); +#6090=DIRECTION('ref_axis',(-2.62836890299516E-16,1.,0.)); +#6091=DIRECTION('',(2.62836890299516E-16,-1.,0.)); +#6092=DIRECTION('',(0.,0.,-1.)); +#6093=DIRECTION('',(2.62836890299516E-16,-1.,0.)); +#6094=DIRECTION('center_axis',(0.,1.,0.)); +#6095=DIRECTION('ref_axis',(0.,0.,1.)); +#6096=DIRECTION('',(1.,0.,0.)); +#6097=DIRECTION('',(0.,0.,-1.)); +#6098=DIRECTION('',(1.,0.,0.)); +#6099=DIRECTION('center_axis',(-1.,0.,0.)); +#6100=DIRECTION('ref_axis',(0.,0.,1.)); +#6101=DIRECTION('',(0.,1.,0.)); +#6102=DIRECTION('',(0.,1.,0.)); +#6103=DIRECTION('center_axis',(0.,0.,1.)); +#6104=DIRECTION('ref_axis',(1.,0.,0.)); +#6105=DIRECTION('center_axis',(0.,0.,1.)); +#6106=DIRECTION('ref_axis',(1.,0.,0.)); +#6107=DIRECTION('center_axis',(0.,0.710944583171995,-0.703248035659111)); +#6108=DIRECTION('ref_axis',(0.,0.703248035659111,0.710944583171995)); +#6109=DIRECTION('center_axis',(0.,0.710944583171995,-0.703248035659111)); +#6110=DIRECTION('ref_axis',(0.,0.703248035659111,0.710944583171995)); +#6111=DIRECTION('center_axis',(-0.674381446221201,0.,-0.738383142408195)); +#6112=DIRECTION('ref_axis',(-0.738383142408195,0.,0.674381446221201)); +#6113=DIRECTION('center_axis',(-0.674381446221201,0.,-0.738383142408195)); +#6114=DIRECTION('ref_axis',(-0.738383142408195,0.,0.674381446221201)); +#6115=DIRECTION('center_axis',(0.674381446221201,0.,-0.738383142408195)); +#6116=DIRECTION('ref_axis',(0.738383142408195,0.,0.674381446221201)); +#6117=DIRECTION('center_axis',(0.674381446221201,0.,-0.738383142408195)); +#6118=DIRECTION('ref_axis',(0.738383142408195,0.,0.674381446221201)); +#6119=DIRECTION('center_axis',(0.,0.,1.)); +#6120=DIRECTION('ref_axis',(1.,0.,0.)); +#6121=DIRECTION('',(1.,0.,0.)); +#6122=DIRECTION('',(0.,-1.,0.)); +#6123=DIRECTION('',(1.,0.,0.)); +#6124=DIRECTION('center_axis',(0.,1.,0.)); +#6125=DIRECTION('ref_axis',(0.,0.,1.)); +#6126=DIRECTION('',(0.,0.,1.)); +#6127=DIRECTION('',(1.,0.,0.)); +#6128=DIRECTION('center_axis',(0.,0.,-1.)); +#6129=DIRECTION('ref_axis',(-1.,0.,0.)); +#6130=DIRECTION('',(0.,1.,0.)); +#6131=DIRECTION('',(1.,0.,0.)); +#6132=DIRECTION('center_axis',(0.,-1.,0.)); +#6133=DIRECTION('ref_axis',(0.,0.,-1.)); +#6134=DIRECTION('',(0.,0.,-1.)); +#6135=DIRECTION('center_axis',(1.,0.,0.)); +#6136=DIRECTION('ref_axis',(0.,0.,-1.)); +#6137=DIRECTION('center_axis',(0.,0.,-1.)); +#6138=DIRECTION('ref_axis',(-1.,0.,0.)); +#6139=DIRECTION('',(-1.,0.,0.)); +#6140=DIRECTION('',(0.,-1.,0.)); +#6141=DIRECTION('',(-1.,0.,0.)); +#6142=DIRECTION('center_axis',(0.,1.,0.)); +#6143=DIRECTION('ref_axis',(0.,0.,1.)); +#6144=DIRECTION('',(0.,0.,-1.)); +#6145=DIRECTION('',(-1.,0.,0.)); +#6146=DIRECTION('center_axis',(0.,0.,1.)); +#6147=DIRECTION('ref_axis',(1.,0.,0.)); +#6148=DIRECTION('',(0.,1.,0.)); +#6149=DIRECTION('',(-1.,0.,0.)); +#6150=DIRECTION('center_axis',(0.,-1.,0.)); +#6151=DIRECTION('ref_axis',(0.,0.,-1.)); +#6152=DIRECTION('',(0.,0.,1.)); +#6153=DIRECTION('center_axis',(-1.,0.,0.)); +#6154=DIRECTION('ref_axis',(0.,0.,-1.)); +#6155=DIRECTION('center_axis',(-1.,0.,0.)); +#6156=DIRECTION('ref_axis',(0.,0.,1.)); +#6157=DIRECTION('',(0.,0.,-1.)); +#6158=DIRECTION('',(0.,1.,0.)); +#6159=DIRECTION('center_axis',(0.,-1.,0.)); +#6160=DIRECTION('ref_axis',(0.,0.,-1.)); +#6161=DIRECTION('',(-1.,0.,0.)); +#6162=DIRECTION('center_axis',(0.,0.,1.)); +#6163=DIRECTION('ref_axis',(1.,0.,0.)); +#6164=DIRECTION('center_axis',(1.73472347597681E-16,-1.,0.)); +#6165=DIRECTION('ref_axis',(1.,1.73472347597681E-16,0.)); +#6166=DIRECTION('',(0.,0.,-1.)); +#6167=DIRECTION('',(-1.,-1.73472347597681E-16,0.)); +#6168=DIRECTION('center_axis',(1.,0.,0.)); +#6169=DIRECTION('ref_axis',(0.,0.,-1.)); +#6170=DIRECTION('',(0.,-1.,0.)); +#6171=DIRECTION('center_axis',(0.,0.,-1.)); +#6172=DIRECTION('ref_axis',(-1.,0.,0.)); +#6173=DIRECTION('center_axis',(-1.,2.62836890299516E-16,0.)); +#6174=DIRECTION('ref_axis',(-2.62836890299516E-16,-1.,0.)); +#6175=DIRECTION('',(-2.62836890299516E-16,-1.,0.)); +#6176=DIRECTION('',(0.,0.,1.)); +#6177=DIRECTION('',(-2.62836890299516E-16,-1.,0.)); +#6178=DIRECTION('center_axis',(0.,1.,0.)); +#6179=DIRECTION('ref_axis',(0.,0.,1.)); +#6180=DIRECTION('',(-1.,0.,0.)); +#6181=DIRECTION('',(0.,0.,1.)); +#6182=DIRECTION('',(-1.,0.,0.)); +#6183=DIRECTION('center_axis',(1.,0.,0.)); +#6184=DIRECTION('ref_axis',(0.,0.,-1.)); +#6185=DIRECTION('',(0.,1.,0.)); +#6186=DIRECTION('',(0.,1.,0.)); +#6187=DIRECTION('center_axis',(0.,0.,-1.)); +#6188=DIRECTION('ref_axis',(-1.,0.,0.)); +#6189=DIRECTION('center_axis',(0.,0.,-1.)); +#6190=DIRECTION('ref_axis',(-1.,0.,0.)); +#6191=DIRECTION('center_axis',(-1.,-2.62836890299516E-16,0.)); +#6192=DIRECTION('ref_axis',(2.62836890299516E-16,-1.,0.)); +#6193=DIRECTION('',(2.62836890299516E-16,-1.,0.)); +#6194=DIRECTION('',(0.,0.,1.)); +#6195=DIRECTION('',(2.62836890299516E-16,-1.,0.)); +#6196=DIRECTION('center_axis',(0.,1.,0.)); +#6197=DIRECTION('ref_axis',(0.,0.,1.)); +#6198=DIRECTION('',(-1.,0.,0.)); +#6199=DIRECTION('',(0.,0.,1.)); +#6200=DIRECTION('',(-1.,0.,0.)); +#6201=DIRECTION('center_axis',(1.,0.,0.)); +#6202=DIRECTION('ref_axis',(0.,0.,-1.)); +#6203=DIRECTION('',(0.,1.,0.)); +#6204=DIRECTION('',(0.,1.,0.)); +#6205=DIRECTION('center_axis',(0.,0.,-1.)); +#6206=DIRECTION('ref_axis',(-1.,0.,0.)); +#6207=DIRECTION('center_axis',(0.,0.,-1.)); +#6208=DIRECTION('ref_axis',(-1.,0.,0.)); +#6209=DIRECTION('center_axis',(-1.,0.,0.)); +#6210=DIRECTION('ref_axis',(0.,0.,1.)); +#6211=DIRECTION('',(0.,-1.,0.)); +#6212=DIRECTION('',(0.,0.,1.)); +#6213=DIRECTION('',(0.,-1.,0.)); +#6214=DIRECTION('center_axis',(0.,1.,0.)); +#6215=DIRECTION('ref_axis',(0.,0.,1.)); +#6216=DIRECTION('',(-1.,0.,0.)); +#6217=DIRECTION('',(0.,0.,1.)); +#6218=DIRECTION('',(-1.,0.,0.)); +#6219=DIRECTION('center_axis',(1.,-2.62836890299516E-16,0.)); +#6220=DIRECTION('ref_axis',(2.62836890299516E-16,1.,0.)); +#6221=DIRECTION('',(2.62836890299516E-16,1.,0.)); +#6222=DIRECTION('',(2.62836890299516E-16,1.,0.)); +#6223=DIRECTION('center_axis',(0.,0.,-1.)); +#6224=DIRECTION('ref_axis',(-1.,0.,0.)); +#6225=DIRECTION('center_axis',(0.,0.,-1.)); +#6226=DIRECTION('ref_axis',(-1.,0.,0.)); +#6227=DIRECTION('center_axis',(-1.,0.,0.)); +#6228=DIRECTION('ref_axis',(0.,0.,1.)); +#6229=DIRECTION('',(0.,-1.,0.)); +#6230=DIRECTION('',(0.,0.,1.)); +#6231=DIRECTION('',(0.,-1.,0.)); +#6232=DIRECTION('center_axis',(0.,1.,0.)); +#6233=DIRECTION('ref_axis',(0.,0.,1.)); +#6234=DIRECTION('',(-1.,0.,0.)); +#6235=DIRECTION('',(0.,0.,1.)); +#6236=DIRECTION('',(-1.,0.,0.)); +#6237=DIRECTION('center_axis',(1.,2.62836890299516E-16,0.)); +#6238=DIRECTION('ref_axis',(-2.62836890299516E-16,1.,0.)); +#6239=DIRECTION('',(-2.62836890299516E-16,1.,0.)); +#6240=DIRECTION('',(-2.62836890299516E-16,1.,0.)); +#6241=DIRECTION('center_axis',(0.,0.,-1.)); +#6242=DIRECTION('ref_axis',(-1.,0.,0.)); +#6243=DIRECTION('center_axis',(0.,0.,-1.)); +#6244=DIRECTION('ref_axis',(-1.,0.,0.)); +#6245=DIRECTION('center_axis',(0.,1.,0.)); +#6246=DIRECTION('ref_axis',(0.,0.,1.)); +#6247=DIRECTION('center_axis',(0.,1.,0.)); +#6248=DIRECTION('ref_axis',(0.,0.,1.)); +#6249=DIRECTION('',(0.,0.,1.)); +#6250=DIRECTION('',(1.,0.,0.)); +#6251=CARTESIAN_POINT('',(0.,0.,0.)); +#6252=CARTESIAN_POINT('',(0.,0.,0.)); +#6253=CARTESIAN_POINT('Origin',(0.225,-0.580530842503697,-0.0041338582677165)); +#6254=CARTESIAN_POINT('',(0.225,-0.27244094488189,-0.0671259842519685)); +#6255=CARTESIAN_POINT('Origin',(0.225,-0.27244094488189,-0.0041338582677165)); +#6256=CARTESIAN_POINT('',(0.225,-0.40236220472441,-0.0671259842519685)); +#6257=CARTESIAN_POINT('',(0.225,-0.580530842503697,-0.0671259842519685)); +#6258=CARTESIAN_POINT('Origin',(0.225,-0.40236220472441,-0.0041338582677165)); +#6259=CARTESIAN_POINT('Origin',(-0.225,-0.580530842503697,-0.0041338582677165)); +#6260=CARTESIAN_POINT('',(-0.225,-0.27244094488189,-0.0671259842519685)); +#6261=CARTESIAN_POINT('Origin',(-0.225,-0.27244094488189,-0.0041338582677165)); +#6262=CARTESIAN_POINT('',(-0.225,-0.40236220472441,-0.0671259842519685)); +#6263=CARTESIAN_POINT('',(-0.225,-0.580530842503697,-0.0671259842519685)); +#6264=CARTESIAN_POINT('Origin',(-0.225,-0.40236220472441,-0.0041338582677165)); +#6265=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606,0.336227470303083)); +#6266=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.101574803149606, +0.31467583790553)); +#6267=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.101574803149606, +0.263271611354877)); +#6268=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.101574803149606, +0.140488065148285)); +#6269=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.101574803149606, +0.0712550004630065)); +#6270=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#6271=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.106003937007874,0.336227470303083)); +#6272=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.105197187185456, +0.31467583790553)); +#6273=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.104389739608555, +0.263271611354877)); +#6274=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.103240960569508, +0.140488065148285)); +#6275=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.102863908099849, +0.0712550004630065)); +#6276=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.102487213287402, +0.0352504118261689)); +#6277=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.115128801264283, +0.336227470303084)); +#6278=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,-0.112640465315916, +0.31467583790553)); +#6279=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,-0.110149977216393, +0.263271611354877)); +#6280=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.106592440572003, +0.140488065148285)); +#6281=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.105416482147533, +0.0712550004630066)); +#6282=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.10424163919119,0.0352504118261689)); +#6283=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.12902959148571,0.336227470303083)); +#6284=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.123962969211245, +0.314675837905529)); +#6285=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.118891964836769, +0.263271611354876)); +#6286=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.111634303733658, +0.140488065148285)); +#6287=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.109227134997332, +0.0712550004630063)); +#6288=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.106822249607035, +0.0352504118261689)); +#6289=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.142450427090325,0.336227470303084)); +#6290=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,-0.134937172217933, +0.31467583790553)); +#6291=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,-0.127417419163425, +0.263271611354877)); +#6292=CARTESIAN_POINT('Ctrl Pts',(0.367416431377496,-0.116644612734275, +0.140488065148285)); +#6293=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,-0.113065496169365, +0.0712550004630066)); +#6294=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.109489774614318, +0.0352504118261689)); +#6295=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.150758984578165,0.336227470303083)); +#6296=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.141775539370368, +0.31467583790553)); +#6297=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.132784324419099, +0.263271611354877)); +#6298=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.119934262298794, +0.140488065148285)); +#6299=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,-0.115682810507708, +0.0712550004630065)); +#6300=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.11143539147736,0.0352504118261689)); +#6301=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.155342778781883,0.336227470303083)); +#6302=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.145553004378706, +0.31467583790553)); +#6303=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.135754762841373, +0.263271611354877)); +#6304=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.121823565588824, +0.140488065148285)); +#6305=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.117256336532363, +0.0712550004630065)); +#6306=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.112693439770592, +0.0352504118261689)); +#6307=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.156202725597225,0.336542307975266)); +#6308=CARTESIAN_POINT('Ctrl Pts',(0.35078445645021,-0.146306498011445,0.314855754976075)); +#6309=CARTESIAN_POINT('Ctrl Pts',(0.357619686676401,-0.136401711220606, +0.263316491131531)); +#6310=CARTESIAN_POINT('Ctrl Pts',(0.367559200891141,-0.122320865235315, +0.140447069462912)); +#6311=CARTESIAN_POINT('Ctrl Pts',(0.37096157076896,-0.11770564689003,0.0712477377974929)); +#6312=CARTESIAN_POINT('Ctrl Pts',(0.403657717453701,-0.113094806360195, +0.0352768501826927)); +#6313=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157360045461623,0.337531157166466)); +#6314=CARTESIAN_POINT('Ctrl Pts',(0.351092898625208,-0.147314999448614, +0.315401103835561)); +#6315=CARTESIAN_POINT('Ctrl Pts',(0.358236837796719,-0.137261265518122, +0.263417956077757)); +#6316=CARTESIAN_POINT('Ctrl Pts',(0.368251320806904,-0.123100087354329, +0.140354385536891)); +#6317=CARTESIAN_POINT('Ctrl Pts',(0.371466021418934,-0.118535185388967, +0.0713462294756278)); +#6318=CARTESIAN_POINT('Ctrl Pts',(0.403974676853605,-0.113974613510908, +0.0355663361232889)); +#6319=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157872090826766,0.339147152074873)); +#6320=CARTESIAN_POINT('Ctrl Pts',(0.351577673050625,-0.147742321044459, +0.31623708128914)); +#6321=CARTESIAN_POINT('Ctrl Pts',(0.359206805926888,-0.137603790067442, +0.263473241442735)); +#6322=CARTESIAN_POINT('Ctrl Pts',(0.369570377681041,-0.123598996153868, +0.140303883930291)); +#6323=CARTESIAN_POINT('Ctrl Pts',(0.372700802359367,-0.119246871415395, +0.0718466671496062)); +#6324=CARTESIAN_POINT('Ctrl Pts',(0.405125261801185,-0.114898874932078, +0.0366171904784121)); +#6325=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.15739390399841,0.34078157817449)); +#6326=CARTESIAN_POINT('Ctrl Pts',(0.35207180619113,-0.147350742890612,0.317087646893348)); +#6327=CARTESIAN_POINT('Ctrl Pts',(0.360195499581544,-0.137298895495578, +0.263539268593933)); +#6328=CARTESIAN_POINT('Ctrl Pts',(0.370891164562165,-0.12309862771005,0.140243570219108)); +#6329=CARTESIAN_POINT('Ctrl Pts',(0.37391406371489,-0.118496550487528,0.0723217571407678)); +#6330=CARTESIAN_POINT('Ctrl Pts',(0.406231099625611,-0.113898838615302, +0.0376271763089256)); +#6331=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.156239407305689,0.34179546508998)); +#6332=CARTESIAN_POINT('Ctrl Pts',(0.352393654644603,-0.146341272288571, +0.317650399572338)); +#6333=CARTESIAN_POINT('Ctrl Pts',(0.360839474853846,-0.136434576416664, +0.263650496852344)); +#6334=CARTESIAN_POINT('Ctrl Pts',(0.371596733489672,-0.122326592094241, +0.140141967971506)); +#6335=CARTESIAN_POINT('Ctrl Pts',(0.37440865303713,-0.117688233372853,0.0724006464842733)); +#6336=CARTESIAN_POINT('Ctrl Pts',(0.406514909469579,-0.113054274416971, +0.037886386036281)); +#6337=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.155374056736821,0.342132982114107)); +#6338=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.145576916800565,0.317844163485738)); +#6339=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.135771303359735, +0.263700383323565)); +#6340=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.121825705664364, +0.140096398901607)); +#6341=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.117251470088897, +0.0723874127121983)); +#6342=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.112681573454231, +0.0379054568900319)); +#6343=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.146392406630273,0.342132982114107)); +#6344=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.138200980178618,0.317844163485738)); +#6345=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.130002468997139, +0.263700383323565)); +#6346=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.118272385030006, +0.140096398901607)); +#6347=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.114383972670457, +0.0723874127121983)); +#6348=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.110499248706652, +0.0379054568900319)); +#6349=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.129012986892516,0.342132982114107)); +#6350=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.123957493365145,0.317844163485738)); +#6351=CARTESIAN_POINT('Ctrl Pts',(0.360988220367636,-0.118897627362969, +0.263700383323565)); +#6352=CARTESIAN_POINT('Ctrl Pts',(0.371743870673432,-0.11163424699706,0.140096398901607)); +#6353=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.109212632554053, +0.0723874127121982)); +#6354=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.106793315159708, +0.0379054568900319)); +#6355=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606,0.342132982114107)); +#6356=CARTESIAN_POINT('Ctrl Pts',(0.352467995253061,-0.101574803149606, +0.317844163485738)); +#6357=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.101574803149606, +0.263700383323565)); +#6358=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.101574803149606, +0.140096398901608)); +#6359=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.101574803149606, +0.0723874127121984)); +#6360=CARTESIAN_POINT('Ctrl Pts',(0.406535790229273,-0.101574803149606, +0.0379054568900319)); +#6361=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0741366194066967, +0.342132982114107)); +#6362=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.0791921129340683, +0.317844163485738)); +#6363=CARTESIAN_POINT('Ctrl Pts',(0.360988220367636,-0.0842519789362443, +0.263700383323565)); +#6364=CARTESIAN_POINT('Ctrl Pts',(0.371743870673432,-0.0915153593021528, +0.140096398901607)); +#6365=CARTESIAN_POINT('Ctrl Pts',(0.374492632054175,-0.0939369737451595, +0.0723874127121982)); +#6366=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.096356291139504, +0.0379054568900319)); +#6367=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0567571996689398, +0.342132982114107)); +#6368=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.0649486261205946, +0.317844163485738)); +#6369=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.0731471373020739, +0.263700383323565)); +#6370=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.0848772212692065, +0.140096398901607)); +#6371=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.0887656336287559, +0.0723874127121983)); +#6372=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.0926503575925602, +0.0379054568900319)); +#6373=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0477755495623915, +0.342132982114107)); +#6374=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.057572689498648,0.317844163485738)); +#6375=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.0673783029394781, +0.263700383323565)); +#6376=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.0813239006348491, +0.140096398901607)); +#6377=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.0858981362103153, +0.0723874127121983)); +#6378=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.0904680328449815, +0.0379054568900319)); +#6379=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.0469101989935236, +0.34179546508998)); +#6380=CARTESIAN_POINT('Ctrl Pts',(0.352393670368481,-0.0568083667227132, +0.317650413933298)); +#6381=CARTESIAN_POINT('Ctrl Pts',(0.360839506315201,-0.0667150953349838, +0.263650525586686)); +#6382=CARTESIAN_POINT('Ctrl Pts',(0.371596704751278,-0.0808229544174032, +0.140141941724103)); +#6383=CARTESIAN_POINT('Ctrl Pts',(0.374408556407411,-0.0854611718971733, +0.0724005582302408)); +#6384=CARTESIAN_POINT('Ctrl Pts',(0.406514745012934,-0.0900949897454137, +0.0378862358344359)); +#6385=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.045755702300803,0.34078157817449)); +#6386=CARTESIAN_POINT('Ctrl Pts',(0.352071833016388,-0.0557989565922265, +0.317087671393442)); +#6387=CARTESIAN_POINT('Ctrl Pts',(0.360195553255261,-0.0658508972514804, +0.26353931761531)); +#6388=CARTESIAN_POINT('Ctrl Pts',(0.370891115533875,-0.0800508082782596, +0.1402435254405)); +#6389=CARTESIAN_POINT('Ctrl Pts',(0.373913898862605,-0.0846524831598288, +0.0723216065775769)); +#6390=CARTESIAN_POINT('Ctrl Pts',(0.406230819059198,-0.0892497930727464, +0.0376269200614947)); +#6391=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.0452775154724458, +0.339147152074873)); +#6392=CARTESIAN_POINT('Ctrl Pts',(0.351577519524622,-0.0554071901150771, +0.316236941070489)); +#6393=CARTESIAN_POINT('Ctrl Pts',(0.359206498742098,-0.0655456258701322, +0.263472960884159)); +#6394=CARTESIAN_POINT('Ctrl Pts',(0.369570658279181,-0.0795507840313036, +0.1403041402067)); +#6395=CARTESIAN_POINT('Ctrl Pts',(0.372701745840045,-0.0839033195564093, +0.0718475288511102)); +#6396=CARTESIAN_POINT('Ctrl Pts',(0.405126867535617,-0.0882517264367039, +0.0366186570307289)); +#6397=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0457895608375895, +0.337531157166466)); +#6398=CARTESIAN_POINT('Ctrl Pts',(0.351092870061595,-0.0558346231106308, +0.31540107774779)); +#6399=CARTESIAN_POINT('Ctrl Pts',(0.358236780644789,-0.065888373315218, +0.263417903879651)); +#6400=CARTESIAN_POINT('Ctrl Pts',(0.36825137301237,-0.0800494892265649, +0.140354433217283)); +#6401=CARTESIAN_POINT('Ctrl Pts',(0.371466196954127,-0.0846143209856358, +0.0713463897957521)); +#6402=CARTESIAN_POINT('Ctrl Pts',(0.403974975601539,-0.0891748227239986, +0.0355666089762999)); +#6403=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0469468807019877, +0.336542307975266)); +#6404=CARTESIAN_POINT('Ctrl Pts',(0.350784455557427,-0.0568431179227606, +0.314855754160676)); +#6405=CARTESIAN_POINT('Ctrl Pts',(0.357619684890062,-0.0667479143569261, +0.263316489500028)); +#6406=CARTESIAN_POINT('Ctrl Pts',(0.367559202522873,-0.0808287234541038, +0.140447070953209)); +#6407=CARTESIAN_POINT('Ctrl Pts',(0.370961576255484,-0.0854439001981705, +0.071247742808455)); +#6408=CARTESIAN_POINT('Ctrl Pts',(0.40365772679136,-0.0900546991662473, +0.0352768587109802)); +#6409=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0478068275173295, +0.336227470303083)); +#6410=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.0575966019205071, +0.31467583790553)); +#6411=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.0673948434578401, +0.263271611354877)); +#6412=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.0813260407103889, +0.140488065148285)); +#6413=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.0858932697668497, +0.0712550004630065)); +#6414=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0904561665286201, +0.0352504118261689)); +#6415=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0523906217210473, +0.336227470303083)); +#6416=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.0613740669288448, +0.31467583790553)); +#6417=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.0703652818801133, +0.263271611354877)); +#6418=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.0832153440004184, +0.140488065148285)); +#6419=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.0874667957915046, +0.0712550004630065)); +#6420=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0917142148218527, +0.0352504118261689)); +#6421=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0606991792088871, +0.336227470303083)); +#6422=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.0682124340812791, +0.31467583790553)); +#6423=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.0757321871357872, +0.263271611354877)); +#6424=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.0865049935649377, +0.140488065148285)); +#6425=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.0900841101298472, +0.0712550004630065)); +#6426=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0936598316848942, +0.0352504118261689)); +#6427=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0741200148135031, +0.336227470303084)); +#6428=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,-0.0791866370879682, +0.31467583790553)); +#6429=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,-0.0842576414624436, +0.263271611354877)); +#6430=CARTESIAN_POINT('Ctrl Pts',(0.367416431377496,-0.0915153025655544, +0.140488065148285)); +#6431=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,-0.0939224713018811, +0.0712550004630064)); +#6432=CARTESIAN_POINT('Ctrl Pts',(0.403628769983573,-0.0963273566921775, +0.0352504118261689)); +#6433=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0880208050349294, +0.336227470303083)); +#6434=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.0905091409832965, +0.31467583790553)); +#6435=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.0929996290828196, +0.263271611354877)); +#6436=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.0965571657272095, +0.140488065148285)); +#6437=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.0977331241516794, +0.0712550004630065)); +#6438=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0989079671080228, +0.0352504118261689)); +#6439=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0971456692913386, +0.336227470303083)); +#6440=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.0979524191137569, +0.31467583790553)); +#6441=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.098759866690658, +0.263271611354877)); +#6442=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.0999086457297044, +0.140488065148285)); +#6443=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.100285698199364, +0.0712550004630065)); +#6444=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.100662393011811, +0.0352504118261689)); +#6445=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606,0.336227470303083)); +#6446=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.101574803149606, +0.31467583790553)); +#6447=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.101574803149606, +0.263271611354877)); +#6448=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.101574803149606, +0.140488065148285)); +#6449=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.101574803149606, +0.0712550004630065)); +#6450=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#6451=CARTESIAN_POINT('',(0.32007874015748,-0.101574803149606,0.342132982114107)); +#6452=CARTESIAN_POINT('',(0.32007874015748,-0.101574803149606,0.336227470303083)); +#6453=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606,0.336227470303083)); +#6454=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0841421885700828, +0.336227470303083)); +#6455=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0667099676913467, +0.336227470303065)); +#6456=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0483700732292228, +0.336227470303065)); +#6457=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0482072886334425, +0.336227470303065)); +#6458=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0476335574339653, +0.336302383617116)); +#6459=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0473086849077228, +0.336418428417344)); +#6460=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0465197073171855, +0.33687452325742)); +#6461=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0462390347438534, +0.337178370401013)); +#6462=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.04583910688034,0.337698492457703)); +#6463=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0456729190661239, +0.338036365489239)); +#6464=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0454668495566392, +0.338811754362652)); +#6465=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0454591185690431, +0.339201558808099)); +#6466=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0455338989966298, +0.339895440976114)); +#6467=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0456448773471751, +0.340258904579186)); +#6468=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0460147366858263, +0.340932553423052)); +#6469=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0462677866668747, +0.341226707270208)); +#6470=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0467721683575144, +0.341632354210553)); +#6471=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0471094456144854, +0.341868547114793)); +#6472=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0479417836447841, +0.342132982114087)); +#6473=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.0482928339594616, +0.342132982114087)); +#6474=CARTESIAN_POINT('Ctrl Pts',(0.320078740157507,-0.06632183565514,0.342132982114087)); +#6475=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0839483194023731, +0.342132982114107)); +#6476=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606,0.342132982114107)); +#6477=CARTESIAN_POINT('',(0.406535790229274,-0.101574803149606,0.0379054568900319)); +#6478=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606,0.342132982114107)); +#6479=CARTESIAN_POINT('Ctrl Pts',(0.352467995253061,-0.101574803149606, +0.317844163485738)); +#6480=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.101574803149606, +0.263700383323565)); +#6481=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.101574803149606, +0.140096398901608)); +#6482=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.101574803149606, +0.0723874127121983)); +#6483=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.101574803149606, +0.0379054568900319)); +#6484=CARTESIAN_POINT('',(0.403628769983572,-0.101574803149606,0.0352504118261689)); +#6485=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.101574803149606, +0.0379054568900319)); +#6486=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.0980447421897295, +0.0379054568900318)); +#6487=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.09451507493064,0.037905456890032)); +#6488=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.0905834500333437, +0.037905456890032)); +#6489=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.0904650588192151, +0.0379054568900319)); +#6490=CARTESIAN_POINT('Ctrl Pts',(0.406499913423915,-0.090118681766289, +0.0378726898203442)); +#6491=CARTESIAN_POINT('Ctrl Pts',(0.40645656969001,-0.0899283873758107, +0.0378331030417782)); +#6492=CARTESIAN_POINT('Ctrl Pts',(0.406289196543382,-0.0894919986134089, +0.0376802374924461)); +#6493=CARTESIAN_POINT('Ctrl Pts',(0.406152068090059,-0.0892936147765192, +0.0375549950818893)); +#6494=CARTESIAN_POINT('Ctrl Pts',(0.40587271922891,-0.0889774525087207, +0.0372998596675461)); +#6495=CARTESIAN_POINT('Ctrl Pts',(0.405704464673196,-0.0888393786986493, +0.0371461891080509)); +#6496=CARTESIAN_POINT('Ctrl Pts',(0.405396440722698,-0.0886824447445578, +0.0368648641084986)); +#6497=CARTESIAN_POINT('Ctrl Pts',(0.405257638353879,-0.0886406236871094, +0.0367380928743698)); +#6498=CARTESIAN_POINT('Ctrl Pts',(0.404976531120743,-0.0886312814132974, +0.0364813515004984)); +#6499=CARTESIAN_POINT('Ctrl Pts',(0.404835844966692,-0.0886640134173507, +0.0363528597641848)); +#6500=CARTESIAN_POINT('Ctrl Pts',(0.404521730091978,-0.0888010463486315, +0.0360659717905531)); +#6501=CARTESIAN_POINT('Ctrl Pts',(0.404348513540193,-0.0889284254057966, +0.0359077693317035)); +#6502=CARTESIAN_POINT('Ctrl Pts',(0.404056535513097,-0.089230531539464, +0.0356410994243494)); +#6503=CARTESIAN_POINT('Ctrl Pts',(0.403915667605413,-0.0894202941080229, +0.035512441688475)); +#6504=CARTESIAN_POINT('Ctrl Pts',(0.403732204061322,-0.0898516192775564, +0.0353448804278137)); +#6505=CARTESIAN_POINT('Ctrl Pts',(0.40368040203922,-0.0900489964433719, +0.0352975685099544)); +#6506=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0904358769090183, +0.0352504118261689)); +#6507=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0905794493612142, +0.035250411826169)); +#6508=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0944719964311284, +0.0352504118261689)); +#6509=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0980233997903673, +0.0352504118261689)); +#6510=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#6511=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606,0.336227470303083)); +#6512=CARTESIAN_POINT('Ctrl Pts',(0.350740456958568,-0.101574803149606, +0.314657197990526)); +#6513=CARTESIAN_POINT('Ctrl Pts',(0.363622489618436,-0.101574803149606, +0.216418344410387)); +#6514=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.101574803149606, +0.0712550004630065)); +#6515=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#6516=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.336227470303083)); +#6517=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.134645669291339,0.31467583790553)); +#6518=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.134645669291339,0.263271611354877)); +#6519=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.134645669291339,0.140488065148285)); +#6520=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.134645669291339,0.0712550004630065)); +#6521=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.134645669291339,0.0352504118261689)); +#6522=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.130216535433071,0.336227470303083)); +#6523=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.131023285255489,0.31467583790553)); +#6524=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.13183073283239,0.263271611354877)); +#6525=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.132979511871437,0.140488065148285)); +#6526=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.133356564341096,0.0712550004630065)); +#6527=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.133733259153543,0.0352504118261689)); +#6528=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.121091671176662,0.336227470303084)); +#6529=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,0.123580007125029,0.31467583790553)); +#6530=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,0.126070495224552,0.263271611354877)); +#6531=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.129628031868942,0.140488065148285)); +#6532=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.130803990293412,0.0712550004630066)); +#6533=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.131978833249755,0.0352504118261689)); +#6534=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.107190880955235,0.336227470303083)); +#6535=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.1122575032297,0.314675837905529)); +#6536=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.117328507604176,0.263271611354876)); +#6537=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.124586168707287,0.140488065148285)); +#6538=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.126993337443613,0.0712550004630063)); +#6539=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.12939822283391,0.0352504118261689)); +#6540=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0937700453506195,0.336227470303084)); +#6541=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,0.101283300223011,0.31467583790553)); +#6542=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,0.10880305327752,0.263271611354877)); +#6543=CARTESIAN_POINT('Ctrl Pts',(0.367416431377496,0.11957585970667,0.140488065148285)); +#6544=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,0.123154976271579,0.0712550004630066)); +#6545=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.126730697826627,0.0352504118261689)); +#6546=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0854614878627796,0.336227470303083)); +#6547=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.094444933070577,0.31467583790553)); +#6548=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.103436148021846,0.263271611354877)); +#6549=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.116286210142151,0.140488065148285)); +#6550=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,0.120537661933237,0.0712550004630065)); +#6551=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.124785080963585,0.0352504118261689)); +#6552=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0808776936590618,0.336227470303083)); +#6553=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.0906674680622393, +0.31467583790553)); +#6554=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.100465709599572,0.263271611354877)); +#6555=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.114396906852121,0.140488065148285)); +#6556=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.118964135908582,0.0712550004630065)); +#6557=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.123527032670352,0.0352504118261689)); +#6558=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0800177468437201,0.336542307975266)); +#6559=CARTESIAN_POINT('Ctrl Pts',(0.35078445645021,0.0899139744294995,0.314855754976075)); +#6560=CARTESIAN_POINT('Ctrl Pts',(0.357619686676401,0.0998187612203384, +0.263316491131531)); +#6561=CARTESIAN_POINT('Ctrl Pts',(0.367559200891141,0.11389960720563,0.140447069462912)); +#6562=CARTESIAN_POINT('Ctrl Pts',(0.37096157076896,0.118514825550915,0.0712477377974929)); +#6563=CARTESIAN_POINT('Ctrl Pts',(0.403657717453701,0.12312566608075,0.0352768501826927)); +#6564=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0788604269793215,0.337531157166466)); +#6565=CARTESIAN_POINT('Ctrl Pts',(0.351092898625208,0.088905472992331,0.315401103835561)); +#6566=CARTESIAN_POINT('Ctrl Pts',(0.358236837796719,0.0989592069228233, +0.263417956077757)); +#6567=CARTESIAN_POINT('Ctrl Pts',(0.368251320806904,0.113120385086616,0.140354385536891)); +#6568=CARTESIAN_POINT('Ctrl Pts',(0.371466021418934,0.117685287051978,0.0713462294756278)); +#6569=CARTESIAN_POINT('Ctrl Pts',(0.403974676853605,0.122245858930037,0.0355663361232889)); +#6570=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0783483816141784,0.339147152074873)); +#6571=CARTESIAN_POINT('Ctrl Pts',(0.351577673050625,0.0884781513964856, +0.31623708128914)); +#6572=CARTESIAN_POINT('Ctrl Pts',(0.359206805926888,0.0986166823735025, +0.263473241442735)); +#6573=CARTESIAN_POINT('Ctrl Pts',(0.369570377681041,0.112621476287077,0.140303883930291)); +#6574=CARTESIAN_POINT('Ctrl Pts',(0.372700802359367,0.11697360102555,0.0718466671496062)); +#6575=CARTESIAN_POINT('Ctrl Pts',(0.405125261801185,0.121321597508867,0.0366171904784121)); +#6576=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.0788265684425349, +0.34078157817449)); +#6577=CARTESIAN_POINT('Ctrl Pts',(0.35207180619113,0.0888697295503327,0.317087646893348)); +#6578=CARTESIAN_POINT('Ctrl Pts',(0.360195499581544,0.098921576945367,0.263539268593933)); +#6579=CARTESIAN_POINT('Ctrl Pts',(0.370891164562165,0.113121844730895,0.140243570219108)); +#6580=CARTESIAN_POINT('Ctrl Pts',(0.37391406371489,0.117723921953417,0.0723217571407678)); +#6581=CARTESIAN_POINT('Ctrl Pts',(0.406231099625611,0.122321633825643,0.0376271763089256)); +#6582=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0799810651352562,0.34179546508998)); +#6583=CARTESIAN_POINT('Ctrl Pts',(0.352393654644603,0.089879200152374,0.317650399572338)); +#6584=CARTESIAN_POINT('Ctrl Pts',(0.360839474853846,0.0997858960242805, +0.263650496852344)); +#6585=CARTESIAN_POINT('Ctrl Pts',(0.371596733489672,0.113893880346704,0.140141967971506)); +#6586=CARTESIAN_POINT('Ctrl Pts',(0.37440865303713,0.118532239068092,0.0724006464842733)); +#6587=CARTESIAN_POINT('Ctrl Pts',(0.406514909469579,0.123166198023974,0.037886386036281)); +#6588=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0808464157041237,0.342132982114107)); +#6589=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.0906435556403802,0.317844163485738)); +#6590=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.10044916908121,0.263700383323565)); +#6591=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.114394766776581,0.140096398901607)); +#6592=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.118969002352048,0.0723874127121983)); +#6593=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.123538898986714,0.0379054568900319)); +#6594=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0898280658106721,0.342132982114107)); +#6595=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.0980194922623267,0.317844163485738)); +#6596=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.106218003443806,0.263700383323565)); +#6597=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.117948087410939,0.140096398901607)); +#6598=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.121836499770488,0.0723874127121983)); +#6599=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.125721223734293,0.0379054568900319)); +#6600=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.107207485548429,0.342132982114107)); +#6601=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.1122629790758,0.317844163485738)); +#6602=CARTESIAN_POINT('Ctrl Pts',(0.360988220367636,0.117322845077976,0.263700383323565)); +#6603=CARTESIAN_POINT('Ctrl Pts',(0.371743870673432,0.124586225443885,0.140096398901607)); +#6604=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.127007839886892,0.0723874127121982)); +#6605=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.129427157281236,0.0379054568900319)); +#6606=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.342132982114107)); +#6607=CARTESIAN_POINT('Ctrl Pts',(0.352467995253061,0.134645669291339,0.317844163485738)); +#6608=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.134645669291339,0.263700383323565)); +#6609=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.134645669291339,0.140096398901608)); +#6610=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.134645669291339,0.0723874127121984)); +#6611=CARTESIAN_POINT('Ctrl Pts',(0.406535790229273,0.134645669291339,0.0379054568900319)); +#6612=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.162083853034248,0.342132982114107)); +#6613=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.157028359506877,0.317844163485738)); +#6614=CARTESIAN_POINT('Ctrl Pts',(0.360988220367636,0.151968493504701,0.263700383323565)); +#6615=CARTESIAN_POINT('Ctrl Pts',(0.371743870673432,0.144705113138792,0.140096398901607)); +#6616=CARTESIAN_POINT('Ctrl Pts',(0.374492632054175,0.142283498695785,0.0723874127121982)); +#6617=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.139864181301441,0.0379054568900319)); +#6618=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.179463272772005,0.342132982114107)); +#6619=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.17127184632035,0.317844163485738)); +#6620=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.163073335138871,0.263700383323565)); +#6621=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.151343251171738,0.140096398901607)); +#6622=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.147454838812189,0.0723874127121983)); +#6623=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.143570114848385,0.0379054568900319)); +#6624=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.188444922878553,0.342132982114107)); +#6625=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.178647782942297,0.317844163485738)); +#6626=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.168842169501467,0.263700383323565)); +#6627=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.154896571806096,0.140096398901607)); +#6628=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.15032233623063,0.0723874127121983)); +#6629=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.145752439595963,0.0379054568900319)); +#6630=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.189310273447421,0.34179546508998)); +#6631=CARTESIAN_POINT('Ctrl Pts',(0.352393670368481,0.179412105718232,0.317650413933298)); +#6632=CARTESIAN_POINT('Ctrl Pts',(0.360839506315201,0.169505377105961,0.263650525586686)); +#6633=CARTESIAN_POINT('Ctrl Pts',(0.371596704751278,0.155397518023542,0.140141941724103)); +#6634=CARTESIAN_POINT('Ctrl Pts',(0.374408556407411,0.150759300543772,0.0724005582302408)); +#6635=CARTESIAN_POINT('Ctrl Pts',(0.406514745012934,0.146125482695531,0.0378862358344359)); +#6636=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.190464770140142,0.34078157817449)); +#6637=CARTESIAN_POINT('Ctrl Pts',(0.352071833016388,0.180421515848718,0.317087671393442)); +#6638=CARTESIAN_POINT('Ctrl Pts',(0.360195553255261,0.170369575189464,0.26353931761531)); +#6639=CARTESIAN_POINT('Ctrl Pts',(0.370891115533875,0.156169664162685,0.1402435254405)); +#6640=CARTESIAN_POINT('Ctrl Pts',(0.373913898862605,0.151567989281116,0.0723216065775769)); +#6641=CARTESIAN_POINT('Ctrl Pts',(0.406230819059198,0.146970679368198,0.0376269200614947)); +#6642=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.190942956968499,0.339147152074873)); +#6643=CARTESIAN_POINT('Ctrl Pts',(0.351577519524622,0.180813282325868,0.316236941070489)); +#6644=CARTESIAN_POINT('Ctrl Pts',(0.359206498742098,0.170674846570813,0.263472960884159)); +#6645=CARTESIAN_POINT('Ctrl Pts',(0.369570658279181,0.156669688409641,0.1403041402067)); +#6646=CARTESIAN_POINT('Ctrl Pts',(0.372701745840045,0.152317152884536,0.0718475288511102)); +#6647=CARTESIAN_POINT('Ctrl Pts',(0.405126867535617,0.147968746004241,0.0366186570307289)); +#6648=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.190430911603355,0.337531157166466)); +#6649=CARTESIAN_POINT('Ctrl Pts',(0.351092870061595,0.180385849330314,0.31540107774779)); +#6650=CARTESIAN_POINT('Ctrl Pts',(0.358236780644789,0.170332099125727,0.263417903879651)); +#6651=CARTESIAN_POINT('Ctrl Pts',(0.36825137301237,0.15617098321438,0.140354433217283)); +#6652=CARTESIAN_POINT('Ctrl Pts',(0.371466196954127,0.151606151455309,0.0713463897957521)); +#6653=CARTESIAN_POINT('Ctrl Pts',(0.403974975601539,0.147045649716946,0.0355666089762999)); +#6654=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.189273591738957,0.336542307975266)); +#6655=CARTESIAN_POINT('Ctrl Pts',(0.350784455557427,0.179377354518184,0.314855754160676)); +#6656=CARTESIAN_POINT('Ctrl Pts',(0.357619684890062,0.169472558084019,0.263316489500028)); +#6657=CARTESIAN_POINT('Ctrl Pts',(0.367559202522873,0.155391748986841,0.140447070953209)); +#6658=CARTESIAN_POINT('Ctrl Pts',(0.370961576255484,0.150776572242774,0.071247742808455)); +#6659=CARTESIAN_POINT('Ctrl Pts',(0.40365772679136,0.146165773274698,0.0352768587109802)); +#6660=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.188413644923615,0.336227470303083)); +#6661=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.178623870520438,0.31467583790553)); +#6662=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.168825628983105,0.263271611354877)); +#6663=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.154894431730556,0.140488065148285)); +#6664=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.150327202674095,0.0712550004630065)); +#6665=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.145764305912325,0.0352504118261689)); +#6666=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.183829850719898,0.336227470303083)); +#6667=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.1748464055121,0.31467583790553)); +#6668=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.165855190560832,0.263271611354877)); +#6669=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.153005128440526,0.140488065148285)); +#6670=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.14875367664944,0.0712550004630065)); +#6671=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.144506257619092,0.0352504118261689)); +#6672=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.175521293232058,0.336227470303083)); +#6673=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.168008038359666,0.31467583790553)); +#6674=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.160488285305158,0.263271611354877)); +#6675=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.149715478876007,0.140488065148285)); +#6676=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.146136362311098,0.0712550004630065)); +#6677=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.142560640756051,0.0352504118261689)); +#6678=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.162100457627442,0.336227470303084)); +#6679=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,0.157033835352977,0.31467583790553)); +#6680=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,0.151962830978501,0.263271611354877)); +#6681=CARTESIAN_POINT('Ctrl Pts',(0.367416431377496,0.144705169875391,0.140488065148285)); +#6682=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,0.142298001139064,0.0712550004630064)); +#6683=CARTESIAN_POINT('Ctrl Pts',(0.403628769983573,0.139893115748767,0.0352504118261689)); +#6684=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.148199667406016,0.336227470303083)); +#6685=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.145711331457648,0.31467583790553)); +#6686=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.143220843358125,0.263271611354877)); +#6687=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.139663306713735,0.140488065148285)); +#6688=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.138487348289266,0.0712550004630065)); +#6689=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.137312505332922,0.0352504118261689)); +#6690=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.139074803149606,0.336227470303083)); +#6691=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.138268053327188,0.31467583790553)); +#6692=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.137460605750287,0.263271611354877)); +#6693=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.13631182671124,0.140488065148285)); +#6694=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.135934774241581,0.0712550004630065)); +#6695=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.135558079429134,0.0352504118261689)); +#6696=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.336227470303083)); +#6697=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.134645669291339,0.31467583790553)); +#6698=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.134645669291339,0.263271611354877)); +#6699=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.134645669291339,0.140488065148285)); +#6700=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.134645669291339,0.0712550004630065)); +#6701=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.134645669291339,0.0352504118261689)); +#6702=CARTESIAN_POINT('',(0.32007874015748,0.134645669291339,0.342132982114107)); +#6703=CARTESIAN_POINT('',(0.32007874015748,0.134645669291339,0.336227470303083)); +#6704=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.336227470303083)); +#6705=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.152219322877695,0.336227470303083)); +#6706=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.169792582763264,0.336227470303048)); +#6707=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.187902042338395,0.336227470303048)); +#6708=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.18819971972456,0.336227470303048)); +#6709=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.188971279553835,0.336428874471655)); +#6710=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.189303472183091,0.33663135114609)); +#6711=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.189880182411782,0.337052089892778)); +#6712=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.190138898360194,0.337347517510494)); +#6713=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.190522916688729,0.337976374752933)); +#6714=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.190651091537514,0.338333992962761)); +#6715=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.190762478879377,0.339055884092517)); +#6716=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.190763356451783,0.339461590766155)); +#6717=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.190580952240084,0.340241309232001)); +#6718=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.190426302902377,0.340582178890084)); +#6719=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.190005502847268,0.341164932024068)); +#6720=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.18972402609158,0.341450657069379)); +#6721=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.188939023240429,0.341942484138058)); +#6722=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.18860815038614,0.342042330568923)); +#6723=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.188079555410342,0.34213298211407)); +#6724=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.18786797162672,0.34213298211407)); +#6725=CARTESIAN_POINT('Ctrl Pts',(0.32007874015753,0.169608990928175,0.34213298211407)); +#6726=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.15212752696015,0.342132982114107)); +#6727=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.342132982114107)); +#6728=CARTESIAN_POINT('',(0.406535790229274,0.134645669291339,0.0379054568900319)); +#6729=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.342132982114107)); +#6730=CARTESIAN_POINT('Ctrl Pts',(0.352467995253061,0.134645669291339,0.317844163485738)); +#6731=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.134645669291339,0.263700383323565)); +#6732=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.134645669291339,0.140096398901608)); +#6733=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.134645669291339,0.0723874127121983)); +#6734=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.134645669291339,0.0379054568900319)); +#6735=CARTESIAN_POINT('',(0.403628769983572,0.134645669291339,0.0352504118261689)); +#6736=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.134645669291339,0.0379054568900319)); +#6737=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.138175730251215,0.0379054568900318)); +#6738=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.141705397510305,0.037905456890032)); +#6739=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.145637022407601,0.037905456890032)); +#6740=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.14575541362173,0.0379054568900319)); +#6741=CARTESIAN_POINT('Ctrl Pts',(0.406499913423915,0.146101790674656,0.0378726898203442)); +#6742=CARTESIAN_POINT('Ctrl Pts',(0.40645656969001,0.146292085065134,0.0378331030417782)); +#6743=CARTESIAN_POINT('Ctrl Pts',(0.406289196543382,0.146728473827536,0.0376802374924461)); +#6744=CARTESIAN_POINT('Ctrl Pts',(0.406152068090059,0.146926857664426,0.0375549950818893)); +#6745=CARTESIAN_POINT('Ctrl Pts',(0.40587271922891,0.147243019932224,0.0372998596675461)); +#6746=CARTESIAN_POINT('Ctrl Pts',(0.405704464673196,0.147381093742296,0.0371461891080509)); +#6747=CARTESIAN_POINT('Ctrl Pts',(0.405396440722698,0.147538027696387,0.0368648641084985)); +#6748=CARTESIAN_POINT('Ctrl Pts',(0.405257638353879,0.147579848753835,0.0367380928743698)); +#6749=CARTESIAN_POINT('Ctrl Pts',(0.404976531120743,0.147589191027648,0.0364813515004984)); +#6750=CARTESIAN_POINT('Ctrl Pts',(0.404835844966692,0.147556459023594,0.0363528597641849)); +#6751=CARTESIAN_POINT('Ctrl Pts',(0.404521730091978,0.147419426092313,0.0360659717905532)); +#6752=CARTESIAN_POINT('Ctrl Pts',(0.404348513540193,0.147292047035148,0.0359077693317036)); +#6753=CARTESIAN_POINT('Ctrl Pts',(0.404056535513098,0.146989940901481,0.0356410994243499)); +#6754=CARTESIAN_POINT('Ctrl Pts',(0.403915667605413,0.146800178332923,0.0355124416884752)); +#6755=CARTESIAN_POINT('Ctrl Pts',(0.403732204061322,0.146368853163389,0.0353448804278137)); +#6756=CARTESIAN_POINT('Ctrl Pts',(0.40368040203922,0.146171475997573,0.0352975685099544)); +#6757=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.145784595531927,0.0352504118261689)); +#6758=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.145641023079731,0.035250411826169)); +#6759=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.141748344776221,0.0352504118261689)); +#6760=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.138197203884173,0.0352504118261689)); +#6761=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.134645669291339,0.0352504118261689)); +#6762=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.336227470303083)); +#6763=CARTESIAN_POINT('Ctrl Pts',(0.350740456958568,0.134645669291339,0.314657197990526)); +#6764=CARTESIAN_POINT('Ctrl Pts',(0.363622489618436,0.134645669291339,0.216418344410387)); +#6765=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.134645669291339,0.0712550004630065)); +#6766=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.134645669291339,0.0352504118261689)); +#6767=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.336227470303083)); +#6768=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.101574803149606, +0.31467583790553)); +#6769=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.101574803149606, +0.263271611354877)); +#6770=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.101574803149606, +0.140488065148285)); +#6771=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.101574803149606, +0.0712550004630065)); +#6772=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#6773=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.106003937007874, +0.336227470303083)); +#6774=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.105197187185456, +0.31467583790553)); +#6775=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.104389739608555, +0.263271611354877)); +#6776=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.103240960569508, +0.140488065148285)); +#6777=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.102863908099849, +0.0712550004630065)); +#6778=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.102487213287402, +0.0352504118261689)); +#6779=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,-0.115128801264283, +0.336227470303084)); +#6780=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,-0.112640465315916, +0.31467583790553)); +#6781=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,-0.110149977216393, +0.263271611354877)); +#6782=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.106592440572003, +0.140488065148285)); +#6783=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.105416482147533, +0.0712550004630066)); +#6784=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.10424163919119, +0.0352504118261689)); +#6785=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.12902959148571,0.336227470303083)); +#6786=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.123962969211245, +0.314675837905529)); +#6787=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.118891964836769, +0.263271611354876)); +#6788=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.111634303733658, +0.140488065148285)); +#6789=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.109227134997332, +0.0712550004630063)); +#6790=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.106822249607035, +0.0352504118261689)); +#6791=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.142450427090325, +0.336227470303084)); +#6792=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,-0.134937172217933, +0.31467583790553)); +#6793=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,-0.127417419163425, +0.263271611354877)); +#6794=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377496,-0.116644612734275, +0.140488065148285)); +#6795=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,-0.113065496169365, +0.0712550004630066)); +#6796=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.109489774614318, +0.0352504118261689)); +#6797=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.150758984578165, +0.336227470303083)); +#6798=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.141775539370368, +0.31467583790553)); +#6799=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.132784324419099, +0.263271611354877)); +#6800=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.119934262298794, +0.140488065148285)); +#6801=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,-0.115682810507708, +0.0712550004630065)); +#6802=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.11143539147736, +0.0352504118261689)); +#6803=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.155342778781883, +0.336227470303083)); +#6804=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.145553004378706, +0.31467583790553)); +#6805=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.135754762841373, +0.263271611354877)); +#6806=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.121823565588824, +0.140488065148285)); +#6807=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.117256336532363, +0.0712550004630065)); +#6808=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.112693439770592, +0.0352504118261689)); +#6809=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.156202725597225, +0.336542307975266)); +#6810=CARTESIAN_POINT('Ctrl Pts',(-0.35078445645021,-0.146306498011445, +0.314855754976075)); +#6811=CARTESIAN_POINT('Ctrl Pts',(-0.357619686676401,-0.136401711220606, +0.263316491131531)); +#6812=CARTESIAN_POINT('Ctrl Pts',(-0.367559200891141,-0.122320865235315, +0.140447069462912)); +#6813=CARTESIAN_POINT('Ctrl Pts',(-0.37096157076896,-0.11770564689003,0.0712477377974929)); +#6814=CARTESIAN_POINT('Ctrl Pts',(-0.403657717453701,-0.113094806360195, +0.0352768501826927)); +#6815=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157360045461623, +0.337531157166466)); +#6816=CARTESIAN_POINT('Ctrl Pts',(-0.351092898625208,-0.147314999448614, +0.315401103835561)); +#6817=CARTESIAN_POINT('Ctrl Pts',(-0.358236837796719,-0.137261265518122, +0.263417956077757)); +#6818=CARTESIAN_POINT('Ctrl Pts',(-0.368251320806904,-0.123100087354329, +0.140354385536891)); +#6819=CARTESIAN_POINT('Ctrl Pts',(-0.371466021418934,-0.118535185388967, +0.0713462294756278)); +#6820=CARTESIAN_POINT('Ctrl Pts',(-0.403974676853605,-0.113974613510908, +0.0355663361232889)); +#6821=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157872090826766, +0.339147152074873)); +#6822=CARTESIAN_POINT('Ctrl Pts',(-0.351577673050625,-0.147742321044459, +0.31623708128914)); +#6823=CARTESIAN_POINT('Ctrl Pts',(-0.359206805926888,-0.137603790067442, +0.263473241442735)); +#6824=CARTESIAN_POINT('Ctrl Pts',(-0.369570377681041,-0.123598996153868, +0.140303883930291)); +#6825=CARTESIAN_POINT('Ctrl Pts',(-0.372700802359367,-0.119246871415395, +0.0718466671496062)); +#6826=CARTESIAN_POINT('Ctrl Pts',(-0.405125261801185,-0.114898874932078, +0.0366171904784121)); +#6827=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,-0.15739390399841, +0.34078157817449)); +#6828=CARTESIAN_POINT('Ctrl Pts',(-0.35207180619113,-0.147350742890612, +0.317087646893348)); +#6829=CARTESIAN_POINT('Ctrl Pts',(-0.360195499581544,-0.137298895495578, +0.263539268593933)); +#6830=CARTESIAN_POINT('Ctrl Pts',(-0.370891164562165,-0.12309862771005, +0.140243570219108)); +#6831=CARTESIAN_POINT('Ctrl Pts',(-0.37391406371489,-0.118496550487528, +0.0723217571407678)); +#6832=CARTESIAN_POINT('Ctrl Pts',(-0.406231099625611,-0.113898838615302, +0.0376271763089256)); +#6833=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.156239407305689, +0.34179546508998)); +#6834=CARTESIAN_POINT('Ctrl Pts',(-0.352393654644603,-0.146341272288571, +0.317650399572338)); +#6835=CARTESIAN_POINT('Ctrl Pts',(-0.360839474853846,-0.136434576416664, +0.263650496852344)); +#6836=CARTESIAN_POINT('Ctrl Pts',(-0.371596733489672,-0.122326592094241, +0.140141967971506)); +#6837=CARTESIAN_POINT('Ctrl Pts',(-0.37440865303713,-0.117688233372853, +0.0724006464842733)); +#6838=CARTESIAN_POINT('Ctrl Pts',(-0.406514909469579,-0.113054274416971, +0.037886386036281)); +#6839=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.155374056736821, +0.342132982114107)); +#6840=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.145576916800565, +0.317844163485738)); +#6841=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.135771303359735, +0.263700383323565)); +#6842=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.121825705664364, +0.140096398901607)); +#6843=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.117251470088897, +0.0723874127121983)); +#6844=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.112681573454231, +0.0379054568900319)); +#6845=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.146392406630273, +0.342132982114107)); +#6846=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.138200980178618, +0.317844163485738)); +#6847=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.130002468997139, +0.263700383323565)); +#6848=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.118272385030006, +0.140096398901607)); +#6849=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.114383972670457, +0.0723874127121983)); +#6850=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.110499248706652, +0.0379054568900319)); +#6851=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.129012986892516, +0.342132982114107)); +#6852=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.123957493365145, +0.317844163485738)); +#6853=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367636,-0.118897627362969, +0.263700383323565)); +#6854=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673432,-0.11163424699706, +0.140096398901607)); +#6855=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.109212632554053, +0.0723874127121982)); +#6856=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.106793315159708, +0.0379054568900319)); +#6857=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.342132982114107)); +#6858=CARTESIAN_POINT('Ctrl Pts',(-0.352467995253061,-0.101574803149606, +0.317844163485738)); +#6859=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.101574803149606, +0.263700383323565)); +#6860=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.101574803149606, +0.140096398901608)); +#6861=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.101574803149606, +0.0723874127121984)); +#6862=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229273,-0.101574803149606, +0.0379054568900319)); +#6863=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0741366194066967, +0.342132982114107)); +#6864=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.0791921129340683, +0.317844163485738)); +#6865=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367636,-0.0842519789362443, +0.263700383323565)); +#6866=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673432,-0.0915153593021528, +0.140096398901607)); +#6867=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054175,-0.0939369737451595, +0.0723874127121982)); +#6868=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.096356291139504, +0.0379054568900319)); +#6869=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0567571996689398, +0.342132982114107)); +#6870=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.0649486261205946, +0.317844163485738)); +#6871=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.0731471373020739, +0.263700383323565)); +#6872=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.0848772212692065, +0.140096398901607)); +#6873=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.0887656336287559, +0.0723874127121983)); +#6874=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.0926503575925602, +0.0379054568900319)); +#6875=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0477755495623915, +0.342132982114107)); +#6876=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.057572689498648, +0.317844163485738)); +#6877=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.0673783029394781, +0.263700383323565)); +#6878=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.0813239006348491, +0.140096398901607)); +#6879=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.0858981362103153, +0.0723874127121983)); +#6880=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.0904680328449815, +0.0379054568900319)); +#6881=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,-0.0469101989935236, +0.34179546508998)); +#6882=CARTESIAN_POINT('Ctrl Pts',(-0.352393670368481,-0.0568083667227132, +0.317650413933298)); +#6883=CARTESIAN_POINT('Ctrl Pts',(-0.360839506315201,-0.0667150953349838, +0.263650525586686)); +#6884=CARTESIAN_POINT('Ctrl Pts',(-0.371596704751278,-0.0808229544174032, +0.140141941724103)); +#6885=CARTESIAN_POINT('Ctrl Pts',(-0.374408556407411,-0.0854611718971733, +0.0724005582302408)); +#6886=CARTESIAN_POINT('Ctrl Pts',(-0.406514745012934,-0.0900949897454137, +0.0378862358344359)); +#6887=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.045755702300803, +0.34078157817449)); +#6888=CARTESIAN_POINT('Ctrl Pts',(-0.352071833016388,-0.0557989565922265, +0.317087671393442)); +#6889=CARTESIAN_POINT('Ctrl Pts',(-0.360195553255261,-0.0658508972514804, +0.26353931761531)); +#6890=CARTESIAN_POINT('Ctrl Pts',(-0.370891115533875,-0.0800508082782596, +0.1402435254405)); +#6891=CARTESIAN_POINT('Ctrl Pts',(-0.373913898862605,-0.0846524831598288, +0.0723216065775769)); +#6892=CARTESIAN_POINT('Ctrl Pts',(-0.406230819059198,-0.0892497930727464, +0.0376269200614947)); +#6893=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,-0.0452775154724458, +0.339147152074873)); +#6894=CARTESIAN_POINT('Ctrl Pts',(-0.351577519524622,-0.0554071901150771, +0.316236941070489)); +#6895=CARTESIAN_POINT('Ctrl Pts',(-0.359206498742098,-0.0655456258701322, +0.263472960884159)); +#6896=CARTESIAN_POINT('Ctrl Pts',(-0.369570658279181,-0.0795507840313036, +0.1403041402067)); +#6897=CARTESIAN_POINT('Ctrl Pts',(-0.372701745840045,-0.0839033195564093, +0.0718475288511102)); +#6898=CARTESIAN_POINT('Ctrl Pts',(-0.405126867535617,-0.0882517264367039, +0.0366186570307289)); +#6899=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0457895608375895, +0.337531157166466)); +#6900=CARTESIAN_POINT('Ctrl Pts',(-0.351092870061595,-0.0558346231106308, +0.31540107774779)); +#6901=CARTESIAN_POINT('Ctrl Pts',(-0.358236780644789,-0.065888373315218, +0.263417903879651)); +#6902=CARTESIAN_POINT('Ctrl Pts',(-0.36825137301237,-0.0800494892265649, +0.140354433217283)); +#6903=CARTESIAN_POINT('Ctrl Pts',(-0.371466196954127,-0.0846143209856358, +0.0713463897957521)); +#6904=CARTESIAN_POINT('Ctrl Pts',(-0.403974975601539,-0.0891748227239986, +0.0355666089762999)); +#6905=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0469468807019877, +0.336542307975266)); +#6906=CARTESIAN_POINT('Ctrl Pts',(-0.350784455557427,-0.0568431179227606, +0.314855754160676)); +#6907=CARTESIAN_POINT('Ctrl Pts',(-0.357619684890062,-0.0667479143569261, +0.263316489500028)); +#6908=CARTESIAN_POINT('Ctrl Pts',(-0.367559202522873,-0.0808287234541038, +0.140447070953209)); +#6909=CARTESIAN_POINT('Ctrl Pts',(-0.370961576255484,-0.0854439001981705, +0.071247742808455)); +#6910=CARTESIAN_POINT('Ctrl Pts',(-0.40365772679136,-0.0900546991662473, +0.0352768587109802)); +#6911=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0478068275173295, +0.336227470303083)); +#6912=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.0575966019205071, +0.31467583790553)); +#6913=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.0673948434578401, +0.263271611354877)); +#6914=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.0813260407103889, +0.140488065148285)); +#6915=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.0858932697668497, +0.0712550004630065)); +#6916=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0904561665286201, +0.0352504118261689)); +#6917=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0523906217210473, +0.336227470303083)); +#6918=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.0613740669288448, +0.31467583790553)); +#6919=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.0703652818801133, +0.263271611354877)); +#6920=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.0832153440004184, +0.140488065148285)); +#6921=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.0874667957915046, +0.0712550004630065)); +#6922=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0917142148218527, +0.0352504118261689)); +#6923=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0606991792088871, +0.336227470303083)); +#6924=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.0682124340812791, +0.31467583790553)); +#6925=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.0757321871357872, +0.263271611354877)); +#6926=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.0865049935649377, +0.140488065148285)); +#6927=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.0900841101298472, +0.0712550004630065)); +#6928=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0936598316848942, +0.0352504118261689)); +#6929=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0741200148135031, +0.336227470303084)); +#6930=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,-0.0791866370879682, +0.31467583790553)); +#6931=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,-0.0842576414624436, +0.263271611354877)); +#6932=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377496,-0.0915153025655544, +0.140488065148285)); +#6933=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,-0.0939224713018811, +0.0712550004630064)); +#6934=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983573,-0.0963273566921775, +0.0352504118261689)); +#6935=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0880208050349294, +0.336227470303083)); +#6936=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.0905091409832965, +0.31467583790553)); +#6937=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.0929996290828196, +0.263271611354877)); +#6938=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.0965571657272095, +0.140488065148285)); +#6939=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.0977331241516794, +0.0712550004630065)); +#6940=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0989079671080228, +0.0352504118261689)); +#6941=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0971456692913386, +0.336227470303083)); +#6942=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.0979524191137569, +0.31467583790553)); +#6943=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.098759866690658, +0.263271611354877)); +#6944=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.0999086457297044, +0.140488065148285)); +#6945=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.100285698199364, +0.0712550004630065)); +#6946=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.100662393011811, +0.0352504118261689)); +#6947=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.336227470303083)); +#6948=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.101574803149606, +0.31467583790553)); +#6949=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.101574803149606, +0.263271611354877)); +#6950=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.101574803149606, +0.140488065148285)); +#6951=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.101574803149606, +0.0712550004630065)); +#6952=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#6953=CARTESIAN_POINT('',(-0.32007874015748,-0.101574803149606,0.336227470303083)); +#6954=CARTESIAN_POINT('',(-0.32007874015748,-0.101574803149606,0.342132982114107)); +#6955=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.336227470303083)); +#6956=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.119246835902629, +0.336227470303083)); +#6957=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.136918868655652, +0.336227470303083)); +#6958=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.154892886586933, +0.336227470303084)); +#6959=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.155302587631443, +0.336227470303084)); +#6960=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.156096176057441, +0.336543632160193)); +#6961=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.156465803437428, +0.336761103767489)); +#6962=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157035236581726, +0.337311044142186)); +#6963=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157271796112876, +0.337620082397406)); +#6964=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157593741623206, +0.338372054711878)); +#6965=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157669956373741, +0.33875838876058)); +#6966=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157678120373477, +0.339542133089361)); +#6967=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157610385370327, +0.339929130668183)); +#6968=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157320012420534, +0.340649007361673)); +#6969=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157106045656262, +0.340967528367977)); +#6970=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.156511892080818, +0.3415651598345)); +#6971=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.156136706631427, +0.341794405155513)); +#6972=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.155334299131264, +0.342132982114107)); +#6973=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.154907906645407, +0.342132982114107)); +#6974=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.136956803897986, +0.342132982114107)); +#6975=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.11926600037419,0.342132982114107)); +#6976=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.342132982114107)); +#6977=CARTESIAN_POINT('',(-0.406535790229274,-0.101574803149606,0.0379054568900319)); +#6978=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.342132982114107)); +#6979=CARTESIAN_POINT('Ctrl Pts',(-0.352467995253061,-0.101574803149606, +0.317844163485738)); +#6980=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.101574803149606, +0.263700383323565)); +#6981=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.101574803149606, +0.140096398901608)); +#6982=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.101574803149606, +0.0723874127121983)); +#6983=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.101574803149606, +0.0379054568900319)); +#6984=CARTESIAN_POINT('',(-0.403628769983572,-0.101574803149606,0.0352504118261689)); +#6985=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.101574803149606, +0.0379054568900319)); +#6986=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.105119697954379, +0.037905456890032)); +#6987=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.108664199058365, +0.0379054568900318)); +#6988=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.112570910075053, +0.0379054568900318)); +#6989=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.112701192829106, +0.0379054568900319)); +#6990=CARTESIAN_POINT('Ctrl Pts',(-0.406494326863306,-0.113061011507587, +0.0378675874925594)); +#6991=CARTESIAN_POINT('Ctrl Pts',(-0.406448234449903,-0.113250581890881, +0.0378254902849921)); +#6992=CARTESIAN_POINT('Ctrl Pts',(-0.406270440185078,-0.113693335563527, +0.0376631069008705)); +#6993=CARTESIAN_POINT('Ctrl Pts',(-0.406124142119151,-0.113892041903391, +0.0375294896829073)); +#6994=CARTESIAN_POINT('Ctrl Pts',(-0.405846371624643,-0.114194667925131, +0.037275795825281)); +#6995=CARTESIAN_POINT('Ctrl Pts',(-0.405679014595193,-0.114327118819641, +0.0371229449961197)); +#6996=CARTESIAN_POINT('Ctrl Pts',(-0.405316147148071,-0.114502674953108, +0.0367915302336184)); +#6997=CARTESIAN_POINT('Ctrl Pts',(-0.405169032585272,-0.114515209319503, +0.0366571672912339)); +#6998=CARTESIAN_POINT('Ctrl Pts',(-0.404938127092992,-0.114513146183305, +0.0364462762634183)); +#6999=CARTESIAN_POINT('Ctrl Pts',(-0.404794746950162,-0.114473602775172, +0.0363153240483315)); +#7000=CARTESIAN_POINT('Ctrl Pts',(-0.404476832759991,-0.114320561932039, +0.0360249660769365)); +#7001=CARTESIAN_POINT('Ctrl Pts',(-0.40430206083475,-0.114183160197419, +0.0358653430615489)); +#7002=CARTESIAN_POINT('Ctrl Pts',(-0.403948884352267,-0.113791516759046, +0.0355427792686492)); +#7003=CARTESIAN_POINT('Ctrl Pts',(-0.403843567690296,-0.113568938125603, +0.0354465912617298)); +#7004=CARTESIAN_POINT('Ctrl Pts',(-0.403715427741994,-0.11324222699778, +0.0353295582490685)); +#7005=CARTESIAN_POINT('Ctrl Pts',(-0.403668591344558,-0.11304265675137, +0.0352867815446391)); +#7006=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.112682731063072, +0.035250411826169)); +#7007=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.112562805038077, +0.035250411826169)); +#7008=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.108627263996885, +0.035250411826169)); +#7009=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.105101230423639, +0.035250411826169)); +#7010=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.101574803149606, +0.035250411826169)); +#7011=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.336227470303083)); +#7012=CARTESIAN_POINT('Ctrl Pts',(-0.350740456958568,-0.101574803149606, +0.314657197990526)); +#7013=CARTESIAN_POINT('Ctrl Pts',(-0.363622489618436,-0.101574803149606, +0.216418344410387)); +#7014=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.101574803149606, +0.0712550004630065)); +#7015=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#7016=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339,0.336227470303083)); +#7017=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.134645669291339, +0.31467583790553)); +#7018=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.134645669291339, +0.263271611354877)); +#7019=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.134645669291339, +0.140488065148285)); +#7020=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.134645669291339, +0.0712550004630065)); +#7021=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.134645669291339, +0.0352504118261689)); +#7022=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.130216535433071,0.336227470303083)); +#7023=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.131023285255489, +0.31467583790553)); +#7024=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.13183073283239,0.263271611354877)); +#7025=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.132979511871437, +0.140488065148285)); +#7026=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.133356564341096, +0.0712550004630065)); +#7027=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.133733259153543, +0.0352504118261689)); +#7028=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,0.121091671176662, +0.336227470303084)); +#7029=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,0.123580007125029, +0.31467583790553)); +#7030=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,0.126070495224552, +0.263271611354877)); +#7031=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.129628031868942, +0.140488065148285)); +#7032=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.130803990293412, +0.0712550004630066)); +#7033=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.131978833249755, +0.0352504118261689)); +#7034=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.107190880955235,0.336227470303083)); +#7035=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.1122575032297,0.314675837905529)); +#7036=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.117328507604176, +0.263271611354876)); +#7037=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.124586168707287, +0.140488065148285)); +#7038=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.126993337443613, +0.0712550004630063)); +#7039=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.12939822283391,0.0352504118261689)); +#7040=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0937700453506195, +0.336227470303084)); +#7041=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,0.101283300223011, +0.31467583790553)); +#7042=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,0.10880305327752,0.263271611354877)); +#7043=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377496,0.11957585970667,0.140488065148285)); +#7044=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,0.123154976271579, +0.0712550004630066)); +#7045=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.126730697826627, +0.0352504118261689)); +#7046=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0854614878627796, +0.336227470303083)); +#7047=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.094444933070577, +0.31467583790553)); +#7048=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.103436148021846, +0.263271611354877)); +#7049=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.116286210142151, +0.140488065148285)); +#7050=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,0.120537661933237, +0.0712550004630065)); +#7051=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.124785080963585, +0.0352504118261689)); +#7052=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0808776936590618, +0.336227470303083)); +#7053=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.0906674680622393, +0.31467583790553)); +#7054=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.100465709599572, +0.263271611354877)); +#7055=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.114396906852121, +0.140488065148285)); +#7056=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.118964135908582, +0.0712550004630065)); +#7057=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.123527032670352, +0.0352504118261689)); +#7058=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0800177468437201, +0.336542307975266)); +#7059=CARTESIAN_POINT('Ctrl Pts',(-0.35078445645021,0.0899139744294995, +0.314855754976075)); +#7060=CARTESIAN_POINT('Ctrl Pts',(-0.357619686676401,0.0998187612203384, +0.263316491131531)); +#7061=CARTESIAN_POINT('Ctrl Pts',(-0.367559200891141,0.11389960720563,0.140447069462912)); +#7062=CARTESIAN_POINT('Ctrl Pts',(-0.37096157076896,0.118514825550915,0.0712477377974929)); +#7063=CARTESIAN_POINT('Ctrl Pts',(-0.403657717453701,0.12312566608075,0.0352768501826927)); +#7064=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0788604269793215, +0.337531157166466)); +#7065=CARTESIAN_POINT('Ctrl Pts',(-0.351092898625208,0.088905472992331, +0.315401103835561)); +#7066=CARTESIAN_POINT('Ctrl Pts',(-0.358236837796719,0.0989592069228233, +0.263417956077757)); +#7067=CARTESIAN_POINT('Ctrl Pts',(-0.368251320806904,0.113120385086616, +0.140354385536891)); +#7068=CARTESIAN_POINT('Ctrl Pts',(-0.371466021418934,0.117685287051978, +0.0713462294756278)); +#7069=CARTESIAN_POINT('Ctrl Pts',(-0.403974676853605,0.122245858930037, +0.0355663361232889)); +#7070=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0783483816141784, +0.339147152074873)); +#7071=CARTESIAN_POINT('Ctrl Pts',(-0.351577673050625,0.0884781513964856, +0.31623708128914)); +#7072=CARTESIAN_POINT('Ctrl Pts',(-0.359206805926888,0.0986166823735025, +0.263473241442735)); +#7073=CARTESIAN_POINT('Ctrl Pts',(-0.369570377681041,0.112621476287077, +0.140303883930291)); +#7074=CARTESIAN_POINT('Ctrl Pts',(-0.372700802359367,0.11697360102555,0.0718466671496062)); +#7075=CARTESIAN_POINT('Ctrl Pts',(-0.405125261801185,0.121321597508867, +0.0366171904784121)); +#7076=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,0.0788265684425349, +0.34078157817449)); +#7077=CARTESIAN_POINT('Ctrl Pts',(-0.35207180619113,0.0888697295503327, +0.317087646893348)); +#7078=CARTESIAN_POINT('Ctrl Pts',(-0.360195499581544,0.098921576945367, +0.263539268593933)); +#7079=CARTESIAN_POINT('Ctrl Pts',(-0.370891164562165,0.113121844730895, +0.140243570219108)); +#7080=CARTESIAN_POINT('Ctrl Pts',(-0.37391406371489,0.117723921953417,0.0723217571407678)); +#7081=CARTESIAN_POINT('Ctrl Pts',(-0.406231099625611,0.122321633825643, +0.0376271763089256)); +#7082=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0799810651352562, +0.34179546508998)); +#7083=CARTESIAN_POINT('Ctrl Pts',(-0.352393654644603,0.089879200152374, +0.317650399572338)); +#7084=CARTESIAN_POINT('Ctrl Pts',(-0.360839474853846,0.0997858960242805, +0.263650496852344)); +#7085=CARTESIAN_POINT('Ctrl Pts',(-0.371596733489672,0.113893880346704, +0.140141967971506)); +#7086=CARTESIAN_POINT('Ctrl Pts',(-0.37440865303713,0.118532239068092,0.0724006464842733)); +#7087=CARTESIAN_POINT('Ctrl Pts',(-0.406514909469579,0.123166198023974, +0.037886386036281)); +#7088=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0808464157041237, +0.342132982114107)); +#7089=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.0906435556403802, +0.317844163485738)); +#7090=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.10044916908121,0.263700383323565)); +#7091=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.114394766776581, +0.140096398901607)); +#7092=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.118969002352048, +0.0723874127121983)); +#7093=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.123538898986714, +0.0379054568900319)); +#7094=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0898280658106721, +0.342132982114107)); +#7095=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.0980194922623267, +0.317844163485738)); +#7096=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.106218003443806, +0.263700383323565)); +#7097=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.117948087410939, +0.140096398901607)); +#7098=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.121836499770488, +0.0723874127121983)); +#7099=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.125721223734293, +0.0379054568900319)); +#7100=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.107207485548429,0.342132982114107)); +#7101=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.1122629790758,0.317844163485738)); +#7102=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367636,0.117322845077976, +0.263700383323565)); +#7103=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673432,0.124586225443885, +0.140096398901607)); +#7104=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.127007839886892, +0.0723874127121982)); +#7105=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.129427157281236, +0.0379054568900319)); +#7106=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339,0.342132982114107)); +#7107=CARTESIAN_POINT('Ctrl Pts',(-0.352467995253061,0.134645669291339, +0.317844163485738)); +#7108=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.134645669291339, +0.263700383323565)); +#7109=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.134645669291339, +0.140096398901608)); +#7110=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.134645669291339, +0.0723874127121984)); +#7111=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229273,0.134645669291339, +0.0379054568900319)); +#7112=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.162083853034248,0.342132982114107)); +#7113=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.157028359506877,0.317844163485738)); +#7114=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367636,0.151968493504701, +0.263700383323565)); +#7115=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673432,0.144705113138792, +0.140096398901607)); +#7116=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054175,0.142283498695785, +0.0723874127121982)); +#7117=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.139864181301441, +0.0379054568900319)); +#7118=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.179463272772005,0.342132982114107)); +#7119=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.17127184632035,0.317844163485738)); +#7120=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.163073335138871, +0.263700383323565)); +#7121=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.151343251171738, +0.140096398901607)); +#7122=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.147454838812189, +0.0723874127121983)); +#7123=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.143570114848385, +0.0379054568900319)); +#7124=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.188444922878553,0.342132982114107)); +#7125=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.178647782942297,0.317844163485738)); +#7126=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.168842169501467, +0.263700383323565)); +#7127=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.154896571806096, +0.140096398901607)); +#7128=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.15032233623063,0.0723874127121983)); +#7129=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.145752439595963, +0.0379054568900319)); +#7130=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,0.189310273447421, +0.34179546508998)); +#7131=CARTESIAN_POINT('Ctrl Pts',(-0.352393670368481,0.179412105718232, +0.317650413933298)); +#7132=CARTESIAN_POINT('Ctrl Pts',(-0.360839506315201,0.169505377105961, +0.263650525586686)); +#7133=CARTESIAN_POINT('Ctrl Pts',(-0.371596704751278,0.155397518023542, +0.140141941724103)); +#7134=CARTESIAN_POINT('Ctrl Pts',(-0.374408556407411,0.150759300543772, +0.0724005582302408)); +#7135=CARTESIAN_POINT('Ctrl Pts',(-0.406514745012934,0.146125482695531, +0.0378862358344359)); +#7136=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.190464770140142,0.34078157817449)); +#7137=CARTESIAN_POINT('Ctrl Pts',(-0.352071833016388,0.180421515848718, +0.317087671393442)); +#7138=CARTESIAN_POINT('Ctrl Pts',(-0.360195553255261,0.170369575189464, +0.26353931761531)); +#7139=CARTESIAN_POINT('Ctrl Pts',(-0.370891115533875,0.156169664162685, +0.1402435254405)); +#7140=CARTESIAN_POINT('Ctrl Pts',(-0.373913898862605,0.151567989281116, +0.0723216065775769)); +#7141=CARTESIAN_POINT('Ctrl Pts',(-0.406230819059198,0.146970679368198, +0.0376269200614947)); +#7142=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,0.190942956968499, +0.339147152074873)); +#7143=CARTESIAN_POINT('Ctrl Pts',(-0.351577519524622,0.180813282325868, +0.316236941070489)); +#7144=CARTESIAN_POINT('Ctrl Pts',(-0.359206498742098,0.170674846570813, +0.263472960884159)); +#7145=CARTESIAN_POINT('Ctrl Pts',(-0.369570658279181,0.156669688409641, +0.1403041402067)); +#7146=CARTESIAN_POINT('Ctrl Pts',(-0.372701745840045,0.152317152884536, +0.0718475288511102)); +#7147=CARTESIAN_POINT('Ctrl Pts',(-0.405126867535617,0.147968746004241, +0.0366186570307289)); +#7148=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.190430911603355,0.337531157166466)); +#7149=CARTESIAN_POINT('Ctrl Pts',(-0.351092870061595,0.180385849330314, +0.31540107774779)); +#7150=CARTESIAN_POINT('Ctrl Pts',(-0.358236780644789,0.170332099125727, +0.263417903879651)); +#7151=CARTESIAN_POINT('Ctrl Pts',(-0.36825137301237,0.15617098321438,0.140354433217283)); +#7152=CARTESIAN_POINT('Ctrl Pts',(-0.371466196954127,0.151606151455309, +0.0713463897957521)); +#7153=CARTESIAN_POINT('Ctrl Pts',(-0.403974975601539,0.147045649716946, +0.0355666089762999)); +#7154=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.189273591738957,0.336542307975266)); +#7155=CARTESIAN_POINT('Ctrl Pts',(-0.350784455557427,0.179377354518184, +0.314855754160676)); +#7156=CARTESIAN_POINT('Ctrl Pts',(-0.357619684890062,0.169472558084019, +0.263316489500028)); +#7157=CARTESIAN_POINT('Ctrl Pts',(-0.367559202522873,0.155391748986841, +0.140447070953209)); +#7158=CARTESIAN_POINT('Ctrl Pts',(-0.370961576255484,0.150776572242774, +0.071247742808455)); +#7159=CARTESIAN_POINT('Ctrl Pts',(-0.40365772679136,0.146165773274698,0.0352768587109802)); +#7160=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.188413644923615,0.336227470303083)); +#7161=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.178623870520438, +0.31467583790553)); +#7162=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.168825628983105, +0.263271611354877)); +#7163=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.154894431730556, +0.140488065148285)); +#7164=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.150327202674095, +0.0712550004630065)); +#7165=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.145764305912325, +0.0352504118261689)); +#7166=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.183829850719898,0.336227470303083)); +#7167=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.1748464055121,0.31467583790553)); +#7168=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.165855190560832, +0.263271611354877)); +#7169=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.153005128440526, +0.140488065148285)); +#7170=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.14875367664944,0.0712550004630065)); +#7171=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.144506257619092, +0.0352504118261689)); +#7172=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.175521293232058,0.336227470303083)); +#7173=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.168008038359666, +0.31467583790553)); +#7174=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.160488285305158, +0.263271611354877)); +#7175=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.149715478876007, +0.140488065148285)); +#7176=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.146136362311098, +0.0712550004630065)); +#7177=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.142560640756051, +0.0352504118261689)); +#7178=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.162100457627442,0.336227470303084)); +#7179=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,0.157033835352977, +0.31467583790553)); +#7180=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,0.151962830978501, +0.263271611354877)); +#7181=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377496,0.144705169875391, +0.140488065148285)); +#7182=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,0.142298001139064, +0.0712550004630064)); +#7183=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983573,0.139893115748767, +0.0352504118261689)); +#7184=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.148199667406016,0.336227470303083)); +#7185=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.145711331457648, +0.31467583790553)); +#7186=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.143220843358125, +0.263271611354877)); +#7187=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.139663306713735, +0.140488065148285)); +#7188=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.138487348289266, +0.0712550004630065)); +#7189=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.137312505332922, +0.0352504118261689)); +#7190=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.139074803149606,0.336227470303083)); +#7191=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.138268053327188, +0.31467583790553)); +#7192=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.137460605750287, +0.263271611354877)); +#7193=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.13631182671124,0.140488065148285)); +#7194=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.135934774241581, +0.0712550004630065)); +#7195=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.135558079429134, +0.0352504118261689)); +#7196=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339,0.336227470303083)); +#7197=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.134645669291339, +0.31467583790553)); +#7198=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.134645669291339, +0.263271611354877)); +#7199=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.134645669291339, +0.140488065148285)); +#7200=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.134645669291339, +0.0712550004630065)); +#7201=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.134645669291339, +0.0352504118261689)); +#7202=CARTESIAN_POINT('',(-0.32007874015748,0.134645669291339,0.336227470303083)); +#7203=CARTESIAN_POINT('',(-0.32007874015748,0.134645669291339,0.342132982114107)); +#7204=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339,0.336227470303083)); +#7205=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.11697363653832,0.336227470303083)); +#7206=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157511,0.099301603785301, +0.336227470303062)); +#7207=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157511,0.0813275858540221, +0.336227470303062)); +#7208=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157511,0.0809178848095134, +0.336227470303062)); +#7209=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157511,0.0801242963835117, +0.336543632160171)); +#7210=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157511,0.0797546690035262, +0.336761103767468)); +#7211=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157511,0.0791852358592374, +0.337311044142156)); +#7212=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157511,0.0789486763280841, +0.337620082397374)); +#7213=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157511,0.078626730817716, +0.338372054711931)); +#7214=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157949,0.0785505160673494, +0.338758388760703)); +#7215=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157949,0.0785423520676268, +0.339542133088115)); +#7216=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157514,0.0786100870704368, +0.339929130667682)); +#7217=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157514,0.07890046002042,0.340649007361645)); +#7218=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157514,0.0791144267846915, +0.34096752836795)); +#7219=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157514,0.0797085803601359, +0.341565159834475)); +#7220=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157514,0.0800837658095275, +0.341794405155487)); +#7221=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157514,0.0808861733096899, +0.342132982114082)); +#7222=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157514,0.0813125657955476, +0.342132982114082)); +#7223=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157514,0.0992636685429645, +0.342132982114082)); +#7224=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.116954472066758,0.342132982114107)); +#7225=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339,0.342132982114107)); +#7226=CARTESIAN_POINT('',(-0.406535790229274,0.134645669291339,0.0379054568900319)); +#7227=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339,0.342132982114107)); +#7228=CARTESIAN_POINT('Ctrl Pts',(-0.352467995253061,0.134645669291339, +0.317844163485738)); +#7229=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.134645669291339, +0.263700383323565)); +#7230=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.134645669291339, +0.140096398901608)); +#7231=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.134645669291339, +0.0723874127121983)); +#7232=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.134645669291339, +0.0379054568900319)); +#7233=CARTESIAN_POINT('',(-0.403628769983572,0.134645669291339,0.0352504118261689)); +#7234=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.134645669291339, +0.0379054568900319)); +#7235=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.131100774486566, +0.037905456890032)); +#7236=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.12755627338258,0.0379054568900318)); +#7237=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.123649562365892, +0.0379054568900318)); +#7238=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.123519279611839, +0.0379054568900319)); +#7239=CARTESIAN_POINT('Ctrl Pts',(-0.406494326863306,0.123159460933358, +0.0378675874925594)); +#7240=CARTESIAN_POINT('Ctrl Pts',(-0.406448234449903,0.122969890550064, +0.0378254902849922)); +#7241=CARTESIAN_POINT('Ctrl Pts',(-0.406270440185078,0.122527136877418, +0.0376631069008706)); +#7242=CARTESIAN_POINT('Ctrl Pts',(-0.406124142119151,0.122328430537554, +0.0375294896829075)); +#7243=CARTESIAN_POINT('Ctrl Pts',(-0.405846371624643,0.122025804515814, +0.0372757958252811)); +#7244=CARTESIAN_POINT('Ctrl Pts',(-0.405679014595193,0.121893353621304, +0.0371229449961198)); +#7245=CARTESIAN_POINT('Ctrl Pts',(-0.405316147148072,0.121717797487837, +0.0367915302336184)); +#7246=CARTESIAN_POINT('Ctrl Pts',(-0.405169032585272,0.121705263121441, +0.0366571672912339)); +#7247=CARTESIAN_POINT('Ctrl Pts',(-0.404938127092992,0.12170732625764,0.0364462762634183)); +#7248=CARTESIAN_POINT('Ctrl Pts',(-0.404794746950162,0.121746869665773, +0.0363153240483314)); +#7249=CARTESIAN_POINT('Ctrl Pts',(-0.404476832759991,0.121899910508906, +0.0360249660769365)); +#7250=CARTESIAN_POINT('Ctrl Pts',(-0.40430206083475,0.122037312243526,0.0358653430615488)); +#7251=CARTESIAN_POINT('Ctrl Pts',(-0.403948884352267,0.122428955681899, +0.0355427792686492)); +#7252=CARTESIAN_POINT('Ctrl Pts',(-0.403843567690296,0.122651534315341, +0.0354465912617298)); +#7253=CARTESIAN_POINT('Ctrl Pts',(-0.403715427741994,0.122978245443164, +0.0353295582490685)); +#7254=CARTESIAN_POINT('Ctrl Pts',(-0.403668591344558,0.123177815689575, +0.0352867815446391)); +#7255=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.123537741377873, +0.035250411826169)); +#7256=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.123657667402868, +0.035250411826169)); +#7257=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.12759320844406,0.035250411826169)); +#7258=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.131119242017306, +0.035250411826169)); +#7259=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.134645669291339, +0.035250411826169)); +#7260=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339,0.336227470303083)); +#7261=CARTESIAN_POINT('Ctrl Pts',(-0.350740456958568,0.134645669291339, +0.314657197990526)); +#7262=CARTESIAN_POINT('Ctrl Pts',(-0.363622489618436,0.134645669291339, +0.216418344410387)); +#7263=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.134645669291339, +0.0712550004630065)); +#7264=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.134645669291339, +0.0352504118261689)); +#7265=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.27244094488189,0.335955277352712)); +#7266=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.300684982867112,0.311690007326081)); +#7267=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.309542644328539,0.265463794231054)); +#7268=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.320627496995059,0.140452334212446)); +#7269=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.323531184841235,0.0661213526083473)); +#7270=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.356453839091345,0.0331345906534526)); +#7271=CARTESIAN_POINT('Ctrl Pts',(-0.161909448818898,0.27244094488189,0.335955277352712)); +#7272=CARTESIAN_POINT('Ctrl Pts',(-0.161105847021688,0.300684982867112, +0.311690007326081)); +#7273=CARTESIAN_POINT('Ctrl Pts',(-0.160301550628478,0.309542644328539, +0.265463794231054)); +#7274=CARTESIAN_POINT('Ctrl Pts',(-0.159158602091234,0.320627496995059, +0.140452334212446)); +#7275=CARTESIAN_POINT('Ctrl Pts',(-0.158775475941778,0.323531184841235, +0.0661213526083473)); +#7276=CARTESIAN_POINT('Ctrl Pts',(-0.158392725105967,0.356453839091345, +0.0331345906534526)); +#7277=CARTESIAN_POINT('Ctrl Pts',(-0.171034313072779,0.27244094488189,0.335955277352712)); +#7278=CARTESIAN_POINT('Ctrl Pts',(-0.168555831861583,0.300684982867112, +0.311690007326082)); +#7279=CARTESIAN_POINT('Ctrl Pts',(-0.166075208366554,0.30954264432854,0.265463794231055)); +#7280=CARTESIAN_POINT('Ctrl Pts',(-0.1625353282767,0.32062749699506,0.140452334212446)); +#7281=CARTESIAN_POINT('Ctrl Pts',(-0.161340654494513,0.323531184841236, +0.0661213526083475)); +#7282=CARTESIAN_POINT('Ctrl Pts',(-0.160147151024954,0.356453839091346, +0.0331345906534526)); +#7283=CARTESIAN_POINT('Ctrl Pts',(-0.184935103288266,0.27244094488189,0.335955277352711)); +#7284=CARTESIAN_POINT('Ctrl Pts',(-0.179888689059929,0.300684982867112, +0.311690007326081)); +#7285=CARTESIAN_POINT('Ctrl Pts',(-0.17483791294595,0.309542644328539,0.265463794231054)); +#7286=CARTESIAN_POINT('Ctrl Pts',(-0.167615882162119,0.320627496995059, +0.140452334212446)); +#7287=CARTESIAN_POINT('Ctrl Pts',(-0.16517062411509,0.323531184841235,0.0661213526083473)); +#7288=CARTESIAN_POINT('Ctrl Pts',(-0.162727761463704,0.356453839091344, +0.0331345906534526)); +#7289=CARTESIAN_POINT('Ctrl Pts',(-0.198355938892278,0.27244094488189,0.335955277352712)); +#7290=CARTESIAN_POINT('Ctrl Pts',(-0.190872756989544,0.300684982867112, +0.311690007326081)); +#7291=CARTESIAN_POINT('Ctrl Pts',(-0.183383106972577,0.309542644328539, +0.265463794231054)); +#7292=CARTESIAN_POINT('Ctrl Pts',(-0.172662895980475,0.32062749699506,0.140452334212446)); +#7293=CARTESIAN_POINT('Ctrl Pts',(-0.169027310511888,0.323531184841236, +0.0661213526083474)); +#7294=CARTESIAN_POINT('Ctrl Pts',(-0.16539528649384,0.356453839091346,0.0331345906534526)); +#7295=CARTESIAN_POINT('Ctrl Pts',(-0.206664496385367,0.27244094488189,0.335955277352712)); +#7296=CARTESIAN_POINT('Ctrl Pts',(-0.197716695771694,0.300684982867112, +0.311690007326081)); +#7297=CARTESIAN_POINT('Ctrl Pts',(-0.188761161095523,0.309542644328539, +0.265463794231054)); +#7298=CARTESIAN_POINT('Ctrl Pts',(-0.17597469236506,0.320627496995059,0.140452334212446)); +#7299=CARTESIAN_POINT('Ctrl Pts',(-0.171655682399073,0.323531184841235, +0.0661213526083474)); +#7300=CARTESIAN_POINT('Ctrl Pts',(-0.167340903372092,0.356453839091345, +0.0331345906534526)); +#7301=CARTESIAN_POINT('Ctrl Pts',(-0.211248290590996,0.27244094488189,0.335955277352711)); +#7302=CARTESIAN_POINT('Ctrl Pts',(-0.201496624909896,0.300684982867112, +0.311690007326081)); +#7303=CARTESIAN_POINT('Ctrl Pts',(-0.19173653034274,0.309542644328539,0.265463794231054)); +#7304=CARTESIAN_POINT('Ctrl Pts',(-0.177876292862175,0.320627496995059, +0.140452334212446)); +#7305=CARTESIAN_POINT('Ctrl Pts',(-0.173235349113291,0.323531184841235, +0.0661213526083473)); +#7306=CARTESIAN_POINT('Ctrl Pts',(-0.168598951672495,0.356453839091345, +0.0331345906534526)); +#7307=CARTESIAN_POINT('Ctrl Pts',(-0.212108237406847,0.272440944881889, +0.336270115023779)); +#7308=CARTESIAN_POINT('Ctrl Pts',(-0.202250514230693,0.300756345806482, +0.311869225860597)); +#7309=CARTESIAN_POINT('Ctrl Pts',(-0.19238427049732,0.309685431890084,0.265507276406146)); +#7310=CARTESIAN_POINT('Ctrl Pts',(-0.178375198081451,0.320768706307844, +0.140413896961314)); +#7311=CARTESIAN_POINT('Ctrl Pts',(-0.173685461118868,0.32361554667273,0.0661160861163932)); +#7312=CARTESIAN_POINT('Ctrl Pts',(-0.16900031826262,0.356481409129828,0.0331624624263175)); +#7313=CARTESIAN_POINT('Ctrl Pts',(-0.213265557271849,0.27244094488189,0.337258964214908)); +#7314=CARTESIAN_POINT('Ctrl Pts',(-0.203258257412103,0.301068804419191, +0.31241027952843)); +#7315=CARTESIAN_POINT('Ctrl Pts',(-0.193242307708011,0.310310619190187, +0.26560014749707)); +#7316=CARTESIAN_POINT('Ctrl Pts',(-0.179156998859104,0.321452567496892, +0.140331800812558)); +#7317=CARTESIAN_POINT('Ctrl Pts',(-0.174516289096799,0.324108322299879, +0.0662277234761407)); +#7318=CARTESIAN_POINT('Ctrl Pts',(-0.169880125413365,0.356783286384124, +0.0334676435115709)); +#7319=CARTESIAN_POINT('Ctrl Pts',(-0.213777602638482,0.272440944881889, +0.338874959124645)); +#7320=CARTESIAN_POINT('Ctrl Pts',(-0.203683090553472,0.301560653392176, +0.313233357174104)); +#7321=CARTESIAN_POINT('Ctrl Pts',(-0.193579853241927,0.311294742267524, +0.265629622517893)); +#7322=CARTESIAN_POINT('Ctrl Pts',(-0.17965789972263,0.322753733750363,0.140305744580549)); +#7323=CARTESIAN_POINT('Ctrl Pts',(-0.175228973970813,0.325306772963196, +0.0667688876037338)); +#7324=CARTESIAN_POINT('Ctrl Pts',(-0.170804386832444,0.357879122078355, +0.0345754723448631)); +#7325=CARTESIAN_POINT('Ctrl Pts',(-0.213299415808479,0.272440944881891, +0.340509385225518)); +#7326=CARTESIAN_POINT('Ctrl Pts',(-0.203294418591322,0.302061919454563, +0.314071413787695)); +#7327=CARTESIAN_POINT('Ctrl Pts',(-0.193280773520116,0.312297707663357, +0.265670621299475)); +#7328=CARTESIAN_POINT('Ctrl Pts',(-0.17915540257292,0.324056788600229,0.140269501652529)); +#7329=CARTESIAN_POINT('Ctrl Pts',(-0.174477585330945,0.326484848078352, +0.0672834081702506)); +#7330=CARTESIAN_POINT('Ctrl Pts',(-0.169804350518645,0.358932339890016, +0.0356402168732987)); +#7331=CARTESIAN_POINT('Ctrl Pts',(-0.212144919115185,0.272440944881889, +0.341523272140927)); +#7332=CARTESIAN_POINT('Ctrl Pts',(-0.202285544473478,0.302387904113174, +0.314630145272822)); +#7333=CARTESIAN_POINT('Ctrl Pts',(-0.1924176478471,0.31294995874655,0.265773803939144)); +#7334=CARTESIAN_POINT('Ctrl Pts',(-0.17838089030215,0.32475409303006,0.140178290364725)); +#7335=CARTESIAN_POINT('Ctrl Pts',(-0.173668029931863,0.326968548209927, +0.0673745235197082)); +#7336=CARTESIAN_POINT('Ctrl Pts',(-0.1689597863198,0.359202644971928,0.0359134802512886)); +#7337=CARTESIAN_POINT('Ctrl Pts',(-0.211279568545566,0.27244094488189,0.341860789163735)); +#7338=CARTESIAN_POINT('Ctrl Pts',(-0.201520605883701,0.302463147928552, +0.314823254700944)); +#7339=CARTESIAN_POINT('Ctrl Pts',(-0.191753208028609,0.313100511414559, +0.265822380953374)); +#7340=CARTESIAN_POINT('Ctrl Pts',(-0.177878533537688,0.324899657516679, +0.140135349401976)); +#7341=CARTESIAN_POINT('Ctrl Pts',(-0.173230532836388,0.327051243246108, +0.0673631208979979)); +#7342=CARTESIAN_POINT('Ctrl Pts',(-0.168587085356226,0.359222532145121, +0.0359335850753896)); +#7343=CARTESIAN_POINT('Ctrl Pts',(-0.202297918436739,0.27244094488189,0.341860789163735)); +#7344=CARTESIAN_POINT('Ctrl Pts',(-0.194139125658627,0.302463147928552, +0.314823254700944)); +#7345=CARTESIAN_POINT('Ctrl Pts',(-0.185973280799678,0.313100511414559, +0.265822380953374)); +#7346=CARTESIAN_POINT('Ctrl Pts',(-0.174300886585519,0.324899657516679, +0.140135349401976)); +#7347=CARTESIAN_POINT('Ctrl Pts',(-0.17035088886404,0.327051243246108,0.0673631208979979)); +#7348=CARTESIAN_POINT('Ctrl Pts',(-0.166404760594026,0.359222532145121, +0.0359335850753896)); +#7349=CARTESIAN_POINT('Ctrl Pts',(-0.18491849869538,0.27244094488189,0.341860789163735)); +#7350=CARTESIAN_POINT('Ctrl Pts',(-0.179883389247514,0.302463147928553, +0.314823254700944)); +#7351=CARTESIAN_POINT('Ctrl Pts',(-0.174843927685332,0.31310051141456,0.265822380953374)); +#7352=CARTESIAN_POINT('Ctrl Pts',(-0.167615602189539,0.324899657516679, +0.140135349401976)); +#7353=CARTESIAN_POINT('Ctrl Pts',(-0.165156009884302,0.327051243246108, +0.067363120897998)); +#7354=CARTESIAN_POINT('Ctrl Pts',(-0.16269882701667,0.359222532145121,0.0359335850753896)); +#7355=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.27244094488189,0.341860789163735)); +#7356=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.302463147928552,0.314823254700944)); +#7357=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.313100511414559,0.265822380953373)); +#7358=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.324899657516679,0.140135349401975)); +#7359=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.327051243246108,0.0673631208979978)); +#7360=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.359222532145121,0.0359335850753896)); +#7361=CARTESIAN_POINT('Ctrl Pts',(-0.13004213122588,0.27244094488189,0.341860789163736)); +#7362=CARTESIAN_POINT('Ctrl Pts',(-0.135077240673746,0.302463147928553, +0.314823254700944)); +#7363=CARTESIAN_POINT('Ctrl Pts',(-0.140116702235927,0.31310051141456,0.265822380953374)); +#7364=CARTESIAN_POINT('Ctrl Pts',(-0.147345027731721,0.324899657516679, +0.140135349401976)); +#7365=CARTESIAN_POINT('Ctrl Pts',(-0.149804620036958,0.327051243246108, +0.067363120897998)); +#7366=CARTESIAN_POINT('Ctrl Pts',(-0.15226180290459,0.359222532145121,0.0359335850753896)); +#7367=CARTESIAN_POINT('Ctrl Pts',(-0.112662711484521,0.27244094488189,0.341860789163735)); +#7368=CARTESIAN_POINT('Ctrl Pts',(-0.120821504262632,0.302463147928552, +0.314823254700944)); +#7369=CARTESIAN_POINT('Ctrl Pts',(-0.128987349121582,0.313100511414559, +0.265822380953374)); +#7370=CARTESIAN_POINT('Ctrl Pts',(-0.140659743335741,0.324899657516679, +0.140135349401976)); +#7371=CARTESIAN_POINT('Ctrl Pts',(-0.144609741057219,0.327051243246108, +0.0673631208979979)); +#7372=CARTESIAN_POINT('Ctrl Pts',(-0.148555869327234,0.359222532145121, +0.0359335850753896)); +#7373=CARTESIAN_POINT('Ctrl Pts',(-0.103681061375694,0.27244094488189,0.341860789163735)); +#7374=CARTESIAN_POINT('Ctrl Pts',(-0.113440024037559,0.302463147928552, +0.314823254700944)); +#7375=CARTESIAN_POINT('Ctrl Pts',(-0.123207421892651,0.313100511414559, +0.265822380953374)); +#7376=CARTESIAN_POINT('Ctrl Pts',(-0.137082096383572,0.324899657516679, +0.140135349401976)); +#7377=CARTESIAN_POINT('Ctrl Pts',(-0.141730097084872,0.327051243246108, +0.0673631208979979)); +#7378=CARTESIAN_POINT('Ctrl Pts',(-0.146373544565034,0.359222532145121, +0.0359335850753896)); +#7379=CARTESIAN_POINT('Ctrl Pts',(-0.102815710806075,0.27244094488189,0.341523272140927)); +#7380=CARTESIAN_POINT('Ctrl Pts',(-0.112675119780671,0.302387919830864, +0.31463016116253)); +#7381=CARTESIAN_POINT('Ctrl Pts',(-0.122543050769612,0.312949990195515, +0.265773835732295)); +#7382=CARTESIAN_POINT('Ctrl Pts',(-0.136579678894247,0.324754065230053, +0.140178262260466)); +#7383=CARTESIAN_POINT('Ctrl Pts',(-0.1412923984896,0.326968455962773,0.067374430262975)); +#7384=CARTESIAN_POINT('Ctrl Pts',(-0.146000501464635,0.35920248834076,0.0359133219059049)); +#7385=CARTESIAN_POINT('Ctrl Pts',(-0.10166121411278,0.27244094488189,0.340509385225518)); +#7386=CARTESIAN_POINT('Ctrl Pts',(-0.111666309130623,0.302061946269264, +0.314071440895864)); +#7387=CARTESIAN_POINT('Ctrl Pts',(-0.121680052087049,0.312297761315936, +0.265670675539243)); +#7388=CARTESIAN_POINT('Ctrl Pts',(-0.135805054367458,0.324056741172846, +0.140269453706087)); +#7389=CARTESIAN_POINT('Ctrl Pts',(-0.140482470597878,0.326484690702814, +0.0672832490723496)); +#7390=CARTESIAN_POINT('Ctrl Pts',(-0.145155304791456,0.358932072674029, +0.0356399467328237)); +#7391=CARTESIAN_POINT('Ctrl Pts',(-0.101183027282778,0.272440944881889, +0.338874959124645)); +#7392=CARTESIAN_POINT('Ctrl Pts',(-0.111277439514134,0.301560499926591, +0.313233202028948)); +#7393=CARTESIAN_POINT('Ctrl Pts',(-0.121380576885717,0.311294435203705, +0.265629312093481)); +#7394=CARTESIAN_POINT('Ctrl Pts',(-0.135302906810613,0.322754005186211, +0.140306018987069)); +#7395=CARTESIAN_POINT('Ctrl Pts',(-0.139732241991759,0.325307673653045, +0.0667697981509911)); +#7396=CARTESIAN_POINT('Ctrl Pts',(-0.144157238158376,0.357880651405783, +0.0345770184096883)); +#7397=CARTESIAN_POINT('Ctrl Pts',(-0.101695072649411,0.27244094488189,0.337258964214908)); +#7398=CARTESIAN_POINT('Ctrl Pts',(-0.111702389574841,0.30106877586682,0.312410250663573)); +#7399=CARTESIAN_POINT('Ctrl Pts',(-0.121718356359368,0.310310562060765, +0.265600089742408)); +#7400=CARTESIAN_POINT('Ctrl Pts',(-0.135803600877939,0.321452617997708, +0.140331851866069)); +#7401=CARTESIAN_POINT('Ctrl Pts',(-0.140444240665923,0.324108489873809, +0.0662278928840478)); +#7402=CARTESIAN_POINT('Ctrl Pts',(-0.145080334443583,0.356783570916483, +0.0334679311579327)); +#7403=CARTESIAN_POINT('Ctrl Pts',(-0.102852392514413,0.27244094488189,0.336270115023779)); +#7404=CARTESIAN_POINT('Ctrl Pts',(-0.112710125802955,0.30075634491405,0.311869224958397)); +#7405=CARTESIAN_POINT('Ctrl Pts',(-0.122576379657457,0.309685430104449, +0.265507274600968)); +#7406=CARTESIAN_POINT('Ctrl Pts',(-0.136585413953944,0.320768707886297, +0.140413898557041)); +#7407=CARTESIAN_POINT('Ctrl Pts',(-0.141275109452765,0.323615551910417, +0.0661160914114025)); +#7408=CARTESIAN_POINT('Ctrl Pts',(-0.145960210885868,0.356481418023164, +0.0331624714169848)); +#7409=CARTESIAN_POINT('Ctrl Pts',(-0.103712339330264,0.27244094488189,0.335955277352711)); +#7410=CARTESIAN_POINT('Ctrl Pts',(-0.113464005011364,0.300684982867112, +0.311690007326081)); +#7411=CARTESIAN_POINT('Ctrl Pts',(-0.12322409957852,0.309542644328539,0.265463794231054)); +#7412=CARTESIAN_POINT('Ctrl Pts',(-0.137084337059085,0.320627496995059, +0.140452334212446)); +#7413=CARTESIAN_POINT('Ctrl Pts',(-0.141725280807968,0.323531184841235, +0.0661213526083473)); +#7414=CARTESIAN_POINT('Ctrl Pts',(-0.146361678248765,0.356453839091345, +0.0331345906534526)); +#7415=CARTESIAN_POINT('Ctrl Pts',(-0.108296133535893,0.27244094488189,0.335955277352712)); +#7416=CARTESIAN_POINT('Ctrl Pts',(-0.117243934149566,0.300684982867112, +0.311690007326081)); +#7417=CARTESIAN_POINT('Ctrl Pts',(-0.126199468825737,0.309542644328539, +0.265463794231054)); +#7418=CARTESIAN_POINT('Ctrl Pts',(-0.1389859375562,0.320627496995059,0.140452334212446)); +#7419=CARTESIAN_POINT('Ctrl Pts',(-0.143304947522187,0.323531184841235, +0.0661213526083473)); +#7420=CARTESIAN_POINT('Ctrl Pts',(-0.147619726549167,0.356453839091345, +0.0331345906534526)); +#7421=CARTESIAN_POINT('Ctrl Pts',(-0.116604691028982,0.27244094488189,0.335955277352712)); +#7422=CARTESIAN_POINT('Ctrl Pts',(-0.124087872931715,0.300684982867112, +0.311690007326081)); +#7423=CARTESIAN_POINT('Ctrl Pts',(-0.131577522948683,0.30954264432854,0.265463794231054)); +#7424=CARTESIAN_POINT('Ctrl Pts',(-0.142297733940785,0.32062749699506,0.140452334212445)); +#7425=CARTESIAN_POINT('Ctrl Pts',(-0.145933319409372,0.323531184841235, +0.0661213526083472)); +#7426=CARTESIAN_POINT('Ctrl Pts',(-0.14956534342742,0.356453839091345,0.0331345906534526)); +#7427=CARTESIAN_POINT('Ctrl Pts',(-0.130025526632994,0.27244094488189,0.335955277352711)); +#7428=CARTESIAN_POINT('Ctrl Pts',(-0.135071940861331,0.300684982867112, +0.311690007326081)); +#7429=CARTESIAN_POINT('Ctrl Pts',(-0.14012271697531,0.309542644328539,0.265463794231054)); +#7430=CARTESIAN_POINT('Ctrl Pts',(-0.147344747759141,0.320627496995059, +0.140452334212446)); +#7431=CARTESIAN_POINT('Ctrl Pts',(-0.14979000580617,0.323531184841235,0.0661213526083475)); +#7432=CARTESIAN_POINT('Ctrl Pts',(-0.152232868457556,0.356453839091345, +0.0331345906534526)); +#7433=CARTESIAN_POINT('Ctrl Pts',(-0.143926316848481,0.27244094488189,0.335955277352712)); +#7434=CARTESIAN_POINT('Ctrl Pts',(-0.146404798059677,0.300684982867112, +0.311690007326081)); +#7435=CARTESIAN_POINT('Ctrl Pts',(-0.148885421554705,0.309542644328539, +0.265463794231054)); +#7436=CARTESIAN_POINT('Ctrl Pts',(-0.152425301644559,0.32062749699506,0.140452334212446)); +#7437=CARTESIAN_POINT('Ctrl Pts',(-0.153619975426747,0.323531184841235, +0.0661213526083472)); +#7438=CARTESIAN_POINT('Ctrl Pts',(-0.154813478896306,0.356453839091345, +0.0331345906534526)); +#7439=CARTESIAN_POINT('Ctrl Pts',(-0.153051181102362,0.27244094488189,0.335955277352712)); +#7440=CARTESIAN_POINT('Ctrl Pts',(-0.153854782899572,0.300684982867112, +0.311690007326081)); +#7441=CARTESIAN_POINT('Ctrl Pts',(-0.154659079292782,0.309542644328539, +0.265463794231054)); +#7442=CARTESIAN_POINT('Ctrl Pts',(-0.155802027830026,0.320627496995059, +0.140452334212446)); +#7443=CARTESIAN_POINT('Ctrl Pts',(-0.156185153979481,0.323531184841235, +0.0661213526083473)); +#7444=CARTESIAN_POINT('Ctrl Pts',(-0.156567904815292,0.356453839091345, +0.0331345906534526)); +#7445=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.27244094488189,0.335955277352712)); +#7446=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.300684982867112,0.311690007326081)); +#7447=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.309542644328539,0.265463794231054)); +#7448=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.320627496995059,0.140452334212446)); +#7449=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.323531184841235,0.0661213526083473)); +#7450=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.356453839091345,0.0331345906534526)); +#7451=CARTESIAN_POINT('',(-0.15748031496063,0.27244094488189,0.335955277352712)); +#7452=CARTESIAN_POINT('',(-0.157480314960794,0.27244094488189,0.341860789163735)); +#7453=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.27244094488189,0.335955277352712)); +#7454=CARTESIAN_POINT('Ctrl Pts',(-0.175152478946726,0.27244094488189,0.335955277352712)); +#7455=CARTESIAN_POINT('Ctrl Pts',(-0.192824249232036,0.272440944881889, +0.335955277352712)); +#7456=CARTESIAN_POINT('Ctrl Pts',(-0.210798398397508,0.272440944881889, +0.335955277352712)); +#7457=CARTESIAN_POINT('Ctrl Pts',(-0.211208099441947,0.272440944881889, +0.335955277352712)); +#7458=CARTESIAN_POINT('Ctrl Pts',(-0.212001687867078,0.272440944881889, +0.336271439209302)); +#7459=CARTESIAN_POINT('Ctrl Pts',(-0.21237131524714,0.272440944881889,0.336488910815778)); +#7460=CARTESIAN_POINT('Ctrl Pts',(-0.212940748391915,0.272440944881889, +0.337038851190922)); +#7461=CARTESIAN_POINT('Ctrl Pts',(-0.213177307923501,0.272440944881889, +0.337347889446295)); +#7462=CARTESIAN_POINT('Ctrl Pts',(-0.21349925343421,0.272440944881889,0.338099861761566)); +#7463=CARTESIAN_POINT('Ctrl Pts',(-0.213575468184842,0.27244094488189,0.338486195810271)); +#7464=CARTESIAN_POINT('Ctrl Pts',(-0.213583632184553,0.27244094488189,0.339269940139062)); +#7465=CARTESIAN_POINT('Ctrl Pts',(-0.21351589718127,0.272440944881889,0.339656937717925)); +#7466=CARTESIAN_POINT('Ctrl Pts',(-0.213225524231293,0.272440944881889, +0.340376814411631)); +#7467=CARTESIAN_POINT('Ctrl Pts',(-0.213011557466766,0.272440944881889, +0.340695335418292)); +#7468=CARTESIAN_POINT('Ctrl Pts',(-0.212417403890206,0.272440944881889, +0.341292966885836)); +#7469=CARTESIAN_POINT('Ctrl Pts',(-0.212042218440809,0.272440944881889, +0.341522212205796)); +#7470=CARTESIAN_POINT('Ctrl Pts',(-0.211239810941623,0.272440944881889, +0.341860789163736)); +#7471=CARTESIAN_POINT('Ctrl Pts',(-0.210813418455875,0.272440944881889, +0.341860789163736)); +#7472=CARTESIAN_POINT('Ctrl Pts',(-0.192862446941163,0.272440944881889, +0.341860789163736)); +#7473=CARTESIAN_POINT('Ctrl Pts',(-0.175171380950979,0.27244094488189,0.341860789163735)); +#7474=CARTESIAN_POINT('Ctrl Pts',(-0.157480314960794,0.27244094488189,0.341860789163735)); +#7475=CARTESIAN_POINT('',(-0.15748031496063,0.359222532145121,0.0359335850753896)); +#7476=CARTESIAN_POINT('Ctrl Pts',(-0.157480314960794,0.27244094488189,0.341860789163735)); +#7477=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.302463147928552,0.314823254700944)); +#7478=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.313100511414559,0.265822380953374)); +#7479=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.324899657516679,0.140135349401976)); +#7480=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.327051243246108,0.0673631208979979)); +#7481=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.359222532145121,0.0359335850753896)); +#7482=CARTESIAN_POINT('',(-0.15748031496063,0.356453839091345,0.0331345906534526)); +#7483=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.359222532145121,0.0359335850753897)); +#7484=CARTESIAN_POINT('Ctrl Pts',(-0.161025076402062,0.359222532145121, +0.0359335850753897)); +#7485=CARTESIAN_POINT('Ctrl Pts',(-0.164569837843495,0.359222532145121, +0.0359335850753896)); +#7486=CARTESIAN_POINT('Ctrl Pts',(-0.168476421286885,0.359222532145121, +0.0359335850753896)); +#7487=CARTESIAN_POINT('Ctrl Pts',(-0.168606702249484,0.359222532145121, +0.0359335850753895)); +#7488=CARTESIAN_POINT('Ctrl Pts',(-0.168966518816684,0.359183042615384, +0.0358936633609521)); +#7489=CARTESIAN_POINT('Ctrl Pts',(-0.169156089234238,0.359139143876365, +0.0358492841815855)); +#7490=CARTESIAN_POINT('Ctrl Pts',(-0.169598842626468,0.358969811026113, +0.0356780981042197)); +#7491=CARTESIAN_POINT('Ctrl Pts',(-0.169797548883837,0.358830475391841, +0.0355372375409394)); +#7492=CARTESIAN_POINT('Ctrl Pts',(-0.170100176347468,0.35856592257773,0.0352697893852127)); +#7493=CARTESIAN_POINT('Ctrl Pts',(-0.170232628158223,0.358406528849301, +0.0351086512062608)); +#7494=CARTESIAN_POINT('Ctrl Pts',(-0.170408186234838,0.358060927066378, +0.0347592670586415)); +#7495=CARTESIAN_POINT('Ctrl Pts',(-0.17042072067308,0.35792081308304,0.0346176196278206)); +#7496=CARTESIAN_POINT('Ctrl Pts',(-0.170418659092813,0.357700897619416, +0.034395297346504)); +#7497=CARTESIAN_POINT('Ctrl Pts',(-0.170379116789602,0.35756434049516,0.0342572457020474)); +#7498=CARTESIAN_POINT('Ctrl Pts',(-0.170226078536563,0.357261554511316, +0.0339511459418509)); +#7499=CARTESIAN_POINT('Ctrl Pts',(-0.170088678437817,0.357095099182709, +0.0337828688785494)); +#7500=CARTESIAN_POINT('Ctrl Pts',(-0.169697035549268,0.356758724966181, +0.0334428132864486)); +#7501=CARTESIAN_POINT('Ctrl Pts',(-0.169474455183702,0.356658418521914, +0.0333414090597852)); +#7502=CARTESIAN_POINT('Ctrl Pts',(-0.169147747957408,0.35653637580458,0.0332180306720684)); +#7503=CARTESIAN_POINT('Ctrl Pts',(-0.16894817804267,0.356491767304963,0.0331729339642812)); +#7504=CARTESIAN_POINT('Ctrl Pts',(-0.168588247942433,0.356453839091345, +0.0331345906534526)); +#7505=CARTESIAN_POINT('Ctrl Pts',(-0.168468318129034,0.356453839091345, +0.0331345906534526)); +#7506=CARTESIAN_POINT('Ctrl Pts',(-0.164532784108876,0.356453839091345, +0.0331345906534527)); +#7507=CARTESIAN_POINT('Ctrl Pts',(-0.161006746385147,0.356453839091345, +0.0331345906534526)); +#7508=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.356453839091345,0.0331345906534526)); +#7509=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.27244094488189,0.335955277352712)); +#7510=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.300709395699313,0.311669033555766)); +#7511=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.317336624119455,0.224694795570734)); +#7512=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.323531184841235,0.0661213526083473)); +#7513=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.356453839091345,0.0331345906534526)); +#7514=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.335955277352712)); +#7515=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.300684982867112,0.311690007326081)); +#7516=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.309542644328539,0.265463794231054)); +#7517=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.320627496995059,0.140452334212446)); +#7518=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.323531184841235,0.0661213526083473)); +#7519=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.356453839091345,0.0331345906534526)); +#7520=CARTESIAN_POINT('Ctrl Pts',(0.153051181102362,0.27244094488189,0.335955277352712)); +#7521=CARTESIAN_POINT('Ctrl Pts',(0.153854782899571,0.300684982867112,0.311690007326081)); +#7522=CARTESIAN_POINT('Ctrl Pts',(0.154659079292782,0.309542644328539,0.265463794231054)); +#7523=CARTESIAN_POINT('Ctrl Pts',(0.155802027830026,0.320627496995059,0.140452334212446)); +#7524=CARTESIAN_POINT('Ctrl Pts',(0.156185153979482,0.323531184841235,0.0661213526083473)); +#7525=CARTESIAN_POINT('Ctrl Pts',(0.156567904815292,0.356453839091345,0.0331345906534526)); +#7526=CARTESIAN_POINT('Ctrl Pts',(0.143926316848481,0.27244094488189,0.335955277352712)); +#7527=CARTESIAN_POINT('Ctrl Pts',(0.146404798059677,0.300684982867112,0.311690007326082)); +#7528=CARTESIAN_POINT('Ctrl Pts',(0.148885421554706,0.30954264432854,0.265463794231055)); +#7529=CARTESIAN_POINT('Ctrl Pts',(0.15242530164456,0.32062749699506,0.140452334212446)); +#7530=CARTESIAN_POINT('Ctrl Pts',(0.153619975426747,0.323531184841236,0.0661213526083475)); +#7531=CARTESIAN_POINT('Ctrl Pts',(0.154813478896306,0.356453839091346,0.0331345906534526)); +#7532=CARTESIAN_POINT('Ctrl Pts',(0.130025526632994,0.27244094488189,0.335955277352711)); +#7533=CARTESIAN_POINT('Ctrl Pts',(0.135071940861331,0.300684982867112,0.311690007326081)); +#7534=CARTESIAN_POINT('Ctrl Pts',(0.140122716975309,0.309542644328539,0.265463794231054)); +#7535=CARTESIAN_POINT('Ctrl Pts',(0.147344747759141,0.320627496995059,0.140452334212446)); +#7536=CARTESIAN_POINT('Ctrl Pts',(0.14979000580617,0.323531184841235,0.0661213526083473)); +#7537=CARTESIAN_POINT('Ctrl Pts',(0.152232868457556,0.356453839091344,0.0331345906534526)); +#7538=CARTESIAN_POINT('Ctrl Pts',(0.116604691028982,0.27244094488189,0.335955277352712)); +#7539=CARTESIAN_POINT('Ctrl Pts',(0.124087872931715,0.300684982867112,0.311690007326081)); +#7540=CARTESIAN_POINT('Ctrl Pts',(0.131577522948683,0.309542644328539,0.265463794231054)); +#7541=CARTESIAN_POINT('Ctrl Pts',(0.142297733940785,0.32062749699506,0.140452334212446)); +#7542=CARTESIAN_POINT('Ctrl Pts',(0.145933319409372,0.323531184841236,0.0661213526083474)); +#7543=CARTESIAN_POINT('Ctrl Pts',(0.14956534342742,0.356453839091346,0.0331345906534526)); +#7544=CARTESIAN_POINT('Ctrl Pts',(0.108296133535893,0.27244094488189,0.335955277352712)); +#7545=CARTESIAN_POINT('Ctrl Pts',(0.117243934149566,0.300684982867112,0.311690007326081)); +#7546=CARTESIAN_POINT('Ctrl Pts',(0.126199468825737,0.309542644328539,0.265463794231054)); +#7547=CARTESIAN_POINT('Ctrl Pts',(0.1389859375562,0.320627496995059,0.140452334212446)); +#7548=CARTESIAN_POINT('Ctrl Pts',(0.143304947522187,0.323531184841235,0.0661213526083474)); +#7549=CARTESIAN_POINT('Ctrl Pts',(0.147619726549167,0.356453839091345,0.0331345906534526)); +#7550=CARTESIAN_POINT('Ctrl Pts',(0.103712339330264,0.27244094488189,0.335955277352711)); +#7551=CARTESIAN_POINT('Ctrl Pts',(0.113464005011364,0.300684982867112,0.311690007326081)); +#7552=CARTESIAN_POINT('Ctrl Pts',(0.12322409957852,0.309542644328539,0.265463794231054)); +#7553=CARTESIAN_POINT('Ctrl Pts',(0.137084337059085,0.320627496995059,0.140452334212446)); +#7554=CARTESIAN_POINT('Ctrl Pts',(0.141725280807968,0.323531184841235,0.0661213526083473)); +#7555=CARTESIAN_POINT('Ctrl Pts',(0.146361678248765,0.356453839091345,0.0331345906534526)); +#7556=CARTESIAN_POINT('Ctrl Pts',(0.102852392514413,0.272440944881889,0.336270115023779)); +#7557=CARTESIAN_POINT('Ctrl Pts',(0.112710115690566,0.300756345806482,0.311869225860597)); +#7558=CARTESIAN_POINT('Ctrl Pts',(0.12257635942394,0.309685431890084,0.265507276406146)); +#7559=CARTESIAN_POINT('Ctrl Pts',(0.136585431839809,0.320768706307844,0.140413896961314)); +#7560=CARTESIAN_POINT('Ctrl Pts',(0.141275168802392,0.32361554667273,0.0661160861163932)); +#7561=CARTESIAN_POINT('Ctrl Pts',(0.14596031165864,0.356481409129828,0.0331624624263175)); +#7562=CARTESIAN_POINT('Ctrl Pts',(0.101695072649411,0.27244094488189,0.337258964214908)); +#7563=CARTESIAN_POINT('Ctrl Pts',(0.111702372509157,0.301068804419191,0.31241027952843)); +#7564=CARTESIAN_POINT('Ctrl Pts',(0.121718322213249,0.310310619190187,0.26560014749707)); +#7565=CARTESIAN_POINT('Ctrl Pts',(0.135803631062156,0.321452567496892,0.140331800812558)); +#7566=CARTESIAN_POINT('Ctrl Pts',(0.140444340824461,0.324108322299879,0.0662277234761407)); +#7567=CARTESIAN_POINT('Ctrl Pts',(0.145080504507894,0.356783286384124,0.0334676435115709)); +#7568=CARTESIAN_POINT('Ctrl Pts',(0.101183027282778,0.272440944881889,0.338874959124645)); +#7569=CARTESIAN_POINT('Ctrl Pts',(0.111277539367788,0.301560653392176,0.313233357174104)); +#7570=CARTESIAN_POINT('Ctrl Pts',(0.121380776679333,0.311294742267524,0.265629622517893)); +#7571=CARTESIAN_POINT('Ctrl Pts',(0.13530273019863,0.322753733750363,0.140305744580549)); +#7572=CARTESIAN_POINT('Ctrl Pts',(0.139731655950447,0.325306772963196,0.0667688876037338)); +#7573=CARTESIAN_POINT('Ctrl Pts',(0.144156243088816,0.357879122078355,0.0345754723448631)); +#7574=CARTESIAN_POINT('Ctrl Pts',(0.10166121411278,0.272440944881891,0.340509385225518)); +#7575=CARTESIAN_POINT('Ctrl Pts',(0.111666211329938,0.302061919454563,0.314071413787695)); +#7576=CARTESIAN_POINT('Ctrl Pts',(0.121679856401143,0.312297707663357,0.265670621299475)); +#7577=CARTESIAN_POINT('Ctrl Pts',(0.13580522734834,0.324056788600229,0.140269501652529)); +#7578=CARTESIAN_POINT('Ctrl Pts',(0.140483044590315,0.326484848078352,0.0672834081702506)); +#7579=CARTESIAN_POINT('Ctrl Pts',(0.145156279402614,0.358932339890016,0.0356402168732987)); +#7580=CARTESIAN_POINT('Ctrl Pts',(0.102815710806075,0.272440944881889,0.341523272140927)); +#7581=CARTESIAN_POINT('Ctrl Pts',(0.112675085447782,0.302387904113174,0.314630145272822)); +#7582=CARTESIAN_POINT('Ctrl Pts',(0.12254298207416,0.31294995874655,0.265773803939144)); +#7583=CARTESIAN_POINT('Ctrl Pts',(0.13657973961911,0.32475409303006,0.140178290364725)); +#7584=CARTESIAN_POINT('Ctrl Pts',(0.141292599989397,0.326968548209927,0.0673745235197082)); +#7585=CARTESIAN_POINT('Ctrl Pts',(0.14600084360146,0.359202644971928,0.0359134802512886)); +#7586=CARTESIAN_POINT('Ctrl Pts',(0.103681061375694,0.27244094488189,0.341860789163735)); +#7587=CARTESIAN_POINT('Ctrl Pts',(0.113440024037559,0.302463147928552,0.314823254700944)); +#7588=CARTESIAN_POINT('Ctrl Pts',(0.123207421892651,0.313100511414559,0.265822380953374)); +#7589=CARTESIAN_POINT('Ctrl Pts',(0.137082096383572,0.324899657516679,0.140135349401976)); +#7590=CARTESIAN_POINT('Ctrl Pts',(0.141730097084872,0.327051243246108,0.0673631208979979)); +#7591=CARTESIAN_POINT('Ctrl Pts',(0.146373544565034,0.359222532145121,0.0359335850753896)); +#7592=CARTESIAN_POINT('Ctrl Pts',(0.112662711484521,0.27244094488189,0.341860789163735)); +#7593=CARTESIAN_POINT('Ctrl Pts',(0.120821504262632,0.302463147928552,0.314823254700944)); +#7594=CARTESIAN_POINT('Ctrl Pts',(0.128987349121582,0.313100511414559,0.265822380953374)); +#7595=CARTESIAN_POINT('Ctrl Pts',(0.140659743335741,0.324899657516679,0.140135349401976)); +#7596=CARTESIAN_POINT('Ctrl Pts',(0.144609741057219,0.327051243246108,0.0673631208979979)); +#7597=CARTESIAN_POINT('Ctrl Pts',(0.148555869327234,0.359222532145121,0.0359335850753896)); +#7598=CARTESIAN_POINT('Ctrl Pts',(0.13004213122588,0.27244094488189,0.341860789163735)); +#7599=CARTESIAN_POINT('Ctrl Pts',(0.135077240673745,0.302463147928553,0.314823254700944)); +#7600=CARTESIAN_POINT('Ctrl Pts',(0.140116702235928,0.31310051141456,0.265822380953374)); +#7601=CARTESIAN_POINT('Ctrl Pts',(0.147345027731721,0.324899657516679,0.140135349401976)); +#7602=CARTESIAN_POINT('Ctrl Pts',(0.149804620036958,0.327051243246108,0.067363120897998)); +#7603=CARTESIAN_POINT('Ctrl Pts',(0.15226180290459,0.359222532145121,0.0359335850753896)); +#7604=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.341860789163735)); +#7605=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.302463147928552,0.314823254700944)); +#7606=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.313100511414559,0.265822380953373)); +#7607=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.324899657516679,0.140135349401975)); +#7608=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.327051243246108,0.0673631208979978)); +#7609=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.359222532145121,0.0359335850753896)); +#7610=CARTESIAN_POINT('Ctrl Pts',(0.18491849869538,0.27244094488189,0.341860789163736)); +#7611=CARTESIAN_POINT('Ctrl Pts',(0.179883389247514,0.302463147928553,0.314823254700944)); +#7612=CARTESIAN_POINT('Ctrl Pts',(0.174843927685332,0.31310051141456,0.265822380953374)); +#7613=CARTESIAN_POINT('Ctrl Pts',(0.167615602189539,0.324899657516679,0.140135349401976)); +#7614=CARTESIAN_POINT('Ctrl Pts',(0.165156009884302,0.327051243246108,0.067363120897998)); +#7615=CARTESIAN_POINT('Ctrl Pts',(0.16269882701667,0.359222532145121,0.0359335850753896)); +#7616=CARTESIAN_POINT('Ctrl Pts',(0.202297918436739,0.27244094488189,0.341860789163735)); +#7617=CARTESIAN_POINT('Ctrl Pts',(0.194139125658627,0.302463147928552,0.314823254700944)); +#7618=CARTESIAN_POINT('Ctrl Pts',(0.185973280799678,0.313100511414559,0.265822380953374)); +#7619=CARTESIAN_POINT('Ctrl Pts',(0.174300886585519,0.324899657516679,0.140135349401976)); +#7620=CARTESIAN_POINT('Ctrl Pts',(0.17035088886404,0.327051243246108,0.0673631208979979)); +#7621=CARTESIAN_POINT('Ctrl Pts',(0.166404760594026,0.359222532145121,0.0359335850753896)); +#7622=CARTESIAN_POINT('Ctrl Pts',(0.211279568545566,0.27244094488189,0.341860789163735)); +#7623=CARTESIAN_POINT('Ctrl Pts',(0.201520605883701,0.302463147928552,0.314823254700944)); +#7624=CARTESIAN_POINT('Ctrl Pts',(0.191753208028609,0.313100511414559,0.265822380953374)); +#7625=CARTESIAN_POINT('Ctrl Pts',(0.177878533537688,0.324899657516679,0.140135349401976)); +#7626=CARTESIAN_POINT('Ctrl Pts',(0.173230532836388,0.327051243246108,0.0673631208979979)); +#7627=CARTESIAN_POINT('Ctrl Pts',(0.168587085356226,0.359222532145121,0.0359335850753896)); +#7628=CARTESIAN_POINT('Ctrl Pts',(0.212144919115185,0.27244094488189,0.341523272140927)); +#7629=CARTESIAN_POINT('Ctrl Pts',(0.202285510140589,0.302387919830864,0.31463016116253)); +#7630=CARTESIAN_POINT('Ctrl Pts',(0.192417579151647,0.312949990195515,0.265773835732295)); +#7631=CARTESIAN_POINT('Ctrl Pts',(0.178380951027013,0.324754065230053,0.140178262260466)); +#7632=CARTESIAN_POINT('Ctrl Pts',(0.17366823143166,0.326968455962773,0.067374430262975)); +#7633=CARTESIAN_POINT('Ctrl Pts',(0.168960128456625,0.35920248834076,0.0359133219059049)); +#7634=CARTESIAN_POINT('Ctrl Pts',(0.21329941580848,0.27244094488189,0.340509385225518)); +#7635=CARTESIAN_POINT('Ctrl Pts',(0.203294320790637,0.302061946269264,0.314071440895864)); +#7636=CARTESIAN_POINT('Ctrl Pts',(0.193280577834211,0.312297761315936,0.265670675539243)); +#7637=CARTESIAN_POINT('Ctrl Pts',(0.179155575553801,0.324056741172846,0.140269453706087)); +#7638=CARTESIAN_POINT('Ctrl Pts',(0.174478159323382,0.326484690702814,0.0672832490723496)); +#7639=CARTESIAN_POINT('Ctrl Pts',(0.169805325129804,0.358932072674029,0.0356399467328237)); +#7640=CARTESIAN_POINT('Ctrl Pts',(0.213777602638482,0.272440944881889,0.338874959124645)); +#7641=CARTESIAN_POINT('Ctrl Pts',(0.203683190407126,0.301560499926591,0.313233202028948)); +#7642=CARTESIAN_POINT('Ctrl Pts',(0.193580053035543,0.311294435203705,0.265629312093481)); +#7643=CARTESIAN_POINT('Ctrl Pts',(0.179657723110646,0.322754005186211,0.140306018987069)); +#7644=CARTESIAN_POINT('Ctrl Pts',(0.175228387929501,0.325307673653045,0.0667697981509911)); +#7645=CARTESIAN_POINT('Ctrl Pts',(0.170803391762884,0.357880651405783,0.0345770184096883)); +#7646=CARTESIAN_POINT('Ctrl Pts',(0.213265557271849,0.27244094488189,0.337258964214908)); +#7647=CARTESIAN_POINT('Ctrl Pts',(0.203258240346419,0.30106877586682,0.312410250663573)); +#7648=CARTESIAN_POINT('Ctrl Pts',(0.193242273561891,0.310310562060765,0.265600089742408)); +#7649=CARTESIAN_POINT('Ctrl Pts',(0.179157029043321,0.321452617997708,0.140331851866069)); +#7650=CARTESIAN_POINT('Ctrl Pts',(0.174516389255337,0.324108489873809,0.0662278928840478)); +#7651=CARTESIAN_POINT('Ctrl Pts',(0.169880295477677,0.356783570916483,0.0334679311579327)); +#7652=CARTESIAN_POINT('Ctrl Pts',(0.212108237406846,0.27244094488189,0.336270115023779)); +#7653=CARTESIAN_POINT('Ctrl Pts',(0.202250504118305,0.30075634491405,0.311869224958397)); +#7654=CARTESIAN_POINT('Ctrl Pts',(0.192384250263803,0.309685430104449,0.265507274600968)); +#7655=CARTESIAN_POINT('Ctrl Pts',(0.178375215967315,0.320768707886297,0.140413898557041)); +#7656=CARTESIAN_POINT('Ctrl Pts',(0.173685520468495,0.323615551910417,0.0661160914114025)); +#7657=CARTESIAN_POINT('Ctrl Pts',(0.169000419035392,0.356481418023164,0.0331624714169848)); +#7658=CARTESIAN_POINT('Ctrl Pts',(0.211248290590996,0.27244094488189,0.335955277352711)); +#7659=CARTESIAN_POINT('Ctrl Pts',(0.201496624909896,0.300684982867112,0.311690007326081)); +#7660=CARTESIAN_POINT('Ctrl Pts',(0.19173653034274,0.309542644328539,0.265463794231054)); +#7661=CARTESIAN_POINT('Ctrl Pts',(0.177876292862175,0.320627496995059,0.140452334212446)); +#7662=CARTESIAN_POINT('Ctrl Pts',(0.173235349113291,0.323531184841235,0.0661213526083473)); +#7663=CARTESIAN_POINT('Ctrl Pts',(0.168598951672495,0.356453839091345,0.0331345906534526)); +#7664=CARTESIAN_POINT('Ctrl Pts',(0.206664496385367,0.27244094488189,0.335955277352712)); +#7665=CARTESIAN_POINT('Ctrl Pts',(0.197716695771693,0.300684982867112,0.311690007326081)); +#7666=CARTESIAN_POINT('Ctrl Pts',(0.188761161095523,0.309542644328539,0.265463794231054)); +#7667=CARTESIAN_POINT('Ctrl Pts',(0.17597469236506,0.320627496995059,0.140452334212446)); +#7668=CARTESIAN_POINT('Ctrl Pts',(0.171655682399073,0.323531184841235,0.0661213526083473)); +#7669=CARTESIAN_POINT('Ctrl Pts',(0.167340903372092,0.356453839091345,0.0331345906534526)); +#7670=CARTESIAN_POINT('Ctrl Pts',(0.198355938892278,0.27244094488189,0.335955277352712)); +#7671=CARTESIAN_POINT('Ctrl Pts',(0.190872756989544,0.300684982867112,0.311690007326081)); +#7672=CARTESIAN_POINT('Ctrl Pts',(0.183383106972577,0.30954264432854,0.265463794231054)); +#7673=CARTESIAN_POINT('Ctrl Pts',(0.172662895980475,0.32062749699506,0.140452334212445)); +#7674=CARTESIAN_POINT('Ctrl Pts',(0.169027310511887,0.323531184841235,0.0661213526083472)); +#7675=CARTESIAN_POINT('Ctrl Pts',(0.16539528649384,0.356453839091345,0.0331345906534526)); +#7676=CARTESIAN_POINT('Ctrl Pts',(0.184935103288265,0.27244094488189,0.335955277352711)); +#7677=CARTESIAN_POINT('Ctrl Pts',(0.179888689059929,0.300684982867112,0.311690007326081)); +#7678=CARTESIAN_POINT('Ctrl Pts',(0.17483791294595,0.309542644328539,0.265463794231054)); +#7679=CARTESIAN_POINT('Ctrl Pts',(0.167615882162119,0.320627496995059,0.140452334212446)); +#7680=CARTESIAN_POINT('Ctrl Pts',(0.16517062411509,0.323531184841235,0.0661213526083475)); +#7681=CARTESIAN_POINT('Ctrl Pts',(0.162727761463704,0.356453839091345,0.0331345906534526)); +#7682=CARTESIAN_POINT('Ctrl Pts',(0.171034313072779,0.27244094488189,0.335955277352712)); +#7683=CARTESIAN_POINT('Ctrl Pts',(0.168555831861583,0.300684982867112,0.311690007326081)); +#7684=CARTESIAN_POINT('Ctrl Pts',(0.166075208366554,0.309542644328539,0.265463794231054)); +#7685=CARTESIAN_POINT('Ctrl Pts',(0.1625353282767,0.32062749699506,0.140452334212446)); +#7686=CARTESIAN_POINT('Ctrl Pts',(0.161340654494513,0.323531184841235,0.0661213526083472)); +#7687=CARTESIAN_POINT('Ctrl Pts',(0.160147151024954,0.356453839091345,0.0331345906534526)); +#7688=CARTESIAN_POINT('Ctrl Pts',(0.161909448818898,0.27244094488189,0.335955277352712)); +#7689=CARTESIAN_POINT('Ctrl Pts',(0.161105847021688,0.300684982867112,0.311690007326081)); +#7690=CARTESIAN_POINT('Ctrl Pts',(0.160301550628478,0.309542644328539,0.265463794231054)); +#7691=CARTESIAN_POINT('Ctrl Pts',(0.159158602091234,0.320627496995059,0.140452334212446)); +#7692=CARTESIAN_POINT('Ctrl Pts',(0.158775475941778,0.323531184841235,0.0661213526083473)); +#7693=CARTESIAN_POINT('Ctrl Pts',(0.158392725105967,0.356453839091345,0.0331345906534526)); +#7694=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.335955277352712)); +#7695=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.300684982867112,0.311690007326081)); +#7696=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.309542644328539,0.265463794231054)); +#7697=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.320627496995059,0.140452334212446)); +#7698=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.323531184841235,0.0661213526083473)); +#7699=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.356453839091345,0.0331345906534526)); +#7700=CARTESIAN_POINT('',(0.15748031496063,0.27244094488189,0.335955277352712)); +#7701=CARTESIAN_POINT('',(0.15748031496063,0.27244094488189,0.341860789163735)); +#7702=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.335955277352712)); +#7703=CARTESIAN_POINT('Ctrl Pts',(0.139808150974523,0.27244094488189,0.335955277352712)); +#7704=CARTESIAN_POINT('Ctrl Pts',(0.122136380689202,0.272440944881889,0.335955277352712)); +#7705=CARTESIAN_POINT('Ctrl Pts',(0.10416223152374,0.272440944881889,0.335955277352712)); +#7706=CARTESIAN_POINT('Ctrl Pts',(0.103752530479286,0.272440944881889,0.335955277352712)); +#7707=CARTESIAN_POINT('Ctrl Pts',(0.102958942054167,0.272440944881889,0.336271439209315)); +#7708=CARTESIAN_POINT('Ctrl Pts',(0.102589314674093,0.272440944881889,0.33648891081579)); +#7709=CARTESIAN_POINT('Ctrl Pts',(0.102019881529313,0.272440944881889,0.337038851190963)); +#7710=CARTESIAN_POINT('Ctrl Pts',(0.101783321997729,0.272440944881889,0.337347889446339)); +#7711=CARTESIAN_POINT('Ctrl Pts',(0.10146137648703,0.272440944881889,0.338099861761635)); +#7712=CARTESIAN_POINT('Ctrl Pts',(0.101385161736408,0.27244094488189,0.33848619581035)); +#7713=CARTESIAN_POINT('Ctrl Pts',(0.101376997736716,0.27244094488189,0.339269940139137)); +#7714=CARTESIAN_POINT('Ctrl Pts',(0.101444732740007,0.272440944881889,0.339656937717995)); +#7715=CARTESIAN_POINT('Ctrl Pts',(0.101735105690001,0.272440944881889,0.340376814411691)); +#7716=CARTESIAN_POINT('Ctrl Pts',(0.101949072454536,0.272440944881889,0.340695335418347)); +#7717=CARTESIAN_POINT('Ctrl Pts',(0.102543226031082,0.272440944881889,0.341292966885849)); +#7718=CARTESIAN_POINT('Ctrl Pts',(0.102918411480465,0.272440944881889,0.341522212205809)); +#7719=CARTESIAN_POINT('Ctrl Pts',(0.103720818979662,0.272440944881889,0.341860789163736)); +#7720=CARTESIAN_POINT('Ctrl Pts',(0.104147211465397,0.272440944881889,0.341860789163736)); +#7721=CARTESIAN_POINT('Ctrl Pts',(0.122098182980169,0.272440944881889,0.341860789163736)); +#7722=CARTESIAN_POINT('Ctrl Pts',(0.1397892489704,0.27244094488189,0.341860789163735)); +#7723=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.341860789163735)); +#7724=CARTESIAN_POINT('',(0.15748031496063,0.359222532145121,0.0359335850753896)); +#7725=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.341860789163735)); +#7726=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.302463147928552,0.314823254700944)); +#7727=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.313100511414559,0.265822380953374)); +#7728=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.324899657516679,0.140135349401976)); +#7729=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.327051243246108,0.0673631208979979)); +#7730=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.359222532145121,0.0359335850753896)); +#7731=CARTESIAN_POINT('',(0.15748031496063,0.356453839091345,0.0331345906534526)); +#7732=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.359222532145121,0.0359335850753897)); +#7733=CARTESIAN_POINT('Ctrl Pts',(0.153935553519197,0.359222532145121,0.0359335850753897)); +#7734=CARTESIAN_POINT('Ctrl Pts',(0.150390792077765,0.359222532145121,0.0359335850753897)); +#7735=CARTESIAN_POINT('Ctrl Pts',(0.146484208634376,0.359222532145121,0.0359335850753897)); +#7736=CARTESIAN_POINT('Ctrl Pts',(0.146353927671776,0.359222532145121,0.0359335850753897)); +#7737=CARTESIAN_POINT('Ctrl Pts',(0.145994111104576,0.359183042615384,0.0358936633609521)); +#7738=CARTESIAN_POINT('Ctrl Pts',(0.145804540687021,0.359139143876365,0.0358492841815855)); +#7739=CARTESIAN_POINT('Ctrl Pts',(0.145361787294793,0.358969811026113,0.03567809810422)); +#7740=CARTESIAN_POINT('Ctrl Pts',(0.145163081037424,0.358830475391841,0.0355372375409401)); +#7741=CARTESIAN_POINT('Ctrl Pts',(0.144860453573792,0.358565922577729,0.0352697893852127)); +#7742=CARTESIAN_POINT('Ctrl Pts',(0.144728001763037,0.358406528849301,0.0351086512062608)); +#7743=CARTESIAN_POINT('Ctrl Pts',(0.144552443686421,0.358060927066378,0.0347592670586413)); +#7744=CARTESIAN_POINT('Ctrl Pts',(0.14453990924818,0.35792081308304,0.0346176196278208)); +#7745=CARTESIAN_POINT('Ctrl Pts',(0.144541970828446,0.357700897619416,0.0343952973465042)); +#7746=CARTESIAN_POINT('Ctrl Pts',(0.144581513131658,0.35756434049516,0.0342572457020476)); +#7747=CARTESIAN_POINT('Ctrl Pts',(0.144734551384697,0.357261554511316,0.0339511459418511)); +#7748=CARTESIAN_POINT('Ctrl Pts',(0.144871951483443,0.357095099182709,0.0337828688785496)); +#7749=CARTESIAN_POINT('Ctrl Pts',(0.145263594371992,0.356758724966181,0.0334428132864487)); +#7750=CARTESIAN_POINT('Ctrl Pts',(0.145486174737558,0.356658418521914,0.0333414090597852)); +#7751=CARTESIAN_POINT('Ctrl Pts',(0.145812881963852,0.356536375804579,0.0332180306720686)); +#7752=CARTESIAN_POINT('Ctrl Pts',(0.146012451878589,0.356491767304962,0.0331729339642814)); +#7753=CARTESIAN_POINT('Ctrl Pts',(0.146372381978826,0.356453839091345,0.0331345906534527)); +#7754=CARTESIAN_POINT('Ctrl Pts',(0.146492311792225,0.356453839091345,0.0331345906534527)); +#7755=CARTESIAN_POINT('Ctrl Pts',(0.150427845812383,0.356453839091345,0.0331345906534529)); +#7756=CARTESIAN_POINT('Ctrl Pts',(0.153953883536113,0.356453839091345,0.0331345906534526)); +#7757=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.356453839091345,0.0331345906534526)); +#7758=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.335955277352712)); +#7759=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.300709395699313,0.311669033555766)); +#7760=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.317336624119455,0.224694795570734)); +#7761=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.323531184841235,0.0661213526083473)); +#7762=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.356453839091345,0.0331345906534526)); +#7763=CARTESIAN_POINT('Origin',(-0.32007874015748,-0.27244094488189,-0.425)); +#7764=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,-0.0986220472440943)); +#7765=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,0.425)); +#7766=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,-0.425)); +#7767=CARTESIAN_POINT('',(-0.306299212598425,-0.27244094488189,-0.0986220472440944)); +#7768=CARTESIAN_POINT('',(-0.306299212598425,-0.27244094488189,-0.0986220472440944)); +#7769=CARTESIAN_POINT('',(-0.306299212598425,-0.27244094488189,-0.149803149606299)); +#7770=CARTESIAN_POINT('',(-0.306299212598425,-0.27244094488189,-0.149803149606299)); +#7771=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,-0.149803149606299)); +#7772=CARTESIAN_POINT('',(-0.306299212598425,-0.27244094488189,-0.149803149606299)); +#7773=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,-0.198983695487425)); +#7774=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,-0.425)); +#7775=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,-0.425)); +#7776=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,-0.425)); +#7777=CARTESIAN_POINT('',(0.32007874015748,-0.27244094488189,-0.425)); +#7778=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,-0.425)); +#7779=CARTESIAN_POINT('',(0.32007874015748,-0.27244094488189,-0.198983695487425)); +#7780=CARTESIAN_POINT('',(0.32007874015748,-0.27244094488189,-0.425)); +#7781=CARTESIAN_POINT('',(0.32007874015748,-0.27244094488189,-0.149803149606299)); +#7782=CARTESIAN_POINT('',(0.32007874015748,-0.27244094488189,-0.425)); +#7783=CARTESIAN_POINT('',(0.306299212598425,-0.27244094488189,-0.149803149606299)); +#7784=CARTESIAN_POINT('',(0.306299212598425,-0.27244094488189,-0.149803149606299)); +#7785=CARTESIAN_POINT('',(0.306299212598425,-0.27244094488189,-0.0986220472440944)); +#7786=CARTESIAN_POINT('',(0.306299212598425,-0.27244094488189,-0.149803149606299)); +#7787=CARTESIAN_POINT('',(0.32007874015748,-0.27244094488189,-0.0986220472440944)); +#7788=CARTESIAN_POINT('',(0.306299212598425,-0.27244094488189,-0.0986220472440944)); +#7789=CARTESIAN_POINT('',(0.32007874015748,-0.27244094488189,0.425)); +#7790=CARTESIAN_POINT('',(0.32007874015748,-0.27244094488189,-0.425)); +#7791=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,0.425)); +#7792=CARTESIAN_POINT('',(-0.267913385826772,-0.27244094488189,0.146850393700787)); +#7793=CARTESIAN_POINT('',(-0.254133858267717,-0.27244094488189,0.146850393700787)); +#7794=CARTESIAN_POINT('',(-0.267913385826772,-0.27244094488189,0.146850393700787)); +#7795=CARTESIAN_POINT('',(-0.267913385826772,-0.27244094488189,0.164566929133858)); +#7796=CARTESIAN_POINT('',(-0.267913385826772,-0.27244094488189,0.146850393700787)); +#7797=CARTESIAN_POINT('',(-0.254133858267717,-0.27244094488189,0.164566929133858)); +#7798=CARTESIAN_POINT('',(-0.267913385826772,-0.27244094488189,0.164566929133858)); +#7799=CARTESIAN_POINT('',(-0.254133858267717,-0.27244094488189,0.146850393700787)); +#7800=CARTESIAN_POINT('',(0.154133858267717,-0.27244094488189,0.146850393700787)); +#7801=CARTESIAN_POINT('',(0.167913385826772,-0.27244094488189,0.146850393700787)); +#7802=CARTESIAN_POINT('',(0.167913385826772,-0.27244094488189,0.146850393700787)); +#7803=CARTESIAN_POINT('',(0.154133858267717,-0.27244094488189,0.164566929133858)); +#7804=CARTESIAN_POINT('',(0.154133858267717,-0.27244094488189,0.146850393700787)); +#7805=CARTESIAN_POINT('',(0.167913385826772,-0.27244094488189,0.164566929133858)); +#7806=CARTESIAN_POINT('',(0.167913385826772,-0.27244094488189,0.164566929133858)); +#7807=CARTESIAN_POINT('',(0.167913385826772,-0.27244094488189,0.146850393700787)); +#7808=CARTESIAN_POINT('',(-0.167913385826772,-0.27244094488189,0.146850393700787)); +#7809=CARTESIAN_POINT('',(-0.154133858267717,-0.27244094488189,0.146850393700787)); +#7810=CARTESIAN_POINT('',(-0.167913385826772,-0.27244094488189,0.146850393700787)); +#7811=CARTESIAN_POINT('',(-0.167913385826772,-0.27244094488189,0.164566929133858)); +#7812=CARTESIAN_POINT('',(-0.167913385826772,-0.27244094488189,0.146850393700787)); +#7813=CARTESIAN_POINT('',(-0.154133858267717,-0.27244094488189,0.164566929133858)); +#7814=CARTESIAN_POINT('',(-0.167913385826772,-0.27244094488189,0.164566929133858)); +#7815=CARTESIAN_POINT('',(-0.154133858267717,-0.27244094488189,0.146850393700787)); +#7816=CARTESIAN_POINT('',(0.254133858267717,-0.27244094488189,0.146850393700787)); +#7817=CARTESIAN_POINT('',(0.267913385826772,-0.27244094488189,0.146850393700787)); +#7818=CARTESIAN_POINT('',(0.267913385826772,-0.27244094488189,0.146850393700787)); +#7819=CARTESIAN_POINT('',(0.254133858267717,-0.27244094488189,0.164566929133858)); +#7820=CARTESIAN_POINT('',(0.254133858267717,-0.27244094488189,0.146850393700787)); +#7821=CARTESIAN_POINT('',(0.267913385826772,-0.27244094488189,0.164566929133858)); +#7822=CARTESIAN_POINT('',(0.267913385826772,-0.27244094488189,0.164566929133858)); +#7823=CARTESIAN_POINT('',(0.267913385826772,-0.27244094488189,0.146850393700787)); +#7824=CARTESIAN_POINT('',(-0.168110236220472,-0.27244094488189,-0.245275590551181)); +#7825=CARTESIAN_POINT('',(-0.181889763779528,-0.27244094488189,-0.245275590551181)); +#7826=CARTESIAN_POINT('',(-0.181889763779528,-0.27244094488189,-0.245275590551181)); +#7827=CARTESIAN_POINT('',(-0.168110236220472,-0.27244094488189,-0.262992125984252)); +#7828=CARTESIAN_POINT('',(-0.168110236220472,-0.27244094488189,-0.245275590551181)); +#7829=CARTESIAN_POINT('',(-0.181889763779528,-0.27244094488189,-0.262992125984252)); +#7830=CARTESIAN_POINT('',(-0.181889763779528,-0.27244094488189,-0.262992125984252)); +#7831=CARTESIAN_POINT('',(-0.181889763779528,-0.27244094488189,-0.245275590551181)); +#7832=CARTESIAN_POINT('',(-0.0681102362204724,-0.27244094488189,-0.245275590551181)); +#7833=CARTESIAN_POINT('',(-0.0818897637795276,-0.27244094488189,-0.245275590551181)); +#7834=CARTESIAN_POINT('',(-0.0818897637795276,-0.27244094488189,-0.245275590551181)); +#7835=CARTESIAN_POINT('',(-0.0681102362204724,-0.27244094488189,-0.262992125984252)); +#7836=CARTESIAN_POINT('',(-0.0681102362204724,-0.27244094488189,-0.245275590551181)); +#7837=CARTESIAN_POINT('',(-0.0818897637795276,-0.27244094488189,-0.262992125984252)); +#7838=CARTESIAN_POINT('',(-0.0818897637795276,-0.27244094488189,-0.262992125984252)); +#7839=CARTESIAN_POINT('',(-0.0818897637795276,-0.27244094488189,-0.245275590551181)); +#7840=CARTESIAN_POINT('',(0.0318897637795276,-0.27244094488189,-0.245275590551181)); +#7841=CARTESIAN_POINT('',(0.0181102362204724,-0.27244094488189,-0.245275590551181)); +#7842=CARTESIAN_POINT('',(0.0181102362204724,-0.27244094488189,-0.245275590551181)); +#7843=CARTESIAN_POINT('',(0.0318897637795276,-0.27244094488189,-0.262992125984252)); +#7844=CARTESIAN_POINT('',(0.0318897637795276,-0.27244094488189,-0.245275590551181)); +#7845=CARTESIAN_POINT('',(0.0181102362204724,-0.27244094488189,-0.262992125984252)); +#7846=CARTESIAN_POINT('',(0.0181102362204724,-0.27244094488189,-0.262992125984252)); +#7847=CARTESIAN_POINT('',(0.0181102362204724,-0.27244094488189,-0.245275590551181)); +#7848=CARTESIAN_POINT('',(0.231889763779528,-0.27244094488189,-0.245275590551181)); +#7849=CARTESIAN_POINT('',(0.218110236220472,-0.27244094488189,-0.245275590551181)); +#7850=CARTESIAN_POINT('',(0.218110236220472,-0.27244094488189,-0.245275590551181)); +#7851=CARTESIAN_POINT('',(0.231889763779528,-0.27244094488189,-0.262992125984252)); +#7852=CARTESIAN_POINT('',(0.231889763779528,-0.27244094488189,-0.245275590551181)); +#7853=CARTESIAN_POINT('',(0.218110236220472,-0.27244094488189,-0.262992125984252)); +#7854=CARTESIAN_POINT('',(0.218110236220472,-0.27244094488189,-0.262992125984252)); +#7855=CARTESIAN_POINT('',(0.218110236220472,-0.27244094488189,-0.245275590551181)); +#7856=CARTESIAN_POINT('',(-0.231889763779528,-0.27244094488189,-0.362992125984252)); +#7857=CARTESIAN_POINT('',(-0.218110236220472,-0.27244094488189,-0.362992125984252)); +#7858=CARTESIAN_POINT('',(-0.218110236220472,-0.27244094488189,-0.362992125984252)); +#7859=CARTESIAN_POINT('',(-0.231889763779528,-0.27244094488189,-0.345275590551181)); +#7860=CARTESIAN_POINT('',(-0.231889763779528,-0.27244094488189,-0.362992125984252)); +#7861=CARTESIAN_POINT('',(-0.218110236220472,-0.27244094488189,-0.345275590551181)); +#7862=CARTESIAN_POINT('',(-0.218110236220472,-0.27244094488189,-0.345275590551181)); +#7863=CARTESIAN_POINT('',(-0.218110236220472,-0.27244094488189,-0.362992125984252)); +#7864=CARTESIAN_POINT('',(-0.131889763779528,-0.27244094488189,-0.362992125984252)); +#7865=CARTESIAN_POINT('',(-0.118110236220472,-0.27244094488189,-0.362992125984252)); +#7866=CARTESIAN_POINT('',(-0.118110236220472,-0.27244094488189,-0.362992125984252)); +#7867=CARTESIAN_POINT('',(-0.131889763779528,-0.27244094488189,-0.345275590551181)); +#7868=CARTESIAN_POINT('',(-0.131889763779528,-0.27244094488189,-0.362992125984252)); +#7869=CARTESIAN_POINT('',(-0.118110236220472,-0.27244094488189,-0.345275590551181)); +#7870=CARTESIAN_POINT('',(-0.118110236220472,-0.27244094488189,-0.345275590551181)); +#7871=CARTESIAN_POINT('',(-0.118110236220472,-0.27244094488189,-0.362992125984252)); +#7872=CARTESIAN_POINT('',(-0.0318897637795276,-0.27244094488189,-0.362992125984252)); +#7873=CARTESIAN_POINT('',(-0.0181102362204724,-0.27244094488189,-0.362992125984252)); +#7874=CARTESIAN_POINT('',(-0.0181102362204724,-0.27244094488189,-0.362992125984252)); +#7875=CARTESIAN_POINT('',(-0.0318897637795276,-0.27244094488189,-0.345275590551181)); +#7876=CARTESIAN_POINT('',(-0.0318897637795276,-0.27244094488189,-0.362992125984252)); +#7877=CARTESIAN_POINT('',(-0.0181102362204724,-0.27244094488189,-0.345275590551181)); +#7878=CARTESIAN_POINT('',(-0.0181102362204724,-0.27244094488189,-0.345275590551181)); +#7879=CARTESIAN_POINT('',(-0.0181102362204724,-0.27244094488189,-0.362992125984252)); +#7880=CARTESIAN_POINT('',(0.0681102362204724,-0.27244094488189,-0.362992125984252)); +#7881=CARTESIAN_POINT('',(0.0818897637795276,-0.27244094488189,-0.362992125984252)); +#7882=CARTESIAN_POINT('',(0.0818897637795276,-0.27244094488189,-0.362992125984252)); +#7883=CARTESIAN_POINT('',(0.0681102362204724,-0.27244094488189,-0.345275590551181)); +#7884=CARTESIAN_POINT('',(0.0681102362204724,-0.27244094488189,-0.362992125984252)); +#7885=CARTESIAN_POINT('',(0.0818897637795276,-0.27244094488189,-0.345275590551181)); +#7886=CARTESIAN_POINT('',(0.0818897637795276,-0.27244094488189,-0.345275590551181)); +#7887=CARTESIAN_POINT('',(0.0818897637795276,-0.27244094488189,-0.362992125984252)); +#7888=CARTESIAN_POINT('',(0.168110236220472,-0.27244094488189,-0.362992125984252)); +#7889=CARTESIAN_POINT('',(0.181889763779528,-0.27244094488189,-0.362992125984252)); +#7890=CARTESIAN_POINT('',(0.181889763779528,-0.27244094488189,-0.362992125984252)); +#7891=CARTESIAN_POINT('',(0.168110236220472,-0.27244094488189,-0.345275590551181)); +#7892=CARTESIAN_POINT('',(0.168110236220472,-0.27244094488189,-0.362992125984252)); +#7893=CARTESIAN_POINT('',(0.181889763779528,-0.27244094488189,-0.345275590551181)); +#7894=CARTESIAN_POINT('',(0.181889763779528,-0.27244094488189,-0.345275590551181)); +#7895=CARTESIAN_POINT('',(0.181889763779528,-0.27244094488189,-0.362992125984252)); +#7896=CARTESIAN_POINT('Origin',(0.,0.,0.405314960629921)); +#7897=CARTESIAN_POINT('',(0.236220472440945,-0.134645669291339,0.405314960629921)); +#7898=CARTESIAN_POINT('',(0.137795275590551,-0.134645669291339,0.405314960629921)); +#7899=CARTESIAN_POINT('',(0.236220472440945,-0.134645669291339,0.405314960629921)); +#7900=CARTESIAN_POINT('',(0.236220472440945,-0.00708661417322827,0.405314960629921)); +#7901=CARTESIAN_POINT('',(0.236220472440945,-0.193700787401575,0.405314960629921)); +#7902=CARTESIAN_POINT('',(0.196850393700787,-0.00708661417322828,0.405314960629921)); +#7903=CARTESIAN_POINT('',(0.236220472440945,-0.00708661417322827,0.405314960629921)); +#7904=CARTESIAN_POINT('',(0.196850393700787,0.0716535433070867,0.405314960629921)); +#7905=CARTESIAN_POINT('',(0.196850393700787,-0.00708661417322828,0.405314960629921)); +#7906=CARTESIAN_POINT('',(0.236220472440945,0.0716535433070867,0.405314960629921)); +#7907=CARTESIAN_POINT('',(0.196850393700787,0.0716535433070867,0.405314960629921)); +#7908=CARTESIAN_POINT('',(0.236220472440945,0.189763779527559,0.405314960629921)); +#7909=CARTESIAN_POINT('',(0.236220472440945,0.0716535433070867,0.405314960629921)); +#7910=CARTESIAN_POINT('',(-0.236220472440945,0.189763779527559,0.405314960629921)); +#7911=CARTESIAN_POINT('',(-0.236220472440945,0.189763779527559,0.405314960629921)); +#7912=CARTESIAN_POINT('',(-0.236220472440945,0.0716535433070866,0.405314960629921)); +#7913=CARTESIAN_POINT('',(-0.236220472440945,0.0716535433070866,0.405314960629921)); +#7914=CARTESIAN_POINT('',(-0.196850393700787,0.0716535433070866,0.405314960629921)); +#7915=CARTESIAN_POINT('',(-0.196850393700787,0.0716535433070866,0.405314960629921)); +#7916=CARTESIAN_POINT('',(-0.196850393700787,-0.00708661417322833,0.405314960629921)); +#7917=CARTESIAN_POINT('',(-0.196850393700787,-0.00708661417322833,0.405314960629921)); +#7918=CARTESIAN_POINT('',(-0.236220472440945,-0.00708661417322833,0.405314960629921)); +#7919=CARTESIAN_POINT('',(-0.236220472440945,-0.00708661417322833,0.405314960629921)); +#7920=CARTESIAN_POINT('',(-0.236220472440945,-0.134645669291339,0.405314960629921)); +#7921=CARTESIAN_POINT('',(-0.236220472440945,-0.193700787401575,0.405314960629921)); +#7922=CARTESIAN_POINT('',(-0.137795275590551,-0.134645669291339,0.405314960629921)); +#7923=CARTESIAN_POINT('',(-0.236220472440945,-0.134645669291339,0.405314960629921)); +#7924=CARTESIAN_POINT('',(-0.137795275590551,-0.193700787401575,0.405314960629921)); +#7925=CARTESIAN_POINT('',(-0.137795275590551,-0.134645669291339,0.405314960629921)); +#7926=CARTESIAN_POINT('',(-0.0984251968503937,-0.193700787401575,0.405314960629921)); +#7927=CARTESIAN_POINT('',(-0.0984251968503937,-0.193700787401575,0.405314960629921)); +#7928=CARTESIAN_POINT('',(-0.0984251968503937,-0.252755905511811,0.405314960629921)); +#7929=CARTESIAN_POINT('',(-0.0984251968503937,-0.252755905511811,0.405314960629921)); +#7930=CARTESIAN_POINT('',(0.0984251968503938,-0.252755905511811,0.405314960629921)); +#7931=CARTESIAN_POINT('',(-0.0984251968503937,-0.252755905511811,0.405314960629921)); +#7932=CARTESIAN_POINT('',(0.0984251968503938,-0.193700787401575,0.405314960629921)); +#7933=CARTESIAN_POINT('',(0.0984251968503938,-0.252755905511811,0.405314960629921)); +#7934=CARTESIAN_POINT('',(0.137795275590551,-0.193700787401575,0.405314960629921)); +#7935=CARTESIAN_POINT('',(0.0984251968503938,-0.193700787401575,0.405314960629921)); +#7936=CARTESIAN_POINT('',(0.137795275590551,-0.134645669291339,0.405314960629921)); +#7937=CARTESIAN_POINT('Origin',(0.236220472440945,-0.193700787401575,0.405314960629921)); +#7938=CARTESIAN_POINT('',(0.236220472440945,-0.134645669291339,0.423031496062992)); +#7939=CARTESIAN_POINT('',(0.236220472440945,-0.134645669291339,0.423031496062992)); +#7940=CARTESIAN_POINT('',(0.236220472440945,-0.193700787401575,0.423031496062992)); +#7941=CARTESIAN_POINT('',(0.236220472440945,-0.134645669291339,0.423031496062992)); +#7942=CARTESIAN_POINT('',(0.236220472440945,-0.193700787401575,0.425)); +#7943=CARTESIAN_POINT('',(0.236220472440945,-0.193700787401575,0.405314960629921)); +#7944=CARTESIAN_POINT('',(0.236220472440945,-0.00708661417322827,0.425)); +#7945=CARTESIAN_POINT('',(0.236220472440945,-0.193700787401575,0.425)); +#7946=CARTESIAN_POINT('',(0.236220472440945,-0.00708661417322827,0.405314960629921)); +#7947=CARTESIAN_POINT('Origin',(0.0984251968503938,-0.193700787401575,0.405314960629921)); +#7948=CARTESIAN_POINT('',(0.137795275590551,-0.193700787401575,0.423031496062992)); +#7949=CARTESIAN_POINT('',(0.137795275590551,-0.193700787401575,0.423031496062992)); +#7950=CARTESIAN_POINT('',(0.0984251968503938,-0.193700787401575,0.425)); +#7951=CARTESIAN_POINT('',(0.0984251968503938,-0.193700787401575,0.405314960629921)); +#7952=CARTESIAN_POINT('',(0.0984251968503938,-0.193700787401575,0.425)); +#7953=CARTESIAN_POINT('',(0.236220472440945,-0.193700787401575,0.423031496062992)); +#7954=CARTESIAN_POINT('Origin',(-0.0984251968503937,-0.193700787401575, +0.405314960629921)); +#7955=CARTESIAN_POINT('',(-0.137795275590551,-0.193700787401575,0.423031496062992)); +#7956=CARTESIAN_POINT('',(-0.137795275590551,-0.193700787401575,0.423031496062992)); +#7957=CARTESIAN_POINT('',(-0.236220472440945,-0.193700787401575,0.423031496062992)); +#7958=CARTESIAN_POINT('',(-0.236220472440945,-0.193700787401575,0.423031496062992)); +#7959=CARTESIAN_POINT('',(-0.236220472440945,-0.193700787401575,0.425)); +#7960=CARTESIAN_POINT('',(-0.236220472440945,-0.193700787401575,0.405314960629921)); +#7961=CARTESIAN_POINT('',(-0.0984251968503937,-0.193700787401575,0.425)); +#7962=CARTESIAN_POINT('',(-0.0984251968503937,-0.193700787401575,0.425)); +#7963=CARTESIAN_POINT('',(-0.0984251968503937,-0.193700787401575,0.405314960629921)); +#7964=CARTESIAN_POINT('Origin',(-0.236220472440945,-0.193700787401575,0.405314960629921)); +#7965=CARTESIAN_POINT('',(-0.236220472440945,-0.134645669291339,0.423031496062992)); +#7966=CARTESIAN_POINT('',(-0.236220472440945,-0.134645669291339,0.423031496062992)); +#7967=CARTESIAN_POINT('',(-0.236220472440945,-0.00708661417322833,0.425)); +#7968=CARTESIAN_POINT('',(-0.236220472440945,-0.00708661417322833,0.405314960629921)); +#7969=CARTESIAN_POINT('',(-0.236220472440945,-0.193700787401575,0.425)); +#7970=CARTESIAN_POINT('',(-0.236220472440945,-0.134645669291339,0.423031496062992)); +#7971=CARTESIAN_POINT('Origin',(-0.32007874015748,0.27244094488189,-0.425)); +#7972=CARTESIAN_POINT('',(-0.32007874015748,0.193700787401575,-0.344488188976378)); +#7973=CARTESIAN_POINT('',(-0.32007874015748,-0.193700787401575,-0.344488188976378)); +#7974=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,-0.344488188976378)); +#7975=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,-0.425)); +#7976=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,-0.425)); +#7977=CARTESIAN_POINT('',(-0.32007874015748,-0.193700787401575,-0.425)); +#7978=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,-0.425)); +#7979=CARTESIAN_POINT('',(-0.32007874015748,-0.193700787401575,-0.425)); +#7980=CARTESIAN_POINT('',(-0.32007874015748,-0.0804228249845882,-0.404118325547644)); +#7981=CARTESIAN_POINT('',(-0.32007874015748,-0.0804228249845882,-0.36357665684673)); +#7982=CARTESIAN_POINT('',(-0.32007874015748,-0.0804228249845882,-0.36357665684673)); +#7983=CARTESIAN_POINT('',(-0.32007874015748,-0.119442999180826,-0.36357665684673)); +#7984=CARTESIAN_POINT('',(-0.32007874015748,-0.0804228249845882,-0.36357665684673)); +#7985=CARTESIAN_POINT('',(-0.32007874015748,-0.119442999180826,-0.404118325547644)); +#7986=CARTESIAN_POINT('',(-0.32007874015748,-0.119442999180826,-0.36357665684673)); +#7987=CARTESIAN_POINT('',(-0.32007874015748,-0.0804228249845882,-0.404118325547644)); +#7988=CARTESIAN_POINT('Origin',(-0.32007874015748,0.27244094488189,-0.425)); +#7989=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,-0.0557128952486359)); +#7990=CARTESIAN_POINT('',(-0.32007874015748,0.193700787401575,-0.0887000760834816)); +#7991=CARTESIAN_POINT('',(-0.32007874015748,0.140831415136211,-0.110849022686469)); +#7992=CARTESIAN_POINT('',(-0.32007874015748,0.193700787401575,-0.425)); +#7993=CARTESIAN_POINT('',(-0.32007874015748,-0.193700787401575,-0.198983695487425)); +#7994=CARTESIAN_POINT('',(-0.32007874015748,-0.193700787401575,-0.425)); +#7995=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,-0.198983695487424)); +#7996=CARTESIAN_POINT('',(-0.32007874015748,-0.388582677165354,-0.149803149606299)); +#7997=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,-0.149803149606299)); +#7998=CARTESIAN_POINT('',(-0.32007874015748,-0.402362204724409,-0.144787811732552)); +#7999=CARTESIAN_POINT('',(-0.32007874015748,0.283562432907019,-0.394443962780224)); +#8000=CARTESIAN_POINT('',(-0.32007874015748,-0.402362204724409,-0.103637385117841)); +#8001=CARTESIAN_POINT('',(-0.32007874015748,-0.402362204724409,-0.149803149606299)); +#8002=CARTESIAN_POINT('',(-0.32007874015748,-0.388582677165354,-0.0986220472440944)); +#8003=CARTESIAN_POINT('',(-0.32007874015748,0.0902200180249282,0.0756478818915998)); +#8004=CARTESIAN_POINT('',(-0.32007874015748,-0.27244094488189,-0.0986220472440944)); +#8005=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,0.425)); +#8006=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,0.425)); +#8007=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,-0.425)); +#8008=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339,0.336227470303083)); +#8009=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.152050948114693,0.336227470303083)); +#8010=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.169455833237259, +0.33622747030307)); +#8011=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.187841892869348, +0.33622747030307)); +#8012=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.18798023903357,0.33622747030307)); +#8013=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.188573668283324, +0.33629237368889)); +#8014=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.188896793324788, +0.33641833910693)); +#8015=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.189463869632147, +0.336730484461464)); +#8016=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.18981258494939,0.336976065657758)); +#8017=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.190350649400793, +0.337646731841619)); +#8018=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.190524071328307, +0.337980673729168)); +#8019=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.190762504719761, +0.338808876482929)); +#8020=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.190753948209332, +0.339214740975094)); +#8021=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.190701237437469, +0.339830931426021)); +#8022=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.190598191340837, +0.340198685287434)); +#8023=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.190243238270843, +0.340880641276721)); +#8024=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.189997659796719, +0.341179264185074)); +#8025=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.189509446170082, +0.341589010226078)); +#8026=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.189165857021991, +0.341841582180921)); +#8027=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.188304535417883, +0.342132982114093)); +#8028=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.187936808146086, +0.342132982114093)); +#8029=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157499,0.169929758466544, +0.342132982114093)); +#8030=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.152287910729334,0.342132982114107)); +#8031=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339,0.342132982114107)); +#8032=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.336227470303083)); +#8033=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0839218208306361, +0.336227470303083)); +#8034=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0662688385116657, +0.336227470303083)); +#8035=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0482736866996413, +0.336227470303083)); +#8036=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0478885447342303, +0.336227470303083)); +#8037=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0470781288214029, +0.336522665959481)); +#8038=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0467241048539561, +0.336743346420965)); +#8039=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0461518696380257, +0.337258805080355)); +#8040=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0459178590862895, +0.337567402168911)); +#8041=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0455987985295605, +0.338242928776596)); +#8042=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0455102268199988, +0.338593004161873)); +#8043=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0454616315750302, +0.339255136095688)); +#8044=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0454740809730723, +0.339674896197419)); +#8045=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0457456615365833, +0.34048863711335)); +#8046=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0459341655929722, +0.34081423808806)); +#8047=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0465450391555061, +0.341513511168851)); +#8048=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.046949877068825, +0.341745448378231)); +#8049=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.047446058701747, +0.3419922501316)); +#8050=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0477710160262438, +0.342097756839521)); +#8051=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0486508290009535, +0.342155440810331)); +#8052=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0491065640567549, +0.342132982114107)); +#8053=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0668992951424922, +0.342132982114107)); +#8054=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0842368522956555, +0.342132982114107)); +#8055=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.342132982114107)); +#8056=CARTESIAN_POINT('Origin',(-0.32007874015748,0.27244094488189,-0.425)); +#8057=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,-0.425)); +#8058=CARTESIAN_POINT('Origin',(0.32007874015748,0.27244094488189,-0.425)); +#8059=CARTESIAN_POINT('',(0.32007874015748,-0.193700787401575,-0.198983695487425)); +#8060=CARTESIAN_POINT('',(0.32007874015748,-0.193700787401575,-0.344488188976378)); +#8061=CARTESIAN_POINT('',(0.32007874015748,-0.193700787401575,-0.425)); +#8062=CARTESIAN_POINT('',(0.32007874015748,0.193700787401575,-0.344488188976378)); +#8063=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,-0.344488188976378)); +#8064=CARTESIAN_POINT('',(0.32007874015748,0.193700787401575,-0.0887000760834817)); +#8065=CARTESIAN_POINT('',(0.32007874015748,0.193700787401575,-0.425)); +#8066=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,-0.0321951859739373)); +#8067=CARTESIAN_POINT('',(0.32007874015748,0.086376519333927,-0.165717269943753)); +#8068=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,0.425)); +#8069=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,-0.425)); +#8070=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,0.425)); +#8071=CARTESIAN_POINT('',(0.32007874015748,-0.388582677165355,-0.0986220472440946)); +#8072=CARTESIAN_POINT('',(0.32007874015748,-0.27244094488189,-0.0986220472440944)); +#8073=CARTESIAN_POINT('',(0.32007874015748,-0.402362204724409,-0.103637385117841)); +#8074=CARTESIAN_POINT('',(0.32007874015748,0.0902200180249281,0.0756478818915999)); +#8075=CARTESIAN_POINT('',(0.32007874015748,-0.402362204724409,-0.144787811732552)); +#8076=CARTESIAN_POINT('',(0.32007874015748,-0.402362204724409,-0.149803149606299)); +#8077=CARTESIAN_POINT('',(0.32007874015748,-0.388582677165354,-0.149803149606299)); +#8078=CARTESIAN_POINT('',(0.32007874015748,0.28356243290702,-0.394443962780219)); +#8079=CARTESIAN_POINT('',(0.32007874015748,-0.27244094488189,-0.149803149606299)); +#8080=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,-0.198983695487424)); +#8081=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.336227470303083)); +#8082=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.117217952490676,0.336227470303083)); +#8083=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0997902356900141,0.336227470303083)); +#8084=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0814424448542965,0.336227470303083)); +#8085=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.081283954791561,0.336227470303083)); +#8086=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.080692651778699,0.336302447666607)); +#8087=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0803703123552148,0.336425489940904)); +#8088=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0796035008058797,0.336864531723289)); +#8089=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.079320523625426,0.33716650623283)); +#8090=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0789159517067223,0.337688185742164)); +#8091=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0787484398166373,0.33802501655854)); +#8092=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.078535526323671,0.338813060466543)); +#8093=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.078528032902094,0.339221193962804)); +#8094=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0786110680353479,0.339909310196284)); +#8095=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0787155497770081,0.340249576363329)); +#8096=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.079066125378703,0.340906022483727)); +#8097=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.0793157253992106, +0.341202871899084)); +#8098=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.079838834469028,0.34163260348798)); +#8099=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.0801144699525877, +0.341808325089618)); +#8100=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.0808901676236388, +0.342132982114107)); +#8101=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.0813144849171227, +0.342132982114107)); +#8102=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.0891376522853921, +0.342132982114107)); +#8103=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0966828561646762,0.342132982114107)); +#8104=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.11436726312642,0.342132982114107)); +#8105=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.124506466208879,0.342132982114107)); +#8106=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.342132982114107)); +#8107=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606,0.336227470303083)); +#8108=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.11900251995027,0.336227470303083)); +#8109=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.136430236750934,0.336227470303083)); +#8110=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.154778027586649,0.336227470303083)); +#8111=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.154936517649386,0.336227470303083)); +#8112=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.155527820662243,0.336302447666607)); +#8113=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.155850160085728,0.336425489940902)); +#8114=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.15661697163507,0.336864531723292)); +#8115=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.156899948815523,0.337166506232835)); +#8116=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157304520734225,0.337688185742167)); +#8117=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157472032624309,0.338025016558544)); +#8118=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157684946117274,0.338813060466548)); +#8119=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157692439538851,0.339221193962808)); +#8120=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157609404405596,0.339909310196288)); +#8121=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157504922663935,0.340249576363333)); +#8122=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157154347062239,0.34090602248373)); +#8123=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.156904747041731, +0.341202871899088)); +#8124=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.156381637971917, +0.34163260348798)); +#8125=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.156106002488358, +0.341808325089618)); +#8126=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.155330304817304, +0.342132982114107)); +#8127=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.154905987523821, +0.342132982114107)); +#8128=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.147082820155461, +0.342132982114107)); +#8129=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.139537616276166,0.342132982114107)); +#8130=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.121853209314449,0.342132982114107)); +#8131=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.111714006232028,0.342132982114107)); +#8132=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606,0.342132982114107)); +#8133=CARTESIAN_POINT('Origin',(0.32007874015748,0.27244094488189,-0.425)); +#8134=CARTESIAN_POINT('',(0.32007874015748,-0.193700787401575,-0.425)); +#8135=CARTESIAN_POINT('',(0.32007874015748,-0.193700787401575,-0.425)); +#8136=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,-0.425)); +#8137=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,-0.425)); +#8138=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,-0.425)); +#8139=CARTESIAN_POINT('',(0.32007874015748,-0.0804228249845882,-0.36357665684673)); +#8140=CARTESIAN_POINT('',(0.32007874015748,-0.119442999180826,-0.36357665684673)); +#8141=CARTESIAN_POINT('',(0.32007874015748,-0.0804228249845882,-0.36357665684673)); +#8142=CARTESIAN_POINT('',(0.32007874015748,-0.0804228249845882,-0.404118325547644)); +#8143=CARTESIAN_POINT('',(0.32007874015748,-0.0804228249845882,-0.36357665684673)); +#8144=CARTESIAN_POINT('',(0.32007874015748,-0.119442999180826,-0.404118325547644)); +#8145=CARTESIAN_POINT('',(0.32007874015748,-0.0804228249845882,-0.404118325547644)); +#8146=CARTESIAN_POINT('',(0.32007874015748,-0.119442999180826,-0.36357665684673)); +#8147=CARTESIAN_POINT('Origin',(0.32007874015748,0.27244094488189,-0.425)); +#8148=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,-0.425)); +#8149=CARTESIAN_POINT('Origin',(-0.32007874015748,0.27244094488189,-0.425)); +#8150=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,0.425)); +#8151=CARTESIAN_POINT('',(0.32007874015748,0.27244094488189,-0.425)); +#8152=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,-0.425)); +#8153=CARTESIAN_POINT('',(-0.147130009041155,0.27244094488189,-0.00362956191530266)); +#8154=CARTESIAN_POINT('',(-0.1518041543403,0.27244094488189,-0.00362956191530262)); +#8155=CARTESIAN_POINT('',(-0.147130009041155,0.27244094488189,-0.00362956191530266)); +#8156=CARTESIAN_POINT('',(-0.1518041543403,0.27244094488189,-0.055712895248636)); +#8157=CARTESIAN_POINT('',(-0.1518041543403,0.27244094488189,-0.00362956191530266)); +#8158=CARTESIAN_POINT('',(-0.141381227657608,0.27244094488189,-0.055712895248636)); +#8159=CARTESIAN_POINT('',(-0.1518041543403,0.27244094488189,-0.055712895248636)); +#8160=CARTESIAN_POINT('',(-0.129581097449274,0.27244094488189,-0.05500342676573)); +#8161=CARTESIAN_POINT('Ctrl Pts',(-0.141381227657608,0.27244094488189,-0.055712895248636)); +#8162=CARTESIAN_POINT('Ctrl Pts',(-0.140685675149965,0.27244094488189,-0.0557105799809569)); +#8163=CARTESIAN_POINT('Ctrl Pts',(-0.139350137286735,0.27244094488189,-0.0557061344105435)); +#8164=CARTESIAN_POINT('Ctrl Pts',(-0.13743684457305,0.27244094488189,-0.0556813462720294)); +#8165=CARTESIAN_POINT('Ctrl Pts',(-0.135698346105785,0.27244094488189,-0.0556102993120855)); +#8166=CARTESIAN_POINT('Ctrl Pts',(-0.134135550877852,0.27244094488189,-0.0555491596770093)); +#8167=CARTESIAN_POINT('Ctrl Pts',(-0.132748487559752,0.27244094488189,-0.0554376724549387)); +#8168=CARTESIAN_POINT('Ctrl Pts',(-0.131532902876003,0.27244094488189,-0.0553311152061321)); +#8169=CARTESIAN_POINT('Ctrl Pts',(-0.130494395472711,0.27244094488189,-0.0551916262064453)); +#8170=CARTESIAN_POINT('Ctrl Pts',(-0.129865736971184,0.27244094488189,-0.0550620812218377)); +#8171=CARTESIAN_POINT('Ctrl Pts',(-0.129581097449274,0.27244094488189,-0.05500342676573)); +#8172=CARTESIAN_POINT('',(-0.119085137246283,0.27244094488189,-0.0417843595915847)); +#8173=CARTESIAN_POINT('Ctrl Pts',(-0.129581097449274,0.27244094488189,-0.05500342676573)); +#8174=CARTESIAN_POINT('Ctrl Pts',(-0.129200046494857,0.27244094488189,-0.0549092784715995)); +#8175=CARTESIAN_POINT('Ctrl Pts',(-0.128446073437242,0.27244094488189,-0.0547229903220175)); +#8176=CARTESIAN_POINT('Ctrl Pts',(-0.127360506978315,0.27244094488189,-0.0543292462621419)); +#8177=CARTESIAN_POINT('Ctrl Pts',(-0.126326067633795,0.27244094488189,-0.0538735970861791)); +#8178=CARTESIAN_POINT('Ctrl Pts',(-0.125347052390912,0.27244094488189,-0.0533384226766838)); +#8179=CARTESIAN_POINT('Ctrl Pts',(-0.124428365022007,0.27244094488189,-0.0527197503601284)); +#8180=CARTESIAN_POINT('Ctrl Pts',(-0.123560533016134,0.27244094488189,-0.0520282604429387)); +#8181=CARTESIAN_POINT('Ctrl Pts',(-0.122739618382504,0.27244094488189,-0.0512677365475448)); +#8182=CARTESIAN_POINT('Ctrl Pts',(-0.121998820314344,0.27244094488189,-0.0504190037870885)); +#8183=CARTESIAN_POINT('Ctrl Pts',(-0.12130032252127,0.27244094488189,-0.0495285795358981)); +#8184=CARTESIAN_POINT('Ctrl Pts',(-0.120711206055488,0.27244094488189,-0.0485657260114758)); +#8185=CARTESIAN_POINT('Ctrl Pts',(-0.120203371535808,0.27244094488189,-0.0475588580423684)); +#8186=CARTESIAN_POINT('Ctrl Pts',(-0.119799048366163,0.27244094488189,-0.0464977357543404)); +#8187=CARTESIAN_POINT('Ctrl Pts',(-0.119473483284717,0.27244094488189,-0.0453926498635276)); +#8188=CARTESIAN_POINT('Ctrl Pts',(-0.119243926151221,0.27244094488189,-0.0442335903884204)); +#8189=CARTESIAN_POINT('Ctrl Pts',(-0.119106259668619,0.27244094488189,-0.043025837783005)); +#8190=CARTESIAN_POINT('Ctrl Pts',(-0.119092243960978,0.27244094488189,-0.0422020593783679)); +#8191=CARTESIAN_POINT('Ctrl Pts',(-0.119085137246283,0.27244094488189,-0.0417843595915847)); +#8192=CARTESIAN_POINT('',(-0.137552184521924,0.27244094488189,-0.0276680234537642)); +#8193=CARTESIAN_POINT('Ctrl Pts',(-0.119085137246283,0.27244094488189,-0.0417843595915847)); +#8194=CARTESIAN_POINT('Ctrl Pts',(-0.119106997337183,0.27244094488189,-0.0410903848205885)); +#8195=CARTESIAN_POINT('Ctrl Pts',(-0.119149652036434,0.27244094488189,-0.0397362602984448)); +#8196=CARTESIAN_POINT('Ctrl Pts',(-0.119547347031672,0.27244094488189,-0.0377710686108142)); +#8197=CARTESIAN_POINT('Ctrl Pts',(-0.12019878333716,0.27244094488189,-0.0359378942858076)); +#8198=CARTESIAN_POINT('Ctrl Pts',(-0.12109645132837,0.27244094488189,-0.0342390455073036)); +#8199=CARTESIAN_POINT('Ctrl Pts',(-0.122239598288392,0.27244094488189,-0.0327076370942065)); +#8200=CARTESIAN_POINT('Ctrl Pts',(-0.123613479108382,0.27244094488189,-0.0313625410331368)); +#8201=CARTESIAN_POINT('Ctrl Pts',(-0.12521886023174,0.27244094488189,-0.0302369470957735)); +#8202=CARTESIAN_POINT('Ctrl Pts',(-0.126713344099883,0.27244094488189,-0.029456399406763)); +#8203=CARTESIAN_POINT('Ctrl Pts',(-0.128033495881083,0.27244094488189,-0.0289565049331804)); +#8204=CARTESIAN_POINT('Ctrl Pts',(-0.129120301130905,0.27244094488189,-0.0286047734025399)); +#8205=CARTESIAN_POINT('Ctrl Pts',(-0.130305821629354,0.27244094488189,-0.0283330179668691)); +#8206=CARTESIAN_POINT('Ctrl Pts',(-0.131578698632418,0.27244094488189,-0.0280895636250449)); +#8207=CARTESIAN_POINT('Ctrl Pts',(-0.132944229220098,0.27244094488189,-0.0279057586486829)); +#8208=CARTESIAN_POINT('Ctrl Pts',(-0.134399140520533,0.27244094488189,-0.0277711367071747)); +#8209=CARTESIAN_POINT('Ctrl Pts',(-0.135944470157885,0.27244094488189,-0.0276869990777359)); +#8210=CARTESIAN_POINT('Ctrl Pts',(-0.137006063451831,0.27244094488189,-0.0276744692430791)); +#8211=CARTESIAN_POINT('Ctrl Pts',(-0.137552184521924,0.27244094488189,-0.0276680234537642)); +#8212=CARTESIAN_POINT('',(-0.118417402203548,0.27244094488189,-0.00362956191530266)); +#8213=CARTESIAN_POINT('',(-0.137552184521924,0.27244094488189,-0.0276680234537642)); +#8214=CARTESIAN_POINT('',(-0.124197483667223,0.27244094488189,-0.00362956191530266)); +#8215=CARTESIAN_POINT('',(-0.118417402203548,0.27244094488189,-0.00362956191530266)); +#8216=CARTESIAN_POINT('',(-0.143332265985599,0.27244094488189,-0.0276680234537642)); +#8217=CARTESIAN_POINT('',(-0.124197483667223,0.27244094488189,-0.00362956191530266)); +#8218=CARTESIAN_POINT('',(-0.147130009041155,0.27244094488189,-0.0276680234537642)); +#8219=CARTESIAN_POINT('',(-0.143332265985599,0.27244094488189,-0.0276680234537642)); +#8220=CARTESIAN_POINT('',(-0.147130009041155,0.27244094488189,-0.0276680234537642)); +#8221=CARTESIAN_POINT('',(-0.0683372739984197,0.27244094488189,-0.00362956191530266)); +#8222=CARTESIAN_POINT('',(-0.073011419297565,0.27244094488189,-0.00362956191530262)); +#8223=CARTESIAN_POINT('',(-0.0683372739984197,0.27244094488189,-0.00362956191530266)); +#8224=CARTESIAN_POINT('',(-0.073011419297565,0.27244094488189,-0.055712895248636)); +#8225=CARTESIAN_POINT('',(-0.073011419297565,0.27244094488189,-0.00362956191530266)); +#8226=CARTESIAN_POINT('',(-0.0627971598157274,0.27244094488189,-0.055712895248636)); +#8227=CARTESIAN_POINT('',(-0.073011419297565,0.27244094488189,-0.055712895248636)); +#8228=CARTESIAN_POINT('',(-0.0509344294471376,0.27244094488189,-0.0549303932454309)); +#8229=CARTESIAN_POINT('Ctrl Pts',(-0.0627971598157274,0.27244094488189, +-0.055712895248636)); +#8230=CARTESIAN_POINT('Ctrl Pts',(-0.0620946165694229,0.27244094488189, +-0.0557121229831472)); +#8231=CARTESIAN_POINT('Ctrl Pts',(-0.0607485125097399,0.27244094488189, +-0.0557106432881747)); +#8232=CARTESIAN_POINT('Ctrl Pts',(-0.0588182289426434,0.27244094488189, +-0.0556657809991026)); +#8233=CARTESIAN_POINT('Ctrl Pts',(-0.0570651079362991,0.27244094488189, +-0.0556058599858174)); +#8234=CARTESIAN_POINT('Ctrl Pts',(-0.0554929296486991,0.27244094488189, +-0.055522796099015)); +#8235=CARTESIAN_POINT('Ctrl Pts',(-0.0540968567605059,0.27244094488189, +-0.0554246325536652)); +#8236=CARTESIAN_POINT('Ctrl Pts',(-0.0528832064696345,0.27244094488189, +-0.055286953396263)); +#8237=CARTESIAN_POINT('Ctrl Pts',(-0.0518424392622856,0.27244094488189, +-0.055143198998148)); +#8238=CARTESIAN_POINT('Ctrl Pts',(-0.0512188313836172,0.27244094488189, +-0.0549970471154189)); +#8239=CARTESIAN_POINT('Ctrl Pts',(-0.0509344294471376,0.27244094488189, +-0.0549303932454309)); +#8240=CARTESIAN_POINT('',(-0.0409601372462829,0.27244094488189,-0.0417426261514138)); +#8241=CARTESIAN_POINT('Ctrl Pts',(-0.0509344294471376,0.27244094488189, +-0.0549303932454309)); +#8242=CARTESIAN_POINT('Ctrl Pts',(-0.0505744066229517,0.27244094488189, +-0.0548318523253163)); +#8243=CARTESIAN_POINT('Ctrl Pts',(-0.0498628444620568,0.27244094488189, +-0.0546370924785986)); +#8244=CARTESIAN_POINT('Ctrl Pts',(-0.0488375313206635,0.27244094488189, +-0.0542470073078301)); +#8245=CARTESIAN_POINT('Ctrl Pts',(-0.0478620276676817,0.27244094488189, +-0.0537844750879567)); +#8246=CARTESIAN_POINT('Ctrl Pts',(-0.0469329866193904,0.27244094488189, +-0.0532573331258898)); +#8247=CARTESIAN_POINT('Ctrl Pts',(-0.0460618246689309,0.27244094488189, +-0.0526429646112002)); +#8248=CARTESIAN_POINT('Ctrl Pts',(-0.045235544297413,0.27244094488189,-0.0519662738710322)); +#8249=CARTESIAN_POINT('Ctrl Pts',(-0.0444614374477746,0.27244094488189, +-0.0512116374339424)); +#8250=CARTESIAN_POINT('Ctrl Pts',(-0.043743857211403,0.27244094488189,-0.0503875192814508)); +#8251=CARTESIAN_POINT('Ctrl Pts',(-0.0430848572473561,0.27244094488189, +-0.0495077542534524)); +#8252=CARTESIAN_POINT('Ctrl Pts',(-0.0425174512543922,0.27244094488189, +-0.0485592091655433)); +#8253=CARTESIAN_POINT('Ctrl Pts',(-0.0420423437092543,0.27244094488189, +-0.0475578504837005)); +#8254=CARTESIAN_POINT('Ctrl Pts',(-0.041634854550264,0.27244094488189,-0.0465043747224306)); +#8255=CARTESIAN_POINT('Ctrl Pts',(-0.0413415739778056,0.27244094488189, +-0.0453899353847619)); +#8256=CARTESIAN_POINT('Ctrl Pts',(-0.0411206379702841,0.27244094488189, +-0.0442222759744317)); +#8257=CARTESIAN_POINT('Ctrl Pts',(-0.0409804054164797,0.27244094488189, +-0.0430015216559571)); +#8258=CARTESIAN_POINT('Ctrl Pts',(-0.0409669744379806,0.27244094488189, +-0.0421672974368966)); +#8259=CARTESIAN_POINT('Ctrl Pts',(-0.0409601372462829,0.27244094488189, +-0.0417426261514138)); +#8260=CARTESIAN_POINT('',(-0.051351763848847,0.27244094488189,-0.0285235589772685)); +#8261=CARTESIAN_POINT('Ctrl Pts',(-0.0409601372462829,0.27244094488189, +-0.0417426261514138)); +#8262=CARTESIAN_POINT('Ctrl Pts',(-0.0409664084476067,0.27244094488189, +-0.0413143854254095)); +#8263=CARTESIAN_POINT('Ctrl Pts',(-0.0409786784765558,0.27244094488189, +-0.0404765035214591)); +#8264=CARTESIAN_POINT('Ctrl Pts',(-0.0411259446272826,0.27244094488189, +-0.0392507179829369)); +#8265=CARTESIAN_POINT('Ctrl Pts',(-0.0413243105739897,0.27244094488189, +-0.0380793133678834)); +#8266=CARTESIAN_POINT('Ctrl Pts',(-0.0416293262336206,0.27244094488189, +-0.0369648870216122)); +#8267=CARTESIAN_POINT('Ctrl Pts',(-0.0420288154166326,0.27244094488189, +-0.0359140571038925)); +#8268=CARTESIAN_POINT('Ctrl Pts',(-0.0424974471554077,0.27244094488189, +-0.0349107649607267)); +#8269=CARTESIAN_POINT('Ctrl Pts',(-0.0430626782621008,0.27244094488189, +-0.0339720793669976)); +#8270=CARTESIAN_POINT('Ctrl Pts',(-0.0437121548946975,0.27244094488189, +-0.0330945924988182)); +#8271=CARTESIAN_POINT('Ctrl Pts',(-0.0444346488588775,0.27244094488189, +-0.0322774807084288)); +#8272=CARTESIAN_POINT('Ctrl Pts',(-0.0452271032955927,0.27244094488189, +-0.0315266653141921)); +#8273=CARTESIAN_POINT('Ctrl Pts',(-0.046086318957799,0.27244094488189,-0.0308465412305236)); +#8274=CARTESIAN_POINT('Ctrl Pts',(-0.0470095652089354,0.27244094488189, +-0.0302355837352797)); +#8275=CARTESIAN_POINT('Ctrl Pts',(-0.0480058427213839,0.27244094488189, +-0.0297079605284689)); +#8276=CARTESIAN_POINT('Ctrl Pts',(-0.0490600414352373,0.27244094488189, +-0.0292353815861246)); +#8277=CARTESIAN_POINT('Ctrl Pts',(-0.0501813244177063,0.27244094488189, +-0.0288384908028137)); +#8278=CARTESIAN_POINT('Ctrl Pts',(-0.0509572546851969,0.27244094488189, +-0.0286297101268257)); +#8279=CARTESIAN_POINT('Ctrl Pts',(-0.051351763848847,0.27244094488189,-0.0285235589772685)); +#8280=CARTESIAN_POINT('',(-0.0646125644631633,0.27244094488189,-0.0276680234537642)); +#8281=CARTESIAN_POINT('Ctrl Pts',(-0.051351763848847,0.27244094488189,-0.0285235589772685)); +#8282=CARTESIAN_POINT('Ctrl Pts',(-0.0516780634618145,0.27244094488189, +-0.0284541693778951)); +#8283=CARTESIAN_POINT('Ctrl Pts',(-0.0523931680356331,0.27244094488189, +-0.0283020980363569)); +#8284=CARTESIAN_POINT('Ctrl Pts',(-0.0535708871156591,0.27244094488189, +-0.0281323293333081)); +#8285=CARTESIAN_POINT('Ctrl Pts',(-0.0549420982158052,0.27244094488189, +-0.0279874245612032)); +#8286=CARTESIAN_POINT('Ctrl Pts',(-0.0565049297621678,0.27244094488189, +-0.0278787766805327)); +#8287=CARTESIAN_POINT('Ctrl Pts',(-0.0582579789425484,0.27244094488189, +-0.0277857652450861)); +#8288=CARTESIAN_POINT('Ctrl Pts',(-0.0602021940813839,0.27244094488189, +-0.0277118352764748)); +#8289=CARTESIAN_POINT('Ctrl Pts',(-0.0623413916584569,0.27244094488189, +-0.0276712124515469)); +#8290=CARTESIAN_POINT('Ctrl Pts',(-0.0638335232667599,0.27244094488189, +-0.0276691173206169)); +#8291=CARTESIAN_POINT('Ctrl Pts',(-0.0646125644631633,0.27244094488189, +-0.0276680234537642)); +#8292=CARTESIAN_POINT('',(-0.0683372739984197,0.27244094488189,-0.0276680234537642)); +#8293=CARTESIAN_POINT('',(-0.0646125644631633,0.27244094488189,-0.0276680234537642)); +#8294=CARTESIAN_POINT('',(-0.0683372739984197,0.27244094488189,-0.0276680234537642)); +#8295=CARTESIAN_POINT('',(0.021139221728076,0.27244094488189,-0.0503710149067556)); +#8296=CARTESIAN_POINT('',(0.0131264012152555,0.27244094488189,-0.0503710149067556)); +#8297=CARTESIAN_POINT('',(0.021139221728076,0.27244094488189,-0.0503710149067556)); +#8298=CARTESIAN_POINT('',(0.0161833757077769,0.27244094488189,-0.055712895248636)); +#8299=CARTESIAN_POINT('',(0.0131264012152555,0.27244094488189,-0.0503710149067556)); +#8300=CARTESIAN_POINT('',(0.0258133670272213,0.27244094488189,-0.055712895248636)); +#8301=CARTESIAN_POINT('',(0.0161833757077769,0.27244094488189,-0.055712895248636)); +#8302=CARTESIAN_POINT('',(0.0258133670272213,0.27244094488189,-0.00362956191530266)); +#8303=CARTESIAN_POINT('',(0.0258133670272213,0.27244094488189,-0.055712895248636)); +#8304=CARTESIAN_POINT('',(0.021139221728076,0.27244094488189,-0.00362956191530266)); +#8305=CARTESIAN_POINT('',(0.0258133670272213,0.27244094488189,-0.00362956191530266)); +#8306=CARTESIAN_POINT('',(0.021139221728076,0.27244094488189,-0.00362956191530266)); +#8307=CARTESIAN_POINT('',(0.119964008052862,0.27244094488189,-0.0209906730264138)); +#8308=CARTESIAN_POINT('',(0.0999319567708111,0.27244094488189,-0.0209906730264138)); +#8309=CARTESIAN_POINT('',(0.119964008052862,0.27244094488189,-0.0209906730264138)); +#8310=CARTESIAN_POINT('',(0.0999319567708111,0.27244094488189,-0.0263325533682941)); +#8311=CARTESIAN_POINT('',(0.0999319567708111,0.27244094488189,-0.0209906730264138)); +#8312=CARTESIAN_POINT('',(0.119964008052862,0.27244094488189,-0.0263325533682941)); +#8313=CARTESIAN_POINT('',(0.0999319567708111,0.27244094488189,-0.0263325533682941)); +#8314=CARTESIAN_POINT('',(0.119964008052862,0.27244094488189,-0.0263325533682941)); +#8315=CARTESIAN_POINT('',(0.190743922582777,0.27244094488189,-0.00362956191530266)); +#8316=CARTESIAN_POINT('',(0.215450119163973,0.27244094488189,-0.055712895248636)); +#8317=CARTESIAN_POINT('',(0.190743922582777,0.27244094488189,-0.00362956191530266)); +#8318=CARTESIAN_POINT('',(0.216117854206709,0.27244094488189,-0.055712895248636)); +#8319=CARTESIAN_POINT('',(0.215450119163973,0.27244094488189,-0.055712895248636)); +#8320=CARTESIAN_POINT('',(0.24015631574517,0.27244094488189,-0.00362956191530266)); +#8321=CARTESIAN_POINT('',(0.216117854206709,0.27244094488189,-0.055712895248636)); +#8322=CARTESIAN_POINT('',(0.235075269404358,0.27244094488189,-0.00362956191530266)); +#8323=CARTESIAN_POINT('',(0.24015631574517,0.27244094488189,-0.00362956191530266)); +#8324=CARTESIAN_POINT('',(0.22737544969282,0.27244094488189,-0.0203229379836787)); +#8325=CARTESIAN_POINT('',(0.235075269404358,0.27244094488189,-0.00362956191530266)); +#8326=CARTESIAN_POINT('',(0.20376475591611,0.27244094488189,-0.0203229379836787)); +#8327=CARTESIAN_POINT('',(0.22737544969282,0.27244094488189,-0.0203229379836787)); +#8328=CARTESIAN_POINT('',(0.195845835643674,0.27244094488189,-0.00362956191530266)); +#8329=CARTESIAN_POINT('',(0.20376475591611,0.27244094488189,-0.0203229379836787)); +#8330=CARTESIAN_POINT('',(0.195845835643674,0.27244094488189,-0.00362956191530266)); +#8331=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.27244094488189,0.335955277352712)); +#8332=CARTESIAN_POINT('Ctrl Pts',(-0.139827201402239,0.27244094488189,0.335955277352712)); +#8333=CARTESIAN_POINT('Ctrl Pts',(-0.122174481544635,0.27244094488189,0.335955277352712)); +#8334=CARTESIAN_POINT('Ctrl Pts',(-0.104179198506131,0.27244094488189,0.335955277352712)); +#8335=CARTESIAN_POINT('Ctrl Pts',(-0.103794056533114,0.27244094488189,0.335955277352712)); +#8336=CARTESIAN_POINT('Ctrl Pts',(-0.102983640610479,0.27244094488189,0.336250473020882)); +#8337=CARTESIAN_POINT('Ctrl Pts',(-0.10262961664257,0.27244094488189,0.336471153485054)); +#8338=CARTESIAN_POINT('Ctrl Pts',(-0.102057381427772,0.27244094488189,0.336986612154659)); +#8339=CARTESIAN_POINT('Ctrl Pts',(-0.101823370879549,0.27244094488189,0.337295209245929)); +#8340=CARTESIAN_POINT('Ctrl Pts',(-0.101504310330215,0.27244094488189,0.337970735858014)); +#8341=CARTESIAN_POINT('Ctrl Pts',(-0.101415738624453,0.27244094488189,0.33832081124525)); +#8342=CARTESIAN_POINT('Ctrl Pts',(-0.10136714338662,0.27244094488189,0.338982943183039)); +#8343=CARTESIAN_POINT('Ctrl Pts',(-0.101379592790119,0.27244094488189,0.33940270328144)); +#8344=CARTESIAN_POINT('Ctrl Pts',(-0.101651173361545,0.27244094488189,0.340216444191509)); +#8345=CARTESIAN_POINT('Ctrl Pts',(-0.101839677422313,0.27244094488189,0.340542045164978)); +#8346=CARTESIAN_POINT('Ctrl Pts',(-0.102450550994919,0.27244094488189,0.341241318241871)); +#8347=CARTESIAN_POINT('Ctrl Pts',(-0.102855388914622,0.27244094488189,0.341473255448976)); +#8348=CARTESIAN_POINT('Ctrl Pts',(-0.103351570550696,0.27244094488189,0.341720057195133)); +#8349=CARTESIAN_POINT('Ctrl Pts',(-0.103676527879727,0.27244094488189,0.341825563898048)); +#8350=CARTESIAN_POINT('Ctrl Pts',(-0.10455634086515,0.27244094488189,0.341883247856891)); +#8351=CARTESIAN_POINT('Ctrl Pts',(-0.105012075926234,0.27244094488189,0.341860789163735)); +#8352=CARTESIAN_POINT('Ctrl Pts',(-0.122804675762732,0.27244094488189,0.341860789163735)); +#8353=CARTESIAN_POINT('Ctrl Pts',(-0.140142495361763,0.27244094488189,0.341860789163735)); +#8354=CARTESIAN_POINT('Ctrl Pts',(-0.157480314960794,0.27244094488189,0.341860789163735)); +#8355=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.335955277352712)); +#8356=CARTESIAN_POINT('Ctrl Pts',(0.174882601799511,0.27244094488189,0.335955277352712)); +#8357=CARTESIAN_POINT('Ctrl Pts',(0.192284494937604,0.272440944881906,0.335955277352698)); +#8358=CARTESIAN_POINT('Ctrl Pts',(0.210675625142899,0.272440944881906,0.335955277352697)); +#8359=CARTESIAN_POINT('Ctrl Pts',(0.210811323825929,0.272440944881906,0.335955277352697)); +#8360=CARTESIAN_POINT('Ctrl Pts',(0.211401891103382,0.272440944881906,0.336018533381434)); +#8361=CARTESIAN_POINT('Ctrl Pts',(0.211725311180833,0.272440944881906,0.336143536574948)); +#8362=CARTESIAN_POINT('Ctrl Pts',(0.212289199821551,0.272440944881906,0.336452401327462)); +#8363=CARTESIAN_POINT('Ctrl Pts',(0.212641092496206,0.272440944881906,0.336697747071984)); +#8364=CARTESIAN_POINT('Ctrl Pts',(0.213182302969184,0.272440944881906,0.337369630064231)); +#8365=CARTESIAN_POINT('Ctrl Pts',(0.213356430022517,0.272440944881906,0.337703231036791)); +#8366=CARTESIAN_POINT('Ctrl Pts',(0.213597214140508,0.272440944881906,0.338533491815447)); +#8367=CARTESIAN_POINT('Ctrl Pts',(0.213588337753173,0.272440944881906,0.338939811470147)); +#8368=CARTESIAN_POINT('Ctrl Pts',(0.213537191234392,0.272440944881906,0.339552641690736)); +#8369=CARTESIAN_POINT('Ctrl Pts',(0.213434893054462,0.272440944881906,0.339920801672167)); +#8370=CARTESIAN_POINT('Ctrl Pts',(0.21308133664837,0.272440944881906,0.340603547095089)); +#8371=CARTESIAN_POINT('Ctrl Pts',(0.212836454055693,0.272440944881906,0.340902591666815)); +#8372=CARTESIAN_POINT('Ctrl Pts',(0.212300170414741,0.272440944881906,0.341354476689968)); +#8373=CARTESIAN_POINT('Ctrl Pts',(0.211963337396014,0.272440944881906,0.341581205215176)); +#8374=CARTESIAN_POINT('Ctrl Pts',(0.21114152293037,0.272440944881906,0.341860789163721)); +#8375=CARTESIAN_POINT('Ctrl Pts',(0.210772310018404,0.272440944881906,0.341860789163721)); +#8376=CARTESIAN_POINT('Ctrl Pts',(0.192767267769524,0.272440944881906,0.341860789163721)); +#8377=CARTESIAN_POINT('Ctrl Pts',(0.175123791365077,0.27244094488189,0.341860789163735)); +#8378=CARTESIAN_POINT('Ctrl Pts',(0.157480314960629,0.27244094488189,0.341860789163735)); +#8379=CARTESIAN_POINT('',(0.135655781557136,0.27244094488189,-0.0411896580691488)); +#8380=CARTESIAN_POINT('',(0.130679068816751,0.27244094488189,-0.00362956191530262)); +#8381=CARTESIAN_POINT('',(0.135655781557136,0.27244094488189,-0.0411896580691488)); +#8382=CARTESIAN_POINT('',(0.125973623437478,0.27244094488189,-0.00362956191530266)); +#8383=CARTESIAN_POINT('',(0.130679068816751,0.27244094488189,-0.00362956191530266)); +#8384=CARTESIAN_POINT('',(0.13284920770564,0.27244094488189,-0.055712895248636)); +#8385=CARTESIAN_POINT('',(0.125973623437478,0.27244094488189,-0.00362956191530266)); +#8386=CARTESIAN_POINT('',(0.133516942748375,0.27244094488189,-0.055712895248636)); +#8387=CARTESIAN_POINT('',(0.13284920770564,0.27244094488189,-0.055712895248636)); +#8388=CARTESIAN_POINT('',(0.155020097796452,0.27244094488189,-0.0129778525135933)); +#8389=CARTESIAN_POINT('',(0.133516942748375,0.27244094488189,-0.055712895248636)); +#8390=CARTESIAN_POINT('',(0.176471086044315,0.27244094488189,-0.055712895248636)); +#8391=CARTESIAN_POINT('',(0.155020097796452,0.27244094488189,-0.0129778525135933)); +#8392=CARTESIAN_POINT('',(0.177149254447093,0.27244094488189,-0.055712895248636)); +#8393=CARTESIAN_POINT('',(0.176471086044315,0.27244094488189,-0.055712895248636)); +#8394=CARTESIAN_POINT('',(0.184066572155426,0.27244094488189,-0.00362956191530266)); +#8395=CARTESIAN_POINT('',(0.177149254447093,0.27244094488189,-0.055712895248636)); +#8396=CARTESIAN_POINT('',(0.179371560136196,0.27244094488189,-0.00362956191530266)); +#8397=CARTESIAN_POINT('',(0.184066572155426,0.27244094488189,-0.00362956191530266)); +#8398=CARTESIAN_POINT('',(0.174384414035768,0.27244094488189,-0.0411061911888069)); +#8399=CARTESIAN_POINT('',(0.179371560136196,0.27244094488189,-0.00362956191530266)); +#8400=CARTESIAN_POINT('',(0.15558349923876,0.27244094488189,-0.00362956191530266)); +#8401=CARTESIAN_POINT('',(0.174384414035768,0.27244094488189,-0.0411061911888069)); +#8402=CARTESIAN_POINT('',(0.154529729874444,0.27244094488189,-0.00362956191530266)); +#8403=CARTESIAN_POINT('',(0.15558349923876,0.27244094488189,-0.00362956191530266)); +#8404=CARTESIAN_POINT('',(0.154529729874444,0.27244094488189,-0.00362956191530266)); +#8405=CARTESIAN_POINT('',(0.0458454183092726,0.27244094488189,-0.00362956191530266)); +#8406=CARTESIAN_POINT('',(0.0705516148904693,0.27244094488189,-0.055712895248636)); +#8407=CARTESIAN_POINT('',(0.0458454183092726,0.27244094488189,-0.00362956191530266)); +#8408=CARTESIAN_POINT('',(0.0712193499332042,0.27244094488189,-0.055712895248636)); +#8409=CARTESIAN_POINT('',(0.0705516148904692,0.27244094488189,-0.055712895248636)); +#8410=CARTESIAN_POINT('',(0.0952578114716658,0.27244094488189,-0.00362956191530266)); +#8411=CARTESIAN_POINT('',(0.0712193499332042,0.27244094488189,-0.055712895248636)); +#8412=CARTESIAN_POINT('',(0.0901767651308538,0.27244094488189,-0.00362956191530266)); +#8413=CARTESIAN_POINT('',(0.0952578114716658,0.27244094488189,-0.00362956191530266)); +#8414=CARTESIAN_POINT('',(0.0824769454193154,0.27244094488189,-0.0203229379836787)); +#8415=CARTESIAN_POINT('',(0.0901767651308538,0.27244094488189,-0.00362956191530266)); +#8416=CARTESIAN_POINT('',(0.058866251642606,0.27244094488189,-0.0203229379836787)); +#8417=CARTESIAN_POINT('',(0.0824769454193154,0.27244094488189,-0.0203229379836787)); +#8418=CARTESIAN_POINT('',(0.0509473313701701,0.27244094488189,-0.00362956191530266)); +#8419=CARTESIAN_POINT('',(0.058866251642606,0.27244094488189,-0.0203229379836787)); +#8420=CARTESIAN_POINT('',(0.0509473313701701,0.27244094488189,-0.00362956191530266)); +#8421=CARTESIAN_POINT('',(-0.0189248808360265,0.27244094488189,-0.0503710149067556)); +#8422=CARTESIAN_POINT('',(-0.026937701348847,0.27244094488189,-0.0503710149067556)); +#8423=CARTESIAN_POINT('',(-0.0189248808360265,0.27244094488189,-0.0503710149067556)); +#8424=CARTESIAN_POINT('',(-0.0238807268563257,0.27244094488189,-0.055712895248636)); +#8425=CARTESIAN_POINT('',(-0.026937701348847,0.27244094488189,-0.0503710149067556)); +#8426=CARTESIAN_POINT('',(-0.0142507355368812,0.27244094488189,-0.055712895248636)); +#8427=CARTESIAN_POINT('',(-0.0238807268563257,0.27244094488189,-0.055712895248636)); +#8428=CARTESIAN_POINT('',(-0.0142507355368812,0.27244094488189,-0.00362956191530266)); +#8429=CARTESIAN_POINT('',(-0.0142507355368812,0.27244094488189,-0.055712895248636)); +#8430=CARTESIAN_POINT('',(-0.0189248808360265,0.27244094488189,-0.00362956191530266)); +#8431=CARTESIAN_POINT('',(-0.0142507355368812,0.27244094488189,-0.00362956191530266)); +#8432=CARTESIAN_POINT('',(-0.0189248808360265,0.27244094488189,-0.00362956191530266)); +#8433=CARTESIAN_POINT('',(-0.0982497172409411,0.27244094488189,-0.00763597217171291)); +#8434=CARTESIAN_POINT('',(-0.0910402654514111,0.27244094488189,-0.0210637065467129)); +#8435=CARTESIAN_POINT('Ctrl Pts',(-0.0982497172409411,0.27244094488189, +-0.00763597217171291)); +#8436=CARTESIAN_POINT('Ctrl Pts',(-0.097889854317914,0.27244094488189,-0.00765119144156379)); +#8437=CARTESIAN_POINT('Ctrl Pts',(-0.0971785380042414,0.27244094488189, +-0.00768127432655802)); +#8438=CARTESIAN_POINT('Ctrl Pts',(-0.0961448476172153,0.27244094488189, +-0.0079468885419047)); +#8439=CARTESIAN_POINT('Ctrl Pts',(-0.0951558888194507,0.27244094488189, +-0.00835231120580007)); +#8440=CARTESIAN_POINT('Ctrl Pts',(-0.0942471141515786,0.27244094488189, +-0.00894593164875378)); +#8441=CARTESIAN_POINT('Ctrl Pts',(-0.0934095339866694,0.27244094488189, +-0.00965175636154494)); +#8442=CARTESIAN_POINT('Ctrl Pts',(-0.0927184205106677,0.27244094488189, +-0.0105052683952704)); +#8443=CARTESIAN_POINT('Ctrl Pts',(-0.0921422710391652,0.27244094488189, +-0.0114511907763184)); +#8444=CARTESIAN_POINT('Ctrl Pts',(-0.0917955494119252,0.27244094488189, +-0.0123465993156349)); +#8445=CARTESIAN_POINT('Ctrl Pts',(-0.091574645066523,0.27244094488189,-0.0131632158375564)); +#8446=CARTESIAN_POINT('Ctrl Pts',(-0.091434442285911,0.27244094488189,-0.0139137089309663)); +#8447=CARTESIAN_POINT('Ctrl Pts',(-0.0913118431729454,0.27244094488189, +-0.0147911118848495)); +#8448=CARTESIAN_POINT('Ctrl Pts',(-0.0912049748410784,0.27244094488189, +-0.0157964720085114)); +#8449=CARTESIAN_POINT('Ctrl Pts',(-0.0911424083148975,0.27244094488189, +-0.0169318020997591)); +#8450=CARTESIAN_POINT('Ctrl Pts',(-0.0910722108026225,0.27244094488189, +-0.0181937685123999)); +#8451=CARTESIAN_POINT('Ctrl Pts',(-0.0910468348965262,0.27244094488189, +-0.0195855529200798)); +#8452=CARTESIAN_POINT('Ctrl Pts',(-0.091042522085966,0.27244094488189,-0.0205559539903142)); +#8453=CARTESIAN_POINT('Ctrl Pts',(-0.0910402654514111,0.27244094488189, +-0.0210637065467129)); +#8454=CARTESIAN_POINT('',(-0.0910402654514111,0.27244094488189,-0.055712895248636)); +#8455=CARTESIAN_POINT('',(-0.0910402654514111,0.27244094488189,-0.0210637065467129)); +#8456=CARTESIAN_POINT('',(-0.0863661201522658,0.27244094488189,-0.055712895248636)); +#8457=CARTESIAN_POINT('',(-0.0910402654514111,0.27244094488189,-0.055712895248636)); +#8458=CARTESIAN_POINT('',(-0.0863661201522658,0.27244094488189,-0.0205420385445762)); +#8459=CARTESIAN_POINT('',(-0.0863661201522658,0.27244094488189,-0.055712895248636)); +#8460=CARTESIAN_POINT('',(-0.0985105512420094,0.27244094488189,-0.00229409182983257)); +#8461=CARTESIAN_POINT('Ctrl Pts',(-0.0863661201522658,0.27244094488189, +-0.0205420385445762)); +#8462=CARTESIAN_POINT('Ctrl Pts',(-0.0863707915604776,0.27244094488189, +-0.0198916639776748)); +#8463=CARTESIAN_POINT('Ctrl Pts',(-0.0863797612342677,0.27244094488189, +-0.0186428654479603)); +#8464=CARTESIAN_POINT('Ctrl Pts',(-0.0864290828196165,0.27244094488189, +-0.0168441467590966)); +#8465=CARTESIAN_POINT('Ctrl Pts',(-0.0865203548122727,0.27244094488189, +-0.0152017258334498)); +#8466=CARTESIAN_POINT('Ctrl Pts',(-0.0866533163076518,0.27244094488189, +-0.0137118679912959)); +#8467=CARTESIAN_POINT('Ctrl Pts',(-0.0868307007246698,0.27244094488189, +-0.012379240680466)); +#8468=CARTESIAN_POINT('Ctrl Pts',(-0.0870336922145509,0.27244094488189, +-0.0111935469629833)); +#8469=CARTESIAN_POINT('Ctrl Pts',(-0.0872794183868107,0.27244094488189, +-0.0101646826426285)); +#8470=CARTESIAN_POINT('Ctrl Pts',(-0.0876494550881662,0.27244094488189, +-0.00900939461081457)); +#8471=CARTESIAN_POINT('Ctrl Pts',(-0.0882562102748644,0.27244094488189, +-0.00774237370704419)); +#8472=CARTESIAN_POINT('Ctrl Pts',(-0.0891694102472596,0.27244094488189, +-0.00637399348969549)); +#8473=CARTESIAN_POINT('Ctrl Pts',(-0.0903038268608706,0.27244094488189, +-0.00517706773597069)); +#8474=CARTESIAN_POINT('Ctrl Pts',(-0.091617830330723,0.27244094488189,-0.00413759266282061)); +#8475=CARTESIAN_POINT('Ctrl Pts',(-0.0931229050938152,0.27244094488189, +-0.00330936005603007)); +#8476=CARTESIAN_POINT('Ctrl Pts',(-0.0947880571641151,0.27244094488189, +-0.00271478894874145)); +#8477=CARTESIAN_POINT('Ctrl Pts',(-0.0966030361439962,0.27244094488189, +-0.00235212739183258)); +#8478=CARTESIAN_POINT('Ctrl Pts',(-0.0978619529944781,0.27244094488189, +-0.0023138252326491)); +#8479=CARTESIAN_POINT('Ctrl Pts',(-0.0985105512420094,0.27244094488189, +-0.00229409182983257)); +#8480=CARTESIAN_POINT('',(-0.111072316733462,0.27244094488189,-0.00830370721444795)); +#8481=CARTESIAN_POINT('Ctrl Pts',(-0.0985105512420094,0.27244094488189, +-0.00229409182983257)); +#8482=CARTESIAN_POINT('Ctrl Pts',(-0.099015883954264,0.27244094488189,-0.00231017232282497)); +#8483=CARTESIAN_POINT('Ctrl Pts',(-0.10003264684094,0.27244094488189,-0.00234252733978236)); +#8484=CARTESIAN_POINT('Ctrl Pts',(-0.101545901435615,0.27244094488189,-0.00260624330778384)); +#8485=CARTESIAN_POINT('Ctrl Pts',(-0.103054859409676,0.27244094488189,-0.00301273204805722)); +#8486=CARTESIAN_POINT('Ctrl Pts',(-0.104567529779323,0.27244094488189,-0.00360800876529992)); +#8487=CARTESIAN_POINT('Ctrl Pts',(-0.106113798251763,0.27244094488189,-0.00441761588560638)); +#8488=CARTESIAN_POINT('Ctrl Pts',(-0.107714606982323,0.27244094488189,-0.00547691375136583)); +#8489=CARTESIAN_POINT('Ctrl Pts',(-0.109390547323973,0.27244094488189,-0.00678393051323058)); +#8490=CARTESIAN_POINT('Ctrl Pts',(-0.11049446527199,0.27244094488189,-0.00778151601335056)); +#8491=CARTESIAN_POINT('Ctrl Pts',(-0.111072316733462,0.27244094488189,-0.00830370721444795)); +#8492=CARTESIAN_POINT('',(-0.10831790968218,0.27244094488189,-0.0123101174708582)); +#8493=CARTESIAN_POINT('',(-0.111072316733462,0.27244094488189,-0.00830370721444795)); +#8494=CARTESIAN_POINT('',(-0.101661425974915,0.27244094488189,-0.00838717409478983)); +#8495=CARTESIAN_POINT('Ctrl Pts',(-0.10831790968218,0.27244094488189,-0.0123101174708582)); +#8496=CARTESIAN_POINT('Ctrl Pts',(-0.107682453149107,0.27244094488189,-0.0118719121704005)); +#8497=CARTESIAN_POINT('Ctrl Pts',(-0.106482628356247,0.27244094488189,-0.0110445234246916)); +#8498=CARTESIAN_POINT('Ctrl Pts',(-0.104719169414489,0.27244094488189,-0.00998013948955697)); +#8499=CARTESIAN_POINT('Ctrl Pts',(-0.103156711780472,0.27244094488189,-0.0090675626211434)); +#8500=CARTESIAN_POINT('Ctrl Pts',(-0.102134644111925,0.27244094488189,-0.00860249894418463)); +#8501=CARTESIAN_POINT('Ctrl Pts',(-0.101661425974915,0.27244094488189,-0.00838717409478983)); +#8502=CARTESIAN_POINT('Ctrl Pts',(-0.101661425974915,0.27244094488189,-0.00838717409478983)); +#8503=CARTESIAN_POINT('Ctrl Pts',(-0.101374457052905,0.27244094488189,-0.00827468217009077)); +#8504=CARTESIAN_POINT('Ctrl Pts',(-0.100811647093999,0.27244094488189,-0.00805406045581773)); +#8505=CARTESIAN_POINT('Ctrl Pts',(-0.0999511548370418,0.27244094488189, +-0.00780784173095083)); +#8506=CARTESIAN_POINT('Ctrl Pts',(-0.0990955739298804,0.27244094488189, +-0.00767328205952015)); +#8507=CARTESIAN_POINT('Ctrl Pts',(-0.0985283463425779,0.27244094488189, +-0.00764826222114781)); +#8508=CARTESIAN_POINT('Ctrl Pts',(-0.0982497172409411,0.27244094488189, +-0.00763597217171291)); +#8509=CARTESIAN_POINT('',(-0.210564838100984,0.27244094488189,-0.00362956191530266)); +#8510=CARTESIAN_POINT('',(-0.185858641519787,0.27244094488189,-0.055712895248636)); +#8511=CARTESIAN_POINT('',(-0.210564838100984,0.27244094488189,-0.00362956191530266)); +#8512=CARTESIAN_POINT('',(-0.185190906477052,0.27244094488189,-0.055712895248636)); +#8513=CARTESIAN_POINT('',(-0.185858641519787,0.27244094488189,-0.055712895248636)); +#8514=CARTESIAN_POINT('',(-0.161152444938591,0.27244094488189,-0.00362956191530266)); +#8515=CARTESIAN_POINT('',(-0.185190906477052,0.27244094488189,-0.055712895248636)); +#8516=CARTESIAN_POINT('',(-0.166233491279403,0.27244094488189,-0.00362956191530266)); +#8517=CARTESIAN_POINT('',(-0.161152444938591,0.27244094488189,-0.00362956191530266)); +#8518=CARTESIAN_POINT('',(-0.173933310990941,0.27244094488189,-0.0203229379836787)); +#8519=CARTESIAN_POINT('',(-0.166233491279403,0.27244094488189,-0.00362956191530266)); +#8520=CARTESIAN_POINT('',(-0.19754400476765,0.27244094488189,-0.0203229379836787)); +#8521=CARTESIAN_POINT('',(-0.173933310990941,0.27244094488189,-0.0203229379836787)); +#8522=CARTESIAN_POINT('',(-0.205462925040086,0.27244094488189,-0.00362956191530266)); +#8523=CARTESIAN_POINT('',(-0.19754400476765,0.27244094488189,-0.0203229379836787)); +#8524=CARTESIAN_POINT('',(-0.205462925040086,0.27244094488189,-0.00362956191530266)); +#8525=CARTESIAN_POINT('Origin',(-0.32007874015748,0.27244094488189,-0.425)); +#8526=CARTESIAN_POINT('',(-0.32007874015748,0.27244094488189,-0.425)); +#8527=CARTESIAN_POINT('Origin',(0.32007874015748,0.27244094488189,-0.425)); +#8528=CARTESIAN_POINT('Origin',(0.,0.,-0.425)); +#8529=CARTESIAN_POINT('Origin',(0.,0.,0.425)); +#8530=CARTESIAN_POINT('',(0.0984251968503938,-0.252755905511811,0.425)); +#8531=CARTESIAN_POINT('',(0.0984251968503938,-0.252755905511811,0.425)); +#8532=CARTESIAN_POINT('',(-0.0984251968503937,-0.252755905511811,0.425)); +#8533=CARTESIAN_POINT('',(-0.0984251968503937,-0.252755905511811,0.425)); +#8534=CARTESIAN_POINT('',(-0.0984251968503937,-0.252755905511811,0.425)); +#8535=CARTESIAN_POINT('',(-0.196850393700787,-0.00708661417322833,0.425)); +#8536=CARTESIAN_POINT('',(-0.236220472440945,-0.00708661417322833,0.425)); +#8537=CARTESIAN_POINT('',(-0.196850393700787,0.0716535433070866,0.425)); +#8538=CARTESIAN_POINT('',(-0.196850393700787,-0.00708661417322833,0.425)); +#8539=CARTESIAN_POINT('',(-0.236220472440945,0.0716535433070866,0.425)); +#8540=CARTESIAN_POINT('',(-0.196850393700787,0.0716535433070866,0.425)); +#8541=CARTESIAN_POINT('',(-0.236220472440945,0.189763779527559,0.425)); +#8542=CARTESIAN_POINT('',(-0.236220472440945,0.0716535433070866,0.425)); +#8543=CARTESIAN_POINT('',(0.236220472440945,0.189763779527559,0.425)); +#8544=CARTESIAN_POINT('',(-0.236220472440945,0.189763779527559,0.425)); +#8545=CARTESIAN_POINT('',(0.236220472440945,0.0716535433070867,0.425)); +#8546=CARTESIAN_POINT('',(0.236220472440945,0.0716535433070867,0.425)); +#8547=CARTESIAN_POINT('',(0.196850393700787,0.0716535433070867,0.425)); +#8548=CARTESIAN_POINT('',(0.196850393700787,0.0716535433070867,0.425)); +#8549=CARTESIAN_POINT('',(0.196850393700787,-0.00708661417322828,0.425)); +#8550=CARTESIAN_POINT('',(0.196850393700787,-0.00708661417322828,0.425)); +#8551=CARTESIAN_POINT('',(0.236220472440945,-0.00708661417322827,0.425)); +#8552=CARTESIAN_POINT('Origin',(0.0984251968503938,-0.252755905511811,0.405314960629921)); +#8553=CARTESIAN_POINT('',(0.0984251968503938,-0.252755905511811,0.405314960629921)); +#8554=CARTESIAN_POINT('Origin',(-0.0984251968503937,-0.252755905511811, +0.405314960629921)); +#8555=CARTESIAN_POINT('',(-0.0984251968503937,-0.252755905511811,0.405314960629921)); +#8556=CARTESIAN_POINT('Origin',(-0.0984251968503937,-0.252755905511811, +0.405314960629921)); +#8557=CARTESIAN_POINT('Origin',(-0.236220472440945,-0.00708661417322833, +0.405314960629921)); +#8558=CARTESIAN_POINT('',(-0.196850393700787,-0.00708661417322833,0.405314960629921)); +#8559=CARTESIAN_POINT('Origin',(-0.196850393700787,-0.00708661417322833, +0.405314960629921)); +#8560=CARTESIAN_POINT('',(-0.196850393700787,0.0716535433070866,0.405314960629921)); +#8561=CARTESIAN_POINT('Origin',(-0.196850393700787,0.0716535433070866,0.405314960629921)); +#8562=CARTESIAN_POINT('',(-0.236220472440945,0.0716535433070866,0.405314960629921)); +#8563=CARTESIAN_POINT('Origin',(-0.236220472440945,0.0716535433070866,0.405314960629921)); +#8564=CARTESIAN_POINT('',(-0.236220472440945,0.189763779527559,0.405314960629921)); +#8565=CARTESIAN_POINT('Origin',(-0.236220472440945,0.189763779527559,0.405314960629921)); +#8566=CARTESIAN_POINT('',(0.236220472440945,0.189763779527559,0.405314960629921)); +#8567=CARTESIAN_POINT('Origin',(0.236220472440945,0.0716535433070867,0.405314960629921)); +#8568=CARTESIAN_POINT('',(0.236220472440945,0.0716535433070867,0.405314960629921)); +#8569=CARTESIAN_POINT('Origin',(0.196850393700787,0.0716535433070867,0.405314960629921)); +#8570=CARTESIAN_POINT('',(0.196850393700787,0.0716535433070867,0.405314960629921)); +#8571=CARTESIAN_POINT('Origin',(0.196850393700787,-0.00708661417322828, +0.405314960629921)); +#8572=CARTESIAN_POINT('',(0.196850393700787,-0.00708661417322828,0.405314960629921)); +#8573=CARTESIAN_POINT('Origin',(0.236220472440945,-0.00708661417322827, +0.405314960629921)); +#8574=CARTESIAN_POINT('Origin',(0.306299212598425,-0.27244094488189,-0.149803149606299)); +#8575=CARTESIAN_POINT('',(0.306299212598425,-0.388582677165354,-0.149803149606299)); +#8576=CARTESIAN_POINT('',(0.306299212598425,-0.388582677165354,-0.149803149606299)); +#8577=CARTESIAN_POINT('',(0.306299212598425,-0.27244094488189,-0.149803149606299)); +#8578=CARTESIAN_POINT('Origin',(0.306299212598425,-0.402362204724409,-0.149803149606299)); +#8579=CARTESIAN_POINT('',(0.306299212598425,-0.402362204724409,-0.103637385117841)); +#8580=CARTESIAN_POINT('',(0.306299212598425,-0.402362204724409,-0.103637385117841)); +#8581=CARTESIAN_POINT('',(0.306299212598425,-0.402362204724409,-0.144787811732552)); +#8582=CARTESIAN_POINT('',(0.306299212598425,-0.402362204724409,-0.149803149606299)); +#8583=CARTESIAN_POINT('',(0.306299212598425,-0.402362204724409,-0.144787811732552)); +#8584=CARTESIAN_POINT('Origin',(0.306299212598425,-0.27244094488189,-0.0986220472440944)); +#8585=CARTESIAN_POINT('',(0.306299212598425,-0.388582677165354,-0.0986220472440944)); +#8586=CARTESIAN_POINT('',(0.306299212598425,-0.27244094488189,-0.0986220472440944)); +#8587=CARTESIAN_POINT('',(0.306299212598425,-0.388582677165354,-0.0986220472440944)); +#8588=CARTESIAN_POINT('Origin',(0.306299212598425,5.72542992684127E-18, +0.)); +#8589=CARTESIAN_POINT('',(0.306299212598425,-0.388582677165354,-0.0986220472440944)); +#8590=CARTESIAN_POINT('',(0.306299212598425,-0.402362204724409,-0.144787811732552)); +#8591=CARTESIAN_POINT('Origin',(0.306299212598425,-0.388582677165354,-0.0986220472440944)); +#8592=CARTESIAN_POINT('Origin',(0.306299212598425,-0.402362204724409,-0.144787811732552)); +#8593=CARTESIAN_POINT('Origin',(-0.306299212598425,-0.27244094488189,-0.0986220472440944)); +#8594=CARTESIAN_POINT('',(-0.306299212598425,-0.388582677165354,-0.0986220472440944)); +#8595=CARTESIAN_POINT('',(-0.306299212598425,-0.388582677165354,-0.0986220472440944)); +#8596=CARTESIAN_POINT('',(-0.306299212598425,-0.27244094488189,-0.0986220472440944)); +#8597=CARTESIAN_POINT('Origin',(-0.306299212598425,-0.402362204724409,-0.149803149606299)); +#8598=CARTESIAN_POINT('',(-0.306299212598425,-0.402362204724409,-0.103637385117841)); +#8599=CARTESIAN_POINT('',(-0.306299212598425,-0.402362204724409,-0.144787811732552)); +#8600=CARTESIAN_POINT('',(-0.306299212598425,-0.402362204724409,-0.149803149606299)); +#8601=CARTESIAN_POINT('',(-0.306299212598425,-0.402362204724409,-0.103637385117841)); +#8602=CARTESIAN_POINT('',(-0.306299212598425,-0.402362204724409,-0.144787811732552)); +#8603=CARTESIAN_POINT('Origin',(-0.306299212598425,-0.27244094488189,-0.149803149606299)); +#8604=CARTESIAN_POINT('',(-0.306299212598425,-0.388582677165354,-0.149803149606299)); +#8605=CARTESIAN_POINT('',(-0.306299212598425,-0.27244094488189,-0.149803149606299)); +#8606=CARTESIAN_POINT('',(-0.306299212598425,-0.388582677165354,-0.149803149606299)); +#8607=CARTESIAN_POINT('Origin',(-0.306299212598425,5.72542992684127E-18, +0.)); +#8608=CARTESIAN_POINT('',(-0.306299212598425,-0.402362204724409,-0.103637385117841)); +#8609=CARTESIAN_POINT('',(-0.306299212598425,-0.388582677165354,-0.149803149606299)); +#8610=CARTESIAN_POINT('Origin',(-0.306299212598425,-0.402362204724409,-0.103637385117841)); +#8611=CARTESIAN_POINT('Origin',(-0.306299212598425,-0.388582677165354,-0.149803149606299)); +#8612=CARTESIAN_POINT('Origin',(0.181889763779528,-0.27244094488189,-0.362992125984252)); +#8613=CARTESIAN_POINT('',(0.181889763779528,-0.40236220472441,-0.345275590551181)); +#8614=CARTESIAN_POINT('',(0.181889763779528,-0.27244094488189,-0.345275590551181)); +#8615=CARTESIAN_POINT('',(0.181889763779528,-0.40236220472441,-0.362992125984252)); +#8616=CARTESIAN_POINT('',(0.181889763779528,-0.40236220472441,-0.362992125984252)); +#8617=CARTESIAN_POINT('',(0.181889763779528,-0.27244094488189,-0.362992125984252)); +#8618=CARTESIAN_POINT('Origin',(0.181889763779528,-0.40236220472441,-0.362992125984252)); +#8619=CARTESIAN_POINT('',(0.168110236220472,-0.40236220472441,-0.345275590551181)); +#8620=CARTESIAN_POINT('',(0.181889763779528,-0.40236220472441,-0.345275590551181)); +#8621=CARTESIAN_POINT('',(0.168110236220472,-0.40236220472441,-0.362992125984252)); +#8622=CARTESIAN_POINT('',(0.168110236220472,-0.40236220472441,-0.362992125984252)); +#8623=CARTESIAN_POINT('',(0.181889763779528,-0.40236220472441,-0.362992125984252)); +#8624=CARTESIAN_POINT('Origin',(0.168110236220472,-0.27244094488189,-0.362992125984252)); +#8625=CARTESIAN_POINT('',(0.168110236220472,-0.27244094488189,-0.345275590551181)); +#8626=CARTESIAN_POINT('',(0.168110236220472,-0.27244094488189,-0.362992125984252)); +#8627=CARTESIAN_POINT('Origin',(0.,0.,-0.362992125984252)); +#8628=CARTESIAN_POINT('Origin',(0.,0.,-0.345275590551181)); +#8629=CARTESIAN_POINT('Origin',(0.0818897637795276,-0.27244094488189,-0.362992125984252)); +#8630=CARTESIAN_POINT('',(0.0818897637795275,-0.40236220472441,-0.345275590551181)); +#8631=CARTESIAN_POINT('',(0.0818897637795276,-0.27244094488189,-0.345275590551181)); +#8632=CARTESIAN_POINT('',(0.0818897637795275,-0.40236220472441,-0.362992125984252)); +#8633=CARTESIAN_POINT('',(0.0818897637795275,-0.40236220472441,-0.362992125984252)); +#8634=CARTESIAN_POINT('',(0.0818897637795276,-0.27244094488189,-0.362992125984252)); +#8635=CARTESIAN_POINT('Origin',(0.0818897637795275,-0.40236220472441,-0.362992125984252)); +#8636=CARTESIAN_POINT('',(0.0681102362204724,-0.40236220472441,-0.345275590551181)); +#8637=CARTESIAN_POINT('',(0.0818897637795275,-0.40236220472441,-0.345275590551181)); +#8638=CARTESIAN_POINT('',(0.0681102362204724,-0.40236220472441,-0.362992125984252)); +#8639=CARTESIAN_POINT('',(0.0681102362204724,-0.40236220472441,-0.362992125984252)); +#8640=CARTESIAN_POINT('',(0.0818897637795275,-0.40236220472441,-0.362992125984252)); +#8641=CARTESIAN_POINT('Origin',(0.0681102362204724,-0.27244094488189,-0.362992125984252)); +#8642=CARTESIAN_POINT('',(0.0681102362204724,-0.27244094488189,-0.345275590551181)); +#8643=CARTESIAN_POINT('',(0.0681102362204724,-0.27244094488189,-0.362992125984252)); +#8644=CARTESIAN_POINT('Origin',(-0.1,0.,-0.362992125984252)); +#8645=CARTESIAN_POINT('Origin',(-0.1,0.,-0.345275590551181)); +#8646=CARTESIAN_POINT('Origin',(-0.0181102362204724,-0.27244094488189,-0.362992125984252)); +#8647=CARTESIAN_POINT('',(-0.0181102362204725,-0.40236220472441,-0.345275590551181)); +#8648=CARTESIAN_POINT('',(-0.0181102362204724,-0.27244094488189,-0.345275590551181)); +#8649=CARTESIAN_POINT('',(-0.0181102362204725,-0.40236220472441,-0.362992125984252)); +#8650=CARTESIAN_POINT('',(-0.0181102362204725,-0.40236220472441,-0.362992125984252)); +#8651=CARTESIAN_POINT('',(-0.0181102362204724,-0.27244094488189,-0.362992125984252)); +#8652=CARTESIAN_POINT('Origin',(-0.0181102362204725,-0.40236220472441,-0.362992125984252)); +#8653=CARTESIAN_POINT('',(-0.0318897637795276,-0.40236220472441,-0.345275590551181)); +#8654=CARTESIAN_POINT('',(-0.0181102362204725,-0.40236220472441,-0.345275590551181)); +#8655=CARTESIAN_POINT('',(-0.0318897637795276,-0.40236220472441,-0.362992125984252)); +#8656=CARTESIAN_POINT('',(-0.0318897637795276,-0.40236220472441,-0.362992125984252)); +#8657=CARTESIAN_POINT('',(-0.0181102362204725,-0.40236220472441,-0.362992125984252)); +#8658=CARTESIAN_POINT('Origin',(-0.0318897637795276,-0.27244094488189,-0.362992125984252)); +#8659=CARTESIAN_POINT('',(-0.0318897637795276,-0.27244094488189,-0.345275590551181)); +#8660=CARTESIAN_POINT('',(-0.0318897637795276,-0.27244094488189,-0.362992125984252)); +#8661=CARTESIAN_POINT('Origin',(-0.2,0.,-0.362992125984252)); +#8662=CARTESIAN_POINT('Origin',(-0.2,0.,-0.345275590551181)); +#8663=CARTESIAN_POINT('Origin',(-0.118110236220472,-0.27244094488189,-0.362992125984252)); +#8664=CARTESIAN_POINT('',(-0.118110236220472,-0.40236220472441,-0.345275590551181)); +#8665=CARTESIAN_POINT('',(-0.118110236220472,-0.27244094488189,-0.345275590551181)); +#8666=CARTESIAN_POINT('',(-0.118110236220472,-0.40236220472441,-0.362992125984252)); +#8667=CARTESIAN_POINT('',(-0.118110236220472,-0.40236220472441,-0.362992125984252)); +#8668=CARTESIAN_POINT('',(-0.118110236220472,-0.27244094488189,-0.362992125984252)); +#8669=CARTESIAN_POINT('Origin',(-0.118110236220472,-0.40236220472441,-0.362992125984252)); +#8670=CARTESIAN_POINT('',(-0.131889763779528,-0.40236220472441,-0.345275590551181)); +#8671=CARTESIAN_POINT('',(-0.118110236220472,-0.40236220472441,-0.345275590551181)); +#8672=CARTESIAN_POINT('',(-0.131889763779528,-0.40236220472441,-0.362992125984252)); +#8673=CARTESIAN_POINT('',(-0.131889763779528,-0.40236220472441,-0.362992125984252)); +#8674=CARTESIAN_POINT('',(-0.118110236220472,-0.40236220472441,-0.362992125984252)); +#8675=CARTESIAN_POINT('Origin',(-0.131889763779528,-0.27244094488189,-0.362992125984252)); +#8676=CARTESIAN_POINT('',(-0.131889763779528,-0.27244094488189,-0.345275590551181)); +#8677=CARTESIAN_POINT('',(-0.131889763779528,-0.27244094488189,-0.362992125984252)); +#8678=CARTESIAN_POINT('Origin',(-0.3,0.,-0.362992125984252)); +#8679=CARTESIAN_POINT('Origin',(-0.3,0.,-0.345275590551181)); +#8680=CARTESIAN_POINT('Origin',(-0.218110236220472,-0.27244094488189,-0.362992125984252)); +#8681=CARTESIAN_POINT('',(-0.218110236220472,-0.40236220472441,-0.345275590551181)); +#8682=CARTESIAN_POINT('',(-0.218110236220472,-0.27244094488189,-0.345275590551181)); +#8683=CARTESIAN_POINT('',(-0.218110236220472,-0.40236220472441,-0.362992125984252)); +#8684=CARTESIAN_POINT('',(-0.218110236220472,-0.40236220472441,-0.362992125984252)); +#8685=CARTESIAN_POINT('',(-0.218110236220472,-0.27244094488189,-0.362992125984252)); +#8686=CARTESIAN_POINT('Origin',(-0.218110236220472,-0.40236220472441,-0.362992125984252)); +#8687=CARTESIAN_POINT('',(-0.231889763779528,-0.40236220472441,-0.345275590551181)); +#8688=CARTESIAN_POINT('',(-0.218110236220472,-0.40236220472441,-0.345275590551181)); +#8689=CARTESIAN_POINT('',(-0.231889763779528,-0.40236220472441,-0.362992125984252)); +#8690=CARTESIAN_POINT('',(-0.231889763779528,-0.40236220472441,-0.362992125984252)); +#8691=CARTESIAN_POINT('',(-0.218110236220472,-0.40236220472441,-0.362992125984252)); +#8692=CARTESIAN_POINT('Origin',(-0.231889763779528,-0.27244094488189,-0.362992125984252)); +#8693=CARTESIAN_POINT('',(-0.231889763779528,-0.27244094488189,-0.345275590551181)); +#8694=CARTESIAN_POINT('',(-0.231889763779528,-0.27244094488189,-0.362992125984252)); +#8695=CARTESIAN_POINT('Origin',(-0.4,0.,-0.362992125984252)); +#8696=CARTESIAN_POINT('Origin',(-0.4,0.,-0.345275590551181)); +#8697=CARTESIAN_POINT('Origin',(-0.185858641519787,0.27240157480315,-0.055712895248636)); +#8698=CARTESIAN_POINT('',(-0.185858641519787,0.27240157480315,-0.055712895248636)); +#8699=CARTESIAN_POINT('',(-0.185858641519787,0.27240157480315,-0.055712895248636)); +#8700=CARTESIAN_POINT('',(-0.185190906477052,0.27240157480315,-0.055712895248636)); +#8701=CARTESIAN_POINT('',(-0.185858641519787,0.27240157480315,-0.055712895248636)); +#8702=CARTESIAN_POINT('',(-0.185190906477052,0.27240157480315,-0.055712895248636)); +#8703=CARTESIAN_POINT('Origin',(-0.185190906477052,0.27240157480315,-0.055712895248636)); +#8704=CARTESIAN_POINT('',(-0.161152444938591,0.27240157480315,-0.00362956191530266)); +#8705=CARTESIAN_POINT('',(-0.185190906477052,0.27240157480315,-0.055712895248636)); +#8706=CARTESIAN_POINT('',(-0.161152444938591,0.27240157480315,-0.00362956191530266)); +#8707=CARTESIAN_POINT('Origin',(-0.161152444938591,0.27240157480315,-0.00362956191530266)); +#8708=CARTESIAN_POINT('',(-0.166233491279403,0.27240157480315,-0.00362956191530266)); +#8709=CARTESIAN_POINT('',(-0.161152444938591,0.27240157480315,-0.00362956191530266)); +#8710=CARTESIAN_POINT('',(-0.166233491279403,0.27240157480315,-0.00362956191530266)); +#8711=CARTESIAN_POINT('Origin',(-0.166233491279403,0.27240157480315,-0.00362956191530266)); +#8712=CARTESIAN_POINT('',(-0.173933310990941,0.27240157480315,-0.0203229379836787)); +#8713=CARTESIAN_POINT('',(-0.166233491279403,0.27240157480315,-0.00362956191530266)); +#8714=CARTESIAN_POINT('',(-0.173933310990941,0.27240157480315,-0.0203229379836787)); +#8715=CARTESIAN_POINT('Origin',(-0.173933310990941,0.27240157480315,-0.0203229379836787)); +#8716=CARTESIAN_POINT('',(-0.19754400476765,0.27240157480315,-0.0203229379836787)); +#8717=CARTESIAN_POINT('',(-0.173933310990941,0.27240157480315,-0.0203229379836787)); +#8718=CARTESIAN_POINT('',(-0.19754400476765,0.27240157480315,-0.0203229379836787)); +#8719=CARTESIAN_POINT('Origin',(-0.19754400476765,0.27240157480315,-0.0203229379836787)); +#8720=CARTESIAN_POINT('',(-0.205462925040086,0.27240157480315,-0.00362956191530266)); +#8721=CARTESIAN_POINT('',(-0.19754400476765,0.27240157480315,-0.0203229379836787)); +#8722=CARTESIAN_POINT('',(-0.205462925040086,0.27240157480315,-0.00362956191530266)); +#8723=CARTESIAN_POINT('Origin',(-0.205462925040086,0.27240157480315,-0.00362956191530266)); +#8724=CARTESIAN_POINT('',(-0.210564838100984,0.27240157480315,-0.00362956191530266)); +#8725=CARTESIAN_POINT('',(-0.205462925040086,0.27240157480315,-0.00362956191530266)); +#8726=CARTESIAN_POINT('',(-0.210564838100984,0.27240157480315,-0.00362956191530266)); +#8727=CARTESIAN_POINT('Origin',(-0.210564838100984,0.27240157480315,-0.00362956191530266)); +#8728=CARTESIAN_POINT('',(-0.210564838100984,0.27240157480315,-0.00362956191530266)); +#8729=CARTESIAN_POINT('Origin',(0.,0.27240157480315,0.)); +#8730=CARTESIAN_POINT('',(-0.195008698277266,0.27240157480315,-0.0256648183255591)); +#8731=CARTESIAN_POINT('',(-0.176395583961027,0.27240157480315,-0.0256648183255591)); +#8732=CARTESIAN_POINT('',(-0.195008698277266,0.27240157480315,-0.0256648183255591)); +#8733=CARTESIAN_POINT('',(-0.185576940798633,0.27240157480315,-0.0455612359270548)); +#8734=CARTESIAN_POINT('',(-0.185576940798633,0.27240157480315,-0.0455612359270548)); +#8735=CARTESIAN_POINT('',(-0.176395583961027,0.27240157480315,-0.0256648183255591)); +#8736=CARTESIAN_POINT('Origin',(-0.176395583961027,0.27240157480315,-0.0256648183255591)); +#8737=CARTESIAN_POINT('',(-0.176395583961027,0.27244094488189,-0.0256648183255591)); +#8738=CARTESIAN_POINT('',(-0.185576940798633,0.27244094488189,-0.0455612359270548)); +#8739=CARTESIAN_POINT('',(-0.176395583961027,0.27244094488189,-0.0256648183255591)); +#8740=CARTESIAN_POINT('',(-0.176395583961027,0.27240157480315,-0.0256648183255591)); +#8741=CARTESIAN_POINT('',(-0.185576940798633,0.27240157480315,-0.0455612359270548)); +#8742=CARTESIAN_POINT('Origin',(-0.195008698277266,0.27240157480315,-0.0256648183255591)); +#8743=CARTESIAN_POINT('',(-0.195008698277266,0.27244094488189,-0.0256648183255591)); +#8744=CARTESIAN_POINT('',(-0.195008698277266,0.27244094488189,-0.0256648183255591)); +#8745=CARTESIAN_POINT('',(-0.195008698277266,0.27240157480315,-0.0256648183255591)); +#8746=CARTESIAN_POINT('Origin',(-0.185576940798633,0.27240157480315,-0.0455612359270548)); +#8747=CARTESIAN_POINT('',(-0.185576940798633,0.27244094488189,-0.0455612359270548)); +#8748=CARTESIAN_POINT('Origin',(-0.32007874015748,0.27244094488189,-0.425)); +#8749=CARTESIAN_POINT('Origin',(-0.1518041543403,0.27240157480315,-0.00362956191530266)); +#8750=CARTESIAN_POINT('',(-0.1518041543403,0.27240157480315,-0.00362956191530266)); +#8751=CARTESIAN_POINT('',(-0.1518041543403,0.27240157480315,-0.00362956191530266)); +#8752=CARTESIAN_POINT('',(-0.1518041543403,0.27240157480315,-0.055712895248636)); +#8753=CARTESIAN_POINT('',(-0.1518041543403,0.27240157480315,-0.00362956191530266)); +#8754=CARTESIAN_POINT('',(-0.1518041543403,0.27240157480315,-0.055712895248636)); +#8755=CARTESIAN_POINT('Origin',(-0.1518041543403,0.27240157480315,-0.055712895248636)); +#8756=CARTESIAN_POINT('',(-0.141381227657608,0.27240157480315,-0.055712895248636)); +#8757=CARTESIAN_POINT('',(-0.1518041543403,0.27240157480315,-0.055712895248636)); +#8758=CARTESIAN_POINT('',(-0.141381227657608,0.27240157480315,-0.055712895248636)); +#8759=CARTESIAN_POINT('Ctrl Pts',(-0.141381227657608,0.27240157480315,-0.055712895248636)); +#8760=CARTESIAN_POINT('Ctrl Pts',(-0.141381227657608,0.272448818897638, +-0.055712895248636)); +#8761=CARTESIAN_POINT('Ctrl Pts',(-0.140685675149965,0.27240157480315,-0.0557105799809569)); +#8762=CARTESIAN_POINT('Ctrl Pts',(-0.140685675149965,0.272448818897638, +-0.0557105799809569)); +#8763=CARTESIAN_POINT('Ctrl Pts',(-0.139350137286735,0.27240157480315,-0.0557061344105435)); +#8764=CARTESIAN_POINT('Ctrl Pts',(-0.139350137286735,0.272448818897638, +-0.0557061344105435)); +#8765=CARTESIAN_POINT('Ctrl Pts',(-0.13743684457305,0.27240157480315,-0.0556813462720294)); +#8766=CARTESIAN_POINT('Ctrl Pts',(-0.13743684457305,0.272448818897638,-0.0556813462720294)); +#8767=CARTESIAN_POINT('Ctrl Pts',(-0.135698346105785,0.27240157480315,-0.0556102993120855)); +#8768=CARTESIAN_POINT('Ctrl Pts',(-0.135698346105785,0.272448818897638, +-0.0556102993120855)); +#8769=CARTESIAN_POINT('Ctrl Pts',(-0.134135550877852,0.27240157480315,-0.0555491596770093)); +#8770=CARTESIAN_POINT('Ctrl Pts',(-0.134135550877852,0.272448818897638, +-0.0555491596770093)); +#8771=CARTESIAN_POINT('Ctrl Pts',(-0.132748487559752,0.27240157480315,-0.0554376724549387)); +#8772=CARTESIAN_POINT('Ctrl Pts',(-0.132748487559752,0.272448818897638, +-0.0554376724549387)); +#8773=CARTESIAN_POINT('Ctrl Pts',(-0.131532902876003,0.27240157480315,-0.0553311152061321)); +#8774=CARTESIAN_POINT('Ctrl Pts',(-0.131532902876003,0.272448818897638, +-0.0553311152061321)); +#8775=CARTESIAN_POINT('Ctrl Pts',(-0.130494395472711,0.27240157480315,-0.0551916262064453)); +#8776=CARTESIAN_POINT('Ctrl Pts',(-0.130494395472711,0.272448818897638, +-0.0551916262064453)); +#8777=CARTESIAN_POINT('Ctrl Pts',(-0.129865736971184,0.27240157480315,-0.0550620812218377)); +#8778=CARTESIAN_POINT('Ctrl Pts',(-0.129865736971184,0.272448818897638, +-0.0550620812218377)); +#8779=CARTESIAN_POINT('Ctrl Pts',(-0.129581097449274,0.27240157480315,-0.05500342676573)); +#8780=CARTESIAN_POINT('Ctrl Pts',(-0.129581097449274,0.272448818897638, +-0.05500342676573)); +#8781=CARTESIAN_POINT('',(-0.129581097449274,0.27240157480315,-0.05500342676573)); +#8782=CARTESIAN_POINT('Ctrl Pts',(-0.141381227657608,0.27240157480315,-0.055712895248636)); +#8783=CARTESIAN_POINT('Ctrl Pts',(-0.140685675149965,0.27240157480315,-0.0557105799809569)); +#8784=CARTESIAN_POINT('Ctrl Pts',(-0.139350137286735,0.27240157480315,-0.0557061344105435)); +#8785=CARTESIAN_POINT('Ctrl Pts',(-0.13743684457305,0.27240157480315,-0.0556813462720294)); +#8786=CARTESIAN_POINT('Ctrl Pts',(-0.135698346105785,0.27240157480315,-0.0556102993120855)); +#8787=CARTESIAN_POINT('Ctrl Pts',(-0.134135550877852,0.27240157480315,-0.0555491596770093)); +#8788=CARTESIAN_POINT('Ctrl Pts',(-0.132748487559752,0.27240157480315,-0.0554376724549387)); +#8789=CARTESIAN_POINT('Ctrl Pts',(-0.131532902876003,0.27240157480315,-0.0553311152061321)); +#8790=CARTESIAN_POINT('Ctrl Pts',(-0.130494395472711,0.27240157480315,-0.0551916262064453)); +#8791=CARTESIAN_POINT('Ctrl Pts',(-0.129865736971184,0.27240157480315,-0.0550620812218377)); +#8792=CARTESIAN_POINT('Ctrl Pts',(-0.129581097449274,0.27240157480315,-0.05500342676573)); +#8793=CARTESIAN_POINT('',(-0.129581097449274,0.27240157480315,-0.05500342676573)); +#8794=CARTESIAN_POINT('Ctrl Pts',(-0.129581097449274,0.27240157480315,-0.05500342676573)); +#8795=CARTESIAN_POINT('Ctrl Pts',(-0.129581097449274,0.272448818897638, +-0.05500342676573)); +#8796=CARTESIAN_POINT('Ctrl Pts',(-0.129200046494857,0.27240157480315,-0.0549092784715995)); +#8797=CARTESIAN_POINT('Ctrl Pts',(-0.129200046494857,0.272448818897638, +-0.0549092784715995)); +#8798=CARTESIAN_POINT('Ctrl Pts',(-0.128446073437242,0.27240157480315,-0.0547229903220175)); +#8799=CARTESIAN_POINT('Ctrl Pts',(-0.128446073437242,0.272448818897638, +-0.0547229903220175)); +#8800=CARTESIAN_POINT('Ctrl Pts',(-0.127360506978315,0.27240157480315,-0.0543292462621419)); +#8801=CARTESIAN_POINT('Ctrl Pts',(-0.127360506978315,0.272448818897638, +-0.0543292462621419)); +#8802=CARTESIAN_POINT('Ctrl Pts',(-0.126326067633795,0.27240157480315,-0.0538735970861791)); +#8803=CARTESIAN_POINT('Ctrl Pts',(-0.126326067633795,0.272448818897638, +-0.0538735970861791)); +#8804=CARTESIAN_POINT('Ctrl Pts',(-0.125347052390912,0.27240157480315,-0.0533384226766838)); +#8805=CARTESIAN_POINT('Ctrl Pts',(-0.125347052390912,0.272448818897638, +-0.0533384226766838)); +#8806=CARTESIAN_POINT('Ctrl Pts',(-0.124428365022007,0.27240157480315,-0.0527197503601284)); +#8807=CARTESIAN_POINT('Ctrl Pts',(-0.124428365022007,0.272448818897638, +-0.0527197503601284)); +#8808=CARTESIAN_POINT('Ctrl Pts',(-0.123560533016134,0.27240157480315,-0.0520282604429387)); +#8809=CARTESIAN_POINT('Ctrl Pts',(-0.123560533016134,0.272448818897638, +-0.0520282604429387)); +#8810=CARTESIAN_POINT('Ctrl Pts',(-0.122739618382504,0.27240157480315,-0.0512677365475448)); +#8811=CARTESIAN_POINT('Ctrl Pts',(-0.122739618382504,0.272448818897638, +-0.0512677365475448)); +#8812=CARTESIAN_POINT('Ctrl Pts',(-0.121998820314344,0.27240157480315,-0.0504190037870885)); +#8813=CARTESIAN_POINT('Ctrl Pts',(-0.121998820314344,0.272448818897638, +-0.0504190037870885)); +#8814=CARTESIAN_POINT('Ctrl Pts',(-0.12130032252127,0.27240157480315,-0.0495285795358981)); +#8815=CARTESIAN_POINT('Ctrl Pts',(-0.12130032252127,0.272448818897638,-0.0495285795358981)); +#8816=CARTESIAN_POINT('Ctrl Pts',(-0.120711206055488,0.27240157480315,-0.0485657260114758)); +#8817=CARTESIAN_POINT('Ctrl Pts',(-0.120711206055488,0.272448818897638, +-0.0485657260114758)); +#8818=CARTESIAN_POINT('Ctrl Pts',(-0.120203371535808,0.27240157480315,-0.0475588580423684)); +#8819=CARTESIAN_POINT('Ctrl Pts',(-0.120203371535808,0.272448818897638, +-0.0475588580423684)); +#8820=CARTESIAN_POINT('Ctrl Pts',(-0.119799048366163,0.27240157480315,-0.0464977357543404)); +#8821=CARTESIAN_POINT('Ctrl Pts',(-0.119799048366163,0.272448818897638, +-0.0464977357543404)); +#8822=CARTESIAN_POINT('Ctrl Pts',(-0.119473483284717,0.27240157480315,-0.0453926498635276)); +#8823=CARTESIAN_POINT('Ctrl Pts',(-0.119473483284717,0.272448818897638, +-0.0453926498635276)); +#8824=CARTESIAN_POINT('Ctrl Pts',(-0.119243926151221,0.27240157480315,-0.0442335903884204)); +#8825=CARTESIAN_POINT('Ctrl Pts',(-0.119243926151221,0.272448818897638, +-0.0442335903884204)); +#8826=CARTESIAN_POINT('Ctrl Pts',(-0.119106259668619,0.27240157480315,-0.043025837783005)); +#8827=CARTESIAN_POINT('Ctrl Pts',(-0.119106259668619,0.272448818897638, +-0.043025837783005)); +#8828=CARTESIAN_POINT('Ctrl Pts',(-0.119092243960978,0.27240157480315,-0.0422020593783679)); +#8829=CARTESIAN_POINT('Ctrl Pts',(-0.119092243960978,0.272448818897638, +-0.0422020593783679)); +#8830=CARTESIAN_POINT('Ctrl Pts',(-0.119085137246283,0.27240157480315,-0.0417843595915847)); +#8831=CARTESIAN_POINT('Ctrl Pts',(-0.119085137246283,0.272448818897638, +-0.0417843595915847)); +#8832=CARTESIAN_POINT('',(-0.119085137246283,0.27240157480315,-0.0417843595915847)); +#8833=CARTESIAN_POINT('Ctrl Pts',(-0.129581097449274,0.27240157480315,-0.05500342676573)); +#8834=CARTESIAN_POINT('Ctrl Pts',(-0.129200046494857,0.27240157480315,-0.0549092784715995)); +#8835=CARTESIAN_POINT('Ctrl Pts',(-0.128446073437242,0.27240157480315,-0.0547229903220175)); +#8836=CARTESIAN_POINT('Ctrl Pts',(-0.127360506978315,0.27240157480315,-0.0543292462621419)); +#8837=CARTESIAN_POINT('Ctrl Pts',(-0.126326067633795,0.27240157480315,-0.0538735970861791)); +#8838=CARTESIAN_POINT('Ctrl Pts',(-0.125347052390912,0.27240157480315,-0.0533384226766838)); +#8839=CARTESIAN_POINT('Ctrl Pts',(-0.124428365022007,0.27240157480315,-0.0527197503601284)); +#8840=CARTESIAN_POINT('Ctrl Pts',(-0.123560533016134,0.27240157480315,-0.0520282604429387)); +#8841=CARTESIAN_POINT('Ctrl Pts',(-0.122739618382504,0.27240157480315,-0.0512677365475448)); +#8842=CARTESIAN_POINT('Ctrl Pts',(-0.121998820314344,0.27240157480315,-0.0504190037870885)); +#8843=CARTESIAN_POINT('Ctrl Pts',(-0.12130032252127,0.27240157480315,-0.0495285795358981)); +#8844=CARTESIAN_POINT('Ctrl Pts',(-0.120711206055488,0.27240157480315,-0.0485657260114758)); +#8845=CARTESIAN_POINT('Ctrl Pts',(-0.120203371535808,0.27240157480315,-0.0475588580423684)); +#8846=CARTESIAN_POINT('Ctrl Pts',(-0.119799048366163,0.27240157480315,-0.0464977357543404)); +#8847=CARTESIAN_POINT('Ctrl Pts',(-0.119473483284717,0.27240157480315,-0.0453926498635276)); +#8848=CARTESIAN_POINT('Ctrl Pts',(-0.119243926151221,0.27240157480315,-0.0442335903884204)); +#8849=CARTESIAN_POINT('Ctrl Pts',(-0.119106259668619,0.27240157480315,-0.043025837783005)); +#8850=CARTESIAN_POINT('Ctrl Pts',(-0.119092243960978,0.27240157480315,-0.0422020593783679)); +#8851=CARTESIAN_POINT('Ctrl Pts',(-0.119085137246283,0.27240157480315,-0.0417843595915847)); +#8852=CARTESIAN_POINT('',(-0.119085137246283,0.27240157480315,-0.0417843595915847)); +#8853=CARTESIAN_POINT('Ctrl Pts',(-0.119085137246283,0.27240157480315,-0.0417843595915847)); +#8854=CARTESIAN_POINT('Ctrl Pts',(-0.119085137246283,0.272448818897638, +-0.0417843595915847)); +#8855=CARTESIAN_POINT('Ctrl Pts',(-0.119106997337183,0.27240157480315,-0.0410903848205885)); +#8856=CARTESIAN_POINT('Ctrl Pts',(-0.119106997337183,0.272448818897638, +-0.0410903848205885)); +#8857=CARTESIAN_POINT('Ctrl Pts',(-0.119149652036434,0.27240157480315,-0.0397362602984448)); +#8858=CARTESIAN_POINT('Ctrl Pts',(-0.119149652036434,0.272448818897638, +-0.0397362602984448)); +#8859=CARTESIAN_POINT('Ctrl Pts',(-0.119547347031672,0.27240157480315,-0.0377710686108142)); +#8860=CARTESIAN_POINT('Ctrl Pts',(-0.119547347031672,0.272448818897638, +-0.0377710686108142)); +#8861=CARTESIAN_POINT('Ctrl Pts',(-0.12019878333716,0.27240157480315,-0.0359378942858076)); +#8862=CARTESIAN_POINT('Ctrl Pts',(-0.12019878333716,0.272448818897638,-0.0359378942858076)); +#8863=CARTESIAN_POINT('Ctrl Pts',(-0.12109645132837,0.27240157480315,-0.0342390455073036)); +#8864=CARTESIAN_POINT('Ctrl Pts',(-0.12109645132837,0.272448818897638,-0.0342390455073036)); +#8865=CARTESIAN_POINT('Ctrl Pts',(-0.122239598288392,0.27240157480315,-0.0327076370942065)); +#8866=CARTESIAN_POINT('Ctrl Pts',(-0.122239598288392,0.272448818897638, +-0.0327076370942065)); +#8867=CARTESIAN_POINT('Ctrl Pts',(-0.123613479108382,0.27240157480315,-0.0313625410331368)); +#8868=CARTESIAN_POINT('Ctrl Pts',(-0.123613479108382,0.272448818897638, +-0.0313625410331368)); +#8869=CARTESIAN_POINT('Ctrl Pts',(-0.12521886023174,0.27240157480315,-0.0302369470957735)); +#8870=CARTESIAN_POINT('Ctrl Pts',(-0.12521886023174,0.272448818897638,-0.0302369470957735)); +#8871=CARTESIAN_POINT('Ctrl Pts',(-0.126713344099883,0.27240157480315,-0.029456399406763)); +#8872=CARTESIAN_POINT('Ctrl Pts',(-0.126713344099883,0.272448818897638, +-0.029456399406763)); +#8873=CARTESIAN_POINT('Ctrl Pts',(-0.128033495881083,0.27240157480315,-0.0289565049331804)); +#8874=CARTESIAN_POINT('Ctrl Pts',(-0.128033495881083,0.272448818897638, +-0.0289565049331804)); +#8875=CARTESIAN_POINT('Ctrl Pts',(-0.129120301130905,0.27240157480315,-0.0286047734025399)); +#8876=CARTESIAN_POINT('Ctrl Pts',(-0.129120301130905,0.272448818897638, +-0.0286047734025399)); +#8877=CARTESIAN_POINT('Ctrl Pts',(-0.130305821629354,0.27240157480315,-0.0283330179668691)); +#8878=CARTESIAN_POINT('Ctrl Pts',(-0.130305821629354,0.272448818897638, +-0.0283330179668691)); +#8879=CARTESIAN_POINT('Ctrl Pts',(-0.131578698632418,0.27240157480315,-0.0280895636250449)); +#8880=CARTESIAN_POINT('Ctrl Pts',(-0.131578698632418,0.272448818897638, +-0.0280895636250449)); +#8881=CARTESIAN_POINT('Ctrl Pts',(-0.132944229220098,0.27240157480315,-0.0279057586486829)); +#8882=CARTESIAN_POINT('Ctrl Pts',(-0.132944229220098,0.272448818897638, +-0.0279057586486829)); +#8883=CARTESIAN_POINT('Ctrl Pts',(-0.134399140520533,0.27240157480315,-0.0277711367071747)); +#8884=CARTESIAN_POINT('Ctrl Pts',(-0.134399140520533,0.272448818897638, +-0.0277711367071747)); +#8885=CARTESIAN_POINT('Ctrl Pts',(-0.135944470157885,0.27240157480315,-0.0276869990777359)); +#8886=CARTESIAN_POINT('Ctrl Pts',(-0.135944470157885,0.272448818897638, +-0.0276869990777359)); +#8887=CARTESIAN_POINT('Ctrl Pts',(-0.137006063451831,0.27240157480315,-0.0276744692430791)); +#8888=CARTESIAN_POINT('Ctrl Pts',(-0.137006063451831,0.272448818897638, +-0.0276744692430791)); +#8889=CARTESIAN_POINT('Ctrl Pts',(-0.137552184521924,0.27240157480315,-0.0276680234537642)); +#8890=CARTESIAN_POINT('Ctrl Pts',(-0.137552184521924,0.272448818897638, +-0.0276680234537642)); +#8891=CARTESIAN_POINT('',(-0.137552184521924,0.27240157480315,-0.0276680234537642)); +#8892=CARTESIAN_POINT('Ctrl Pts',(-0.119085137246283,0.27240157480315,-0.0417843595915847)); +#8893=CARTESIAN_POINT('Ctrl Pts',(-0.119106997337183,0.27240157480315,-0.0410903848205885)); +#8894=CARTESIAN_POINT('Ctrl Pts',(-0.119149652036434,0.27240157480315,-0.0397362602984448)); +#8895=CARTESIAN_POINT('Ctrl Pts',(-0.119547347031672,0.27240157480315,-0.0377710686108142)); +#8896=CARTESIAN_POINT('Ctrl Pts',(-0.12019878333716,0.27240157480315,-0.0359378942858076)); +#8897=CARTESIAN_POINT('Ctrl Pts',(-0.12109645132837,0.27240157480315,-0.0342390455073036)); +#8898=CARTESIAN_POINT('Ctrl Pts',(-0.122239598288392,0.27240157480315,-0.0327076370942065)); +#8899=CARTESIAN_POINT('Ctrl Pts',(-0.123613479108382,0.27240157480315,-0.0313625410331368)); +#8900=CARTESIAN_POINT('Ctrl Pts',(-0.12521886023174,0.27240157480315,-0.0302369470957735)); +#8901=CARTESIAN_POINT('Ctrl Pts',(-0.126713344099883,0.27240157480315,-0.029456399406763)); +#8902=CARTESIAN_POINT('Ctrl Pts',(-0.128033495881083,0.27240157480315,-0.0289565049331804)); +#8903=CARTESIAN_POINT('Ctrl Pts',(-0.129120301130905,0.27240157480315,-0.0286047734025399)); +#8904=CARTESIAN_POINT('Ctrl Pts',(-0.130305821629354,0.27240157480315,-0.0283330179668691)); +#8905=CARTESIAN_POINT('Ctrl Pts',(-0.131578698632418,0.27240157480315,-0.0280895636250449)); +#8906=CARTESIAN_POINT('Ctrl Pts',(-0.132944229220098,0.27240157480315,-0.0279057586486829)); +#8907=CARTESIAN_POINT('Ctrl Pts',(-0.134399140520533,0.27240157480315,-0.0277711367071747)); +#8908=CARTESIAN_POINT('Ctrl Pts',(-0.135944470157885,0.27240157480315,-0.0276869990777359)); +#8909=CARTESIAN_POINT('Ctrl Pts',(-0.137006063451831,0.27240157480315,-0.0276744692430791)); +#8910=CARTESIAN_POINT('Ctrl Pts',(-0.137552184521924,0.27240157480315,-0.0276680234537642)); +#8911=CARTESIAN_POINT('',(-0.137552184521924,0.27240157480315,-0.0276680234537642)); +#8912=CARTESIAN_POINT('Origin',(-0.137552184521924,0.27240157480315,-0.0276680234537642)); +#8913=CARTESIAN_POINT('',(-0.118417402203548,0.27240157480315,-0.00362956191530266)); +#8914=CARTESIAN_POINT('',(-0.137552184521924,0.27240157480315,-0.0276680234537642)); +#8915=CARTESIAN_POINT('',(-0.118417402203548,0.27240157480315,-0.00362956191530266)); +#8916=CARTESIAN_POINT('Origin',(-0.118417402203548,0.27240157480315,-0.00362956191530266)); +#8917=CARTESIAN_POINT('',(-0.124197483667223,0.27240157480315,-0.00362956191530266)); +#8918=CARTESIAN_POINT('',(-0.118417402203548,0.27240157480315,-0.00362956191530266)); +#8919=CARTESIAN_POINT('',(-0.124197483667223,0.27240157480315,-0.00362956191530266)); +#8920=CARTESIAN_POINT('Origin',(-0.124197483667223,0.27240157480315,-0.00362956191530266)); +#8921=CARTESIAN_POINT('',(-0.143332265985599,0.27240157480315,-0.0276680234537642)); +#8922=CARTESIAN_POINT('',(-0.124197483667223,0.27240157480315,-0.00362956191530266)); +#8923=CARTESIAN_POINT('',(-0.143332265985599,0.27240157480315,-0.0276680234537642)); +#8924=CARTESIAN_POINT('Origin',(-0.143332265985599,0.27240157480315,-0.0276680234537642)); +#8925=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.0276680234537642)); +#8926=CARTESIAN_POINT('',(-0.143332265985599,0.27240157480315,-0.0276680234537642)); +#8927=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.0276680234537642)); +#8928=CARTESIAN_POINT('Origin',(-0.147130009041155,0.27240157480315,-0.0276680234537642)); +#8929=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.00362956191530266)); +#8930=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.0276680234537642)); +#8931=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.00362956191530266)); +#8932=CARTESIAN_POINT('Origin',(-0.147130009041155,0.27240157480315,-0.00362956191530266)); +#8933=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.00362956191530266)); +#8934=CARTESIAN_POINT('Origin',(-0.135463317690264,0.27240157480315,-0.0556013873327879)); +#8935=CARTESIAN_POINT('',(-0.123759282545428,0.27240157480315,-0.0417947929516274)); +#8936=CARTESIAN_POINT('',(-0.137364384041155,0.27240157480315,-0.0503710149067556)); +#8937=CARTESIAN_POINT('Ctrl Pts',(-0.123759282545428,0.27240157480315,-0.0417947929516274)); +#8938=CARTESIAN_POINT('Ctrl Pts',(-0.123777171252102,0.27240157480315,-0.0422136407749859)); +#8939=CARTESIAN_POINT('Ctrl Pts',(-0.123812620141288,0.27240157480315,-0.0430436443267504)); +#8940=CARTESIAN_POINT('Ctrl Pts',(-0.124102036339602,0.27240157480315,-0.0442587620393637)); +#8941=CARTESIAN_POINT('Ctrl Pts',(-0.124591461657406,0.27240157480315,-0.045405591654405)); +#8942=CARTESIAN_POINT('Ctrl Pts',(-0.125251018769382,0.27240157480315,-0.0464794679191624)); +#8943=CARTESIAN_POINT('Ctrl Pts',(-0.126054577622991,0.27240157480315,-0.0474542556550909)); +#8944=CARTESIAN_POINT('Ctrl Pts',(-0.126996806978566,0.27240157480315,-0.0482882906708277)); +#8945=CARTESIAN_POINT('Ctrl Pts',(-0.128051943811156,0.27240157480315,-0.0489765267523471)); +#8946=CARTESIAN_POINT('Ctrl Pts',(-0.129038122358059,0.27240157480315,-0.0494163240813926)); +#8947=CARTESIAN_POINT('Ctrl Pts',(-0.129919583853482,0.27240157480315,-0.0496859647546952)); +#8948=CARTESIAN_POINT('Ctrl Pts',(-0.130684906175519,0.27240157480315,-0.0498757142161691)); +#8949=CARTESIAN_POINT('Ctrl Pts',(-0.131549660308248,0.27240157480315,-0.0500281616704836)); +#8950=CARTESIAN_POINT('Ctrl Pts',(-0.132518045109021,0.27240157480315,-0.0501503054335077)); +#8951=CARTESIAN_POINT('Ctrl Pts',(-0.13358592651951,0.27240157480315,-0.0502552897229242)); +#8952=CARTESIAN_POINT('Ctrl Pts',(-0.134758704065515,0.27240157480315,-0.0503260007148606)); +#8953=CARTESIAN_POINT('Ctrl Pts',(-0.136028596628927,0.27240157480315,-0.0503678736926286)); +#8954=CARTESIAN_POINT('Ctrl Pts',(-0.136908759295466,0.27240157480315,-0.0503699434676369)); +#8955=CARTESIAN_POINT('Ctrl Pts',(-0.137364384041155,0.27240157480315,-0.0503710149067556)); +#8956=CARTESIAN_POINT('',(-0.137593917962095,0.27240157480315,-0.0329368702753454)); +#8957=CARTESIAN_POINT('Ctrl Pts',(-0.137593917962095,0.27240157480315,-0.0329368702753454)); +#8958=CARTESIAN_POINT('Ctrl Pts',(-0.137148679287663,0.27240157480315,-0.0329366733013252)); +#8959=CARTESIAN_POINT('Ctrl Pts',(-0.136285679925767,0.27240157480315,-0.0329362915095727)); +#8960=CARTESIAN_POINT('Ctrl Pts',(-0.135037158127978,0.27240157480315,-0.0329957227036733)); +#8961=CARTESIAN_POINT('Ctrl Pts',(-0.133874656465901,0.27240157480315,-0.0330633316060985)); +#8962=CARTESIAN_POINT('Ctrl Pts',(-0.132802621463484,0.27240157480315,-0.0331667444861096)); +#8963=CARTESIAN_POINT('Ctrl Pts',(-0.131820574211609,0.27240157480315,-0.033300019362981)); +#8964=CARTESIAN_POINT('Ctrl Pts',(-0.130929005562479,0.27240157480315,-0.0334667304379606)); +#8965=CARTESIAN_POINT('Ctrl Pts',(-0.130126460147348,0.27240157480315,-0.0336609575606821)); +#8966=CARTESIAN_POINT('Ctrl Pts',(-0.129190469992249,0.27240157480315,-0.0339615769923782)); +#8967=CARTESIAN_POINT('Ctrl Pts',(-0.128145283513723,0.27240157480315,-0.0344378570260874)); +#8968=CARTESIAN_POINT('Ctrl Pts',(-0.127009398694124,0.27240157480315,-0.0351307959947561)); +#8969=CARTESIAN_POINT('Ctrl Pts',(-0.126042102001408,0.27240157480315,-0.0360023602680818)); +#8970=CARTESIAN_POINT('Ctrl Pts',(-0.125224871907584,0.27240157480315,-0.0369989890781177)); +#8971=CARTESIAN_POINT('Ctrl Pts',(-0.124568183939201,0.27240157480315,-0.038094766590583)); +#8972=CARTESIAN_POINT('Ctrl Pts',(-0.124105206940175,0.27240157480315,-0.0392765577991573)); +#8973=CARTESIAN_POINT('Ctrl Pts',(-0.123811420596543,0.27240157480315,-0.0405145280076038)); +#8974=CARTESIAN_POINT('Ctrl Pts',(-0.123776769622593,0.27240157480315,-0.0413653927076743)); +#8975=CARTESIAN_POINT('Ctrl Pts',(-0.123759282545428,0.27240157480315,-0.0417947929516274)); +#8976=CARTESIAN_POINT('',(-0.147130009041155,0.272401574802869,-0.0330099037956445)); +#8977=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.0330099037956445)); +#8978=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.0503710149067556)); +#8979=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.0503710149067556)); +#8980=CARTESIAN_POINT('',(-0.137364384041155,0.27240157480315,-0.0503710149067556)); +#8981=CARTESIAN_POINT('Origin',(-0.137364384041155,0.27240157480315,-0.0503710149067556)); +#8982=CARTESIAN_POINT('',(-0.137364384041155,0.27244094488189,-0.0503710149067556)); +#8983=CARTESIAN_POINT('',(-0.147130009041155,0.272440944881824,-0.0503710149067556)); +#8984=CARTESIAN_POINT('',(-0.137364384041155,0.27244094488189,-0.0503710149067556)); +#8985=CARTESIAN_POINT('',(-0.137364384041155,0.27240157480315,-0.0503710149067556)); +#8986=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.0503710149067556)); +#8987=CARTESIAN_POINT('Ctrl Pts',(-0.123759282545428,0.27240157480315,-0.0417947929516274)); +#8988=CARTESIAN_POINT('Ctrl Pts',(-0.123759282545428,0.272448818897638, +-0.0417947929516274)); +#8989=CARTESIAN_POINT('Ctrl Pts',(-0.123777171252102,0.27240157480315,-0.0422136407749859)); +#8990=CARTESIAN_POINT('Ctrl Pts',(-0.123777171252102,0.272448818897638, +-0.0422136407749859)); +#8991=CARTESIAN_POINT('Ctrl Pts',(-0.123812620141288,0.27240157480315,-0.0430436443267504)); +#8992=CARTESIAN_POINT('Ctrl Pts',(-0.123812620141288,0.272448818897638, +-0.0430436443267504)); +#8993=CARTESIAN_POINT('Ctrl Pts',(-0.124102036339602,0.27240157480315,-0.0442587620393637)); +#8994=CARTESIAN_POINT('Ctrl Pts',(-0.124102036339602,0.272448818897638, +-0.0442587620393637)); +#8995=CARTESIAN_POINT('Ctrl Pts',(-0.124591461657406,0.27240157480315,-0.045405591654405)); +#8996=CARTESIAN_POINT('Ctrl Pts',(-0.124591461657406,0.272448818897638, +-0.045405591654405)); +#8997=CARTESIAN_POINT('Ctrl Pts',(-0.125251018769382,0.27240157480315,-0.0464794679191624)); +#8998=CARTESIAN_POINT('Ctrl Pts',(-0.125251018769382,0.272448818897638, +-0.0464794679191624)); +#8999=CARTESIAN_POINT('Ctrl Pts',(-0.126054577622991,0.27240157480315,-0.0474542556550909)); +#9000=CARTESIAN_POINT('Ctrl Pts',(-0.126054577622991,0.272448818897638, +-0.0474542556550909)); +#9001=CARTESIAN_POINT('Ctrl Pts',(-0.126996806978566,0.27240157480315,-0.0482882906708277)); +#9002=CARTESIAN_POINT('Ctrl Pts',(-0.126996806978566,0.272448818897638, +-0.0482882906708277)); +#9003=CARTESIAN_POINT('Ctrl Pts',(-0.128051943811156,0.27240157480315,-0.0489765267523471)); +#9004=CARTESIAN_POINT('Ctrl Pts',(-0.128051943811156,0.272448818897638, +-0.0489765267523471)); +#9005=CARTESIAN_POINT('Ctrl Pts',(-0.129038122358059,0.27240157480315,-0.0494163240813926)); +#9006=CARTESIAN_POINT('Ctrl Pts',(-0.129038122358059,0.272448818897638, +-0.0494163240813926)); +#9007=CARTESIAN_POINT('Ctrl Pts',(-0.129919583853482,0.27240157480315,-0.0496859647546952)); +#9008=CARTESIAN_POINT('Ctrl Pts',(-0.129919583853482,0.272448818897638, +-0.0496859647546952)); +#9009=CARTESIAN_POINT('Ctrl Pts',(-0.130684906175519,0.27240157480315,-0.0498757142161691)); +#9010=CARTESIAN_POINT('Ctrl Pts',(-0.130684906175519,0.272448818897638, +-0.0498757142161691)); +#9011=CARTESIAN_POINT('Ctrl Pts',(-0.131549660308248,0.27240157480315,-0.0500281616704836)); +#9012=CARTESIAN_POINT('Ctrl Pts',(-0.131549660308248,0.272448818897638, +-0.0500281616704836)); +#9013=CARTESIAN_POINT('Ctrl Pts',(-0.132518045109021,0.27240157480315,-0.0501503054335077)); +#9014=CARTESIAN_POINT('Ctrl Pts',(-0.132518045109021,0.272448818897638, +-0.0501503054335077)); +#9015=CARTESIAN_POINT('Ctrl Pts',(-0.13358592651951,0.27240157480315,-0.0502552897229242)); +#9016=CARTESIAN_POINT('Ctrl Pts',(-0.13358592651951,0.272448818897638,-0.0502552897229242)); +#9017=CARTESIAN_POINT('Ctrl Pts',(-0.134758704065515,0.27240157480315,-0.0503260007148606)); +#9018=CARTESIAN_POINT('Ctrl Pts',(-0.134758704065515,0.272448818897638, +-0.0503260007148606)); +#9019=CARTESIAN_POINT('Ctrl Pts',(-0.136028596628927,0.27240157480315,-0.0503678736926286)); +#9020=CARTESIAN_POINT('Ctrl Pts',(-0.136028596628927,0.272448818897638, +-0.0503678736926286)); +#9021=CARTESIAN_POINT('Ctrl Pts',(-0.136908759295466,0.27240157480315,-0.0503699434676369)); +#9022=CARTESIAN_POINT('Ctrl Pts',(-0.136908759295466,0.272448818897638, +-0.0503699434676369)); +#9023=CARTESIAN_POINT('Ctrl Pts',(-0.137364384041155,0.27240157480315,-0.0503710149067556)); +#9024=CARTESIAN_POINT('Ctrl Pts',(-0.137364384041155,0.272448818897638, +-0.0503710149067556)); +#9025=CARTESIAN_POINT('',(-0.123759282545428,0.27244094488189,-0.0417947929516274)); +#9026=CARTESIAN_POINT('Ctrl Pts',(-0.123759282545428,0.27244094488189,-0.0417947929516274)); +#9027=CARTESIAN_POINT('Ctrl Pts',(-0.123777171252102,0.27244094488189,-0.0422136407749859)); +#9028=CARTESIAN_POINT('Ctrl Pts',(-0.123812620141288,0.27244094488189,-0.0430436443267504)); +#9029=CARTESIAN_POINT('Ctrl Pts',(-0.124102036339602,0.27244094488189,-0.0442587620393637)); +#9030=CARTESIAN_POINT('Ctrl Pts',(-0.124591461657406,0.27244094488189,-0.045405591654405)); +#9031=CARTESIAN_POINT('Ctrl Pts',(-0.125251018769382,0.27244094488189,-0.0464794679191624)); +#9032=CARTESIAN_POINT('Ctrl Pts',(-0.126054577622991,0.27244094488189,-0.0474542556550909)); +#9033=CARTESIAN_POINT('Ctrl Pts',(-0.126996806978566,0.27244094488189,-0.0482882906708277)); +#9034=CARTESIAN_POINT('Ctrl Pts',(-0.128051943811156,0.27244094488189,-0.0489765267523471)); +#9035=CARTESIAN_POINT('Ctrl Pts',(-0.129038122358059,0.27244094488189,-0.0494163240813926)); +#9036=CARTESIAN_POINT('Ctrl Pts',(-0.129919583853482,0.27244094488189,-0.0496859647546952)); +#9037=CARTESIAN_POINT('Ctrl Pts',(-0.130684906175519,0.27244094488189,-0.0498757142161691)); +#9038=CARTESIAN_POINT('Ctrl Pts',(-0.131549660308248,0.27244094488189,-0.0500281616704836)); +#9039=CARTESIAN_POINT('Ctrl Pts',(-0.132518045109021,0.27244094488189,-0.0501503054335077)); +#9040=CARTESIAN_POINT('Ctrl Pts',(-0.13358592651951,0.27244094488189,-0.0502552897229242)); +#9041=CARTESIAN_POINT('Ctrl Pts',(-0.134758704065515,0.27244094488189,-0.0503260007148606)); +#9042=CARTESIAN_POINT('Ctrl Pts',(-0.136028596628927,0.27244094488189,-0.0503678736926286)); +#9043=CARTESIAN_POINT('Ctrl Pts',(-0.136908759295466,0.27244094488189,-0.0503699434676369)); +#9044=CARTESIAN_POINT('Ctrl Pts',(-0.137364384041155,0.27244094488189,-0.0503710149067556)); +#9045=CARTESIAN_POINT('',(-0.123759282545428,0.27240157480315,-0.0417947929516274)); +#9046=CARTESIAN_POINT('Ctrl Pts',(-0.137593917962095,0.27240157480315,-0.0329368702753454)); +#9047=CARTESIAN_POINT('Ctrl Pts',(-0.137593917962095,0.272448818897638, +-0.0329368702753454)); +#9048=CARTESIAN_POINT('Ctrl Pts',(-0.137148679287663,0.27240157480315,-0.0329366733013252)); +#9049=CARTESIAN_POINT('Ctrl Pts',(-0.137148679287663,0.272448818897638, +-0.0329366733013252)); +#9050=CARTESIAN_POINT('Ctrl Pts',(-0.136285679925767,0.27240157480315,-0.0329362915095727)); +#9051=CARTESIAN_POINT('Ctrl Pts',(-0.136285679925767,0.272448818897638, +-0.0329362915095727)); +#9052=CARTESIAN_POINT('Ctrl Pts',(-0.135037158127978,0.27240157480315,-0.0329957227036733)); +#9053=CARTESIAN_POINT('Ctrl Pts',(-0.135037158127978,0.272448818897638, +-0.0329957227036733)); +#9054=CARTESIAN_POINT('Ctrl Pts',(-0.133874656465901,0.27240157480315,-0.0330633316060985)); +#9055=CARTESIAN_POINT('Ctrl Pts',(-0.133874656465901,0.272448818897638, +-0.0330633316060985)); +#9056=CARTESIAN_POINT('Ctrl Pts',(-0.132802621463484,0.27240157480315,-0.0331667444861096)); +#9057=CARTESIAN_POINT('Ctrl Pts',(-0.132802621463484,0.272448818897638, +-0.0331667444861096)); +#9058=CARTESIAN_POINT('Ctrl Pts',(-0.131820574211609,0.27240157480315,-0.033300019362981)); +#9059=CARTESIAN_POINT('Ctrl Pts',(-0.131820574211609,0.272448818897638, +-0.033300019362981)); +#9060=CARTESIAN_POINT('Ctrl Pts',(-0.130929005562479,0.27240157480315,-0.0334667304379606)); +#9061=CARTESIAN_POINT('Ctrl Pts',(-0.130929005562479,0.272448818897638, +-0.0334667304379606)); +#9062=CARTESIAN_POINT('Ctrl Pts',(-0.130126460147348,0.27240157480315,-0.0336609575606821)); +#9063=CARTESIAN_POINT('Ctrl Pts',(-0.130126460147348,0.272448818897638, +-0.0336609575606821)); +#9064=CARTESIAN_POINT('Ctrl Pts',(-0.129190469992249,0.27240157480315,-0.0339615769923782)); +#9065=CARTESIAN_POINT('Ctrl Pts',(-0.129190469992249,0.272448818897638, +-0.0339615769923782)); +#9066=CARTESIAN_POINT('Ctrl Pts',(-0.128145283513723,0.27240157480315,-0.0344378570260874)); +#9067=CARTESIAN_POINT('Ctrl Pts',(-0.128145283513723,0.272448818897638, +-0.0344378570260874)); +#9068=CARTESIAN_POINT('Ctrl Pts',(-0.127009398694124,0.27240157480315,-0.0351307959947561)); +#9069=CARTESIAN_POINT('Ctrl Pts',(-0.127009398694124,0.272448818897638, +-0.0351307959947561)); +#9070=CARTESIAN_POINT('Ctrl Pts',(-0.126042102001408,0.27240157480315,-0.0360023602680818)); +#9071=CARTESIAN_POINT('Ctrl Pts',(-0.126042102001408,0.272448818897638, +-0.0360023602680818)); +#9072=CARTESIAN_POINT('Ctrl Pts',(-0.125224871907584,0.27240157480315,-0.0369989890781177)); +#9073=CARTESIAN_POINT('Ctrl Pts',(-0.125224871907584,0.272448818897638, +-0.0369989890781177)); +#9074=CARTESIAN_POINT('Ctrl Pts',(-0.124568183939201,0.27240157480315,-0.038094766590583)); +#9075=CARTESIAN_POINT('Ctrl Pts',(-0.124568183939201,0.272448818897638, +-0.038094766590583)); +#9076=CARTESIAN_POINT('Ctrl Pts',(-0.124105206940175,0.27240157480315,-0.0392765577991573)); +#9077=CARTESIAN_POINT('Ctrl Pts',(-0.124105206940175,0.272448818897638, +-0.0392765577991573)); +#9078=CARTESIAN_POINT('Ctrl Pts',(-0.123811420596543,0.27240157480315,-0.0405145280076038)); +#9079=CARTESIAN_POINT('Ctrl Pts',(-0.123811420596543,0.272448818897638, +-0.0405145280076038)); +#9080=CARTESIAN_POINT('Ctrl Pts',(-0.123776769622593,0.27240157480315,-0.0413653927076743)); +#9081=CARTESIAN_POINT('Ctrl Pts',(-0.123776769622593,0.272448818897638, +-0.0413653927076743)); +#9082=CARTESIAN_POINT('Ctrl Pts',(-0.123759282545428,0.27240157480315,-0.0417947929516274)); +#9083=CARTESIAN_POINT('Ctrl Pts',(-0.123759282545428,0.272448818897638, +-0.0417947929516274)); +#9084=CARTESIAN_POINT('',(-0.137593917962095,0.27244094488189,-0.0329368702753454)); +#9085=CARTESIAN_POINT('Ctrl Pts',(-0.137593917962095,0.27244094488189,-0.0329368702753454)); +#9086=CARTESIAN_POINT('Ctrl Pts',(-0.137148679287663,0.27244094488189,-0.0329366733013252)); +#9087=CARTESIAN_POINT('Ctrl Pts',(-0.136285679925767,0.27244094488189,-0.0329362915095727)); +#9088=CARTESIAN_POINT('Ctrl Pts',(-0.135037158127978,0.27244094488189,-0.0329957227036733)); +#9089=CARTESIAN_POINT('Ctrl Pts',(-0.133874656465901,0.27244094488189,-0.0330633316060985)); +#9090=CARTESIAN_POINT('Ctrl Pts',(-0.132802621463484,0.27244094488189,-0.0331667444861096)); +#9091=CARTESIAN_POINT('Ctrl Pts',(-0.131820574211609,0.27244094488189,-0.033300019362981)); +#9092=CARTESIAN_POINT('Ctrl Pts',(-0.130929005562479,0.27244094488189,-0.0334667304379606)); +#9093=CARTESIAN_POINT('Ctrl Pts',(-0.130126460147348,0.27244094488189,-0.0336609575606821)); +#9094=CARTESIAN_POINT('Ctrl Pts',(-0.129190469992249,0.27244094488189,-0.0339615769923782)); +#9095=CARTESIAN_POINT('Ctrl Pts',(-0.128145283513723,0.27244094488189,-0.0344378570260874)); +#9096=CARTESIAN_POINT('Ctrl Pts',(-0.127009398694124,0.27244094488189,-0.0351307959947561)); +#9097=CARTESIAN_POINT('Ctrl Pts',(-0.126042102001408,0.27244094488189,-0.0360023602680818)); +#9098=CARTESIAN_POINT('Ctrl Pts',(-0.125224871907584,0.27244094488189,-0.0369989890781177)); +#9099=CARTESIAN_POINT('Ctrl Pts',(-0.124568183939201,0.27244094488189,-0.038094766590583)); +#9100=CARTESIAN_POINT('Ctrl Pts',(-0.124105206940175,0.27244094488189,-0.0392765577991573)); +#9101=CARTESIAN_POINT('Ctrl Pts',(-0.123811420596543,0.27244094488189,-0.0405145280076038)); +#9102=CARTESIAN_POINT('Ctrl Pts',(-0.123776769622593,0.27244094488189,-0.0413653927076743)); +#9103=CARTESIAN_POINT('Ctrl Pts',(-0.123759282545428,0.27244094488189,-0.0417947929516274)); +#9104=CARTESIAN_POINT('',(-0.137593917962095,0.27240157480315,-0.0329368702753454)); +#9105=CARTESIAN_POINT('Origin',(-0.147130009041155,0.27240157480315,-0.0330099037956445)); +#9106=CARTESIAN_POINT('',(-0.147130009041155,0.27244094488189,-0.0330099037956445)); +#9107=CARTESIAN_POINT('',(-0.147130009041155,0.27244094488189,-0.0330099037956445)); +#9108=CARTESIAN_POINT('',(-0.147130009041155,0.27240157480315,-0.0330099037956445)); +#9109=CARTESIAN_POINT('Origin',(-0.147130009041155,0.27240157480315,-0.0503710149067556)); +#9110=CARTESIAN_POINT('',(-0.147130009041155,0.27244094488189,-0.0503710149067556)); +#9111=CARTESIAN_POINT('Origin',(-0.32007874015748,0.27244094488189,-0.425)); +#9112=CARTESIAN_POINT('Origin',(-0.0910402654514111,0.27240157480315,-0.0210637065467129)); +#9113=CARTESIAN_POINT('',(-0.0910402654514111,0.27240157480315,-0.0210637065467129)); +#9114=CARTESIAN_POINT('',(-0.0910402654514111,0.27240157480315,-0.0210637065467129)); +#9115=CARTESIAN_POINT('',(-0.0910402654514111,0.27240157480315,-0.055712895248636)); +#9116=CARTESIAN_POINT('',(-0.0910402654514111,0.27240157480315,-0.0210637065467129)); +#9117=CARTESIAN_POINT('',(-0.0910402654514111,0.27240157480315,-0.055712895248636)); +#9118=CARTESIAN_POINT('Origin',(-0.0910402654514111,0.27240157480315,-0.055712895248636)); +#9119=CARTESIAN_POINT('',(-0.0863661201522658,0.27240157480315,-0.055712895248636)); +#9120=CARTESIAN_POINT('',(-0.0910402654514111,0.27240157480315,-0.055712895248636)); +#9121=CARTESIAN_POINT('',(-0.0863661201522658,0.27240157480315,-0.055712895248636)); +#9122=CARTESIAN_POINT('Origin',(-0.0863661201522658,0.27240157480315,-0.055712895248636)); +#9123=CARTESIAN_POINT('',(-0.0863661201522658,0.27240157480315,-0.0205420385445762)); +#9124=CARTESIAN_POINT('',(-0.0863661201522658,0.27240157480315,-0.055712895248636)); +#9125=CARTESIAN_POINT('',(-0.0863661201522658,0.27240157480315,-0.0205420385445762)); +#9126=CARTESIAN_POINT('Ctrl Pts',(-0.0863661201522658,0.27240157480315, +-0.0205420385445762)); +#9127=CARTESIAN_POINT('Ctrl Pts',(-0.0863661201522658,0.272448818897638, +-0.0205420385445762)); +#9128=CARTESIAN_POINT('Ctrl Pts',(-0.0863707915604776,0.27240157480315, +-0.0198916639776748)); +#9129=CARTESIAN_POINT('Ctrl Pts',(-0.0863707915604776,0.272448818897638, +-0.0198916639776748)); +#9130=CARTESIAN_POINT('Ctrl Pts',(-0.0863797612342677,0.27240157480315, +-0.0186428654479603)); +#9131=CARTESIAN_POINT('Ctrl Pts',(-0.0863797612342677,0.272448818897638, +-0.0186428654479603)); +#9132=CARTESIAN_POINT('Ctrl Pts',(-0.0864290828196165,0.27240157480315, +-0.0168441467590966)); +#9133=CARTESIAN_POINT('Ctrl Pts',(-0.0864290828196165,0.272448818897638, +-0.0168441467590966)); +#9134=CARTESIAN_POINT('Ctrl Pts',(-0.0865203548122727,0.27240157480315, +-0.0152017258334498)); +#9135=CARTESIAN_POINT('Ctrl Pts',(-0.0865203548122727,0.272448818897638, +-0.0152017258334498)); +#9136=CARTESIAN_POINT('Ctrl Pts',(-0.0866533163076518,0.27240157480315, +-0.0137118679912959)); +#9137=CARTESIAN_POINT('Ctrl Pts',(-0.0866533163076518,0.272448818897638, +-0.0137118679912959)); +#9138=CARTESIAN_POINT('Ctrl Pts',(-0.0868307007246698,0.27240157480315, +-0.012379240680466)); +#9139=CARTESIAN_POINT('Ctrl Pts',(-0.0868307007246698,0.272448818897638, +-0.012379240680466)); +#9140=CARTESIAN_POINT('Ctrl Pts',(-0.0870336922145509,0.27240157480315, +-0.0111935469629833)); +#9141=CARTESIAN_POINT('Ctrl Pts',(-0.0870336922145509,0.272448818897638, +-0.0111935469629833)); +#9142=CARTESIAN_POINT('Ctrl Pts',(-0.0872794183868107,0.27240157480315, +-0.0101646826426285)); +#9143=CARTESIAN_POINT('Ctrl Pts',(-0.0872794183868107,0.272448818897638, +-0.0101646826426285)); +#9144=CARTESIAN_POINT('Ctrl Pts',(-0.0876494550881662,0.27240157480315, +-0.00900939461081457)); +#9145=CARTESIAN_POINT('Ctrl Pts',(-0.0876494550881662,0.272448818897638, +-0.00900939461081457)); +#9146=CARTESIAN_POINT('Ctrl Pts',(-0.0882562102748644,0.27240157480315, +-0.00774237370704419)); +#9147=CARTESIAN_POINT('Ctrl Pts',(-0.0882562102748644,0.272448818897638, +-0.00774237370704419)); +#9148=CARTESIAN_POINT('Ctrl Pts',(-0.0891694102472596,0.27240157480315, +-0.00637399348969549)); +#9149=CARTESIAN_POINT('Ctrl Pts',(-0.0891694102472596,0.272448818897638, +-0.00637399348969549)); +#9150=CARTESIAN_POINT('Ctrl Pts',(-0.0903038268608706,0.27240157480315, +-0.00517706773597069)); +#9151=CARTESIAN_POINT('Ctrl Pts',(-0.0903038268608706,0.272448818897638, +-0.00517706773597069)); +#9152=CARTESIAN_POINT('Ctrl Pts',(-0.091617830330723,0.27240157480315,-0.00413759266282061)); +#9153=CARTESIAN_POINT('Ctrl Pts',(-0.091617830330723,0.272448818897638, +-0.00413759266282061)); +#9154=CARTESIAN_POINT('Ctrl Pts',(-0.0931229050938152,0.27240157480315, +-0.00330936005603007)); +#9155=CARTESIAN_POINT('Ctrl Pts',(-0.0931229050938152,0.272448818897638, +-0.00330936005603007)); +#9156=CARTESIAN_POINT('Ctrl Pts',(-0.0947880571641151,0.27240157480315, +-0.00271478894874145)); +#9157=CARTESIAN_POINT('Ctrl Pts',(-0.0947880571641151,0.272448818897638, +-0.00271478894874145)); +#9158=CARTESIAN_POINT('Ctrl Pts',(-0.0966030361439962,0.27240157480315, +-0.00235212739183258)); +#9159=CARTESIAN_POINT('Ctrl Pts',(-0.0966030361439962,0.272448818897638, +-0.00235212739183258)); +#9160=CARTESIAN_POINT('Ctrl Pts',(-0.0978619529944781,0.27240157480315, +-0.0023138252326491)); +#9161=CARTESIAN_POINT('Ctrl Pts',(-0.0978619529944781,0.272448818897638, +-0.0023138252326491)); +#9162=CARTESIAN_POINT('Ctrl Pts',(-0.0985105512420094,0.27240157480315, +-0.00229409182983257)); +#9163=CARTESIAN_POINT('Ctrl Pts',(-0.0985105512420094,0.272448818897638, +-0.00229409182983257)); +#9164=CARTESIAN_POINT('',(-0.0985105512420094,0.27240157480315,-0.00229409182983257)); +#9165=CARTESIAN_POINT('Ctrl Pts',(-0.0863661201522658,0.27240157480315, +-0.0205420385445762)); +#9166=CARTESIAN_POINT('Ctrl Pts',(-0.0863707915604776,0.27240157480315, +-0.0198916639776748)); +#9167=CARTESIAN_POINT('Ctrl Pts',(-0.0863797612342677,0.27240157480315, +-0.0186428654479603)); +#9168=CARTESIAN_POINT('Ctrl Pts',(-0.0864290828196165,0.27240157480315, +-0.0168441467590966)); +#9169=CARTESIAN_POINT('Ctrl Pts',(-0.0865203548122727,0.27240157480315, +-0.0152017258334498)); +#9170=CARTESIAN_POINT('Ctrl Pts',(-0.0866533163076518,0.27240157480315, +-0.0137118679912959)); +#9171=CARTESIAN_POINT('Ctrl Pts',(-0.0868307007246698,0.27240157480315, +-0.012379240680466)); +#9172=CARTESIAN_POINT('Ctrl Pts',(-0.0870336922145509,0.27240157480315, +-0.0111935469629833)); +#9173=CARTESIAN_POINT('Ctrl Pts',(-0.0872794183868107,0.27240157480315, +-0.0101646826426285)); +#9174=CARTESIAN_POINT('Ctrl Pts',(-0.0876494550881662,0.27240157480315, +-0.00900939461081457)); +#9175=CARTESIAN_POINT('Ctrl Pts',(-0.0882562102748644,0.27240157480315, +-0.00774237370704419)); +#9176=CARTESIAN_POINT('Ctrl Pts',(-0.0891694102472596,0.27240157480315, +-0.00637399348969549)); +#9177=CARTESIAN_POINT('Ctrl Pts',(-0.0903038268608706,0.27240157480315, +-0.00517706773597069)); +#9178=CARTESIAN_POINT('Ctrl Pts',(-0.091617830330723,0.27240157480315,-0.00413759266282061)); +#9179=CARTESIAN_POINT('Ctrl Pts',(-0.0931229050938152,0.27240157480315, +-0.00330936005603007)); +#9180=CARTESIAN_POINT('Ctrl Pts',(-0.0947880571641151,0.27240157480315, +-0.00271478894874145)); +#9181=CARTESIAN_POINT('Ctrl Pts',(-0.0966030361439962,0.27240157480315, +-0.00235212739183258)); +#9182=CARTESIAN_POINT('Ctrl Pts',(-0.0978619529944781,0.27240157480315, +-0.0023138252326491)); +#9183=CARTESIAN_POINT('Ctrl Pts',(-0.0985105512420094,0.27240157480315, +-0.00229409182983257)); +#9184=CARTESIAN_POINT('',(-0.0985105512420094,0.27240157480315,-0.00229409182983257)); +#9185=CARTESIAN_POINT('Ctrl Pts',(-0.0985105512420094,0.27240157480315, +-0.00229409182983257)); +#9186=CARTESIAN_POINT('Ctrl Pts',(-0.0985105512420094,0.272448818897638, +-0.00229409182983257)); +#9187=CARTESIAN_POINT('Ctrl Pts',(-0.099015883954264,0.27240157480315,-0.00231017232282497)); +#9188=CARTESIAN_POINT('Ctrl Pts',(-0.099015883954264,0.272448818897638, +-0.00231017232282497)); +#9189=CARTESIAN_POINT('Ctrl Pts',(-0.10003264684094,0.27240157480315,-0.00234252733978236)); +#9190=CARTESIAN_POINT('Ctrl Pts',(-0.10003264684094,0.272448818897638,-0.00234252733978236)); +#9191=CARTESIAN_POINT('Ctrl Pts',(-0.101545901435615,0.27240157480315,-0.00260624330778384)); +#9192=CARTESIAN_POINT('Ctrl Pts',(-0.101545901435615,0.272448818897638, +-0.00260624330778384)); +#9193=CARTESIAN_POINT('Ctrl Pts',(-0.103054859409676,0.27240157480315,-0.00301273204805722)); +#9194=CARTESIAN_POINT('Ctrl Pts',(-0.103054859409676,0.272448818897638, +-0.00301273204805722)); +#9195=CARTESIAN_POINT('Ctrl Pts',(-0.104567529779323,0.27240157480315,-0.00360800876529992)); +#9196=CARTESIAN_POINT('Ctrl Pts',(-0.104567529779323,0.272448818897638, +-0.00360800876529992)); +#9197=CARTESIAN_POINT('Ctrl Pts',(-0.106113798251763,0.27240157480315,-0.00441761588560638)); +#9198=CARTESIAN_POINT('Ctrl Pts',(-0.106113798251763,0.272448818897638, +-0.00441761588560638)); +#9199=CARTESIAN_POINT('Ctrl Pts',(-0.107714606982323,0.27240157480315,-0.00547691375136583)); +#9200=CARTESIAN_POINT('Ctrl Pts',(-0.107714606982323,0.272448818897638, +-0.00547691375136583)); +#9201=CARTESIAN_POINT('Ctrl Pts',(-0.109390547323973,0.27240157480315,-0.00678393051323058)); +#9202=CARTESIAN_POINT('Ctrl Pts',(-0.109390547323973,0.272448818897638, +-0.00678393051323058)); +#9203=CARTESIAN_POINT('Ctrl Pts',(-0.11049446527199,0.27240157480315,-0.00778151601335056)); +#9204=CARTESIAN_POINT('Ctrl Pts',(-0.11049446527199,0.272448818897638,-0.00778151601335056)); +#9205=CARTESIAN_POINT('Ctrl Pts',(-0.111072316733462,0.27240157480315,-0.00830370721444795)); +#9206=CARTESIAN_POINT('Ctrl Pts',(-0.111072316733462,0.272448818897638, +-0.00830370721444795)); +#9207=CARTESIAN_POINT('',(-0.111072316733462,0.27240157480315,-0.00830370721444795)); +#9208=CARTESIAN_POINT('Ctrl Pts',(-0.0985105512420094,0.27240157480315, +-0.00229409182983257)); +#9209=CARTESIAN_POINT('Ctrl Pts',(-0.099015883954264,0.27240157480315,-0.00231017232282497)); +#9210=CARTESIAN_POINT('Ctrl Pts',(-0.10003264684094,0.27240157480315,-0.00234252733978236)); +#9211=CARTESIAN_POINT('Ctrl Pts',(-0.101545901435615,0.27240157480315,-0.00260624330778384)); +#9212=CARTESIAN_POINT('Ctrl Pts',(-0.103054859409676,0.27240157480315,-0.00301273204805722)); +#9213=CARTESIAN_POINT('Ctrl Pts',(-0.104567529779323,0.27240157480315,-0.00360800876529992)); +#9214=CARTESIAN_POINT('Ctrl Pts',(-0.106113798251763,0.27240157480315,-0.00441761588560638)); +#9215=CARTESIAN_POINT('Ctrl Pts',(-0.107714606982323,0.27240157480315,-0.00547691375136583)); +#9216=CARTESIAN_POINT('Ctrl Pts',(-0.109390547323973,0.27240157480315,-0.00678393051323058)); +#9217=CARTESIAN_POINT('Ctrl Pts',(-0.11049446527199,0.27240157480315,-0.00778151601335056)); +#9218=CARTESIAN_POINT('Ctrl Pts',(-0.111072316733462,0.27240157480315,-0.00830370721444795)); +#9219=CARTESIAN_POINT('',(-0.111072316733462,0.27240157480315,-0.00830370721444795)); +#9220=CARTESIAN_POINT('Origin',(-0.111072316733462,0.27240157480315,-0.00830370721444795)); +#9221=CARTESIAN_POINT('',(-0.10831790968218,0.27240157480315,-0.0123101174708582)); +#9222=CARTESIAN_POINT('',(-0.111072316733462,0.27240157480315,-0.00830370721444795)); +#9223=CARTESIAN_POINT('',(-0.10831790968218,0.27240157480315,-0.0123101174708582)); +#9224=CARTESIAN_POINT('Ctrl Pts',(-0.10831790968218,0.27240157480315,-0.0123101174708582)); +#9225=CARTESIAN_POINT('Ctrl Pts',(-0.10831790968218,0.272448818897638,-0.0123101174708582)); +#9226=CARTESIAN_POINT('Ctrl Pts',(-0.107682453149107,0.27240157480315,-0.0118719121704005)); +#9227=CARTESIAN_POINT('Ctrl Pts',(-0.107682453149107,0.272448818897638, +-0.0118719121704005)); +#9228=CARTESIAN_POINT('Ctrl Pts',(-0.106482628356247,0.27240157480315,-0.0110445234246916)); +#9229=CARTESIAN_POINT('Ctrl Pts',(-0.106482628356247,0.272448818897638, +-0.0110445234246916)); +#9230=CARTESIAN_POINT('Ctrl Pts',(-0.104719169414489,0.27240157480315,-0.00998013948955697)); +#9231=CARTESIAN_POINT('Ctrl Pts',(-0.104719169414489,0.272448818897638, +-0.00998013948955697)); +#9232=CARTESIAN_POINT('Ctrl Pts',(-0.103156711780472,0.27240157480315,-0.0090675626211434)); +#9233=CARTESIAN_POINT('Ctrl Pts',(-0.103156711780472,0.272448818897638, +-0.0090675626211434)); +#9234=CARTESIAN_POINT('Ctrl Pts',(-0.102134644111925,0.27240157480315,-0.00860249894418463)); +#9235=CARTESIAN_POINT('Ctrl Pts',(-0.102134644111925,0.272448818897638, +-0.00860249894418463)); +#9236=CARTESIAN_POINT('Ctrl Pts',(-0.101661425974915,0.27240157480315,-0.00838717409478983)); +#9237=CARTESIAN_POINT('Ctrl Pts',(-0.101661425974915,0.272448818897638, +-0.00838717409478983)); +#9238=CARTESIAN_POINT('',(-0.101661425974915,0.27240157480315,-0.00838717409478983)); +#9239=CARTESIAN_POINT('Ctrl Pts',(-0.10831790968218,0.27240157480315,-0.0123101174708582)); +#9240=CARTESIAN_POINT('Ctrl Pts',(-0.107682453149107,0.27240157480315,-0.0118719121704005)); +#9241=CARTESIAN_POINT('Ctrl Pts',(-0.106482628356247,0.27240157480315,-0.0110445234246916)); +#9242=CARTESIAN_POINT('Ctrl Pts',(-0.104719169414489,0.27240157480315,-0.00998013948955697)); +#9243=CARTESIAN_POINT('Ctrl Pts',(-0.103156711780472,0.27240157480315,-0.0090675626211434)); +#9244=CARTESIAN_POINT('Ctrl Pts',(-0.102134644111925,0.27240157480315,-0.00860249894418463)); +#9245=CARTESIAN_POINT('Ctrl Pts',(-0.101661425974915,0.27240157480315,-0.00838717409478983)); +#9246=CARTESIAN_POINT('',(-0.101661425974915,0.27240157480315,-0.00838717409478983)); +#9247=CARTESIAN_POINT('Ctrl Pts',(-0.101661425974915,0.27240157480315,-0.00838717409478983)); +#9248=CARTESIAN_POINT('Ctrl Pts',(-0.101661425974915,0.272448818897638, +-0.00838717409478983)); +#9249=CARTESIAN_POINT('Ctrl Pts',(-0.101374457052905,0.27240157480315,-0.00827468217009077)); +#9250=CARTESIAN_POINT('Ctrl Pts',(-0.101374457052905,0.272448818897638, +-0.00827468217009077)); +#9251=CARTESIAN_POINT('Ctrl Pts',(-0.100811647093999,0.27240157480315,-0.00805406045581773)); +#9252=CARTESIAN_POINT('Ctrl Pts',(-0.100811647093999,0.272448818897638, +-0.00805406045581773)); +#9253=CARTESIAN_POINT('Ctrl Pts',(-0.0999511548370418,0.27240157480315, +-0.00780784173095083)); +#9254=CARTESIAN_POINT('Ctrl Pts',(-0.0999511548370418,0.272448818897638, +-0.00780784173095083)); +#9255=CARTESIAN_POINT('Ctrl Pts',(-0.0990955739298804,0.27240157480315, +-0.00767328205952015)); +#9256=CARTESIAN_POINT('Ctrl Pts',(-0.0990955739298804,0.272448818897638, +-0.00767328205952015)); +#9257=CARTESIAN_POINT('Ctrl Pts',(-0.0985283463425779,0.27240157480315, +-0.00764826222114781)); +#9258=CARTESIAN_POINT('Ctrl Pts',(-0.0985283463425779,0.272448818897638, +-0.00764826222114781)); +#9259=CARTESIAN_POINT('Ctrl Pts',(-0.0982497172409411,0.27240157480315, +-0.00763597217171291)); +#9260=CARTESIAN_POINT('Ctrl Pts',(-0.0982497172409411,0.272448818897638, +-0.00763597217171291)); +#9261=CARTESIAN_POINT('',(-0.0982497172409411,0.27240157480315,-0.00763597217171291)); +#9262=CARTESIAN_POINT('Ctrl Pts',(-0.101661425974915,0.27240157480315,-0.00838717409478983)); +#9263=CARTESIAN_POINT('Ctrl Pts',(-0.101374457052905,0.27240157480315,-0.00827468217009077)); +#9264=CARTESIAN_POINT('Ctrl Pts',(-0.100811647093999,0.27240157480315,-0.00805406045581773)); +#9265=CARTESIAN_POINT('Ctrl Pts',(-0.0999511548370418,0.27240157480315, +-0.00780784173095083)); +#9266=CARTESIAN_POINT('Ctrl Pts',(-0.0990955739298804,0.27240157480315, +-0.00767328205952015)); +#9267=CARTESIAN_POINT('Ctrl Pts',(-0.0985283463425779,0.27240157480315, +-0.00764826222114781)); +#9268=CARTESIAN_POINT('Ctrl Pts',(-0.0982497172409411,0.27240157480315, +-0.00763597217171291)); +#9269=CARTESIAN_POINT('',(-0.0982497172409411,0.27240157480315,-0.00763597217171291)); +#9270=CARTESIAN_POINT('Ctrl Pts',(-0.0982497172409411,0.27240157480315, +-0.00763597217171291)); +#9271=CARTESIAN_POINT('Ctrl Pts',(-0.0982497172409411,0.272448818897638, +-0.00763597217171291)); +#9272=CARTESIAN_POINT('Ctrl Pts',(-0.097889854317914,0.27240157480315,-0.00765119144156379)); +#9273=CARTESIAN_POINT('Ctrl Pts',(-0.097889854317914,0.272448818897638, +-0.00765119144156379)); +#9274=CARTESIAN_POINT('Ctrl Pts',(-0.0971785380042414,0.27240157480315, +-0.00768127432655802)); +#9275=CARTESIAN_POINT('Ctrl Pts',(-0.0971785380042414,0.272448818897638, +-0.00768127432655802)); +#9276=CARTESIAN_POINT('Ctrl Pts',(-0.0961448476172153,0.27240157480315, +-0.0079468885419047)); +#9277=CARTESIAN_POINT('Ctrl Pts',(-0.0961448476172153,0.272448818897638, +-0.0079468885419047)); +#9278=CARTESIAN_POINT('Ctrl Pts',(-0.0951558888194507,0.27240157480315, +-0.00835231120580007)); +#9279=CARTESIAN_POINT('Ctrl Pts',(-0.0951558888194507,0.272448818897638, +-0.00835231120580007)); +#9280=CARTESIAN_POINT('Ctrl Pts',(-0.0942471141515786,0.27240157480315, +-0.00894593164875378)); +#9281=CARTESIAN_POINT('Ctrl Pts',(-0.0942471141515786,0.272448818897638, +-0.00894593164875378)); +#9282=CARTESIAN_POINT('Ctrl Pts',(-0.0934095339866694,0.27240157480315, +-0.00965175636154494)); +#9283=CARTESIAN_POINT('Ctrl Pts',(-0.0934095339866694,0.272448818897638, +-0.00965175636154494)); +#9284=CARTESIAN_POINT('Ctrl Pts',(-0.0927184205106677,0.27240157480315, +-0.0105052683952704)); +#9285=CARTESIAN_POINT('Ctrl Pts',(-0.0927184205106677,0.272448818897638, +-0.0105052683952704)); +#9286=CARTESIAN_POINT('Ctrl Pts',(-0.0921422710391652,0.27240157480315, +-0.0114511907763184)); +#9287=CARTESIAN_POINT('Ctrl Pts',(-0.0921422710391652,0.272448818897638, +-0.0114511907763184)); +#9288=CARTESIAN_POINT('Ctrl Pts',(-0.0917955494119252,0.27240157480315, +-0.0123465993156349)); +#9289=CARTESIAN_POINT('Ctrl Pts',(-0.0917955494119252,0.272448818897638, +-0.0123465993156349)); +#9290=CARTESIAN_POINT('Ctrl Pts',(-0.091574645066523,0.27240157480315,-0.0131632158375564)); +#9291=CARTESIAN_POINT('Ctrl Pts',(-0.091574645066523,0.272448818897638, +-0.0131632158375564)); +#9292=CARTESIAN_POINT('Ctrl Pts',(-0.091434442285911,0.27240157480315,-0.0139137089309663)); +#9293=CARTESIAN_POINT('Ctrl Pts',(-0.091434442285911,0.272448818897638, +-0.0139137089309663)); +#9294=CARTESIAN_POINT('Ctrl Pts',(-0.0913118431729454,0.27240157480315, +-0.0147911118848495)); +#9295=CARTESIAN_POINT('Ctrl Pts',(-0.0913118431729454,0.272448818897638, +-0.0147911118848495)); +#9296=CARTESIAN_POINT('Ctrl Pts',(-0.0912049748410784,0.27240157480315, +-0.0157964720085114)); +#9297=CARTESIAN_POINT('Ctrl Pts',(-0.0912049748410784,0.272448818897638, +-0.0157964720085114)); +#9298=CARTESIAN_POINT('Ctrl Pts',(-0.0911424083148975,0.27240157480315, +-0.0169318020997591)); +#9299=CARTESIAN_POINT('Ctrl Pts',(-0.0911424083148975,0.272448818897638, +-0.0169318020997591)); +#9300=CARTESIAN_POINT('Ctrl Pts',(-0.0910722108026225,0.27240157480315, +-0.0181937685123999)); +#9301=CARTESIAN_POINT('Ctrl Pts',(-0.0910722108026225,0.272448818897638, +-0.0181937685123999)); +#9302=CARTESIAN_POINT('Ctrl Pts',(-0.0910468348965262,0.27240157480315, +-0.0195855529200798)); +#9303=CARTESIAN_POINT('Ctrl Pts',(-0.0910468348965262,0.272448818897638, +-0.0195855529200798)); +#9304=CARTESIAN_POINT('Ctrl Pts',(-0.091042522085966,0.27240157480315,-0.0205559539903142)); +#9305=CARTESIAN_POINT('Ctrl Pts',(-0.091042522085966,0.272448818897638, +-0.0205559539903142)); +#9306=CARTESIAN_POINT('Ctrl Pts',(-0.0910402654514111,0.27240157480315, +-0.0210637065467129)); +#9307=CARTESIAN_POINT('Ctrl Pts',(-0.0910402654514111,0.272448818897638, +-0.0210637065467129)); +#9308=CARTESIAN_POINT('Ctrl Pts',(-0.0982497172409411,0.27240157480315, +-0.00763597217171291)); +#9309=CARTESIAN_POINT('Ctrl Pts',(-0.097889854317914,0.27240157480315,-0.00765119144156379)); +#9310=CARTESIAN_POINT('Ctrl Pts',(-0.0971785380042414,0.27240157480315, +-0.00768127432655802)); +#9311=CARTESIAN_POINT('Ctrl Pts',(-0.0961448476172153,0.27240157480315, +-0.0079468885419047)); +#9312=CARTESIAN_POINT('Ctrl Pts',(-0.0951558888194507,0.27240157480315, +-0.00835231120580007)); +#9313=CARTESIAN_POINT('Ctrl Pts',(-0.0942471141515786,0.27240157480315, +-0.00894593164875378)); +#9314=CARTESIAN_POINT('Ctrl Pts',(-0.0934095339866694,0.27240157480315, +-0.00965175636154494)); +#9315=CARTESIAN_POINT('Ctrl Pts',(-0.0927184205106677,0.27240157480315, +-0.0105052683952704)); +#9316=CARTESIAN_POINT('Ctrl Pts',(-0.0921422710391652,0.27240157480315, +-0.0114511907763184)); +#9317=CARTESIAN_POINT('Ctrl Pts',(-0.0917955494119252,0.27240157480315, +-0.0123465993156349)); +#9318=CARTESIAN_POINT('Ctrl Pts',(-0.091574645066523,0.27240157480315,-0.0131632158375564)); +#9319=CARTESIAN_POINT('Ctrl Pts',(-0.091434442285911,0.27240157480315,-0.0139137089309663)); +#9320=CARTESIAN_POINT('Ctrl Pts',(-0.0913118431729454,0.27240157480315, +-0.0147911118848495)); +#9321=CARTESIAN_POINT('Ctrl Pts',(-0.0912049748410784,0.27240157480315, +-0.0157964720085114)); +#9322=CARTESIAN_POINT('Ctrl Pts',(-0.0911424083148975,0.27240157480315, +-0.0169318020997591)); +#9323=CARTESIAN_POINT('Ctrl Pts',(-0.0910722108026225,0.27240157480315, +-0.0181937685123999)); +#9324=CARTESIAN_POINT('Ctrl Pts',(-0.0910468348965262,0.27240157480315, +-0.0195855529200798)); +#9325=CARTESIAN_POINT('Ctrl Pts',(-0.091042522085966,0.27240157480315,-0.0205559539903142)); +#9326=CARTESIAN_POINT('Ctrl Pts',(-0.0910402654514111,0.27240157480315, +-0.0210637065467129)); +#9327=CARTESIAN_POINT('Origin',(-0.0880789428943314,0.27240157480315,-0.00815018262791355)); +#9328=CARTESIAN_POINT('Origin',(-0.073011419297565,0.27240157480315,-0.00362956191530266)); +#9329=CARTESIAN_POINT('',(-0.073011419297565,0.27240157480315,-0.00362956191530266)); +#9330=CARTESIAN_POINT('',(-0.073011419297565,0.27240157480315,-0.00362956191530266)); +#9331=CARTESIAN_POINT('',(-0.073011419297565,0.27240157480315,-0.055712895248636)); +#9332=CARTESIAN_POINT('',(-0.073011419297565,0.27240157480315,-0.00362956191530266)); +#9333=CARTESIAN_POINT('',(-0.073011419297565,0.27240157480315,-0.055712895248636)); +#9334=CARTESIAN_POINT('Origin',(-0.073011419297565,0.27240157480315,-0.055712895248636)); +#9335=CARTESIAN_POINT('',(-0.0627971598157274,0.27240157480315,-0.055712895248636)); +#9336=CARTESIAN_POINT('',(-0.073011419297565,0.27240157480315,-0.055712895248636)); +#9337=CARTESIAN_POINT('',(-0.0627971598157274,0.27240157480315,-0.055712895248636)); +#9338=CARTESIAN_POINT('Ctrl Pts',(-0.0627971598157274,0.27240157480315, +-0.055712895248636)); +#9339=CARTESIAN_POINT('Ctrl Pts',(-0.0627971598157274,0.272448818897638, +-0.055712895248636)); +#9340=CARTESIAN_POINT('Ctrl Pts',(-0.0620946165694229,0.27240157480315, +-0.0557121229831472)); +#9341=CARTESIAN_POINT('Ctrl Pts',(-0.0620946165694229,0.272448818897638, +-0.0557121229831472)); +#9342=CARTESIAN_POINT('Ctrl Pts',(-0.0607485125097399,0.27240157480315, +-0.0557106432881747)); +#9343=CARTESIAN_POINT('Ctrl Pts',(-0.0607485125097399,0.272448818897638, +-0.0557106432881747)); +#9344=CARTESIAN_POINT('Ctrl Pts',(-0.0588182289426434,0.27240157480315, +-0.0556657809991026)); +#9345=CARTESIAN_POINT('Ctrl Pts',(-0.0588182289426434,0.272448818897638, +-0.0556657809991026)); +#9346=CARTESIAN_POINT('Ctrl Pts',(-0.0570651079362991,0.272401574803149, +-0.0556058599858174)); +#9347=CARTESIAN_POINT('Ctrl Pts',(-0.0570651079362991,0.272448818897638, +-0.0556058599858174)); +#9348=CARTESIAN_POINT('Ctrl Pts',(-0.0554929296486991,0.27240157480315, +-0.055522796099015)); +#9349=CARTESIAN_POINT('Ctrl Pts',(-0.0554929296486991,0.272448818897638, +-0.055522796099015)); +#9350=CARTESIAN_POINT('Ctrl Pts',(-0.0540968567605059,0.27240157480315, +-0.0554246325536652)); +#9351=CARTESIAN_POINT('Ctrl Pts',(-0.0540968567605059,0.272448818897638, +-0.0554246325536652)); +#9352=CARTESIAN_POINT('Ctrl Pts',(-0.0528832064696345,0.27240157480315, +-0.055286953396263)); +#9353=CARTESIAN_POINT('Ctrl Pts',(-0.0528832064696345,0.272448818897638, +-0.055286953396263)); +#9354=CARTESIAN_POINT('Ctrl Pts',(-0.0518424392622856,0.27240157480315, +-0.055143198998148)); +#9355=CARTESIAN_POINT('Ctrl Pts',(-0.0518424392622856,0.272448818897638, +-0.055143198998148)); +#9356=CARTESIAN_POINT('Ctrl Pts',(-0.0512188313836172,0.27240157480315, +-0.0549970471154189)); +#9357=CARTESIAN_POINT('Ctrl Pts',(-0.0512188313836172,0.272448818897638, +-0.0549970471154189)); +#9358=CARTESIAN_POINT('Ctrl Pts',(-0.0509344294471376,0.27240157480315, +-0.0549303932454309)); +#9359=CARTESIAN_POINT('Ctrl Pts',(-0.0509344294471376,0.272448818897638, +-0.0549303932454309)); +#9360=CARTESIAN_POINT('',(-0.0509344294471376,0.27240157480315,-0.0549303932454309)); +#9361=CARTESIAN_POINT('Ctrl Pts',(-0.0627971598157274,0.27240157480315, +-0.055712895248636)); +#9362=CARTESIAN_POINT('Ctrl Pts',(-0.0620946165694229,0.27240157480315, +-0.0557121229831472)); +#9363=CARTESIAN_POINT('Ctrl Pts',(-0.0607485125097399,0.27240157480315, +-0.0557106432881747)); +#9364=CARTESIAN_POINT('Ctrl Pts',(-0.0588182289426434,0.27240157480315, +-0.0556657809991026)); +#9365=CARTESIAN_POINT('Ctrl Pts',(-0.0570651079362991,0.272401574803149, +-0.0556058599858174)); +#9366=CARTESIAN_POINT('Ctrl Pts',(-0.0554929296486991,0.27240157480315, +-0.055522796099015)); +#9367=CARTESIAN_POINT('Ctrl Pts',(-0.0540968567605059,0.27240157480315, +-0.0554246325536652)); +#9368=CARTESIAN_POINT('Ctrl Pts',(-0.0528832064696345,0.27240157480315, +-0.055286953396263)); +#9369=CARTESIAN_POINT('Ctrl Pts',(-0.0518424392622856,0.27240157480315, +-0.055143198998148)); +#9370=CARTESIAN_POINT('Ctrl Pts',(-0.0512188313836172,0.27240157480315, +-0.0549970471154189)); +#9371=CARTESIAN_POINT('Ctrl Pts',(-0.0509344294471376,0.27240157480315, +-0.0549303932454309)); +#9372=CARTESIAN_POINT('',(-0.0509344294471376,0.27240157480315,-0.0549303932454309)); +#9373=CARTESIAN_POINT('Ctrl Pts',(-0.0509344294471376,0.27240157480315, +-0.0549303932454309)); +#9374=CARTESIAN_POINT('Ctrl Pts',(-0.0509344294471376,0.272448818897638, +-0.0549303932454309)); +#9375=CARTESIAN_POINT('Ctrl Pts',(-0.0505744066229517,0.27240157480315, +-0.0548318523253163)); +#9376=CARTESIAN_POINT('Ctrl Pts',(-0.0505744066229517,0.272448818897638, +-0.0548318523253163)); +#9377=CARTESIAN_POINT('Ctrl Pts',(-0.0498628444620568,0.27240157480315, +-0.0546370924785986)); +#9378=CARTESIAN_POINT('Ctrl Pts',(-0.0498628444620568,0.272448818897638, +-0.0546370924785986)); +#9379=CARTESIAN_POINT('Ctrl Pts',(-0.0488375313206635,0.27240157480315, +-0.0542470073078301)); +#9380=CARTESIAN_POINT('Ctrl Pts',(-0.0488375313206635,0.272448818897638, +-0.0542470073078301)); +#9381=CARTESIAN_POINT('Ctrl Pts',(-0.0478620276676817,0.272401574803149, +-0.0537844750879567)); +#9382=CARTESIAN_POINT('Ctrl Pts',(-0.0478620276676817,0.272448818897638, +-0.0537844750879567)); +#9383=CARTESIAN_POINT('Ctrl Pts',(-0.0469329866193904,0.27240157480315, +-0.0532573331258898)); +#9384=CARTESIAN_POINT('Ctrl Pts',(-0.0469329866193904,0.272448818897638, +-0.0532573331258898)); +#9385=CARTESIAN_POINT('Ctrl Pts',(-0.0460618246689309,0.27240157480315, +-0.0526429646112002)); +#9386=CARTESIAN_POINT('Ctrl Pts',(-0.0460618246689309,0.272448818897638, +-0.0526429646112002)); +#9387=CARTESIAN_POINT('Ctrl Pts',(-0.045235544297413,0.27240157480315,-0.0519662738710322)); +#9388=CARTESIAN_POINT('Ctrl Pts',(-0.045235544297413,0.272448818897638, +-0.0519662738710322)); +#9389=CARTESIAN_POINT('Ctrl Pts',(-0.0444614374477746,0.27240157480315, +-0.0512116374339424)); +#9390=CARTESIAN_POINT('Ctrl Pts',(-0.0444614374477746,0.272448818897638, +-0.0512116374339424)); +#9391=CARTESIAN_POINT('Ctrl Pts',(-0.043743857211403,0.27240157480315,-0.0503875192814508)); +#9392=CARTESIAN_POINT('Ctrl Pts',(-0.043743857211403,0.272448818897638, +-0.0503875192814508)); +#9393=CARTESIAN_POINT('Ctrl Pts',(-0.0430848572473561,0.27240157480315, +-0.0495077542534524)); +#9394=CARTESIAN_POINT('Ctrl Pts',(-0.0430848572473561,0.272448818897638, +-0.0495077542534524)); +#9395=CARTESIAN_POINT('Ctrl Pts',(-0.0425174512543922,0.27240157480315, +-0.0485592091655433)); +#9396=CARTESIAN_POINT('Ctrl Pts',(-0.0425174512543922,0.272448818897638, +-0.0485592091655433)); +#9397=CARTESIAN_POINT('Ctrl Pts',(-0.0420423437092543,0.27240157480315, +-0.0475578504837005)); +#9398=CARTESIAN_POINT('Ctrl Pts',(-0.0420423437092543,0.272448818897638, +-0.0475578504837005)); +#9399=CARTESIAN_POINT('Ctrl Pts',(-0.041634854550264,0.27240157480315,-0.0465043747224306)); +#9400=CARTESIAN_POINT('Ctrl Pts',(-0.041634854550264,0.272448818897638, +-0.0465043747224306)); +#9401=CARTESIAN_POINT('Ctrl Pts',(-0.0413415739778056,0.272401574803149, +-0.0453899353847619)); +#9402=CARTESIAN_POINT('Ctrl Pts',(-0.0413415739778056,0.272448818897638, +-0.0453899353847619)); +#9403=CARTESIAN_POINT('Ctrl Pts',(-0.0411206379702841,0.27240157480315, +-0.0442222759744317)); +#9404=CARTESIAN_POINT('Ctrl Pts',(-0.0411206379702841,0.272448818897638, +-0.0442222759744317)); +#9405=CARTESIAN_POINT('Ctrl Pts',(-0.0409804054164797,0.27240157480315, +-0.0430015216559571)); +#9406=CARTESIAN_POINT('Ctrl Pts',(-0.0409804054164797,0.272448818897638, +-0.0430015216559571)); +#9407=CARTESIAN_POINT('Ctrl Pts',(-0.0409669744379806,0.27240157480315, +-0.0421672974368966)); +#9408=CARTESIAN_POINT('Ctrl Pts',(-0.0409669744379806,0.272448818897638, +-0.0421672974368966)); +#9409=CARTESIAN_POINT('Ctrl Pts',(-0.0409601372462829,0.27240157480315, +-0.0417426261514138)); +#9410=CARTESIAN_POINT('Ctrl Pts',(-0.0409601372462829,0.272448818897638, +-0.0417426261514138)); +#9411=CARTESIAN_POINT('',(-0.0409601372462829,0.27240157480315,-0.0417426261514138)); +#9412=CARTESIAN_POINT('Ctrl Pts',(-0.0509344294471376,0.27240157480315, +-0.0549303932454309)); +#9413=CARTESIAN_POINT('Ctrl Pts',(-0.0505744066229517,0.27240157480315, +-0.0548318523253163)); +#9414=CARTESIAN_POINT('Ctrl Pts',(-0.0498628444620568,0.27240157480315, +-0.0546370924785986)); +#9415=CARTESIAN_POINT('Ctrl Pts',(-0.0488375313206635,0.27240157480315, +-0.0542470073078301)); +#9416=CARTESIAN_POINT('Ctrl Pts',(-0.0478620276676817,0.272401574803149, +-0.0537844750879567)); +#9417=CARTESIAN_POINT('Ctrl Pts',(-0.0469329866193904,0.27240157480315, +-0.0532573331258898)); +#9418=CARTESIAN_POINT('Ctrl Pts',(-0.0460618246689309,0.27240157480315, +-0.0526429646112002)); +#9419=CARTESIAN_POINT('Ctrl Pts',(-0.045235544297413,0.27240157480315,-0.0519662738710322)); +#9420=CARTESIAN_POINT('Ctrl Pts',(-0.0444614374477746,0.27240157480315, +-0.0512116374339424)); +#9421=CARTESIAN_POINT('Ctrl Pts',(-0.043743857211403,0.27240157480315,-0.0503875192814508)); +#9422=CARTESIAN_POINT('Ctrl Pts',(-0.0430848572473561,0.27240157480315, +-0.0495077542534524)); +#9423=CARTESIAN_POINT('Ctrl Pts',(-0.0425174512543922,0.27240157480315, +-0.0485592091655433)); +#9424=CARTESIAN_POINT('Ctrl Pts',(-0.0420423437092543,0.27240157480315, +-0.0475578504837005)); +#9425=CARTESIAN_POINT('Ctrl Pts',(-0.041634854550264,0.27240157480315,-0.0465043747224306)); +#9426=CARTESIAN_POINT('Ctrl Pts',(-0.0413415739778056,0.272401574803149, +-0.0453899353847619)); +#9427=CARTESIAN_POINT('Ctrl Pts',(-0.0411206379702841,0.27240157480315, +-0.0442222759744317)); +#9428=CARTESIAN_POINT('Ctrl Pts',(-0.0409804054164797,0.27240157480315, +-0.0430015216559571)); +#9429=CARTESIAN_POINT('Ctrl Pts',(-0.0409669744379806,0.27240157480315, +-0.0421672974368966)); +#9430=CARTESIAN_POINT('Ctrl Pts',(-0.0409601372462829,0.27240157480315, +-0.0417426261514138)); +#9431=CARTESIAN_POINT('',(-0.0409601372462829,0.27240157480315,-0.0417426261514138)); +#9432=CARTESIAN_POINT('Ctrl Pts',(-0.0409601372462829,0.27240157480315, +-0.0417426261514138)); +#9433=CARTESIAN_POINT('Ctrl Pts',(-0.0409601372462829,0.272448818897638, +-0.0417426261514138)); +#9434=CARTESIAN_POINT('Ctrl Pts',(-0.0409664084476067,0.27240157480315, +-0.0413143854254095)); +#9435=CARTESIAN_POINT('Ctrl Pts',(-0.0409664084476067,0.272448818897638, +-0.0413143854254095)); +#9436=CARTESIAN_POINT('Ctrl Pts',(-0.0409786784765558,0.27240157480315, +-0.0404765035214591)); +#9437=CARTESIAN_POINT('Ctrl Pts',(-0.0409786784765558,0.272448818897638, +-0.0404765035214591)); +#9438=CARTESIAN_POINT('Ctrl Pts',(-0.0411259446272826,0.272401574803149, +-0.0392507179829369)); +#9439=CARTESIAN_POINT('Ctrl Pts',(-0.0411259446272826,0.272448818897638, +-0.0392507179829369)); +#9440=CARTESIAN_POINT('Ctrl Pts',(-0.0413243105739897,0.27240157480315, +-0.0380793133678834)); +#9441=CARTESIAN_POINT('Ctrl Pts',(-0.0413243105739897,0.272448818897638, +-0.0380793133678834)); +#9442=CARTESIAN_POINT('Ctrl Pts',(-0.0416293262336206,0.27240157480315, +-0.0369648870216122)); +#9443=CARTESIAN_POINT('Ctrl Pts',(-0.0416293262336206,0.272448818897638, +-0.0369648870216122)); +#9444=CARTESIAN_POINT('Ctrl Pts',(-0.0420288154166326,0.27240157480315, +-0.0359140571038925)); +#9445=CARTESIAN_POINT('Ctrl Pts',(-0.0420288154166326,0.272448818897638, +-0.0359140571038925)); +#9446=CARTESIAN_POINT('Ctrl Pts',(-0.0424974471554077,0.27240157480315, +-0.0349107649607267)); +#9447=CARTESIAN_POINT('Ctrl Pts',(-0.0424974471554077,0.272448818897638, +-0.0349107649607267)); +#9448=CARTESIAN_POINT('Ctrl Pts',(-0.0430626782621008,0.27240157480315, +-0.0339720793669976)); +#9449=CARTESIAN_POINT('Ctrl Pts',(-0.0430626782621008,0.272448818897638, +-0.0339720793669976)); +#9450=CARTESIAN_POINT('Ctrl Pts',(-0.0437121548946975,0.27240157480315, +-0.0330945924988182)); +#9451=CARTESIAN_POINT('Ctrl Pts',(-0.0437121548946975,0.272448818897638, +-0.0330945924988182)); +#9452=CARTESIAN_POINT('Ctrl Pts',(-0.0444346488588775,0.27240157480315, +-0.0322774807084288)); +#9453=CARTESIAN_POINT('Ctrl Pts',(-0.0444346488588775,0.272448818897638, +-0.0322774807084288)); +#9454=CARTESIAN_POINT('Ctrl Pts',(-0.0452271032955927,0.27240157480315, +-0.0315266653141921)); +#9455=CARTESIAN_POINT('Ctrl Pts',(-0.0452271032955927,0.272448818897638, +-0.0315266653141921)); +#9456=CARTESIAN_POINT('Ctrl Pts',(-0.046086318957799,0.27240157480315,-0.0308465412305236)); +#9457=CARTESIAN_POINT('Ctrl Pts',(-0.046086318957799,0.272448818897638, +-0.0308465412305236)); +#9458=CARTESIAN_POINT('Ctrl Pts',(-0.0470095652089354,0.27240157480315, +-0.0302355837352797)); +#9459=CARTESIAN_POINT('Ctrl Pts',(-0.0470095652089354,0.272448818897638, +-0.0302355837352797)); +#9460=CARTESIAN_POINT('Ctrl Pts',(-0.0480058427213839,0.27240157480315, +-0.0297079605284689)); +#9461=CARTESIAN_POINT('Ctrl Pts',(-0.0480058427213839,0.272448818897638, +-0.0297079605284689)); +#9462=CARTESIAN_POINT('Ctrl Pts',(-0.0490600414352373,0.27240157480315, +-0.0292353815861246)); +#9463=CARTESIAN_POINT('Ctrl Pts',(-0.0490600414352373,0.272448818897638, +-0.0292353815861246)); +#9464=CARTESIAN_POINT('Ctrl Pts',(-0.0501813244177063,0.27240157480315, +-0.0288384908028137)); +#9465=CARTESIAN_POINT('Ctrl Pts',(-0.0501813244177063,0.272448818897638, +-0.0288384908028137)); +#9466=CARTESIAN_POINT('Ctrl Pts',(-0.0509572546851969,0.27240157480315, +-0.0286297101268257)); +#9467=CARTESIAN_POINT('Ctrl Pts',(-0.0509572546851969,0.272448818897638, +-0.0286297101268257)); +#9468=CARTESIAN_POINT('Ctrl Pts',(-0.051351763848847,0.27240157480315,-0.0285235589772685)); +#9469=CARTESIAN_POINT('Ctrl Pts',(-0.051351763848847,0.272448818897638, +-0.0285235589772685)); +#9470=CARTESIAN_POINT('',(-0.051351763848847,0.27240157480315,-0.0285235589772685)); +#9471=CARTESIAN_POINT('Ctrl Pts',(-0.0409601372462829,0.27240157480315, +-0.0417426261514138)); +#9472=CARTESIAN_POINT('Ctrl Pts',(-0.0409664084476067,0.27240157480315, +-0.0413143854254095)); +#9473=CARTESIAN_POINT('Ctrl Pts',(-0.0409786784765558,0.27240157480315, +-0.0404765035214591)); +#9474=CARTESIAN_POINT('Ctrl Pts',(-0.0411259446272826,0.272401574803149, +-0.0392507179829369)); +#9475=CARTESIAN_POINT('Ctrl Pts',(-0.0413243105739897,0.27240157480315, +-0.0380793133678834)); +#9476=CARTESIAN_POINT('Ctrl Pts',(-0.0416293262336206,0.27240157480315, +-0.0369648870216122)); +#9477=CARTESIAN_POINT('Ctrl Pts',(-0.0420288154166326,0.27240157480315, +-0.0359140571038925)); +#9478=CARTESIAN_POINT('Ctrl Pts',(-0.0424974471554077,0.27240157480315, +-0.0349107649607267)); +#9479=CARTESIAN_POINT('Ctrl Pts',(-0.0430626782621008,0.27240157480315, +-0.0339720793669976)); +#9480=CARTESIAN_POINT('Ctrl Pts',(-0.0437121548946975,0.27240157480315, +-0.0330945924988182)); +#9481=CARTESIAN_POINT('Ctrl Pts',(-0.0444346488588775,0.27240157480315, +-0.0322774807084288)); +#9482=CARTESIAN_POINT('Ctrl Pts',(-0.0452271032955927,0.27240157480315, +-0.0315266653141921)); +#9483=CARTESIAN_POINT('Ctrl Pts',(-0.046086318957799,0.27240157480315,-0.0308465412305236)); +#9484=CARTESIAN_POINT('Ctrl Pts',(-0.0470095652089354,0.27240157480315, +-0.0302355837352797)); +#9485=CARTESIAN_POINT('Ctrl Pts',(-0.0480058427213839,0.27240157480315, +-0.0297079605284689)); +#9486=CARTESIAN_POINT('Ctrl Pts',(-0.0490600414352373,0.27240157480315, +-0.0292353815861246)); +#9487=CARTESIAN_POINT('Ctrl Pts',(-0.0501813244177063,0.27240157480315, +-0.0288384908028137)); +#9488=CARTESIAN_POINT('Ctrl Pts',(-0.0509572546851969,0.27240157480315, +-0.0286297101268257)); +#9489=CARTESIAN_POINT('Ctrl Pts',(-0.051351763848847,0.27240157480315,-0.0285235589772685)); +#9490=CARTESIAN_POINT('',(-0.051351763848847,0.27240157480315,-0.0285235589772685)); +#9491=CARTESIAN_POINT('Ctrl Pts',(-0.051351763848847,0.27240157480315,-0.0285235589772685)); +#9492=CARTESIAN_POINT('Ctrl Pts',(-0.051351763848847,0.272448818897638, +-0.0285235589772685)); +#9493=CARTESIAN_POINT('Ctrl Pts',(-0.0516780634618145,0.27240157480315, +-0.0284541693778951)); +#9494=CARTESIAN_POINT('Ctrl Pts',(-0.0516780634618145,0.272448818897638, +-0.0284541693778951)); +#9495=CARTESIAN_POINT('Ctrl Pts',(-0.0523931680356331,0.27240157480315, +-0.0283020980363569)); +#9496=CARTESIAN_POINT('Ctrl Pts',(-0.0523931680356331,0.272448818897638, +-0.0283020980363569)); +#9497=CARTESIAN_POINT('Ctrl Pts',(-0.0535708871156591,0.27240157480315, +-0.0281323293333081)); +#9498=CARTESIAN_POINT('Ctrl Pts',(-0.0535708871156591,0.272448818897638, +-0.0281323293333081)); +#9499=CARTESIAN_POINT('Ctrl Pts',(-0.0549420982158052,0.27240157480315, +-0.0279874245612032)); +#9500=CARTESIAN_POINT('Ctrl Pts',(-0.0549420982158052,0.272448818897638, +-0.0279874245612032)); +#9501=CARTESIAN_POINT('Ctrl Pts',(-0.0565049297621678,0.27240157480315, +-0.0278787766805327)); +#9502=CARTESIAN_POINT('Ctrl Pts',(-0.0565049297621678,0.272448818897638, +-0.0278787766805327)); +#9503=CARTESIAN_POINT('Ctrl Pts',(-0.0582579789425484,0.27240157480315, +-0.0277857652450861)); +#9504=CARTESIAN_POINT('Ctrl Pts',(-0.0582579789425484,0.272448818897638, +-0.0277857652450861)); +#9505=CARTESIAN_POINT('Ctrl Pts',(-0.0602021940813839,0.27240157480315, +-0.0277118352764748)); +#9506=CARTESIAN_POINT('Ctrl Pts',(-0.0602021940813839,0.272448818897638, +-0.0277118352764748)); +#9507=CARTESIAN_POINT('Ctrl Pts',(-0.0623413916584569,0.27240157480315, +-0.0276712124515469)); +#9508=CARTESIAN_POINT('Ctrl Pts',(-0.0623413916584569,0.272448818897638, +-0.0276712124515469)); +#9509=CARTESIAN_POINT('Ctrl Pts',(-0.0638335232667599,0.27240157480315, +-0.0276691173206169)); +#9510=CARTESIAN_POINT('Ctrl Pts',(-0.0638335232667599,0.272448818897638, +-0.0276691173206169)); +#9511=CARTESIAN_POINT('Ctrl Pts',(-0.0646125644631633,0.27240157480315, +-0.0276680234537642)); +#9512=CARTESIAN_POINT('Ctrl Pts',(-0.0646125644631633,0.272448818897638, +-0.0276680234537642)); +#9513=CARTESIAN_POINT('',(-0.0646125644631633,0.27240157480315,-0.0276680234537642)); +#9514=CARTESIAN_POINT('Ctrl Pts',(-0.051351763848847,0.27240157480315,-0.0285235589772685)); +#9515=CARTESIAN_POINT('Ctrl Pts',(-0.0516780634618145,0.27240157480315, +-0.0284541693778951)); +#9516=CARTESIAN_POINT('Ctrl Pts',(-0.0523931680356331,0.27240157480315, +-0.0283020980363569)); +#9517=CARTESIAN_POINT('Ctrl Pts',(-0.0535708871156591,0.27240157480315, +-0.0281323293333081)); +#9518=CARTESIAN_POINT('Ctrl Pts',(-0.0549420982158052,0.27240157480315, +-0.0279874245612032)); +#9519=CARTESIAN_POINT('Ctrl Pts',(-0.0565049297621678,0.27240157480315, +-0.0278787766805327)); +#9520=CARTESIAN_POINT('Ctrl Pts',(-0.0582579789425484,0.27240157480315, +-0.0277857652450861)); +#9521=CARTESIAN_POINT('Ctrl Pts',(-0.0602021940813839,0.27240157480315, +-0.0277118352764748)); +#9522=CARTESIAN_POINT('Ctrl Pts',(-0.0623413916584569,0.27240157480315, +-0.0276712124515469)); +#9523=CARTESIAN_POINT('Ctrl Pts',(-0.0638335232667599,0.27240157480315, +-0.0276691173206169)); +#9524=CARTESIAN_POINT('Ctrl Pts',(-0.0646125644631633,0.27240157480315, +-0.0276680234537642)); +#9525=CARTESIAN_POINT('',(-0.0646125644631633,0.27240157480315,-0.0276680234537642)); +#9526=CARTESIAN_POINT('Origin',(-0.0646125644631633,0.27240157480315,-0.0276680234537642)); +#9527=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.0276680234537642)); +#9528=CARTESIAN_POINT('',(-0.0646125644631633,0.27240157480315,-0.0276680234537642)); +#9529=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.0276680234537642)); +#9530=CARTESIAN_POINT('Origin',(-0.0683372739984197,0.27240157480315,-0.0276680234537642)); +#9531=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.00362956191530266)); +#9532=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.0276680234537642)); +#9533=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.00362956191530266)); +#9534=CARTESIAN_POINT('Origin',(-0.0683372739984197,0.27240157480315,-0.00362956191530266)); +#9535=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.00362956191530266)); +#9536=CARTESIAN_POINT('Origin',(-0.0568438613582364,0.27240157480315,-0.0555908176479475)); +#9537=CARTESIAN_POINT('',(-0.0456342825454282,0.27240157480315,-0.0417217594313283)); +#9538=CARTESIAN_POINT('',(-0.0588116162794026,0.27240157480315,-0.0503710149067556)); +#9539=CARTESIAN_POINT('Ctrl Pts',(-0.0456342825454282,0.27240157480315, +-0.0417217594313283)); +#9540=CARTESIAN_POINT('Ctrl Pts',(-0.0456530719278023,0.27240157480315, +-0.0421404873100316)); +#9541=CARTESIAN_POINT('Ctrl Pts',(-0.0456904228944823,0.27240157480315, +-0.0429728665087792)); +#9542=CARTESIAN_POINT('Ctrl Pts',(-0.0459680399058019,0.27240157480315, +-0.0441927885416619)); +#9543=CARTESIAN_POINT('Ctrl Pts',(-0.0464345475525808,0.27240157480315, +-0.0453570103331319)); +#9544=CARTESIAN_POINT('Ctrl Pts',(-0.0470812230661946,0.27240157480315, +-0.0464429193413674)); +#9545=CARTESIAN_POINT('Ctrl Pts',(-0.0478660613096564,0.27240157480315, +-0.0474278717813265)); +#9546=CARTESIAN_POINT('Ctrl Pts',(-0.0487782539154299,0.27240157480315, +-0.0482834837911905)); +#9547=CARTESIAN_POINT('Ctrl Pts',(-0.0498156536376572,0.27240157480315, +-0.0489713067484987)); +#9548=CARTESIAN_POINT('Ctrl Pts',(-0.0507806570756443,0.27240157480315, +-0.0494152543920803)); +#9549=CARTESIAN_POINT('Ctrl Pts',(-0.0516378175820052,0.27240157480315, +-0.0496863150384068)); +#9550=CARTESIAN_POINT('Ctrl Pts',(-0.0523823407945615,0.27240157480315, +-0.0498759704023537)); +#9551=CARTESIAN_POINT('Ctrl Pts',(-0.0532229758315607,0.27240157480315, +-0.0500273931143319)); +#9552=CARTESIAN_POINT('Ctrl Pts',(-0.0541599963599887,0.27240157480315, +-0.0501500047630847)); +#9553=CARTESIAN_POINT('Ctrl Pts',(-0.0551861397213043,0.27240157480315, +-0.0502549669836705)); +#9554=CARTESIAN_POINT('Ctrl Pts',(-0.0563102217881885,0.27240157480315, +-0.0503261868829858)); +#9555=CARTESIAN_POINT('Ctrl Pts',(-0.0575279843367662,0.27240157480315, +-0.050367875219839)); +#9556=CARTESIAN_POINT('Ctrl Pts',(-0.0583733797365423,0.27240157480315, +-0.0503699430063968)); +#9557=CARTESIAN_POINT('Ctrl Pts',(-0.0588116162794026,0.27240157480315, +-0.0503710149067556)); +#9558=CARTESIAN_POINT('',(-0.0592185173210692,0.27240157480315,-0.0328951368351745)); +#9559=CARTESIAN_POINT('Ctrl Pts',(-0.0592185173210692,0.27240157480315, +-0.0328951368351745)); +#9560=CARTESIAN_POINT('Ctrl Pts',(-0.0587732803298065,0.27240157480315, +-0.032894906722211)); +#9561=CARTESIAN_POINT('Ctrl Pts',(-0.0579137594974737,0.27240157480315, +-0.0328944624938793)); +#9562=CARTESIAN_POINT('Ctrl Pts',(-0.0566721490326933,0.27240157480315, +-0.0329537664147011)); +#9563=CARTESIAN_POINT('Ctrl Pts',(-0.0555202251144932,0.27240157480315, +-0.033022226722207)); +#9564=CARTESIAN_POINT('Ctrl Pts',(-0.0544615260761997,0.27240157480315, +-0.0331224636784868)); +#9565=CARTESIAN_POINT('Ctrl Pts',(-0.053495574670198,0.27240157480315,-0.0332641490577157)); +#9566=CARTESIAN_POINT('Ctrl Pts',(-0.0526207602524234,0.27240157480315, +-0.0334071808719739)); +#9567=CARTESIAN_POINT('Ctrl Pts',(-0.0518438661617255,0.27240157480315, +-0.0336141059106425)); +#9568=CARTESIAN_POINT('Ctrl Pts',(-0.0509346518497336,0.27240157480315, +-0.0338927230109271)); +#9569=CARTESIAN_POINT('Ctrl Pts',(-0.0499215067979957,0.27240157480315, +-0.0343679107439774)); +#9570=CARTESIAN_POINT('Ctrl Pts',(-0.0488193111354482,0.27240157480315, +-0.035057641250727)); +#9571=CARTESIAN_POINT('Ctrl Pts',(-0.0478852250290305,0.27240157480315, +-0.0359368184560857)); +#9572=CARTESIAN_POINT('Ctrl Pts',(-0.0470769170415072,0.27240157480315, +-0.0369253278508252)); +#9573=CARTESIAN_POINT('Ctrl Pts',(-0.0464354605245668,0.27240157480315, +-0.0380250172768083)); +#9574=CARTESIAN_POINT('Ctrl Pts',(-0.0459658773055715,0.27240157480315, +-0.0392016383590408)); +#9575=CARTESIAN_POINT('Ctrl Pts',(-0.0456899672257569,0.27240157480315, +-0.0404431242150185)); +#9576=CARTESIAN_POINT('Ctrl Pts',(-0.045652970296357,0.27240157480315,-0.0412926500908396)); +#9577=CARTESIAN_POINT('Ctrl Pts',(-0.0456342825454282,0.27240157480315, +-0.0417217594313283)); +#9578=CARTESIAN_POINT('',(-0.0683372739984197,0.272401574803101,-0.0330099037956445)); +#9579=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.0330099037956445)); +#9580=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.0503710149067556)); +#9581=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.0503710149067556)); +#9582=CARTESIAN_POINT('',(-0.0588116162794026,0.27240157480315,-0.0503710149067556)); +#9583=CARTESIAN_POINT('Origin',(-0.0588116162794026,0.27240157480315,-0.0503710149067556)); +#9584=CARTESIAN_POINT('',(-0.0588116162794026,0.27244094488189,-0.0503710149067556)); +#9585=CARTESIAN_POINT('',(-0.0683372739984197,0.272440944881878,-0.0503710149067556)); +#9586=CARTESIAN_POINT('',(-0.0588116162794026,0.27244094488189,-0.0503710149067556)); +#9587=CARTESIAN_POINT('',(-0.0588116162794026,0.27240157480315,-0.0503710149067556)); +#9588=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.0503710149067556)); +#9589=CARTESIAN_POINT('Ctrl Pts',(-0.0456342825454282,0.27240157480315, +-0.0417217594313283)); +#9590=CARTESIAN_POINT('Ctrl Pts',(-0.0456342825454282,0.272448818897638, +-0.0417217594313283)); +#9591=CARTESIAN_POINT('Ctrl Pts',(-0.0456530719278023,0.27240157480315, +-0.0421404873100316)); +#9592=CARTESIAN_POINT('Ctrl Pts',(-0.0456530719278023,0.272448818897638, +-0.0421404873100316)); +#9593=CARTESIAN_POINT('Ctrl Pts',(-0.0456904228944823,0.27240157480315, +-0.0429728665087792)); +#9594=CARTESIAN_POINT('Ctrl Pts',(-0.0456904228944823,0.272448818897638, +-0.0429728665087792)); +#9595=CARTESIAN_POINT('Ctrl Pts',(-0.0459680399058019,0.27240157480315, +-0.0441927885416619)); +#9596=CARTESIAN_POINT('Ctrl Pts',(-0.0459680399058019,0.272448818897638, +-0.0441927885416619)); +#9597=CARTESIAN_POINT('Ctrl Pts',(-0.0464345475525808,0.27240157480315, +-0.0453570103331319)); +#9598=CARTESIAN_POINT('Ctrl Pts',(-0.0464345475525808,0.272448818897638, +-0.0453570103331319)); +#9599=CARTESIAN_POINT('Ctrl Pts',(-0.0470812230661946,0.27240157480315, +-0.0464429193413674)); +#9600=CARTESIAN_POINT('Ctrl Pts',(-0.0470812230661946,0.272448818897638, +-0.0464429193413674)); +#9601=CARTESIAN_POINT('Ctrl Pts',(-0.0478660613096564,0.27240157480315, +-0.0474278717813265)); +#9602=CARTESIAN_POINT('Ctrl Pts',(-0.0478660613096564,0.272448818897638, +-0.0474278717813265)); +#9603=CARTESIAN_POINT('Ctrl Pts',(-0.0487782539154299,0.27240157480315, +-0.0482834837911905)); +#9604=CARTESIAN_POINT('Ctrl Pts',(-0.0487782539154299,0.272448818897638, +-0.0482834837911905)); +#9605=CARTESIAN_POINT('Ctrl Pts',(-0.0498156536376572,0.27240157480315, +-0.0489713067484987)); +#9606=CARTESIAN_POINT('Ctrl Pts',(-0.0498156536376572,0.272448818897638, +-0.0489713067484987)); +#9607=CARTESIAN_POINT('Ctrl Pts',(-0.0507806570756443,0.27240157480315, +-0.0494152543920803)); +#9608=CARTESIAN_POINT('Ctrl Pts',(-0.0507806570756443,0.272448818897638, +-0.0494152543920803)); +#9609=CARTESIAN_POINT('Ctrl Pts',(-0.0516378175820052,0.27240157480315, +-0.0496863150384068)); +#9610=CARTESIAN_POINT('Ctrl Pts',(-0.0516378175820052,0.272448818897638, +-0.0496863150384068)); +#9611=CARTESIAN_POINT('Ctrl Pts',(-0.0523823407945615,0.27240157480315, +-0.0498759704023537)); +#9612=CARTESIAN_POINT('Ctrl Pts',(-0.0523823407945615,0.272448818897638, +-0.0498759704023537)); +#9613=CARTESIAN_POINT('Ctrl Pts',(-0.0532229758315607,0.27240157480315, +-0.0500273931143319)); +#9614=CARTESIAN_POINT('Ctrl Pts',(-0.0532229758315607,0.272448818897638, +-0.0500273931143319)); +#9615=CARTESIAN_POINT('Ctrl Pts',(-0.0541599963599887,0.27240157480315, +-0.0501500047630847)); +#9616=CARTESIAN_POINT('Ctrl Pts',(-0.0541599963599887,0.272448818897638, +-0.0501500047630847)); +#9617=CARTESIAN_POINT('Ctrl Pts',(-0.0551861397213043,0.27240157480315, +-0.0502549669836705)); +#9618=CARTESIAN_POINT('Ctrl Pts',(-0.0551861397213043,0.272448818897638, +-0.0502549669836705)); +#9619=CARTESIAN_POINT('Ctrl Pts',(-0.0563102217881885,0.27240157480315, +-0.0503261868829858)); +#9620=CARTESIAN_POINT('Ctrl Pts',(-0.0563102217881885,0.272448818897638, +-0.0503261868829858)); +#9621=CARTESIAN_POINT('Ctrl Pts',(-0.0575279843367662,0.27240157480315, +-0.050367875219839)); +#9622=CARTESIAN_POINT('Ctrl Pts',(-0.0575279843367662,0.272448818897638, +-0.050367875219839)); +#9623=CARTESIAN_POINT('Ctrl Pts',(-0.0583733797365423,0.27240157480315, +-0.0503699430063968)); +#9624=CARTESIAN_POINT('Ctrl Pts',(-0.0583733797365423,0.272448818897638, +-0.0503699430063968)); +#9625=CARTESIAN_POINT('Ctrl Pts',(-0.0588116162794026,0.27240157480315, +-0.0503710149067556)); +#9626=CARTESIAN_POINT('Ctrl Pts',(-0.0588116162794026,0.272448818897638, +-0.0503710149067556)); +#9627=CARTESIAN_POINT('',(-0.0456342825454282,0.27244094488189,-0.0417217594313283)); +#9628=CARTESIAN_POINT('Ctrl Pts',(-0.0456342825454282,0.27244094488189, +-0.0417217594313283)); +#9629=CARTESIAN_POINT('Ctrl Pts',(-0.0456530719278023,0.27244094488189, +-0.0421404873100316)); +#9630=CARTESIAN_POINT('Ctrl Pts',(-0.0456904228944823,0.27244094488189, +-0.0429728665087792)); +#9631=CARTESIAN_POINT('Ctrl Pts',(-0.0459680399058019,0.27244094488189, +-0.0441927885416619)); +#9632=CARTESIAN_POINT('Ctrl Pts',(-0.0464345475525808,0.27244094488189, +-0.0453570103331319)); +#9633=CARTESIAN_POINT('Ctrl Pts',(-0.0470812230661946,0.27244094488189, +-0.0464429193413674)); +#9634=CARTESIAN_POINT('Ctrl Pts',(-0.0478660613096564,0.27244094488189, +-0.0474278717813265)); +#9635=CARTESIAN_POINT('Ctrl Pts',(-0.0487782539154299,0.27244094488189, +-0.0482834837911905)); +#9636=CARTESIAN_POINT('Ctrl Pts',(-0.0498156536376572,0.27244094488189, +-0.0489713067484987)); +#9637=CARTESIAN_POINT('Ctrl Pts',(-0.0507806570756443,0.27244094488189, +-0.0494152543920803)); +#9638=CARTESIAN_POINT('Ctrl Pts',(-0.0516378175820052,0.27244094488189, +-0.0496863150384068)); +#9639=CARTESIAN_POINT('Ctrl Pts',(-0.0523823407945615,0.27244094488189, +-0.0498759704023537)); +#9640=CARTESIAN_POINT('Ctrl Pts',(-0.0532229758315607,0.27244094488189, +-0.0500273931143319)); +#9641=CARTESIAN_POINT('Ctrl Pts',(-0.0541599963599887,0.27244094488189, +-0.0501500047630847)); +#9642=CARTESIAN_POINT('Ctrl Pts',(-0.0551861397213043,0.27244094488189, +-0.0502549669836705)); +#9643=CARTESIAN_POINT('Ctrl Pts',(-0.0563102217881885,0.27244094488189, +-0.0503261868829858)); +#9644=CARTESIAN_POINT('Ctrl Pts',(-0.0575279843367662,0.27244094488189, +-0.050367875219839)); +#9645=CARTESIAN_POINT('Ctrl Pts',(-0.0583733797365423,0.27244094488189, +-0.0503699430063968)); +#9646=CARTESIAN_POINT('Ctrl Pts',(-0.0588116162794026,0.27244094488189, +-0.0503710149067556)); +#9647=CARTESIAN_POINT('',(-0.0456342825454282,0.27240157480315,-0.0417217594313283)); +#9648=CARTESIAN_POINT('Ctrl Pts',(-0.0592185173210692,0.27240157480315, +-0.0328951368351745)); +#9649=CARTESIAN_POINT('Ctrl Pts',(-0.0592185173210692,0.272448818897638, +-0.0328951368351745)); +#9650=CARTESIAN_POINT('Ctrl Pts',(-0.0587732803298065,0.27240157480315, +-0.032894906722211)); +#9651=CARTESIAN_POINT('Ctrl Pts',(-0.0587732803298065,0.272448818897638, +-0.032894906722211)); +#9652=CARTESIAN_POINT('Ctrl Pts',(-0.0579137594974737,0.27240157480315, +-0.0328944624938793)); +#9653=CARTESIAN_POINT('Ctrl Pts',(-0.0579137594974737,0.272448818897638, +-0.0328944624938793)); +#9654=CARTESIAN_POINT('Ctrl Pts',(-0.0566721490326933,0.27240157480315, +-0.0329537664147011)); +#9655=CARTESIAN_POINT('Ctrl Pts',(-0.0566721490326933,0.272448818897638, +-0.0329537664147011)); +#9656=CARTESIAN_POINT('Ctrl Pts',(-0.0555202251144932,0.27240157480315, +-0.033022226722207)); +#9657=CARTESIAN_POINT('Ctrl Pts',(-0.0555202251144932,0.272448818897638, +-0.033022226722207)); +#9658=CARTESIAN_POINT('Ctrl Pts',(-0.0544615260761997,0.27240157480315, +-0.0331224636784868)); +#9659=CARTESIAN_POINT('Ctrl Pts',(-0.0544615260761997,0.272448818897638, +-0.0331224636784868)); +#9660=CARTESIAN_POINT('Ctrl Pts',(-0.053495574670198,0.27240157480315,-0.0332641490577157)); +#9661=CARTESIAN_POINT('Ctrl Pts',(-0.053495574670198,0.272448818897638, +-0.0332641490577157)); +#9662=CARTESIAN_POINT('Ctrl Pts',(-0.0526207602524234,0.27240157480315, +-0.0334071808719739)); +#9663=CARTESIAN_POINT('Ctrl Pts',(-0.0526207602524234,0.272448818897638, +-0.0334071808719739)); +#9664=CARTESIAN_POINT('Ctrl Pts',(-0.0518438661617255,0.27240157480315, +-0.0336141059106425)); +#9665=CARTESIAN_POINT('Ctrl Pts',(-0.0518438661617255,0.272448818897638, +-0.0336141059106425)); +#9666=CARTESIAN_POINT('Ctrl Pts',(-0.0509346518497336,0.27240157480315, +-0.0338927230109271)); +#9667=CARTESIAN_POINT('Ctrl Pts',(-0.0509346518497336,0.272448818897638, +-0.0338927230109271)); +#9668=CARTESIAN_POINT('Ctrl Pts',(-0.0499215067979957,0.27240157480315, +-0.0343679107439774)); +#9669=CARTESIAN_POINT('Ctrl Pts',(-0.0499215067979957,0.272448818897638, +-0.0343679107439774)); +#9670=CARTESIAN_POINT('Ctrl Pts',(-0.0488193111354482,0.27240157480315, +-0.035057641250727)); +#9671=CARTESIAN_POINT('Ctrl Pts',(-0.0488193111354482,0.272448818897638, +-0.035057641250727)); +#9672=CARTESIAN_POINT('Ctrl Pts',(-0.0478852250290305,0.27240157480315, +-0.0359368184560857)); +#9673=CARTESIAN_POINT('Ctrl Pts',(-0.0478852250290305,0.272448818897638, +-0.0359368184560857)); +#9674=CARTESIAN_POINT('Ctrl Pts',(-0.0470769170415072,0.27240157480315, +-0.0369253278508252)); +#9675=CARTESIAN_POINT('Ctrl Pts',(-0.0470769170415072,0.272448818897638, +-0.0369253278508252)); +#9676=CARTESIAN_POINT('Ctrl Pts',(-0.0464354605245668,0.27240157480315, +-0.0380250172768083)); +#9677=CARTESIAN_POINT('Ctrl Pts',(-0.0464354605245668,0.272448818897638, +-0.0380250172768083)); +#9678=CARTESIAN_POINT('Ctrl Pts',(-0.0459658773055715,0.27240157480315, +-0.0392016383590408)); +#9679=CARTESIAN_POINT('Ctrl Pts',(-0.0459658773055715,0.272448818897638, +-0.0392016383590408)); +#9680=CARTESIAN_POINT('Ctrl Pts',(-0.0456899672257569,0.27240157480315, +-0.0404431242150185)); +#9681=CARTESIAN_POINT('Ctrl Pts',(-0.0456899672257569,0.272448818897638, +-0.0404431242150185)); +#9682=CARTESIAN_POINT('Ctrl Pts',(-0.045652970296357,0.27240157480315,-0.0412926500908396)); +#9683=CARTESIAN_POINT('Ctrl Pts',(-0.045652970296357,0.272448818897638, +-0.0412926500908396)); +#9684=CARTESIAN_POINT('Ctrl Pts',(-0.0456342825454282,0.27240157480315, +-0.0417217594313283)); +#9685=CARTESIAN_POINT('Ctrl Pts',(-0.0456342825454282,0.272448818897638, +-0.0417217594313283)); +#9686=CARTESIAN_POINT('',(-0.0592185173210692,0.27244094488189,-0.0328951368351745)); +#9687=CARTESIAN_POINT('Ctrl Pts',(-0.0592185173210692,0.27244094488189, +-0.0328951368351745)); +#9688=CARTESIAN_POINT('Ctrl Pts',(-0.0587732803298065,0.27244094488189, +-0.032894906722211)); +#9689=CARTESIAN_POINT('Ctrl Pts',(-0.0579137594974737,0.27244094488189, +-0.0328944624938793)); +#9690=CARTESIAN_POINT('Ctrl Pts',(-0.0566721490326933,0.27244094488189, +-0.0329537664147011)); +#9691=CARTESIAN_POINT('Ctrl Pts',(-0.0555202251144932,0.27244094488189, +-0.033022226722207)); +#9692=CARTESIAN_POINT('Ctrl Pts',(-0.0544615260761997,0.27244094488189, +-0.0331224636784868)); +#9693=CARTESIAN_POINT('Ctrl Pts',(-0.053495574670198,0.27244094488189,-0.0332641490577157)); +#9694=CARTESIAN_POINT('Ctrl Pts',(-0.0526207602524234,0.27244094488189, +-0.0334071808719739)); +#9695=CARTESIAN_POINT('Ctrl Pts',(-0.0518438661617255,0.27244094488189, +-0.0336141059106425)); +#9696=CARTESIAN_POINT('Ctrl Pts',(-0.0509346518497336,0.27244094488189, +-0.0338927230109271)); +#9697=CARTESIAN_POINT('Ctrl Pts',(-0.0499215067979957,0.27244094488189, +-0.0343679107439774)); +#9698=CARTESIAN_POINT('Ctrl Pts',(-0.0488193111354482,0.27244094488189, +-0.035057641250727)); +#9699=CARTESIAN_POINT('Ctrl Pts',(-0.0478852250290305,0.27244094488189, +-0.0359368184560857)); +#9700=CARTESIAN_POINT('Ctrl Pts',(-0.0470769170415072,0.27244094488189, +-0.0369253278508252)); +#9701=CARTESIAN_POINT('Ctrl Pts',(-0.0464354605245668,0.27244094488189, +-0.0380250172768083)); +#9702=CARTESIAN_POINT('Ctrl Pts',(-0.0459658773055715,0.27244094488189, +-0.0392016383590408)); +#9703=CARTESIAN_POINT('Ctrl Pts',(-0.0456899672257569,0.27244094488189, +-0.0404431242150185)); +#9704=CARTESIAN_POINT('Ctrl Pts',(-0.045652970296357,0.27244094488189,-0.0412926500908396)); +#9705=CARTESIAN_POINT('Ctrl Pts',(-0.0456342825454282,0.27244094488189, +-0.0417217594313283)); +#9706=CARTESIAN_POINT('',(-0.0592185173210692,0.27240157480315,-0.0328951368351745)); +#9707=CARTESIAN_POINT('Origin',(-0.0683372739984197,0.27240157480315,-0.0330099037956445)); +#9708=CARTESIAN_POINT('',(-0.0683372739984197,0.27244094488189,-0.0330099037956445)); +#9709=CARTESIAN_POINT('',(-0.0683372739984197,0.27244094488189,-0.0330099037956445)); +#9710=CARTESIAN_POINT('',(-0.0683372739984197,0.27240157480315,-0.0330099037956445)); +#9711=CARTESIAN_POINT('Origin',(-0.0683372739984197,0.27240157480315,-0.0503710149067556)); +#9712=CARTESIAN_POINT('',(-0.0683372739984197,0.27244094488189,-0.0503710149067556)); +#9713=CARTESIAN_POINT('Origin',(-0.32007874015748,0.27244094488189,-0.425)); +#9714=CARTESIAN_POINT('Origin',(-0.026937701348847,0.27240157480315,-0.0503710149067556)); +#9715=CARTESIAN_POINT('',(-0.026937701348847,0.27240157480315,-0.0503710149067556)); +#9716=CARTESIAN_POINT('',(-0.026937701348847,0.27240157480315,-0.0503710149067556)); +#9717=CARTESIAN_POINT('',(-0.0238807268563257,0.27240157480315,-0.055712895248636)); +#9718=CARTESIAN_POINT('',(-0.026937701348847,0.27240157480315,-0.0503710149067556)); +#9719=CARTESIAN_POINT('',(-0.0238807268563257,0.27240157480315,-0.055712895248636)); +#9720=CARTESIAN_POINT('Origin',(-0.0238807268563257,0.27240157480315,-0.055712895248636)); +#9721=CARTESIAN_POINT('',(-0.0142507355368812,0.27240157480315,-0.055712895248636)); +#9722=CARTESIAN_POINT('',(-0.0238807268563257,0.27240157480315,-0.055712895248636)); +#9723=CARTESIAN_POINT('',(-0.0142507355368812,0.27240157480315,-0.055712895248636)); +#9724=CARTESIAN_POINT('Origin',(-0.0142507355368812,0.27240157480315,-0.055712895248636)); +#9725=CARTESIAN_POINT('',(-0.0142507355368812,0.27240157480315,-0.00362956191530266)); +#9726=CARTESIAN_POINT('',(-0.0142507355368812,0.27240157480315,-0.055712895248636)); +#9727=CARTESIAN_POINT('',(-0.0142507355368812,0.27240157480315,-0.00362956191530266)); +#9728=CARTESIAN_POINT('Origin',(-0.0142507355368812,0.27240157480315,-0.00362956191530266)); +#9729=CARTESIAN_POINT('',(-0.0189248808360265,0.27240157480315,-0.00362956191530266)); +#9730=CARTESIAN_POINT('',(-0.0142507355368812,0.27240157480315,-0.00362956191530266)); +#9731=CARTESIAN_POINT('',(-0.0189248808360265,0.27240157480315,-0.00362956191530266)); +#9732=CARTESIAN_POINT('Origin',(-0.0189248808360265,0.27240157480315,-0.00362956191530266)); +#9733=CARTESIAN_POINT('',(-0.0189248808360265,0.27240157480315,-0.0503710149067556)); +#9734=CARTESIAN_POINT('',(-0.0189248808360265,0.27240157480315,-0.00362956191530266)); +#9735=CARTESIAN_POINT('',(-0.0189248808360265,0.27240157480315,-0.0503710149067556)); +#9736=CARTESIAN_POINT('Origin',(-0.0189248808360265,0.27240157480315,-0.0503710149067556)); +#9737=CARTESIAN_POINT('',(-0.0189248808360265,0.27240157480315,-0.0503710149067556)); +#9738=CARTESIAN_POINT('Origin',(0.,0.27240157480315,0.)); +#9739=CARTESIAN_POINT('Origin',(0.0131264012152555,0.27240157480315,-0.0503710149067556)); +#9740=CARTESIAN_POINT('',(0.0131264012152555,0.27240157480315,-0.0503710149067556)); +#9741=CARTESIAN_POINT('',(0.0131264012152555,0.27240157480315,-0.0503710149067556)); +#9742=CARTESIAN_POINT('',(0.0161833757077769,0.27240157480315,-0.055712895248636)); +#9743=CARTESIAN_POINT('',(0.0131264012152555,0.27240157480315,-0.0503710149067556)); +#9744=CARTESIAN_POINT('',(0.0161833757077769,0.27240157480315,-0.055712895248636)); +#9745=CARTESIAN_POINT('Origin',(0.0161833757077769,0.27240157480315,-0.055712895248636)); +#9746=CARTESIAN_POINT('',(0.0258133670272213,0.27240157480315,-0.055712895248636)); +#9747=CARTESIAN_POINT('',(0.0161833757077769,0.27240157480315,-0.055712895248636)); +#9748=CARTESIAN_POINT('',(0.0258133670272213,0.27240157480315,-0.055712895248636)); +#9749=CARTESIAN_POINT('Origin',(0.0258133670272213,0.27240157480315,-0.055712895248636)); +#9750=CARTESIAN_POINT('',(0.0258133670272213,0.27240157480315,-0.00362956191530266)); +#9751=CARTESIAN_POINT('',(0.0258133670272213,0.27240157480315,-0.055712895248636)); +#9752=CARTESIAN_POINT('',(0.0258133670272213,0.27240157480315,-0.00362956191530266)); +#9753=CARTESIAN_POINT('Origin',(0.0258133670272213,0.27240157480315,-0.00362956191530266)); +#9754=CARTESIAN_POINT('',(0.021139221728076,0.27240157480315,-0.00362956191530266)); +#9755=CARTESIAN_POINT('',(0.0258133670272213,0.27240157480315,-0.00362956191530266)); +#9756=CARTESIAN_POINT('',(0.021139221728076,0.27240157480315,-0.00362956191530266)); +#9757=CARTESIAN_POINT('Origin',(0.021139221728076,0.27240157480315,-0.00362956191530266)); +#9758=CARTESIAN_POINT('',(0.021139221728076,0.27240157480315,-0.0503710149067556)); +#9759=CARTESIAN_POINT('',(0.021139221728076,0.27240157480315,-0.00362956191530266)); +#9760=CARTESIAN_POINT('',(0.021139221728076,0.27240157480315,-0.0503710149067556)); +#9761=CARTESIAN_POINT('Origin',(0.021139221728076,0.27240157480315,-0.0503710149067556)); +#9762=CARTESIAN_POINT('',(0.021139221728076,0.27240157480315,-0.0503710149067556)); +#9763=CARTESIAN_POINT('Origin',(0.,0.27240157480315,0.)); +#9764=CARTESIAN_POINT('Origin',(0.0705516148904692,0.27240157480315,-0.055712895248636)); +#9765=CARTESIAN_POINT('',(0.0705516148904692,0.27240157480315,-0.055712895248636)); +#9766=CARTESIAN_POINT('',(0.0705516148904692,0.27240157480315,-0.055712895248636)); +#9767=CARTESIAN_POINT('',(0.0712193499332042,0.27240157480315,-0.055712895248636)); +#9768=CARTESIAN_POINT('',(0.0705516148904692,0.27240157480315,-0.055712895248636)); +#9769=CARTESIAN_POINT('',(0.0712193499332042,0.27240157480315,-0.055712895248636)); +#9770=CARTESIAN_POINT('Origin',(0.0712193499332042,0.27240157480315,-0.055712895248636)); +#9771=CARTESIAN_POINT('',(0.0952578114716658,0.27240157480315,-0.00362956191530266)); +#9772=CARTESIAN_POINT('',(0.0712193499332042,0.27240157480315,-0.055712895248636)); +#9773=CARTESIAN_POINT('',(0.0952578114716658,0.27240157480315,-0.00362956191530266)); +#9774=CARTESIAN_POINT('Origin',(0.0952578114716658,0.27240157480315,-0.00362956191530266)); +#9775=CARTESIAN_POINT('',(0.0901767651308538,0.27240157480315,-0.00362956191530266)); +#9776=CARTESIAN_POINT('',(0.0952578114716658,0.27240157480315,-0.00362956191530266)); +#9777=CARTESIAN_POINT('',(0.0901767651308538,0.27240157480315,-0.00362956191530266)); +#9778=CARTESIAN_POINT('Origin',(0.0901767651308538,0.27240157480315,-0.00362956191530266)); +#9779=CARTESIAN_POINT('',(0.0824769454193154,0.27240157480315,-0.0203229379836787)); +#9780=CARTESIAN_POINT('',(0.0901767651308538,0.27240157480315,-0.00362956191530266)); +#9781=CARTESIAN_POINT('',(0.0824769454193154,0.27240157480315,-0.0203229379836787)); +#9782=CARTESIAN_POINT('Origin',(0.0824769454193154,0.27240157480315,-0.0203229379836787)); +#9783=CARTESIAN_POINT('',(0.058866251642606,0.27240157480315,-0.0203229379836787)); +#9784=CARTESIAN_POINT('',(0.0824769454193154,0.27240157480315,-0.0203229379836787)); +#9785=CARTESIAN_POINT('',(0.058866251642606,0.27240157480315,-0.0203229379836787)); +#9786=CARTESIAN_POINT('Origin',(0.058866251642606,0.27240157480315,-0.0203229379836787)); +#9787=CARTESIAN_POINT('',(0.0509473313701701,0.27240157480315,-0.00362956191530266)); +#9788=CARTESIAN_POINT('',(0.058866251642606,0.27240157480315,-0.0203229379836787)); +#9789=CARTESIAN_POINT('',(0.0509473313701701,0.27240157480315,-0.00362956191530266)); +#9790=CARTESIAN_POINT('Origin',(0.0509473313701701,0.27240157480315,-0.00362956191530266)); +#9791=CARTESIAN_POINT('',(0.0458454183092726,0.27240157480315,-0.00362956191530266)); +#9792=CARTESIAN_POINT('',(0.0509473313701701,0.27240157480315,-0.00362956191530266)); +#9793=CARTESIAN_POINT('',(0.0458454183092726,0.27240157480315,-0.00362956191530266)); +#9794=CARTESIAN_POINT('Origin',(0.0458454183092726,0.27240157480315,-0.00362956191530266)); +#9795=CARTESIAN_POINT('',(0.0458454183092726,0.27240157480315,-0.00362956191530266)); +#9796=CARTESIAN_POINT('Origin',(0.,0.27240157480315,0.)); +#9797=CARTESIAN_POINT('',(0.0614015581329906,0.27240157480315,-0.0256648183255591)); +#9798=CARTESIAN_POINT('',(0.0800146724492299,0.27240157480315,-0.0256648183255591)); +#9799=CARTESIAN_POINT('',(0.0614015581329906,0.27240157480315,-0.0256648183255591)); +#9800=CARTESIAN_POINT('',(0.070833315611623,0.27240157480315,-0.0455612359270548)); +#9801=CARTESIAN_POINT('',(0.070833315611623,0.27240157480315,-0.0455612359270548)); +#9802=CARTESIAN_POINT('',(0.0800146724492299,0.27240157480315,-0.0256648183255591)); +#9803=CARTESIAN_POINT('Origin',(0.0800146724492299,0.27240157480315,-0.0256648183255591)); +#9804=CARTESIAN_POINT('',(0.0800146724492299,0.27244094488189,-0.0256648183255591)); +#9805=CARTESIAN_POINT('',(0.070833315611623,0.27244094488189,-0.0455612359270548)); +#9806=CARTESIAN_POINT('',(0.0800146724492299,0.27244094488189,-0.0256648183255591)); +#9807=CARTESIAN_POINT('',(0.0800146724492299,0.27240157480315,-0.0256648183255591)); +#9808=CARTESIAN_POINT('',(0.070833315611623,0.27240157480315,-0.0455612359270548)); +#9809=CARTESIAN_POINT('Origin',(0.0614015581329906,0.27240157480315,-0.0256648183255591)); +#9810=CARTESIAN_POINT('',(0.0614015581329905,0.27244094488189,-0.0256648183255591)); +#9811=CARTESIAN_POINT('',(0.0614015581329906,0.27244094488189,-0.0256648183255591)); +#9812=CARTESIAN_POINT('',(0.0614015581329906,0.27240157480315,-0.0256648183255591)); +#9813=CARTESIAN_POINT('Origin',(0.070833315611623,0.27240157480315,-0.0455612359270548)); +#9814=CARTESIAN_POINT('',(0.070833315611623,0.27244094488189,-0.0455612359270548)); +#9815=CARTESIAN_POINT('Origin',(-0.32007874015748,0.27244094488189,-0.425)); +#9816=CARTESIAN_POINT('Origin',(0.0999319567708111,0.27240157480315,-0.0209906730264138)); +#9817=CARTESIAN_POINT('',(0.0999319567708111,0.27240157480315,-0.0209906730264138)); +#9818=CARTESIAN_POINT('',(0.0999319567708111,0.27240157480315,-0.0209906730264138)); +#9819=CARTESIAN_POINT('',(0.0999319567708111,0.27240157480315,-0.0263325533682941)); +#9820=CARTESIAN_POINT('',(0.0999319567708111,0.27240157480315,-0.0209906730264138)); +#9821=CARTESIAN_POINT('',(0.0999319567708111,0.27240157480315,-0.0263325533682941)); +#9822=CARTESIAN_POINT('Origin',(0.0999319567708111,0.27240157480315,-0.0263325533682941)); +#9823=CARTESIAN_POINT('',(0.119964008052862,0.27240157480315,-0.0263325533682941)); +#9824=CARTESIAN_POINT('',(0.0999319567708111,0.27240157480315,-0.0263325533682941)); +#9825=CARTESIAN_POINT('',(0.119964008052862,0.27240157480315,-0.0263325533682941)); +#9826=CARTESIAN_POINT('Origin',(0.119964008052862,0.27240157480315,-0.0263325533682941)); +#9827=CARTESIAN_POINT('',(0.119964008052862,0.27240157480315,-0.0209906730264138)); +#9828=CARTESIAN_POINT('',(0.119964008052862,0.27240157480315,-0.0263325533682941)); +#9829=CARTESIAN_POINT('',(0.119964008052862,0.27240157480315,-0.0209906730264138)); +#9830=CARTESIAN_POINT('Origin',(0.119964008052862,0.27240157480315,-0.0209906730264138)); +#9831=CARTESIAN_POINT('',(0.119964008052862,0.27240157480315,-0.0209906730264138)); +#9832=CARTESIAN_POINT('Origin',(0.,0.27240157480315,0.)); +#9833=CARTESIAN_POINT('Origin',(0.130679068816751,0.27240157480315,-0.00362956191530266)); +#9834=CARTESIAN_POINT('',(0.130679068816751,0.27240157480315,-0.00362956191530266)); +#9835=CARTESIAN_POINT('',(0.130679068816751,0.27240157480315,-0.00362956191530266)); +#9836=CARTESIAN_POINT('',(0.125973623437478,0.27240157480315,-0.00362956191530266)); +#9837=CARTESIAN_POINT('',(0.130679068816751,0.27240157480315,-0.00362956191530266)); +#9838=CARTESIAN_POINT('',(0.125973623437478,0.27240157480315,-0.00362956191530266)); +#9839=CARTESIAN_POINT('Origin',(0.125973623437478,0.27240157480315,-0.00362956191530266)); +#9840=CARTESIAN_POINT('',(0.13284920770564,0.27240157480315,-0.055712895248636)); +#9841=CARTESIAN_POINT('',(0.125973623437478,0.27240157480315,-0.00362956191530266)); +#9842=CARTESIAN_POINT('',(0.13284920770564,0.27240157480315,-0.055712895248636)); +#9843=CARTESIAN_POINT('Origin',(0.13284920770564,0.27240157480315,-0.055712895248636)); +#9844=CARTESIAN_POINT('',(0.133516942748375,0.27240157480315,-0.055712895248636)); +#9845=CARTESIAN_POINT('',(0.13284920770564,0.27240157480315,-0.055712895248636)); +#9846=CARTESIAN_POINT('',(0.133516942748375,0.27240157480315,-0.055712895248636)); +#9847=CARTESIAN_POINT('Origin',(0.133516942748375,0.27240157480315,-0.055712895248636)); +#9848=CARTESIAN_POINT('',(0.155020097796452,0.27240157480315,-0.0129778525135933)); +#9849=CARTESIAN_POINT('',(0.133516942748375,0.27240157480315,-0.055712895248636)); +#9850=CARTESIAN_POINT('',(0.155020097796452,0.27240157480315,-0.0129778525135933)); +#9851=CARTESIAN_POINT('Origin',(0.155020097796452,0.27240157480315,-0.0129778525135933)); +#9852=CARTESIAN_POINT('',(0.176471086044315,0.27240157480315,-0.055712895248636)); +#9853=CARTESIAN_POINT('',(0.155020097796452,0.27240157480315,-0.0129778525135933)); +#9854=CARTESIAN_POINT('',(0.176471086044315,0.27240157480315,-0.055712895248636)); +#9855=CARTESIAN_POINT('Origin',(0.176471086044315,0.27240157480315,-0.055712895248636)); +#9856=CARTESIAN_POINT('',(0.177149254447093,0.27240157480315,-0.055712895248636)); +#9857=CARTESIAN_POINT('',(0.176471086044315,0.27240157480315,-0.055712895248636)); +#9858=CARTESIAN_POINT('',(0.177149254447093,0.27240157480315,-0.055712895248636)); +#9859=CARTESIAN_POINT('Origin',(0.177149254447093,0.27240157480315,-0.055712895248636)); +#9860=CARTESIAN_POINT('',(0.184066572155426,0.27240157480315,-0.00362956191530266)); +#9861=CARTESIAN_POINT('',(0.177149254447093,0.27240157480315,-0.055712895248636)); +#9862=CARTESIAN_POINT('',(0.184066572155426,0.27240157480315,-0.00362956191530266)); +#9863=CARTESIAN_POINT('Origin',(0.184066572155426,0.27240157480315,-0.00362956191530266)); +#9864=CARTESIAN_POINT('',(0.179371560136196,0.27240157480315,-0.00362956191530266)); +#9865=CARTESIAN_POINT('',(0.184066572155426,0.27240157480315,-0.00362956191530266)); +#9866=CARTESIAN_POINT('',(0.179371560136196,0.27240157480315,-0.00362956191530266)); +#9867=CARTESIAN_POINT('Origin',(0.179371560136196,0.27240157480315,-0.00362956191530266)); +#9868=CARTESIAN_POINT('',(0.174384414035768,0.27240157480315,-0.0411061911888069)); +#9869=CARTESIAN_POINT('',(0.179371560136196,0.27240157480315,-0.00362956191530266)); +#9870=CARTESIAN_POINT('',(0.174384414035768,0.27240157480315,-0.0411061911888069)); +#9871=CARTESIAN_POINT('Origin',(0.174384414035768,0.27240157480315,-0.0411061911888069)); +#9872=CARTESIAN_POINT('',(0.15558349923876,0.27240157480315,-0.00362956191530266)); +#9873=CARTESIAN_POINT('',(0.174384414035768,0.27240157480315,-0.0411061911888069)); +#9874=CARTESIAN_POINT('',(0.15558349923876,0.27240157480315,-0.00362956191530266)); +#9875=CARTESIAN_POINT('Origin',(0.15558349923876,0.27240157480315,-0.00362956191530266)); +#9876=CARTESIAN_POINT('',(0.154529729874444,0.27240157480315,-0.00362956191530266)); +#9877=CARTESIAN_POINT('',(0.15558349923876,0.27240157480315,-0.00362956191530266)); +#9878=CARTESIAN_POINT('',(0.154529729874444,0.27240157480315,-0.00362956191530266)); +#9879=CARTESIAN_POINT('Origin',(0.154529729874444,0.27240157480315,-0.00362956191530266)); +#9880=CARTESIAN_POINT('',(0.135655781557136,0.27240157480315,-0.0411896580691488)); +#9881=CARTESIAN_POINT('',(0.154529729874444,0.27240157480315,-0.00362956191530266)); +#9882=CARTESIAN_POINT('',(0.135655781557136,0.27240157480315,-0.0411896580691488)); +#9883=CARTESIAN_POINT('Origin',(0.135655781557136,0.27240157480315,-0.0411896580691488)); +#9884=CARTESIAN_POINT('',(0.135655781557136,0.27240157480315,-0.0411896580691488)); +#9885=CARTESIAN_POINT('Origin',(0.,0.27240157480315,0.)); +#9886=CARTESIAN_POINT('Origin',(0.215450119163973,0.27240157480315,-0.055712895248636)); +#9887=CARTESIAN_POINT('',(0.215450119163973,0.27240157480315,-0.055712895248636)); +#9888=CARTESIAN_POINT('',(0.215450119163973,0.27240157480315,-0.055712895248636)); +#9889=CARTESIAN_POINT('',(0.216117854206709,0.27240157480315,-0.055712895248636)); +#9890=CARTESIAN_POINT('',(0.215450119163973,0.27240157480315,-0.055712895248636)); +#9891=CARTESIAN_POINT('',(0.216117854206709,0.27240157480315,-0.055712895248636)); +#9892=CARTESIAN_POINT('Origin',(0.216117854206709,0.27240157480315,-0.055712895248636)); +#9893=CARTESIAN_POINT('',(0.24015631574517,0.27240157480315,-0.00362956191530266)); +#9894=CARTESIAN_POINT('',(0.216117854206709,0.27240157480315,-0.055712895248636)); +#9895=CARTESIAN_POINT('',(0.24015631574517,0.27240157480315,-0.00362956191530266)); +#9896=CARTESIAN_POINT('Origin',(0.24015631574517,0.27240157480315,-0.00362956191530266)); +#9897=CARTESIAN_POINT('',(0.235075269404358,0.27240157480315,-0.00362956191530266)); +#9898=CARTESIAN_POINT('',(0.24015631574517,0.27240157480315,-0.00362956191530266)); +#9899=CARTESIAN_POINT('',(0.235075269404358,0.27240157480315,-0.00362956191530266)); +#9900=CARTESIAN_POINT('Origin',(0.235075269404358,0.27240157480315,-0.00362956191530266)); +#9901=CARTESIAN_POINT('',(0.22737544969282,0.27240157480315,-0.0203229379836787)); +#9902=CARTESIAN_POINT('',(0.235075269404358,0.27240157480315,-0.00362956191530266)); +#9903=CARTESIAN_POINT('',(0.22737544969282,0.27240157480315,-0.0203229379836787)); +#9904=CARTESIAN_POINT('Origin',(0.22737544969282,0.27240157480315,-0.0203229379836787)); +#9905=CARTESIAN_POINT('',(0.20376475591611,0.27240157480315,-0.0203229379836787)); +#9906=CARTESIAN_POINT('',(0.22737544969282,0.27240157480315,-0.0203229379836787)); +#9907=CARTESIAN_POINT('',(0.20376475591611,0.27240157480315,-0.0203229379836787)); +#9908=CARTESIAN_POINT('Origin',(0.20376475591611,0.27240157480315,-0.0203229379836787)); +#9909=CARTESIAN_POINT('',(0.195845835643674,0.27240157480315,-0.00362956191530266)); +#9910=CARTESIAN_POINT('',(0.20376475591611,0.27240157480315,-0.0203229379836787)); +#9911=CARTESIAN_POINT('',(0.195845835643674,0.27240157480315,-0.00362956191530266)); +#9912=CARTESIAN_POINT('Origin',(0.195845835643674,0.27240157480315,-0.00362956191530266)); +#9913=CARTESIAN_POINT('',(0.190743922582777,0.27240157480315,-0.00362956191530266)); +#9914=CARTESIAN_POINT('',(0.195845835643674,0.27240157480315,-0.00362956191530266)); +#9915=CARTESIAN_POINT('',(0.190743922582777,0.27240157480315,-0.00362956191530266)); +#9916=CARTESIAN_POINT('Origin',(0.190743922582777,0.27240157480315,-0.00362956191530266)); +#9917=CARTESIAN_POINT('',(0.190743922582777,0.27240157480315,-0.00362956191530266)); +#9918=CARTESIAN_POINT('Origin',(0.,0.27240157480315,0.)); +#9919=CARTESIAN_POINT('',(0.206300062406495,0.27240157480315,-0.0256648183255591)); +#9920=CARTESIAN_POINT('',(0.224913176722734,0.27240157480315,-0.0256648183255591)); +#9921=CARTESIAN_POINT('',(0.206300062406495,0.27240157480315,-0.0256648183255591)); +#9922=CARTESIAN_POINT('',(0.215731819885127,0.27240157480315,-0.0455612359270548)); +#9923=CARTESIAN_POINT('',(0.215731819885127,0.27240157480315,-0.0455612359270548)); +#9924=CARTESIAN_POINT('',(0.224913176722734,0.27240157480315,-0.0256648183255591)); +#9925=CARTESIAN_POINT('Origin',(0.224913176722734,0.27240157480315,-0.0256648183255591)); +#9926=CARTESIAN_POINT('',(0.224913176722734,0.27244094488189,-0.0256648183255591)); +#9927=CARTESIAN_POINT('',(0.215731819885127,0.27244094488189,-0.0455612359270548)); +#9928=CARTESIAN_POINT('',(0.224913176722734,0.27244094488189,-0.0256648183255591)); +#9929=CARTESIAN_POINT('',(0.224913176722734,0.27240157480315,-0.0256648183255591)); +#9930=CARTESIAN_POINT('',(0.215731819885127,0.27240157480315,-0.0455612359270548)); +#9931=CARTESIAN_POINT('Origin',(0.206300062406495,0.27240157480315,-0.0256648183255591)); +#9932=CARTESIAN_POINT('',(0.206300062406495,0.27244094488189,-0.0256648183255591)); +#9933=CARTESIAN_POINT('',(0.206300062406495,0.27244094488189,-0.0256648183255591)); +#9934=CARTESIAN_POINT('',(0.206300062406495,0.27240157480315,-0.0256648183255591)); +#9935=CARTESIAN_POINT('Origin',(0.215731819885127,0.27240157480315,-0.0455612359270548)); +#9936=CARTESIAN_POINT('',(0.215731819885127,0.27244094488189,-0.0455612359270548)); +#9937=CARTESIAN_POINT('Origin',(-0.32007874015748,0.27244094488189,-0.425)); +#9938=CARTESIAN_POINT('Origin',(0.218110236220472,-0.27244094488189,-0.245275590551181)); +#9939=CARTESIAN_POINT('',(0.218110236220472,-0.40236220472441,-0.262992125984252)); +#9940=CARTESIAN_POINT('',(0.218110236220472,-0.27244094488189,-0.262992125984252)); +#9941=CARTESIAN_POINT('',(0.218110236220472,-0.40236220472441,-0.245275590551181)); +#9942=CARTESIAN_POINT('',(0.218110236220472,-0.40236220472441,-0.245275590551181)); +#9943=CARTESIAN_POINT('',(0.218110236220472,-0.27244094488189,-0.245275590551181)); +#9944=CARTESIAN_POINT('Origin',(0.218110236220472,-0.40236220472441,-0.245275590551181)); +#9945=CARTESIAN_POINT('',(0.231889763779528,-0.40236220472441,-0.262992125984252)); +#9946=CARTESIAN_POINT('',(0.218110236220472,-0.40236220472441,-0.262992125984252)); +#9947=CARTESIAN_POINT('',(0.231889763779528,-0.40236220472441,-0.245275590551181)); +#9948=CARTESIAN_POINT('',(0.231889763779528,-0.40236220472441,-0.245275590551181)); +#9949=CARTESIAN_POINT('',(0.218110236220472,-0.40236220472441,-0.245275590551181)); +#9950=CARTESIAN_POINT('Origin',(0.231889763779528,-0.27244094488189,-0.245275590551181)); +#9951=CARTESIAN_POINT('',(0.231889763779528,-0.27244094488189,-0.262992125984252)); +#9952=CARTESIAN_POINT('',(0.231889763779528,-0.27244094488189,-0.245275590551181)); +#9953=CARTESIAN_POINT('Origin',(0.,0.,-0.245275590551181)); +#9954=CARTESIAN_POINT('Origin',(0.,0.,-0.262992125984252)); +#9955=CARTESIAN_POINT('Origin',(0.0181102362204724,-0.27244094488189,-0.245275590551181)); +#9956=CARTESIAN_POINT('',(0.0181102362204725,-0.40236220472441,-0.262992125984252)); +#9957=CARTESIAN_POINT('',(0.0181102362204724,-0.27244094488189,-0.262992125984252)); +#9958=CARTESIAN_POINT('',(0.0181102362204725,-0.40236220472441,-0.245275590551181)); +#9959=CARTESIAN_POINT('',(0.0181102362204725,-0.40236220472441,-0.245275590551181)); +#9960=CARTESIAN_POINT('',(0.0181102362204724,-0.27244094488189,-0.245275590551181)); +#9961=CARTESIAN_POINT('Origin',(0.0181102362204725,-0.40236220472441,-0.245275590551181)); +#9962=CARTESIAN_POINT('',(0.0318897637795276,-0.40236220472441,-0.262992125984252)); +#9963=CARTESIAN_POINT('',(0.0181102362204725,-0.40236220472441,-0.262992125984252)); +#9964=CARTESIAN_POINT('',(0.0318897637795276,-0.40236220472441,-0.245275590551181)); +#9965=CARTESIAN_POINT('',(0.0318897637795276,-0.40236220472441,-0.245275590551181)); +#9966=CARTESIAN_POINT('',(0.0181102362204725,-0.40236220472441,-0.245275590551181)); +#9967=CARTESIAN_POINT('Origin',(0.0318897637795276,-0.27244094488189,-0.245275590551181)); +#9968=CARTESIAN_POINT('',(0.0318897637795276,-0.27244094488189,-0.262992125984252)); +#9969=CARTESIAN_POINT('',(0.0318897637795276,-0.27244094488189,-0.245275590551181)); +#9970=CARTESIAN_POINT('Origin',(-0.2,0.,-0.245275590551181)); +#9971=CARTESIAN_POINT('Origin',(-0.2,0.,-0.262992125984252)); +#9972=CARTESIAN_POINT('Origin',(-0.0818897637795276,-0.27244094488189,-0.245275590551181)); +#9973=CARTESIAN_POINT('',(-0.0818897637795275,-0.40236220472441,-0.262992125984252)); +#9974=CARTESIAN_POINT('',(-0.0818897637795276,-0.27244094488189,-0.262992125984252)); +#9975=CARTESIAN_POINT('',(-0.0818897637795275,-0.40236220472441,-0.245275590551181)); +#9976=CARTESIAN_POINT('',(-0.0818897637795275,-0.40236220472441,-0.245275590551181)); +#9977=CARTESIAN_POINT('',(-0.0818897637795276,-0.27244094488189,-0.245275590551181)); +#9978=CARTESIAN_POINT('Origin',(-0.0818897637795275,-0.40236220472441,-0.245275590551181)); +#9979=CARTESIAN_POINT('',(-0.0681102362204724,-0.40236220472441,-0.262992125984252)); +#9980=CARTESIAN_POINT('',(-0.0818897637795275,-0.40236220472441,-0.262992125984252)); +#9981=CARTESIAN_POINT('',(-0.0681102362204724,-0.40236220472441,-0.245275590551181)); +#9982=CARTESIAN_POINT('',(-0.0681102362204724,-0.40236220472441,-0.245275590551181)); +#9983=CARTESIAN_POINT('',(-0.0818897637795275,-0.40236220472441,-0.245275590551181)); +#9984=CARTESIAN_POINT('Origin',(-0.0681102362204724,-0.27244094488189,-0.245275590551181)); +#9985=CARTESIAN_POINT('',(-0.0681102362204724,-0.27244094488189,-0.262992125984252)); +#9986=CARTESIAN_POINT('',(-0.0681102362204724,-0.27244094488189,-0.245275590551181)); +#9987=CARTESIAN_POINT('Origin',(-0.3,0.,-0.245275590551181)); +#9988=CARTESIAN_POINT('Origin',(-0.3,0.,-0.262992125984252)); +#9989=CARTESIAN_POINT('Origin',(-0.181889763779528,-0.27244094488189,-0.245275590551181)); +#9990=CARTESIAN_POINT('',(-0.181889763779528,-0.40236220472441,-0.262992125984252)); +#9991=CARTESIAN_POINT('',(-0.181889763779528,-0.27244094488189,-0.262992125984252)); +#9992=CARTESIAN_POINT('',(-0.181889763779528,-0.40236220472441,-0.245275590551181)); +#9993=CARTESIAN_POINT('',(-0.181889763779528,-0.40236220472441,-0.245275590551181)); +#9994=CARTESIAN_POINT('',(-0.181889763779528,-0.27244094488189,-0.245275590551181)); +#9995=CARTESIAN_POINT('Origin',(-0.181889763779528,-0.40236220472441,-0.245275590551181)); +#9996=CARTESIAN_POINT('',(-0.168110236220472,-0.40236220472441,-0.262992125984252)); +#9997=CARTESIAN_POINT('',(-0.181889763779528,-0.40236220472441,-0.262992125984252)); +#9998=CARTESIAN_POINT('',(-0.168110236220472,-0.40236220472441,-0.245275590551181)); +#9999=CARTESIAN_POINT('',(-0.168110236220472,-0.40236220472441,-0.245275590551181)); +#10000=CARTESIAN_POINT('',(-0.181889763779528,-0.40236220472441,-0.245275590551181)); +#10001=CARTESIAN_POINT('Origin',(-0.168110236220472,-0.27244094488189,-0.245275590551181)); +#10002=CARTESIAN_POINT('',(-0.168110236220472,-0.27244094488189,-0.262992125984252)); +#10003=CARTESIAN_POINT('',(-0.168110236220472,-0.27244094488189,-0.245275590551181)); +#10004=CARTESIAN_POINT('Origin',(-0.4,0.,-0.245275590551181)); +#10005=CARTESIAN_POINT('Origin',(-0.4,0.,-0.262992125984252)); +#10006=CARTESIAN_POINT('Origin',(0.14653139321658,0.357838185618233,0.0345340878644211)); +#10007=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.359222532145121,0.0359335850753897)); +#10008=CARTESIAN_POINT('Ctrl Pts',(0.161119154074704,0.359222532145121, +0.0359335850753897)); +#10009=CARTESIAN_POINT('Ctrl Pts',(0.164757993188778,0.359222532145121, +0.0359335850753899)); +#10010=CARTESIAN_POINT('Ctrl Pts',(0.168511175634815,0.359222532145121, +0.0359335850753899)); +#10011=CARTESIAN_POINT('Ctrl Pts',(0.168732747953767,0.359222532145121, +0.0359335850753897)); +#10012=CARTESIAN_POINT('Ctrl Pts',(0.169199925736933,0.359127527900417, +0.0358375410770765)); +#10013=CARTESIAN_POINT('Ctrl Pts',(0.169390478300806,0.359059202367327, +0.0357684677698227)); +#10014=CARTESIAN_POINT('Ctrl Pts',(0.169783385272974,0.358848990613703, +0.0355559553986821)); +#10015=CARTESIAN_POINT('Ctrl Pts',(0.16998358722265,0.358687577461298,0.0353927756946002)); +#10016=CARTESIAN_POINT('Ctrl Pts',(0.170247658937267,0.358377978420124, +0.0350797883130262)); +#10017=CARTESIAN_POINT('Ctrl Pts',(0.170337208464878,0.358229795931035, +0.0349299840724218)); +#10018=CARTESIAN_POINT('Ctrl Pts',(0.170425726831347,0.357939250211303, +0.0346362585372853)); +#10019=CARTESIAN_POINT('Ctrl Pts',(0.170433894863511,0.357795538054383, +0.0344909735534428)); +#10020=CARTESIAN_POINT('Ctrl Pts',(0.170340457424743,0.357449033069321, +0.0341406763187816)); +#10021=CARTESIAN_POINT('Ctrl Pts',(0.170229114065425,0.357268293182212, +0.0339579583626871)); +#10022=CARTESIAN_POINT('Ctrl Pts',(0.169978522972402,0.356993775104839, +0.03368043588101)); +#10023=CARTESIAN_POINT('Ctrl Pts',(0.169807995976834,0.35683693269187,0.0335218769398427)); +#10024=CARTESIAN_POINT('Ctrl Pts',(0.169374146148364,0.356609004938269, +0.0332914546797572)); +#10025=CARTESIAN_POINT('Ctrl Pts',(0.169162990937843,0.356538676662506, +0.0332203567112419)); +#10026=CARTESIAN_POINT('Ctrl Pts',(0.168692563740947,0.356453839091345, +0.0331345906534526)); +#10027=CARTESIAN_POINT('Ctrl Pts',(0.168492653783924,0.356453839091345, +0.0331345906534526)); +#10028=CARTESIAN_POINT('Ctrl Pts',(0.164345492314094,0.356453839091345, +0.0331345906534526)); +#10029=CARTESIAN_POINT('Ctrl Pts',(0.160913100487755,0.356453839091345, +0.0331345906534526)); +#10030=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.356453839091345,0.0331345906534526)); +#10031=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.335955277352712)); +#10032=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.300684982867112,0.311690007326081)); +#10033=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.309542644328539,0.265463794231054)); +#10034=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.320627496995059,0.140452334212446)); +#10035=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.323531184841235,0.0661213526083473)); +#10036=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.356453839091345,0.0331345906534526)); +#10037=CARTESIAN_POINT('Ctrl Pts',(0.153051181102362,0.27244094488189,0.335955277352712)); +#10038=CARTESIAN_POINT('Ctrl Pts',(0.153854782899571,0.300684982867112, +0.311690007326081)); +#10039=CARTESIAN_POINT('Ctrl Pts',(0.154659079292782,0.309542644328539, +0.265463794231054)); +#10040=CARTESIAN_POINT('Ctrl Pts',(0.155802027830026,0.320627496995059, +0.140452334212446)); +#10041=CARTESIAN_POINT('Ctrl Pts',(0.156185153979482,0.323531184841235, +0.0661213526083473)); +#10042=CARTESIAN_POINT('Ctrl Pts',(0.156567904815292,0.356453839091345, +0.0331345906534526)); +#10043=CARTESIAN_POINT('Ctrl Pts',(0.143926316848481,0.27244094488189,0.335955277352712)); +#10044=CARTESIAN_POINT('Ctrl Pts',(0.146404798059677,0.300684982867112, +0.311690007326082)); +#10045=CARTESIAN_POINT('Ctrl Pts',(0.148885421554706,0.30954264432854,0.265463794231055)); +#10046=CARTESIAN_POINT('Ctrl Pts',(0.15242530164456,0.32062749699506,0.140452334212446)); +#10047=CARTESIAN_POINT('Ctrl Pts',(0.153619975426747,0.323531184841236, +0.0661213526083475)); +#10048=CARTESIAN_POINT('Ctrl Pts',(0.154813478896306,0.356453839091346, +0.0331345906534526)); +#10049=CARTESIAN_POINT('Ctrl Pts',(0.130025526632994,0.27244094488189,0.335955277352711)); +#10050=CARTESIAN_POINT('Ctrl Pts',(0.135071940861331,0.300684982867112, +0.311690007326081)); +#10051=CARTESIAN_POINT('Ctrl Pts',(0.140122716975309,0.309542644328539, +0.265463794231054)); +#10052=CARTESIAN_POINT('Ctrl Pts',(0.147344747759141,0.320627496995059, +0.140452334212446)); +#10053=CARTESIAN_POINT('Ctrl Pts',(0.14979000580617,0.323531184841235,0.0661213526083473)); +#10054=CARTESIAN_POINT('Ctrl Pts',(0.152232868457556,0.356453839091344, +0.0331345906534526)); +#10055=CARTESIAN_POINT('Ctrl Pts',(0.116604691028982,0.27244094488189,0.335955277352712)); +#10056=CARTESIAN_POINT('Ctrl Pts',(0.124087872931715,0.300684982867112, +0.311690007326081)); +#10057=CARTESIAN_POINT('Ctrl Pts',(0.131577522948683,0.309542644328539, +0.265463794231054)); +#10058=CARTESIAN_POINT('Ctrl Pts',(0.142297733940785,0.32062749699506,0.140452334212446)); +#10059=CARTESIAN_POINT('Ctrl Pts',(0.145933319409372,0.323531184841236, +0.0661213526083474)); +#10060=CARTESIAN_POINT('Ctrl Pts',(0.14956534342742,0.356453839091346,0.0331345906534526)); +#10061=CARTESIAN_POINT('Ctrl Pts',(0.108296133535893,0.27244094488189,0.335955277352712)); +#10062=CARTESIAN_POINT('Ctrl Pts',(0.117243934149566,0.300684982867112, +0.311690007326081)); +#10063=CARTESIAN_POINT('Ctrl Pts',(0.126199468825737,0.309542644328539, +0.265463794231054)); +#10064=CARTESIAN_POINT('Ctrl Pts',(0.1389859375562,0.320627496995059,0.140452334212446)); +#10065=CARTESIAN_POINT('Ctrl Pts',(0.143304947522187,0.323531184841235, +0.0661213526083474)); +#10066=CARTESIAN_POINT('Ctrl Pts',(0.147619726549167,0.356453839091345, +0.0331345906534526)); +#10067=CARTESIAN_POINT('Ctrl Pts',(0.103712339330264,0.27244094488189,0.335955277352711)); +#10068=CARTESIAN_POINT('Ctrl Pts',(0.113464005011364,0.300684982867112, +0.311690007326081)); +#10069=CARTESIAN_POINT('Ctrl Pts',(0.12322409957852,0.309542644328539,0.265463794231054)); +#10070=CARTESIAN_POINT('Ctrl Pts',(0.137084337059085,0.320627496995059, +0.140452334212446)); +#10071=CARTESIAN_POINT('Ctrl Pts',(0.141725280807968,0.323531184841235, +0.0661213526083473)); +#10072=CARTESIAN_POINT('Ctrl Pts',(0.146361678248765,0.356453839091345, +0.0331345906534526)); +#10073=CARTESIAN_POINT('Ctrl Pts',(0.102852392514413,0.272440944881889, +0.336270115023779)); +#10074=CARTESIAN_POINT('Ctrl Pts',(0.112710115690566,0.300756345806482, +0.311869225860597)); +#10075=CARTESIAN_POINT('Ctrl Pts',(0.12257635942394,0.309685431890084,0.265507276406146)); +#10076=CARTESIAN_POINT('Ctrl Pts',(0.136585431839809,0.320768706307844, +0.140413896961314)); +#10077=CARTESIAN_POINT('Ctrl Pts',(0.141275168802392,0.32361554667273,0.0661160861163932)); +#10078=CARTESIAN_POINT('Ctrl Pts',(0.14596031165864,0.356481409129828,0.0331624624263175)); +#10079=CARTESIAN_POINT('Ctrl Pts',(0.101695072649411,0.27244094488189,0.337258964214908)); +#10080=CARTESIAN_POINT('Ctrl Pts',(0.111702372509157,0.301068804419191, +0.31241027952843)); +#10081=CARTESIAN_POINT('Ctrl Pts',(0.121718322213249,0.310310619190187, +0.26560014749707)); +#10082=CARTESIAN_POINT('Ctrl Pts',(0.135803631062156,0.321452567496892, +0.140331800812558)); +#10083=CARTESIAN_POINT('Ctrl Pts',(0.140444340824461,0.324108322299879, +0.0662277234761407)); +#10084=CARTESIAN_POINT('Ctrl Pts',(0.145080504507894,0.356783286384124, +0.0334676435115709)); +#10085=CARTESIAN_POINT('Ctrl Pts',(0.101183027282778,0.272440944881889, +0.338874959124645)); +#10086=CARTESIAN_POINT('Ctrl Pts',(0.111277539367788,0.301560653392176, +0.313233357174104)); +#10087=CARTESIAN_POINT('Ctrl Pts',(0.121380776679333,0.311294742267524, +0.265629622517893)); +#10088=CARTESIAN_POINT('Ctrl Pts',(0.13530273019863,0.322753733750363,0.140305744580549)); +#10089=CARTESIAN_POINT('Ctrl Pts',(0.139731655950447,0.325306772963196, +0.0667688876037338)); +#10090=CARTESIAN_POINT('Ctrl Pts',(0.144156243088816,0.357879122078355, +0.0345754723448631)); +#10091=CARTESIAN_POINT('Ctrl Pts',(0.10166121411278,0.272440944881891,0.340509385225518)); +#10092=CARTESIAN_POINT('Ctrl Pts',(0.111666211329938,0.302061919454563, +0.314071413787695)); +#10093=CARTESIAN_POINT('Ctrl Pts',(0.121679856401143,0.312297707663357, +0.265670621299475)); +#10094=CARTESIAN_POINT('Ctrl Pts',(0.13580522734834,0.324056788600229,0.140269501652529)); +#10095=CARTESIAN_POINT('Ctrl Pts',(0.140483044590315,0.326484848078352, +0.0672834081702506)); +#10096=CARTESIAN_POINT('Ctrl Pts',(0.145156279402614,0.358932339890016, +0.0356402168732987)); +#10097=CARTESIAN_POINT('Ctrl Pts',(0.102815710806075,0.272440944881889, +0.341523272140927)); +#10098=CARTESIAN_POINT('Ctrl Pts',(0.112675085447782,0.302387904113174, +0.314630145272822)); +#10099=CARTESIAN_POINT('Ctrl Pts',(0.12254298207416,0.31294995874655,0.265773803939144)); +#10100=CARTESIAN_POINT('Ctrl Pts',(0.13657973961911,0.32475409303006,0.140178290364725)); +#10101=CARTESIAN_POINT('Ctrl Pts',(0.141292599989397,0.326968548209927, +0.0673745235197082)); +#10102=CARTESIAN_POINT('Ctrl Pts',(0.14600084360146,0.359202644971928,0.0359134802512886)); +#10103=CARTESIAN_POINT('Ctrl Pts',(0.103681061375694,0.27244094488189,0.341860789163735)); +#10104=CARTESIAN_POINT('Ctrl Pts',(0.113440024037559,0.302463147928552, +0.314823254700944)); +#10105=CARTESIAN_POINT('Ctrl Pts',(0.123207421892651,0.313100511414559, +0.265822380953374)); +#10106=CARTESIAN_POINT('Ctrl Pts',(0.137082096383572,0.324899657516679, +0.140135349401976)); +#10107=CARTESIAN_POINT('Ctrl Pts',(0.141730097084872,0.327051243246108, +0.0673631208979979)); +#10108=CARTESIAN_POINT('Ctrl Pts',(0.146373544565034,0.359222532145121, +0.0359335850753896)); +#10109=CARTESIAN_POINT('Ctrl Pts',(0.112662711484521,0.27244094488189,0.341860789163735)); +#10110=CARTESIAN_POINT('Ctrl Pts',(0.120821504262632,0.302463147928552, +0.314823254700944)); +#10111=CARTESIAN_POINT('Ctrl Pts',(0.128987349121582,0.313100511414559, +0.265822380953374)); +#10112=CARTESIAN_POINT('Ctrl Pts',(0.140659743335741,0.324899657516679, +0.140135349401976)); +#10113=CARTESIAN_POINT('Ctrl Pts',(0.144609741057219,0.327051243246108, +0.0673631208979979)); +#10114=CARTESIAN_POINT('Ctrl Pts',(0.148555869327234,0.359222532145121, +0.0359335850753896)); +#10115=CARTESIAN_POINT('Ctrl Pts',(0.13004213122588,0.27244094488189,0.341860789163735)); +#10116=CARTESIAN_POINT('Ctrl Pts',(0.135077240673745,0.302463147928553, +0.314823254700944)); +#10117=CARTESIAN_POINT('Ctrl Pts',(0.140116702235928,0.31310051141456,0.265822380953374)); +#10118=CARTESIAN_POINT('Ctrl Pts',(0.147345027731721,0.324899657516679, +0.140135349401976)); +#10119=CARTESIAN_POINT('Ctrl Pts',(0.149804620036958,0.327051243246108, +0.067363120897998)); +#10120=CARTESIAN_POINT('Ctrl Pts',(0.15226180290459,0.359222532145121,0.0359335850753896)); +#10121=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.341860789163735)); +#10122=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.302463147928552,0.314823254700944)); +#10123=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.313100511414559,0.265822380953373)); +#10124=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.324899657516679,0.140135349401975)); +#10125=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.327051243246108,0.0673631208979978)); +#10126=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.359222532145121,0.0359335850753896)); +#10127=CARTESIAN_POINT('Ctrl Pts',(0.18491849869538,0.27244094488189,0.341860789163736)); +#10128=CARTESIAN_POINT('Ctrl Pts',(0.179883389247514,0.302463147928553, +0.314823254700944)); +#10129=CARTESIAN_POINT('Ctrl Pts',(0.174843927685332,0.31310051141456,0.265822380953374)); +#10130=CARTESIAN_POINT('Ctrl Pts',(0.167615602189539,0.324899657516679, +0.140135349401976)); +#10131=CARTESIAN_POINT('Ctrl Pts',(0.165156009884302,0.327051243246108, +0.067363120897998)); +#10132=CARTESIAN_POINT('Ctrl Pts',(0.16269882701667,0.359222532145121,0.0359335850753896)); +#10133=CARTESIAN_POINT('Ctrl Pts',(0.202297918436739,0.27244094488189,0.341860789163735)); +#10134=CARTESIAN_POINT('Ctrl Pts',(0.194139125658627,0.302463147928552, +0.314823254700944)); +#10135=CARTESIAN_POINT('Ctrl Pts',(0.185973280799678,0.313100511414559, +0.265822380953374)); +#10136=CARTESIAN_POINT('Ctrl Pts',(0.174300886585519,0.324899657516679, +0.140135349401976)); +#10137=CARTESIAN_POINT('Ctrl Pts',(0.17035088886404,0.327051243246108,0.0673631208979979)); +#10138=CARTESIAN_POINT('Ctrl Pts',(0.166404760594026,0.359222532145121, +0.0359335850753896)); +#10139=CARTESIAN_POINT('Ctrl Pts',(0.211279568545566,0.27244094488189,0.341860789163735)); +#10140=CARTESIAN_POINT('Ctrl Pts',(0.201520605883701,0.302463147928552, +0.314823254700944)); +#10141=CARTESIAN_POINT('Ctrl Pts',(0.191753208028609,0.313100511414559, +0.265822380953374)); +#10142=CARTESIAN_POINT('Ctrl Pts',(0.177878533537688,0.324899657516679, +0.140135349401976)); +#10143=CARTESIAN_POINT('Ctrl Pts',(0.173230532836388,0.327051243246108, +0.0673631208979979)); +#10144=CARTESIAN_POINT('Ctrl Pts',(0.168587085356226,0.359222532145121, +0.0359335850753896)); +#10145=CARTESIAN_POINT('Ctrl Pts',(0.212144919115185,0.27244094488189,0.341523272140927)); +#10146=CARTESIAN_POINT('Ctrl Pts',(0.202285510140589,0.302387919830864, +0.31463016116253)); +#10147=CARTESIAN_POINT('Ctrl Pts',(0.192417579151647,0.312949990195515, +0.265773835732295)); +#10148=CARTESIAN_POINT('Ctrl Pts',(0.178380951027013,0.324754065230053, +0.140178262260466)); +#10149=CARTESIAN_POINT('Ctrl Pts',(0.17366823143166,0.326968455962773,0.067374430262975)); +#10150=CARTESIAN_POINT('Ctrl Pts',(0.168960128456625,0.35920248834076,0.0359133219059049)); +#10151=CARTESIAN_POINT('Ctrl Pts',(0.21329941580848,0.27244094488189,0.340509385225518)); +#10152=CARTESIAN_POINT('Ctrl Pts',(0.203294320790637,0.302061946269264, +0.314071440895864)); +#10153=CARTESIAN_POINT('Ctrl Pts',(0.193280577834211,0.312297761315936, +0.265670675539243)); +#10154=CARTESIAN_POINT('Ctrl Pts',(0.179155575553801,0.324056741172846, +0.140269453706087)); +#10155=CARTESIAN_POINT('Ctrl Pts',(0.174478159323382,0.326484690702814, +0.0672832490723496)); +#10156=CARTESIAN_POINT('Ctrl Pts',(0.169805325129804,0.358932072674029, +0.0356399467328237)); +#10157=CARTESIAN_POINT('Ctrl Pts',(0.213777602638482,0.272440944881889, +0.338874959124645)); +#10158=CARTESIAN_POINT('Ctrl Pts',(0.203683190407126,0.301560499926591, +0.313233202028948)); +#10159=CARTESIAN_POINT('Ctrl Pts',(0.193580053035543,0.311294435203705, +0.265629312093481)); +#10160=CARTESIAN_POINT('Ctrl Pts',(0.179657723110646,0.322754005186211, +0.140306018987069)); +#10161=CARTESIAN_POINT('Ctrl Pts',(0.175228387929501,0.325307673653045, +0.0667697981509911)); +#10162=CARTESIAN_POINT('Ctrl Pts',(0.170803391762884,0.357880651405783, +0.0345770184096883)); +#10163=CARTESIAN_POINT('Ctrl Pts',(0.213265557271849,0.27244094488189,0.337258964214908)); +#10164=CARTESIAN_POINT('Ctrl Pts',(0.203258240346419,0.30106877586682,0.312410250663573)); +#10165=CARTESIAN_POINT('Ctrl Pts',(0.193242273561891,0.310310562060765, +0.265600089742408)); +#10166=CARTESIAN_POINT('Ctrl Pts',(0.179157029043321,0.321452617997708, +0.140331851866069)); +#10167=CARTESIAN_POINT('Ctrl Pts',(0.174516389255337,0.324108489873809, +0.0662278928840478)); +#10168=CARTESIAN_POINT('Ctrl Pts',(0.169880295477677,0.356783570916483, +0.0334679311579327)); +#10169=CARTESIAN_POINT('Ctrl Pts',(0.212108237406846,0.27244094488189,0.336270115023779)); +#10170=CARTESIAN_POINT('Ctrl Pts',(0.202250504118305,0.30075634491405,0.311869224958397)); +#10171=CARTESIAN_POINT('Ctrl Pts',(0.192384250263803,0.309685430104449, +0.265507274600968)); +#10172=CARTESIAN_POINT('Ctrl Pts',(0.178375215967315,0.320768707886297, +0.140413898557041)); +#10173=CARTESIAN_POINT('Ctrl Pts',(0.173685520468495,0.323615551910417, +0.0661160914114025)); +#10174=CARTESIAN_POINT('Ctrl Pts',(0.169000419035392,0.356481418023164, +0.0331624714169848)); +#10175=CARTESIAN_POINT('Ctrl Pts',(0.211248290590996,0.27244094488189,0.335955277352711)); +#10176=CARTESIAN_POINT('Ctrl Pts',(0.201496624909896,0.300684982867112, +0.311690007326081)); +#10177=CARTESIAN_POINT('Ctrl Pts',(0.19173653034274,0.309542644328539,0.265463794231054)); +#10178=CARTESIAN_POINT('Ctrl Pts',(0.177876292862175,0.320627496995059, +0.140452334212446)); +#10179=CARTESIAN_POINT('Ctrl Pts',(0.173235349113291,0.323531184841235, +0.0661213526083473)); +#10180=CARTESIAN_POINT('Ctrl Pts',(0.168598951672495,0.356453839091345, +0.0331345906534526)); +#10181=CARTESIAN_POINT('Ctrl Pts',(0.206664496385367,0.27244094488189,0.335955277352712)); +#10182=CARTESIAN_POINT('Ctrl Pts',(0.197716695771693,0.300684982867112, +0.311690007326081)); +#10183=CARTESIAN_POINT('Ctrl Pts',(0.188761161095523,0.309542644328539, +0.265463794231054)); +#10184=CARTESIAN_POINT('Ctrl Pts',(0.17597469236506,0.320627496995059,0.140452334212446)); +#10185=CARTESIAN_POINT('Ctrl Pts',(0.171655682399073,0.323531184841235, +0.0661213526083473)); +#10186=CARTESIAN_POINT('Ctrl Pts',(0.167340903372092,0.356453839091345, +0.0331345906534526)); +#10187=CARTESIAN_POINT('Ctrl Pts',(0.198355938892278,0.27244094488189,0.335955277352712)); +#10188=CARTESIAN_POINT('Ctrl Pts',(0.190872756989544,0.300684982867112, +0.311690007326081)); +#10189=CARTESIAN_POINT('Ctrl Pts',(0.183383106972577,0.30954264432854,0.265463794231054)); +#10190=CARTESIAN_POINT('Ctrl Pts',(0.172662895980475,0.32062749699506,0.140452334212445)); +#10191=CARTESIAN_POINT('Ctrl Pts',(0.169027310511887,0.323531184841235, +0.0661213526083472)); +#10192=CARTESIAN_POINT('Ctrl Pts',(0.16539528649384,0.356453839091345,0.0331345906534526)); +#10193=CARTESIAN_POINT('Ctrl Pts',(0.184935103288265,0.27244094488189,0.335955277352711)); +#10194=CARTESIAN_POINT('Ctrl Pts',(0.179888689059929,0.300684982867112, +0.311690007326081)); +#10195=CARTESIAN_POINT('Ctrl Pts',(0.17483791294595,0.309542644328539,0.265463794231054)); +#10196=CARTESIAN_POINT('Ctrl Pts',(0.167615882162119,0.320627496995059, +0.140452334212446)); +#10197=CARTESIAN_POINT('Ctrl Pts',(0.16517062411509,0.323531184841235,0.0661213526083475)); +#10198=CARTESIAN_POINT('Ctrl Pts',(0.162727761463704,0.356453839091345, +0.0331345906534526)); +#10199=CARTESIAN_POINT('Ctrl Pts',(0.171034313072779,0.27244094488189,0.335955277352712)); +#10200=CARTESIAN_POINT('Ctrl Pts',(0.168555831861583,0.300684982867112, +0.311690007326081)); +#10201=CARTESIAN_POINT('Ctrl Pts',(0.166075208366554,0.309542644328539, +0.265463794231054)); +#10202=CARTESIAN_POINT('Ctrl Pts',(0.1625353282767,0.32062749699506,0.140452334212446)); +#10203=CARTESIAN_POINT('Ctrl Pts',(0.161340654494513,0.323531184841235, +0.0661213526083472)); +#10204=CARTESIAN_POINT('Ctrl Pts',(0.160147151024954,0.356453839091345, +0.0331345906534526)); +#10205=CARTESIAN_POINT('Ctrl Pts',(0.161909448818898,0.27244094488189,0.335955277352712)); +#10206=CARTESIAN_POINT('Ctrl Pts',(0.161105847021688,0.300684982867112, +0.311690007326081)); +#10207=CARTESIAN_POINT('Ctrl Pts',(0.160301550628478,0.309542644328539, +0.265463794231054)); +#10208=CARTESIAN_POINT('Ctrl Pts',(0.159158602091234,0.320627496995059, +0.140452334212446)); +#10209=CARTESIAN_POINT('Ctrl Pts',(0.158775475941778,0.323531184841235, +0.0661213526083473)); +#10210=CARTESIAN_POINT('Ctrl Pts',(0.158392725105967,0.356453839091345, +0.0331345906534526)); +#10211=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.27244094488189,0.335955277352712)); +#10212=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.300684982867112,0.311690007326081)); +#10213=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.309542644328539,0.265463794231054)); +#10214=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.320627496995059,0.140452334212446)); +#10215=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.323531184841235,0.0661213526083473)); +#10216=CARTESIAN_POINT('Ctrl Pts',(0.15748031496063,0.356453839091345,0.0331345906534526)); +#10217=CARTESIAN_POINT('Origin',(-0.16842923670468,0.357838185618233,0.0345340878644211)); +#10218=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.359222532145121, +0.0359335850753897)); +#10219=CARTESIAN_POINT('Ctrl Pts',(-0.153841344612944,0.359222532145121, +0.0359335850753897)); +#10220=CARTESIAN_POINT('Ctrl Pts',(-0.150202767966046,0.359222532145121, +0.0359335850753899)); +#10221=CARTESIAN_POINT('Ctrl Pts',(-0.146449454286438,0.359222532145121, +0.0359335850753899)); +#10222=CARTESIAN_POINT('Ctrl Pts',(-0.146227881967469,0.359222532145121, +0.0359335850753897)); +#10223=CARTESIAN_POINT('Ctrl Pts',(-0.145760704184283,0.359127527900404, +0.0358375410770635)); +#10224=CARTESIAN_POINT('Ctrl Pts',(-0.14557015162041,0.359059202367309, +0.0357684677698043)); +#10225=CARTESIAN_POINT('Ctrl Pts',(-0.145177244648266,0.358848990613685, +0.0355559553986637)); +#10226=CARTESIAN_POINT('Ctrl Pts',(-0.144977042698589,0.358687577461283, +0.0353927756945846)); +#10227=CARTESIAN_POINT('Ctrl Pts',(-0.144712970983973,0.358377978420095, +0.0350797883129965)); +#10228=CARTESIAN_POINT('Ctrl Pts',(-0.144623421456368,0.358229795931008, +0.034929984072394)); +#10229=CARTESIAN_POINT('Ctrl Pts',(-0.144534903089914,0.357939250211295, +0.0346362585372775)); +#10230=CARTESIAN_POINT('Ctrl Pts',(-0.144526735057747,0.357795538054374, +0.0344909735534336)); +#10231=CARTESIAN_POINT('Ctrl Pts',(-0.144620172496531,0.357449033069292, +0.0341406763187522)); +#10232=CARTESIAN_POINT('Ctrl Pts',(-0.144731515855856,0.35726829318218, +0.0339579583626552)); +#10233=CARTESIAN_POINT('Ctrl Pts',(-0.144982106948906,0.356993775104792, +0.0336804358809624)); +#10234=CARTESIAN_POINT('Ctrl Pts',(-0.145152633944478,0.356836932691836, +0.0335218769398075)); +#10235=CARTESIAN_POINT('Ctrl Pts',(-0.14558648377294,0.356609004938252, +0.0332914546797396)); +#10236=CARTESIAN_POINT('Ctrl Pts',(-0.145797638983463,0.356538676662493, +0.0332203567112288)); +#10237=CARTESIAN_POINT('Ctrl Pts',(-0.146268066180339,0.356453839091345, +0.0331345906534526)); +#10238=CARTESIAN_POINT('Ctrl Pts',(-0.146467976137342,0.356453839091345, +0.0331345906534526)); +#10239=CARTESIAN_POINT('Ctrl Pts',(-0.150615137607193,0.356453839091345, +0.0331345906534526)); +#10240=CARTESIAN_POINT('Ctrl Pts',(-0.154047529433518,0.356453839091345, +0.0331345906534526)); +#10241=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.356453839091345, +0.0331345906534526)); +#10242=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.27244094488189,0.335955277352712)); +#10243=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.300684982867112, +0.311690007326081)); +#10244=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.309542644328539, +0.265463794231054)); +#10245=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.320627496995059, +0.140452334212446)); +#10246=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.323531184841235, +0.0661213526083473)); +#10247=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.356453839091345, +0.0331345906534526)); +#10248=CARTESIAN_POINT('Ctrl Pts',(-0.161909448818898,0.27244094488189, +0.335955277352712)); +#10249=CARTESIAN_POINT('Ctrl Pts',(-0.161105847021688,0.300684982867112, +0.311690007326081)); +#10250=CARTESIAN_POINT('Ctrl Pts',(-0.160301550628478,0.309542644328539, +0.265463794231054)); +#10251=CARTESIAN_POINT('Ctrl Pts',(-0.159158602091234,0.320627496995059, +0.140452334212446)); +#10252=CARTESIAN_POINT('Ctrl Pts',(-0.158775475941778,0.323531184841235, +0.0661213526083473)); +#10253=CARTESIAN_POINT('Ctrl Pts',(-0.158392725105967,0.356453839091345, +0.0331345906534526)); +#10254=CARTESIAN_POINT('Ctrl Pts',(-0.171034313072779,0.27244094488189, +0.335955277352712)); +#10255=CARTESIAN_POINT('Ctrl Pts',(-0.168555831861583,0.300684982867112, +0.311690007326082)); +#10256=CARTESIAN_POINT('Ctrl Pts',(-0.166075208366554,0.30954264432854, +0.265463794231055)); +#10257=CARTESIAN_POINT('Ctrl Pts',(-0.1625353282767,0.32062749699506,0.140452334212446)); +#10258=CARTESIAN_POINT('Ctrl Pts',(-0.161340654494513,0.323531184841236, +0.0661213526083475)); +#10259=CARTESIAN_POINT('Ctrl Pts',(-0.160147151024954,0.356453839091346, +0.0331345906534526)); +#10260=CARTESIAN_POINT('Ctrl Pts',(-0.184935103288266,0.27244094488189, +0.335955277352711)); +#10261=CARTESIAN_POINT('Ctrl Pts',(-0.179888689059929,0.300684982867112, +0.311690007326081)); +#10262=CARTESIAN_POINT('Ctrl Pts',(-0.17483791294595,0.309542644328539, +0.265463794231054)); +#10263=CARTESIAN_POINT('Ctrl Pts',(-0.167615882162119,0.320627496995059, +0.140452334212446)); +#10264=CARTESIAN_POINT('Ctrl Pts',(-0.16517062411509,0.323531184841235, +0.0661213526083473)); +#10265=CARTESIAN_POINT('Ctrl Pts',(-0.162727761463704,0.356453839091344, +0.0331345906534526)); +#10266=CARTESIAN_POINT('Ctrl Pts',(-0.198355938892278,0.27244094488189, +0.335955277352712)); +#10267=CARTESIAN_POINT('Ctrl Pts',(-0.190872756989544,0.300684982867112, +0.311690007326081)); +#10268=CARTESIAN_POINT('Ctrl Pts',(-0.183383106972577,0.309542644328539, +0.265463794231054)); +#10269=CARTESIAN_POINT('Ctrl Pts',(-0.172662895980475,0.32062749699506, +0.140452334212446)); +#10270=CARTESIAN_POINT('Ctrl Pts',(-0.169027310511888,0.323531184841236, +0.0661213526083474)); +#10271=CARTESIAN_POINT('Ctrl Pts',(-0.16539528649384,0.356453839091346, +0.0331345906534526)); +#10272=CARTESIAN_POINT('Ctrl Pts',(-0.206664496385367,0.27244094488189, +0.335955277352712)); +#10273=CARTESIAN_POINT('Ctrl Pts',(-0.197716695771694,0.300684982867112, +0.311690007326081)); +#10274=CARTESIAN_POINT('Ctrl Pts',(-0.188761161095523,0.309542644328539, +0.265463794231054)); +#10275=CARTESIAN_POINT('Ctrl Pts',(-0.17597469236506,0.320627496995059, +0.140452334212446)); +#10276=CARTESIAN_POINT('Ctrl Pts',(-0.171655682399073,0.323531184841235, +0.0661213526083474)); +#10277=CARTESIAN_POINT('Ctrl Pts',(-0.167340903372092,0.356453839091345, +0.0331345906534526)); +#10278=CARTESIAN_POINT('Ctrl Pts',(-0.211248290590996,0.27244094488189, +0.335955277352711)); +#10279=CARTESIAN_POINT('Ctrl Pts',(-0.201496624909896,0.300684982867112, +0.311690007326081)); +#10280=CARTESIAN_POINT('Ctrl Pts',(-0.19173653034274,0.309542644328539, +0.265463794231054)); +#10281=CARTESIAN_POINT('Ctrl Pts',(-0.177876292862175,0.320627496995059, +0.140452334212446)); +#10282=CARTESIAN_POINT('Ctrl Pts',(-0.173235349113291,0.323531184841235, +0.0661213526083473)); +#10283=CARTESIAN_POINT('Ctrl Pts',(-0.168598951672495,0.356453839091345, +0.0331345906534526)); +#10284=CARTESIAN_POINT('Ctrl Pts',(-0.212108237406847,0.272440944881889, +0.336270115023779)); +#10285=CARTESIAN_POINT('Ctrl Pts',(-0.202250514230693,0.300756345806482, +0.311869225860597)); +#10286=CARTESIAN_POINT('Ctrl Pts',(-0.19238427049732,0.309685431890084, +0.265507276406146)); +#10287=CARTESIAN_POINT('Ctrl Pts',(-0.178375198081451,0.320768706307844, +0.140413896961314)); +#10288=CARTESIAN_POINT('Ctrl Pts',(-0.173685461118868,0.32361554667273, +0.0661160861163932)); +#10289=CARTESIAN_POINT('Ctrl Pts',(-0.16900031826262,0.356481409129828, +0.0331624624263175)); +#10290=CARTESIAN_POINT('Ctrl Pts',(-0.213265557271849,0.27244094488189, +0.337258964214908)); +#10291=CARTESIAN_POINT('Ctrl Pts',(-0.203258257412103,0.301068804419191, +0.31241027952843)); +#10292=CARTESIAN_POINT('Ctrl Pts',(-0.193242307708011,0.310310619190187, +0.26560014749707)); +#10293=CARTESIAN_POINT('Ctrl Pts',(-0.179156998859104,0.321452567496892, +0.140331800812558)); +#10294=CARTESIAN_POINT('Ctrl Pts',(-0.174516289096799,0.324108322299879, +0.0662277234761407)); +#10295=CARTESIAN_POINT('Ctrl Pts',(-0.169880125413365,0.356783286384124, +0.0334676435115709)); +#10296=CARTESIAN_POINT('Ctrl Pts',(-0.213777602638482,0.272440944881889, +0.338874959124645)); +#10297=CARTESIAN_POINT('Ctrl Pts',(-0.203683090553472,0.301560653392176, +0.313233357174104)); +#10298=CARTESIAN_POINT('Ctrl Pts',(-0.193579853241927,0.311294742267524, +0.265629622517893)); +#10299=CARTESIAN_POINT('Ctrl Pts',(-0.17965789972263,0.322753733750363, +0.140305744580549)); +#10300=CARTESIAN_POINT('Ctrl Pts',(-0.175228973970813,0.325306772963196, +0.0667688876037338)); +#10301=CARTESIAN_POINT('Ctrl Pts',(-0.170804386832444,0.357879122078355, +0.0345754723448631)); +#10302=CARTESIAN_POINT('Ctrl Pts',(-0.213299415808479,0.272440944881891, +0.340509385225518)); +#10303=CARTESIAN_POINT('Ctrl Pts',(-0.203294418591322,0.302061919454563, +0.314071413787695)); +#10304=CARTESIAN_POINT('Ctrl Pts',(-0.193280773520116,0.312297707663357, +0.265670621299475)); +#10305=CARTESIAN_POINT('Ctrl Pts',(-0.17915540257292,0.324056788600229, +0.140269501652529)); +#10306=CARTESIAN_POINT('Ctrl Pts',(-0.174477585330945,0.326484848078352, +0.0672834081702506)); +#10307=CARTESIAN_POINT('Ctrl Pts',(-0.169804350518645,0.358932339890016, +0.0356402168732987)); +#10308=CARTESIAN_POINT('Ctrl Pts',(-0.212144919115185,0.272440944881889, +0.341523272140927)); +#10309=CARTESIAN_POINT('Ctrl Pts',(-0.202285544473478,0.302387904113174, +0.314630145272822)); +#10310=CARTESIAN_POINT('Ctrl Pts',(-0.1924176478471,0.31294995874655,0.265773803939144)); +#10311=CARTESIAN_POINT('Ctrl Pts',(-0.17838089030215,0.32475409303006,0.140178290364725)); +#10312=CARTESIAN_POINT('Ctrl Pts',(-0.173668029931863,0.326968548209927, +0.0673745235197082)); +#10313=CARTESIAN_POINT('Ctrl Pts',(-0.1689597863198,0.359202644971928,0.0359134802512886)); +#10314=CARTESIAN_POINT('Ctrl Pts',(-0.211279568545566,0.27244094488189, +0.341860789163735)); +#10315=CARTESIAN_POINT('Ctrl Pts',(-0.201520605883701,0.302463147928552, +0.314823254700944)); +#10316=CARTESIAN_POINT('Ctrl Pts',(-0.191753208028609,0.313100511414559, +0.265822380953374)); +#10317=CARTESIAN_POINT('Ctrl Pts',(-0.177878533537688,0.324899657516679, +0.140135349401976)); +#10318=CARTESIAN_POINT('Ctrl Pts',(-0.173230532836388,0.327051243246108, +0.0673631208979979)); +#10319=CARTESIAN_POINT('Ctrl Pts',(-0.168587085356226,0.359222532145121, +0.0359335850753896)); +#10320=CARTESIAN_POINT('Ctrl Pts',(-0.202297918436739,0.27244094488189, +0.341860789163735)); +#10321=CARTESIAN_POINT('Ctrl Pts',(-0.194139125658627,0.302463147928552, +0.314823254700944)); +#10322=CARTESIAN_POINT('Ctrl Pts',(-0.185973280799678,0.313100511414559, +0.265822380953374)); +#10323=CARTESIAN_POINT('Ctrl Pts',(-0.174300886585519,0.324899657516679, +0.140135349401976)); +#10324=CARTESIAN_POINT('Ctrl Pts',(-0.17035088886404,0.327051243246108, +0.0673631208979979)); +#10325=CARTESIAN_POINT('Ctrl Pts',(-0.166404760594026,0.359222532145121, +0.0359335850753896)); +#10326=CARTESIAN_POINT('Ctrl Pts',(-0.18491849869538,0.27244094488189,0.341860789163735)); +#10327=CARTESIAN_POINT('Ctrl Pts',(-0.179883389247514,0.302463147928553, +0.314823254700944)); +#10328=CARTESIAN_POINT('Ctrl Pts',(-0.174843927685332,0.31310051141456, +0.265822380953374)); +#10329=CARTESIAN_POINT('Ctrl Pts',(-0.167615602189539,0.324899657516679, +0.140135349401976)); +#10330=CARTESIAN_POINT('Ctrl Pts',(-0.165156009884302,0.327051243246108, +0.067363120897998)); +#10331=CARTESIAN_POINT('Ctrl Pts',(-0.16269882701667,0.359222532145121, +0.0359335850753896)); +#10332=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.27244094488189,0.341860789163735)); +#10333=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.302463147928552, +0.314823254700944)); +#10334=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.313100511414559, +0.265822380953373)); +#10335=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.324899657516679, +0.140135349401975)); +#10336=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.327051243246108, +0.0673631208979978)); +#10337=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.359222532145121, +0.0359335850753896)); +#10338=CARTESIAN_POINT('Ctrl Pts',(-0.13004213122588,0.27244094488189,0.341860789163736)); +#10339=CARTESIAN_POINT('Ctrl Pts',(-0.135077240673746,0.302463147928553, +0.314823254700944)); +#10340=CARTESIAN_POINT('Ctrl Pts',(-0.140116702235927,0.31310051141456, +0.265822380953374)); +#10341=CARTESIAN_POINT('Ctrl Pts',(-0.147345027731721,0.324899657516679, +0.140135349401976)); +#10342=CARTESIAN_POINT('Ctrl Pts',(-0.149804620036958,0.327051243246108, +0.067363120897998)); +#10343=CARTESIAN_POINT('Ctrl Pts',(-0.15226180290459,0.359222532145121, +0.0359335850753896)); +#10344=CARTESIAN_POINT('Ctrl Pts',(-0.112662711484521,0.27244094488189, +0.341860789163735)); +#10345=CARTESIAN_POINT('Ctrl Pts',(-0.120821504262632,0.302463147928552, +0.314823254700944)); +#10346=CARTESIAN_POINT('Ctrl Pts',(-0.128987349121582,0.313100511414559, +0.265822380953374)); +#10347=CARTESIAN_POINT('Ctrl Pts',(-0.140659743335741,0.324899657516679, +0.140135349401976)); +#10348=CARTESIAN_POINT('Ctrl Pts',(-0.144609741057219,0.327051243246108, +0.0673631208979979)); +#10349=CARTESIAN_POINT('Ctrl Pts',(-0.148555869327234,0.359222532145121, +0.0359335850753896)); +#10350=CARTESIAN_POINT('Ctrl Pts',(-0.103681061375694,0.27244094488189, +0.341860789163735)); +#10351=CARTESIAN_POINT('Ctrl Pts',(-0.113440024037559,0.302463147928552, +0.314823254700944)); +#10352=CARTESIAN_POINT('Ctrl Pts',(-0.123207421892651,0.313100511414559, +0.265822380953374)); +#10353=CARTESIAN_POINT('Ctrl Pts',(-0.137082096383572,0.324899657516679, +0.140135349401976)); +#10354=CARTESIAN_POINT('Ctrl Pts',(-0.141730097084872,0.327051243246108, +0.0673631208979979)); +#10355=CARTESIAN_POINT('Ctrl Pts',(-0.146373544565034,0.359222532145121, +0.0359335850753896)); +#10356=CARTESIAN_POINT('Ctrl Pts',(-0.102815710806075,0.27244094488189, +0.341523272140927)); +#10357=CARTESIAN_POINT('Ctrl Pts',(-0.112675119780671,0.302387919830864, +0.31463016116253)); +#10358=CARTESIAN_POINT('Ctrl Pts',(-0.122543050769612,0.312949990195515, +0.265773835732295)); +#10359=CARTESIAN_POINT('Ctrl Pts',(-0.136579678894247,0.324754065230053, +0.140178262260466)); +#10360=CARTESIAN_POINT('Ctrl Pts',(-0.1412923984896,0.326968455962773,0.067374430262975)); +#10361=CARTESIAN_POINT('Ctrl Pts',(-0.146000501464635,0.35920248834076, +0.0359133219059049)); +#10362=CARTESIAN_POINT('Ctrl Pts',(-0.10166121411278,0.27244094488189,0.340509385225518)); +#10363=CARTESIAN_POINT('Ctrl Pts',(-0.111666309130623,0.302061946269264, +0.314071440895864)); +#10364=CARTESIAN_POINT('Ctrl Pts',(-0.121680052087049,0.312297761315936, +0.265670675539243)); +#10365=CARTESIAN_POINT('Ctrl Pts',(-0.135805054367458,0.324056741172846, +0.140269453706087)); +#10366=CARTESIAN_POINT('Ctrl Pts',(-0.140482470597878,0.326484690702814, +0.0672832490723496)); +#10367=CARTESIAN_POINT('Ctrl Pts',(-0.145155304791456,0.358932072674029, +0.0356399467328237)); +#10368=CARTESIAN_POINT('Ctrl Pts',(-0.101183027282778,0.272440944881889, +0.338874959124645)); +#10369=CARTESIAN_POINT('Ctrl Pts',(-0.111277439514134,0.301560499926591, +0.313233202028948)); +#10370=CARTESIAN_POINT('Ctrl Pts',(-0.121380576885717,0.311294435203705, +0.265629312093481)); +#10371=CARTESIAN_POINT('Ctrl Pts',(-0.135302906810613,0.322754005186211, +0.140306018987069)); +#10372=CARTESIAN_POINT('Ctrl Pts',(-0.139732241991759,0.325307673653045, +0.0667697981509911)); +#10373=CARTESIAN_POINT('Ctrl Pts',(-0.144157238158376,0.357880651405783, +0.0345770184096883)); +#10374=CARTESIAN_POINT('Ctrl Pts',(-0.101695072649411,0.27244094488189, +0.337258964214908)); +#10375=CARTESIAN_POINT('Ctrl Pts',(-0.111702389574841,0.30106877586682, +0.312410250663573)); +#10376=CARTESIAN_POINT('Ctrl Pts',(-0.121718356359368,0.310310562060765, +0.265600089742408)); +#10377=CARTESIAN_POINT('Ctrl Pts',(-0.135803600877939,0.321452617997708, +0.140331851866069)); +#10378=CARTESIAN_POINT('Ctrl Pts',(-0.140444240665923,0.324108489873809, +0.0662278928840478)); +#10379=CARTESIAN_POINT('Ctrl Pts',(-0.145080334443583,0.356783570916483, +0.0334679311579327)); +#10380=CARTESIAN_POINT('Ctrl Pts',(-0.102852392514413,0.27244094488189, +0.336270115023779)); +#10381=CARTESIAN_POINT('Ctrl Pts',(-0.112710125802955,0.30075634491405, +0.311869224958397)); +#10382=CARTESIAN_POINT('Ctrl Pts',(-0.122576379657457,0.309685430104449, +0.265507274600968)); +#10383=CARTESIAN_POINT('Ctrl Pts',(-0.136585413953944,0.320768707886297, +0.140413898557041)); +#10384=CARTESIAN_POINT('Ctrl Pts',(-0.141275109452765,0.323615551910417, +0.0661160914114025)); +#10385=CARTESIAN_POINT('Ctrl Pts',(-0.145960210885868,0.356481418023164, +0.0331624714169848)); +#10386=CARTESIAN_POINT('Ctrl Pts',(-0.103712339330264,0.27244094488189, +0.335955277352711)); +#10387=CARTESIAN_POINT('Ctrl Pts',(-0.113464005011364,0.300684982867112, +0.311690007326081)); +#10388=CARTESIAN_POINT('Ctrl Pts',(-0.12322409957852,0.309542644328539, +0.265463794231054)); +#10389=CARTESIAN_POINT('Ctrl Pts',(-0.137084337059085,0.320627496995059, +0.140452334212446)); +#10390=CARTESIAN_POINT('Ctrl Pts',(-0.141725280807968,0.323531184841235, +0.0661213526083473)); +#10391=CARTESIAN_POINT('Ctrl Pts',(-0.146361678248765,0.356453839091345, +0.0331345906534526)); +#10392=CARTESIAN_POINT('Ctrl Pts',(-0.108296133535893,0.27244094488189, +0.335955277352712)); +#10393=CARTESIAN_POINT('Ctrl Pts',(-0.117243934149566,0.300684982867112, +0.311690007326081)); +#10394=CARTESIAN_POINT('Ctrl Pts',(-0.126199468825737,0.309542644328539, +0.265463794231054)); +#10395=CARTESIAN_POINT('Ctrl Pts',(-0.1389859375562,0.320627496995059,0.140452334212446)); +#10396=CARTESIAN_POINT('Ctrl Pts',(-0.143304947522187,0.323531184841235, +0.0661213526083473)); +#10397=CARTESIAN_POINT('Ctrl Pts',(-0.147619726549167,0.356453839091345, +0.0331345906534526)); +#10398=CARTESIAN_POINT('Ctrl Pts',(-0.116604691028982,0.27244094488189, +0.335955277352712)); +#10399=CARTESIAN_POINT('Ctrl Pts',(-0.124087872931715,0.300684982867112, +0.311690007326081)); +#10400=CARTESIAN_POINT('Ctrl Pts',(-0.131577522948683,0.30954264432854, +0.265463794231054)); +#10401=CARTESIAN_POINT('Ctrl Pts',(-0.142297733940785,0.32062749699506, +0.140452334212445)); +#10402=CARTESIAN_POINT('Ctrl Pts',(-0.145933319409372,0.323531184841235, +0.0661213526083472)); +#10403=CARTESIAN_POINT('Ctrl Pts',(-0.14956534342742,0.356453839091345, +0.0331345906534526)); +#10404=CARTESIAN_POINT('Ctrl Pts',(-0.130025526632994,0.27244094488189, +0.335955277352711)); +#10405=CARTESIAN_POINT('Ctrl Pts',(-0.135071940861331,0.300684982867112, +0.311690007326081)); +#10406=CARTESIAN_POINT('Ctrl Pts',(-0.14012271697531,0.309542644328539, +0.265463794231054)); +#10407=CARTESIAN_POINT('Ctrl Pts',(-0.147344747759141,0.320627496995059, +0.140452334212446)); +#10408=CARTESIAN_POINT('Ctrl Pts',(-0.14979000580617,0.323531184841235, +0.0661213526083475)); +#10409=CARTESIAN_POINT('Ctrl Pts',(-0.152232868457556,0.356453839091345, +0.0331345906534526)); +#10410=CARTESIAN_POINT('Ctrl Pts',(-0.143926316848481,0.27244094488189, +0.335955277352712)); +#10411=CARTESIAN_POINT('Ctrl Pts',(-0.146404798059677,0.300684982867112, +0.311690007326081)); +#10412=CARTESIAN_POINT('Ctrl Pts',(-0.148885421554705,0.309542644328539, +0.265463794231054)); +#10413=CARTESIAN_POINT('Ctrl Pts',(-0.152425301644559,0.32062749699506, +0.140452334212446)); +#10414=CARTESIAN_POINT('Ctrl Pts',(-0.153619975426747,0.323531184841235, +0.0661213526083472)); +#10415=CARTESIAN_POINT('Ctrl Pts',(-0.154813478896306,0.356453839091345, +0.0331345906534526)); +#10416=CARTESIAN_POINT('Ctrl Pts',(-0.153051181102362,0.27244094488189, +0.335955277352712)); +#10417=CARTESIAN_POINT('Ctrl Pts',(-0.153854782899572,0.300684982867112, +0.311690007326081)); +#10418=CARTESIAN_POINT('Ctrl Pts',(-0.154659079292782,0.309542644328539, +0.265463794231054)); +#10419=CARTESIAN_POINT('Ctrl Pts',(-0.155802027830026,0.320627496995059, +0.140452334212446)); +#10420=CARTESIAN_POINT('Ctrl Pts',(-0.156185153979481,0.323531184841235, +0.0661213526083473)); +#10421=CARTESIAN_POINT('Ctrl Pts',(-0.156567904815292,0.356453839091345, +0.0331345906534526)); +#10422=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.27244094488189,0.335955277352712)); +#10423=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.300684982867112, +0.311690007326081)); +#10424=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.309542644328539, +0.265463794231054)); +#10425=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.320627496995059, +0.140452334212446)); +#10426=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.323531184841235, +0.0661213526083473)); +#10427=CARTESIAN_POINT('Ctrl Pts',(-0.15748031496063,0.356453839091345, +0.0331345906534526)); +#10428=CARTESIAN_POINT('Origin',(-0.405082280106423,0.123696747637795,0.0365779343581004)); +#10429=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.134645275590551, +0.0379054568900319)); +#10430=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.138284112831926, +0.0379054568900318)); +#10431=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.141922950073302, +0.037905456890032)); +#10432=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.145676473393941, +0.037905456890032)); +#10433=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.145897897646382, +0.0379054568900322)); +#10434=CARTESIAN_POINT('Ctrl Pts',(-0.406436155294886,0.146364902908311, +0.0378144581289721)); +#10435=CARTESIAN_POINT('Ctrl Pts',(-0.4063644637299,0.146555450540365,0.0377489806573179)); +#10436=CARTESIAN_POINT('Ctrl Pts',(-0.406143744834174,0.146948572622196, +0.0375473932705545)); +#10437=CARTESIAN_POINT('Ctrl Pts',(-0.405974240304218,0.14714877257345, +0.0373925810822919)); +#10438=CARTESIAN_POINT('Ctrl Pts',(-0.405649306084114,0.147412845763946, +0.0370958115642802)); +#10439=CARTESIAN_POINT('Ctrl Pts',(-0.405493703115091,0.147502449262247, +0.0369536959751513)); +#10440=CARTESIAN_POINT('Ctrl Pts',(-0.405188471326552,0.147591095925602, +0.036674921118081)); +#10441=CARTESIAN_POINT('Ctrl Pts',(-0.405037590467647,0.147599228377798, +0.0365371183352283)); +#10442=CARTESIAN_POINT('Ctrl Pts',(-0.404673946713108,0.147505929073755, +0.0362049945542123)); +#10443=CARTESIAN_POINT('Ctrl Pts',(-0.404484199142315,0.147394652753873, +0.0360316939547552)); +#10444=CARTESIAN_POINT('Ctrl Pts',(-0.404196003109699,0.147144201769954, +0.0357684782255376)); +#10445=CARTESIAN_POINT('Ctrl Pts',(-0.404031265423696,0.146973703417229, +0.0356180196999387)); +#10446=CARTESIAN_POINT('Ctrl Pts',(-0.403791844628928,0.146539878340319, +0.0353993514604327)); +#10447=CARTESIAN_POINT('Ctrl Pts',(-0.403717962805953,0.146328746694358, +0.0353318735783341)); +#10448=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.145858144687157, +0.0352504118261689)); +#10449=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.145658059635563, +0.035250411826169)); +#10450=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.141511075859813, +0.0352504118261688)); +#10451=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.138078569425969, +0.0352504118261689)); +#10452=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.134645669291339, +0.0352504118261689)); +#10453=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339, +0.336227470303083)); +#10454=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.134645669291339, +0.31467583790553)); +#10455=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.134645669291339, +0.263271611354877)); +#10456=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.134645669291339, +0.140488065148285)); +#10457=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.134645669291339, +0.0712550004630065)); +#10458=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.134645669291339, +0.0352504118261689)); +#10459=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.130216535433071, +0.336227470303083)); +#10460=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.131023285255489, +0.31467583790553)); +#10461=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.13183073283239, +0.263271611354877)); +#10462=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.132979511871437, +0.140488065148285)); +#10463=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.133356564341096, +0.0712550004630065)); +#10464=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.133733259153543, +0.0352504118261689)); +#10465=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,0.121091671176662, +0.336227470303084)); +#10466=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,0.123580007125029, +0.31467583790553)); +#10467=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,0.126070495224552, +0.263271611354877)); +#10468=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.129628031868942, +0.140488065148285)); +#10469=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.130803990293412, +0.0712550004630066)); +#10470=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.131978833249755, +0.0352504118261689)); +#10471=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.107190880955235, +0.336227470303083)); +#10472=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.1122575032297,0.314675837905529)); +#10473=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.117328507604176, +0.263271611354876)); +#10474=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.124586168707287, +0.140488065148285)); +#10475=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.126993337443613, +0.0712550004630063)); +#10476=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.12939822283391, +0.0352504118261689)); +#10477=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0937700453506195, +0.336227470303084)); +#10478=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,0.101283300223011, +0.31467583790553)); +#10479=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,0.10880305327752, +0.263271611354877)); +#10480=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377496,0.11957585970667, +0.140488065148285)); +#10481=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,0.123154976271579, +0.0712550004630066)); +#10482=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.126730697826627, +0.0352504118261689)); +#10483=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0854614878627796, +0.336227470303083)); +#10484=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.094444933070577, +0.31467583790553)); +#10485=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.103436148021846, +0.263271611354877)); +#10486=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.116286210142151, +0.140488065148285)); +#10487=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,0.120537661933237, +0.0712550004630065)); +#10488=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.124785080963585, +0.0352504118261689)); +#10489=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0808776936590618, +0.336227470303083)); +#10490=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.0906674680622393, +0.31467583790553)); +#10491=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.100465709599572, +0.263271611354877)); +#10492=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.114396906852121, +0.140488065148285)); +#10493=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.118964135908582, +0.0712550004630065)); +#10494=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.123527032670352, +0.0352504118261689)); +#10495=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0800177468437201, +0.336542307975266)); +#10496=CARTESIAN_POINT('Ctrl Pts',(-0.35078445645021,0.0899139744294995, +0.314855754976075)); +#10497=CARTESIAN_POINT('Ctrl Pts',(-0.357619686676401,0.0998187612203384, +0.263316491131531)); +#10498=CARTESIAN_POINT('Ctrl Pts',(-0.367559200891141,0.11389960720563, +0.140447069462912)); +#10499=CARTESIAN_POINT('Ctrl Pts',(-0.37096157076896,0.118514825550915, +0.0712477377974929)); +#10500=CARTESIAN_POINT('Ctrl Pts',(-0.403657717453701,0.12312566608075, +0.0352768501826927)); +#10501=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0788604269793215, +0.337531157166466)); +#10502=CARTESIAN_POINT('Ctrl Pts',(-0.351092898625208,0.088905472992331, +0.315401103835561)); +#10503=CARTESIAN_POINT('Ctrl Pts',(-0.358236837796719,0.0989592069228233, +0.263417956077757)); +#10504=CARTESIAN_POINT('Ctrl Pts',(-0.368251320806904,0.113120385086616, +0.140354385536891)); +#10505=CARTESIAN_POINT('Ctrl Pts',(-0.371466021418934,0.117685287051978, +0.0713462294756278)); +#10506=CARTESIAN_POINT('Ctrl Pts',(-0.403974676853605,0.122245858930037, +0.0355663361232889)); +#10507=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0783483816141784, +0.339147152074873)); +#10508=CARTESIAN_POINT('Ctrl Pts',(-0.351577673050625,0.0884781513964856, +0.31623708128914)); +#10509=CARTESIAN_POINT('Ctrl Pts',(-0.359206805926888,0.0986166823735025, +0.263473241442735)); +#10510=CARTESIAN_POINT('Ctrl Pts',(-0.369570377681041,0.112621476287077, +0.140303883930291)); +#10511=CARTESIAN_POINT('Ctrl Pts',(-0.372700802359367,0.11697360102555, +0.0718466671496062)); +#10512=CARTESIAN_POINT('Ctrl Pts',(-0.405125261801185,0.121321597508867, +0.0366171904784121)); +#10513=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,0.0788265684425349, +0.34078157817449)); +#10514=CARTESIAN_POINT('Ctrl Pts',(-0.35207180619113,0.0888697295503327, +0.317087646893348)); +#10515=CARTESIAN_POINT('Ctrl Pts',(-0.360195499581544,0.098921576945367, +0.263539268593933)); +#10516=CARTESIAN_POINT('Ctrl Pts',(-0.370891164562165,0.113121844730895, +0.140243570219108)); +#10517=CARTESIAN_POINT('Ctrl Pts',(-0.37391406371489,0.117723921953417, +0.0723217571407678)); +#10518=CARTESIAN_POINT('Ctrl Pts',(-0.406231099625611,0.122321633825643, +0.0376271763089256)); +#10519=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0799810651352562, +0.34179546508998)); +#10520=CARTESIAN_POINT('Ctrl Pts',(-0.352393654644603,0.089879200152374, +0.317650399572338)); +#10521=CARTESIAN_POINT('Ctrl Pts',(-0.360839474853846,0.0997858960242805, +0.263650496852344)); +#10522=CARTESIAN_POINT('Ctrl Pts',(-0.371596733489672,0.113893880346704, +0.140141967971506)); +#10523=CARTESIAN_POINT('Ctrl Pts',(-0.37440865303713,0.118532239068092, +0.0724006464842733)); +#10524=CARTESIAN_POINT('Ctrl Pts',(-0.406514909469579,0.123166198023974, +0.037886386036281)); +#10525=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0808464157041237, +0.342132982114107)); +#10526=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.0906435556403802, +0.317844163485738)); +#10527=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.10044916908121, +0.263700383323565)); +#10528=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.114394766776581, +0.140096398901607)); +#10529=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.118969002352048, +0.0723874127121983)); +#10530=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.123538898986714, +0.0379054568900319)); +#10531=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.0898280658106721, +0.342132982114107)); +#10532=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.0980194922623267, +0.317844163485738)); +#10533=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.106218003443806, +0.263700383323565)); +#10534=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.117948087410939, +0.140096398901607)); +#10535=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.121836499770488, +0.0723874127121983)); +#10536=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.125721223734293, +0.0379054568900319)); +#10537=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.107207485548429, +0.342132982114107)); +#10538=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.1122629790758,0.317844163485738)); +#10539=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367636,0.117322845077976, +0.263700383323565)); +#10540=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673432,0.124586225443885, +0.140096398901607)); +#10541=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.127007839886892, +0.0723874127121982)); +#10542=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.129427157281236, +0.0379054568900319)); +#10543=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339, +0.342132982114107)); +#10544=CARTESIAN_POINT('Ctrl Pts',(-0.352467995253061,0.134645669291339, +0.317844163485738)); +#10545=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.134645669291339, +0.263700383323565)); +#10546=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.134645669291339, +0.140096398901608)); +#10547=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.134645669291339, +0.0723874127121984)); +#10548=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229273,0.134645669291339, +0.0379054568900319)); +#10549=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.162083853034248, +0.342132982114107)); +#10550=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.157028359506877, +0.317844163485738)); +#10551=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367636,0.151968493504701, +0.263700383323565)); +#10552=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673432,0.144705113138792, +0.140096398901607)); +#10553=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054175,0.142283498695785, +0.0723874127121982)); +#10554=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.139864181301441, +0.0379054568900319)); +#10555=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.179463272772005, +0.342132982114107)); +#10556=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.17127184632035,0.317844163485738)); +#10557=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.163073335138871, +0.263700383323565)); +#10558=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.151343251171738, +0.140096398901607)); +#10559=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.147454838812189, +0.0723874127121983)); +#10560=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.143570114848385, +0.0379054568900319)); +#10561=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.188444922878553, +0.342132982114107)); +#10562=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,0.178647782942297, +0.317844163485738)); +#10563=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,0.168842169501467, +0.263700383323565)); +#10564=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,0.154896571806096, +0.140096398901607)); +#10565=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,0.15032233623063, +0.0723874127121983)); +#10566=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,0.145752439595963, +0.0379054568900319)); +#10567=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,0.189310273447421, +0.34179546508998)); +#10568=CARTESIAN_POINT('Ctrl Pts',(-0.352393670368481,0.179412105718232, +0.317650413933298)); +#10569=CARTESIAN_POINT('Ctrl Pts',(-0.360839506315201,0.169505377105961, +0.263650525586686)); +#10570=CARTESIAN_POINT('Ctrl Pts',(-0.371596704751278,0.155397518023542, +0.140141941724103)); +#10571=CARTESIAN_POINT('Ctrl Pts',(-0.374408556407411,0.150759300543772, +0.0724005582302408)); +#10572=CARTESIAN_POINT('Ctrl Pts',(-0.406514745012934,0.146125482695531, +0.0378862358344359)); +#10573=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.190464770140142, +0.34078157817449)); +#10574=CARTESIAN_POINT('Ctrl Pts',(-0.352071833016388,0.180421515848718, +0.317087671393442)); +#10575=CARTESIAN_POINT('Ctrl Pts',(-0.360195553255261,0.170369575189464, +0.26353931761531)); +#10576=CARTESIAN_POINT('Ctrl Pts',(-0.370891115533875,0.156169664162685, +0.1402435254405)); +#10577=CARTESIAN_POINT('Ctrl Pts',(-0.373913898862605,0.151567989281116, +0.0723216065775769)); +#10578=CARTESIAN_POINT('Ctrl Pts',(-0.406230819059198,0.146970679368198, +0.0376269200614947)); +#10579=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,0.190942956968499, +0.339147152074873)); +#10580=CARTESIAN_POINT('Ctrl Pts',(-0.351577519524622,0.180813282325868, +0.316236941070489)); +#10581=CARTESIAN_POINT('Ctrl Pts',(-0.359206498742098,0.170674846570813, +0.263472960884159)); +#10582=CARTESIAN_POINT('Ctrl Pts',(-0.369570658279181,0.156669688409641, +0.1403041402067)); +#10583=CARTESIAN_POINT('Ctrl Pts',(-0.372701745840045,0.152317152884536, +0.0718475288511102)); +#10584=CARTESIAN_POINT('Ctrl Pts',(-0.405126867535617,0.147968746004241, +0.0366186570307289)); +#10585=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.190430911603355, +0.337531157166466)); +#10586=CARTESIAN_POINT('Ctrl Pts',(-0.351092870061595,0.180385849330314, +0.31540107774779)); +#10587=CARTESIAN_POINT('Ctrl Pts',(-0.358236780644789,0.170332099125727, +0.263417903879651)); +#10588=CARTESIAN_POINT('Ctrl Pts',(-0.36825137301237,0.15617098321438,0.140354433217283)); +#10589=CARTESIAN_POINT('Ctrl Pts',(-0.371466196954127,0.151606151455309, +0.0713463897957521)); +#10590=CARTESIAN_POINT('Ctrl Pts',(-0.403974975601539,0.147045649716946, +0.0355666089762999)); +#10591=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.189273591738957, +0.336542307975266)); +#10592=CARTESIAN_POINT('Ctrl Pts',(-0.350784455557427,0.179377354518184, +0.314855754160676)); +#10593=CARTESIAN_POINT('Ctrl Pts',(-0.357619684890062,0.169472558084019, +0.263316489500028)); +#10594=CARTESIAN_POINT('Ctrl Pts',(-0.367559202522873,0.155391748986841, +0.140447070953209)); +#10595=CARTESIAN_POINT('Ctrl Pts',(-0.370961576255484,0.150776572242774, +0.071247742808455)); +#10596=CARTESIAN_POINT('Ctrl Pts',(-0.40365772679136,0.146165773274698, +0.0352768587109802)); +#10597=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.188413644923615, +0.336227470303083)); +#10598=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.178623870520438, +0.31467583790553)); +#10599=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.168825628983105, +0.263271611354877)); +#10600=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.154894431730556, +0.140488065148285)); +#10601=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.150327202674095, +0.0712550004630065)); +#10602=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.145764305912325, +0.0352504118261689)); +#10603=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.183829850719898, +0.336227470303083)); +#10604=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.1748464055121,0.31467583790553)); +#10605=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.165855190560832, +0.263271611354877)); +#10606=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.153005128440526, +0.140488065148285)); +#10607=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.14875367664944, +0.0712550004630065)); +#10608=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.144506257619092, +0.0352504118261689)); +#10609=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.175521293232058, +0.336227470303083)); +#10610=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.168008038359666, +0.31467583790553)); +#10611=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.160488285305158, +0.263271611354877)); +#10612=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.149715478876007, +0.140488065148285)); +#10613=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.146136362311098, +0.0712550004630065)); +#10614=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.142560640756051, +0.0352504118261689)); +#10615=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.162100457627442, +0.336227470303084)); +#10616=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,0.157033835352977, +0.31467583790553)); +#10617=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,0.151962830978501, +0.263271611354877)); +#10618=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377496,0.144705169875391, +0.140488065148285)); +#10619=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,0.142298001139064, +0.0712550004630064)); +#10620=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983573,0.139893115748767, +0.0352504118261689)); +#10621=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.148199667406016, +0.336227470303083)); +#10622=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.145711331457648, +0.31467583790553)); +#10623=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.143220843358125, +0.263271611354877)); +#10624=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.139663306713735, +0.140488065148285)); +#10625=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.138487348289266, +0.0712550004630065)); +#10626=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.137312505332922, +0.0352504118261689)); +#10627=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.139074803149606, +0.336227470303083)); +#10628=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.138268053327188, +0.31467583790553)); +#10629=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.137460605750287, +0.263271611354877)); +#10630=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.13631182671124, +0.140488065148285)); +#10631=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.135934774241581, +0.0712550004630065)); +#10632=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.135558079429134, +0.0352504118261689)); +#10633=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,0.134645669291339, +0.336227470303083)); +#10634=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,0.134645669291339, +0.31467583790553)); +#10635=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,0.134645669291339, +0.263271611354877)); +#10636=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,0.134645669291339, +0.140488065148285)); +#10637=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,0.134645669291339, +0.0712550004630065)); +#10638=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,0.134645669291339, +0.0352504118261689)); +#10639=CARTESIAN_POINT('Origin',(-0.405082280106423,-0.11252372480315,0.0365779343581004)); +#10640=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.101575196850394, +0.0379054568900319)); +#10641=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.0979363596090489, +0.0379054568900318)); +#10642=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.094297522367704, +0.037905456890032)); +#10643=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.0905439990470163, +0.037905456890032)); +#10644=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.0903225747946093, +0.0379054568900321)); +#10645=CARTESIAN_POINT('Ctrl Pts',(-0.406436155294912,-0.0898555695327203, +0.0378144581289962)); +#10646=CARTESIAN_POINT('Ctrl Pts',(-0.406364463729937,-0.0896650219006665, +0.037748980657352)); +#10647=CARTESIAN_POINT('Ctrl Pts',(-0.40614374483421,-0.0892718998187868, +0.0375473932705877)); +#10648=CARTESIAN_POINT('Ctrl Pts',(-0.405974240304249,-0.0890716998675336, +0.0373925810823196)); +#10649=CARTESIAN_POINT('Ctrl Pts',(-0.405649306084175,-0.0888076266770369, +0.0370958115643352)); +#10650=CARTESIAN_POINT('Ctrl Pts',(-0.405493703115147,-0.0887180231787239, +0.0369536959752028)); +#10651=CARTESIAN_POINT('Ctrl Pts',(-0.40518847132657,-0.0886293765153402, +0.0366749211180981)); +#10652=CARTESIAN_POINT('Ctrl Pts',(-0.405037590467668,-0.0886212440631509, +0.0365371183352477)); +#10653=CARTESIAN_POINT('Ctrl Pts',(-0.404673946713168,-0.0887145433671635, +0.0362049945542668)); +#10654=CARTESIAN_POINT('Ctrl Pts',(-0.40448419914238,-0.0888258196870296, +0.0360316939548143)); +#10655=CARTESIAN_POINT('Ctrl Pts',(-0.404196003109794,-0.0890762706708978, +0.0357684782256248)); +#10656=CARTESIAN_POINT('Ctrl Pts',(-0.404031265423767,-0.0892467690236159, +0.0356180197000033)); +#10657=CARTESIAN_POINT('Ctrl Pts',(-0.403791844628963,-0.0896805941005394, +0.0353993514604653)); +#10658=CARTESIAN_POINT('Ctrl Pts',(-0.40371796280598,-0.0898917257464952, +0.0353318735783584)); +#10659=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.090362327753736, +0.0352504118261689)); +#10660=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0905624128053705, +0.035250411826169)); +#10661=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0947093965810797, +0.0352504118261688)); +#10662=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0981419030149493, +0.0352504118261689)); +#10663=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#10664=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.336227470303083)); +#10665=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.101574803149606, +0.31467583790553)); +#10666=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.101574803149606, +0.263271611354877)); +#10667=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.101574803149606, +0.140488065148285)); +#10668=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.101574803149606, +0.0712550004630065)); +#10669=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#10670=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.106003937007874, +0.336227470303083)); +#10671=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.105197187185456, +0.31467583790553)); +#10672=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.104389739608555, +0.263271611354877)); +#10673=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.103240960569508, +0.140488065148285)); +#10674=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.102863908099849, +0.0712550004630065)); +#10675=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.102487213287402, +0.0352504118261689)); +#10676=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,-0.115128801264283, +0.336227470303084)); +#10677=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,-0.112640465315916, +0.31467583790553)); +#10678=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,-0.110149977216393, +0.263271611354877)); +#10679=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.106592440572003, +0.140488065148285)); +#10680=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.105416482147533, +0.0712550004630066)); +#10681=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.10424163919119, +0.0352504118261689)); +#10682=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.12902959148571, +0.336227470303083)); +#10683=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.123962969211245, +0.314675837905529)); +#10684=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.118891964836769, +0.263271611354876)); +#10685=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.111634303733658, +0.140488065148285)); +#10686=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.109227134997332, +0.0712550004630063)); +#10687=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.106822249607035, +0.0352504118261689)); +#10688=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.142450427090325, +0.336227470303084)); +#10689=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,-0.134937172217933, +0.31467583790553)); +#10690=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,-0.127417419163425, +0.263271611354877)); +#10691=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377496,-0.116644612734275, +0.140488065148285)); +#10692=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,-0.113065496169365, +0.0712550004630066)); +#10693=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.109489774614318, +0.0352504118261689)); +#10694=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.150758984578165, +0.336227470303083)); +#10695=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.141775539370368, +0.31467583790553)); +#10696=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.132784324419099, +0.263271611354877)); +#10697=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.119934262298794, +0.140488065148285)); +#10698=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,-0.115682810507708, +0.0712550004630065)); +#10699=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.11143539147736, +0.0352504118261689)); +#10700=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.155342778781883, +0.336227470303083)); +#10701=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.145553004378706, +0.31467583790553)); +#10702=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.135754762841373, +0.263271611354877)); +#10703=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.121823565588824, +0.140488065148285)); +#10704=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.117256336532363, +0.0712550004630065)); +#10705=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.112693439770592, +0.0352504118261689)); +#10706=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.156202725597225, +0.336542307975266)); +#10707=CARTESIAN_POINT('Ctrl Pts',(-0.35078445645021,-0.146306498011445, +0.314855754976075)); +#10708=CARTESIAN_POINT('Ctrl Pts',(-0.357619686676401,-0.136401711220606, +0.263316491131531)); +#10709=CARTESIAN_POINT('Ctrl Pts',(-0.367559200891141,-0.122320865235315, +0.140447069462912)); +#10710=CARTESIAN_POINT('Ctrl Pts',(-0.37096157076896,-0.11770564689003, +0.0712477377974929)); +#10711=CARTESIAN_POINT('Ctrl Pts',(-0.403657717453701,-0.113094806360195, +0.0352768501826927)); +#10712=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157360045461623, +0.337531157166466)); +#10713=CARTESIAN_POINT('Ctrl Pts',(-0.351092898625208,-0.147314999448614, +0.315401103835561)); +#10714=CARTESIAN_POINT('Ctrl Pts',(-0.358236837796719,-0.137261265518122, +0.263417956077757)); +#10715=CARTESIAN_POINT('Ctrl Pts',(-0.368251320806904,-0.123100087354329, +0.140354385536891)); +#10716=CARTESIAN_POINT('Ctrl Pts',(-0.371466021418934,-0.118535185388967, +0.0713462294756278)); +#10717=CARTESIAN_POINT('Ctrl Pts',(-0.403974676853605,-0.113974613510908, +0.0355663361232889)); +#10718=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.157872090826766, +0.339147152074873)); +#10719=CARTESIAN_POINT('Ctrl Pts',(-0.351577673050625,-0.147742321044459, +0.31623708128914)); +#10720=CARTESIAN_POINT('Ctrl Pts',(-0.359206805926888,-0.137603790067442, +0.263473241442735)); +#10721=CARTESIAN_POINT('Ctrl Pts',(-0.369570377681041,-0.123598996153868, +0.140303883930291)); +#10722=CARTESIAN_POINT('Ctrl Pts',(-0.372700802359367,-0.119246871415395, +0.0718466671496062)); +#10723=CARTESIAN_POINT('Ctrl Pts',(-0.405125261801185,-0.114898874932078, +0.0366171904784121)); +#10724=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,-0.15739390399841, +0.34078157817449)); +#10725=CARTESIAN_POINT('Ctrl Pts',(-0.35207180619113,-0.147350742890612, +0.317087646893348)); +#10726=CARTESIAN_POINT('Ctrl Pts',(-0.360195499581544,-0.137298895495578, +0.263539268593933)); +#10727=CARTESIAN_POINT('Ctrl Pts',(-0.370891164562165,-0.12309862771005, +0.140243570219108)); +#10728=CARTESIAN_POINT('Ctrl Pts',(-0.37391406371489,-0.118496550487528, +0.0723217571407678)); +#10729=CARTESIAN_POINT('Ctrl Pts',(-0.406231099625611,-0.113898838615302, +0.0376271763089256)); +#10730=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.156239407305689, +0.34179546508998)); +#10731=CARTESIAN_POINT('Ctrl Pts',(-0.352393654644603,-0.146341272288571, +0.317650399572338)); +#10732=CARTESIAN_POINT('Ctrl Pts',(-0.360839474853846,-0.136434576416664, +0.263650496852344)); +#10733=CARTESIAN_POINT('Ctrl Pts',(-0.371596733489672,-0.122326592094241, +0.140141967971506)); +#10734=CARTESIAN_POINT('Ctrl Pts',(-0.37440865303713,-0.117688233372853, +0.0724006464842733)); +#10735=CARTESIAN_POINT('Ctrl Pts',(-0.406514909469579,-0.113054274416971, +0.037886386036281)); +#10736=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.155374056736821, +0.342132982114107)); +#10737=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.145576916800565, +0.317844163485738)); +#10738=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.135771303359735, +0.263700383323565)); +#10739=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.121825705664364, +0.140096398901607)); +#10740=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.117251470088897, +0.0723874127121983)); +#10741=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.112681573454231, +0.0379054568900319)); +#10742=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.146392406630273, +0.342132982114107)); +#10743=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.138200980178618, +0.317844163485738)); +#10744=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.130002468997139, +0.263700383323565)); +#10745=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.118272385030006, +0.140096398901607)); +#10746=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.114383972670457, +0.0723874127121983)); +#10747=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.110499248706652, +0.0379054568900319)); +#10748=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.129012986892516, +0.342132982114107)); +#10749=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.123957493365145, +0.317844163485738)); +#10750=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367636,-0.118897627362969, +0.263700383323565)); +#10751=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673432,-0.11163424699706, +0.140096398901607)); +#10752=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.109212632554053, +0.0723874127121982)); +#10753=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.106793315159708, +0.0379054568900319)); +#10754=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.342132982114107)); +#10755=CARTESIAN_POINT('Ctrl Pts',(-0.352467995253061,-0.101574803149606, +0.317844163485738)); +#10756=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.101574803149606, +0.263700383323565)); +#10757=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.101574803149606, +0.140096398901608)); +#10758=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.101574803149606, +0.0723874127121984)); +#10759=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229273,-0.101574803149606, +0.0379054568900319)); +#10760=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0741366194066967, +0.342132982114107)); +#10761=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.0791921129340683, +0.317844163485738)); +#10762=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367636,-0.0842519789362443, +0.263700383323565)); +#10763=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673432,-0.0915153593021528, +0.140096398901607)); +#10764=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054175,-0.0939369737451595, +0.0723874127121982)); +#10765=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.096356291139504, +0.0379054568900319)); +#10766=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0567571996689398, +0.342132982114107)); +#10767=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.0649486261205946, +0.317844163485738)); +#10768=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.0731471373020739, +0.263700383323565)); +#10769=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.0848772212692065, +0.140096398901607)); +#10770=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.0887656336287559, +0.0723874127121983)); +#10771=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.0926503575925602, +0.0379054568900319)); +#10772=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0477755495623915, +0.342132982114107)); +#10773=CARTESIAN_POINT('Ctrl Pts',(-0.35246799525306,-0.057572689498648, +0.317844163485738)); +#10774=CARTESIAN_POINT('Ctrl Pts',(-0.360988220367637,-0.0673783029394781, +0.263700383323565)); +#10775=CARTESIAN_POINT('Ctrl Pts',(-0.371743870673433,-0.0813239006348491, +0.140096398901607)); +#10776=CARTESIAN_POINT('Ctrl Pts',(-0.374492632054176,-0.0858981362103153, +0.0723874127121983)); +#10777=CARTESIAN_POINT('Ctrl Pts',(-0.406535790229274,-0.0904680328449815, +0.0379054568900319)); +#10778=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,-0.0469101989935236, +0.34179546508998)); +#10779=CARTESIAN_POINT('Ctrl Pts',(-0.352393670368481,-0.0568083667227132, +0.317650413933298)); +#10780=CARTESIAN_POINT('Ctrl Pts',(-0.360839506315201,-0.0667150953349838, +0.263650525586686)); +#10781=CARTESIAN_POINT('Ctrl Pts',(-0.371596704751278,-0.0808229544174032, +0.140141941724103)); +#10782=CARTESIAN_POINT('Ctrl Pts',(-0.374408556407411,-0.0854611718971733, +0.0724005582302408)); +#10783=CARTESIAN_POINT('Ctrl Pts',(-0.406514745012934,-0.0900949897454137, +0.0378862358344359)); +#10784=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.045755702300803, +0.34078157817449)); +#10785=CARTESIAN_POINT('Ctrl Pts',(-0.352071833016388,-0.0557989565922265, +0.317087671393442)); +#10786=CARTESIAN_POINT('Ctrl Pts',(-0.360195553255261,-0.0658508972514804, +0.26353931761531)); +#10787=CARTESIAN_POINT('Ctrl Pts',(-0.370891115533875,-0.0800508082782596, +0.1402435254405)); +#10788=CARTESIAN_POINT('Ctrl Pts',(-0.373913898862605,-0.0846524831598288, +0.0723216065775769)); +#10789=CARTESIAN_POINT('Ctrl Pts',(-0.406230819059198,-0.0892497930727464, +0.0376269200614947)); +#10790=CARTESIAN_POINT('Ctrl Pts',(-0.320078740157481,-0.0452775154724458, +0.339147152074873)); +#10791=CARTESIAN_POINT('Ctrl Pts',(-0.351577519524622,-0.0554071901150771, +0.316236941070489)); +#10792=CARTESIAN_POINT('Ctrl Pts',(-0.359206498742098,-0.0655456258701322, +0.263472960884159)); +#10793=CARTESIAN_POINT('Ctrl Pts',(-0.369570658279181,-0.0795507840313036, +0.1403041402067)); +#10794=CARTESIAN_POINT('Ctrl Pts',(-0.372701745840045,-0.0839033195564093, +0.0718475288511102)); +#10795=CARTESIAN_POINT('Ctrl Pts',(-0.405126867535617,-0.0882517264367039, +0.0366186570307289)); +#10796=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0457895608375895, +0.337531157166466)); +#10797=CARTESIAN_POINT('Ctrl Pts',(-0.351092870061595,-0.0558346231106308, +0.31540107774779)); +#10798=CARTESIAN_POINT('Ctrl Pts',(-0.358236780644789,-0.065888373315218, +0.263417903879651)); +#10799=CARTESIAN_POINT('Ctrl Pts',(-0.36825137301237,-0.0800494892265649, +0.140354433217283)); +#10800=CARTESIAN_POINT('Ctrl Pts',(-0.371466196954127,-0.0846143209856358, +0.0713463897957521)); +#10801=CARTESIAN_POINT('Ctrl Pts',(-0.403974975601539,-0.0891748227239986, +0.0355666089762999)); +#10802=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0469468807019877, +0.336542307975266)); +#10803=CARTESIAN_POINT('Ctrl Pts',(-0.350784455557427,-0.0568431179227606, +0.314855754160676)); +#10804=CARTESIAN_POINT('Ctrl Pts',(-0.357619684890062,-0.0667479143569261, +0.263316489500028)); +#10805=CARTESIAN_POINT('Ctrl Pts',(-0.367559202522873,-0.0808287234541038, +0.140447070953209)); +#10806=CARTESIAN_POINT('Ctrl Pts',(-0.370961576255484,-0.0854439001981705, +0.071247742808455)); +#10807=CARTESIAN_POINT('Ctrl Pts',(-0.40365772679136,-0.0900546991662473, +0.0352768587109802)); +#10808=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0478068275173295, +0.336227470303083)); +#10809=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.0575966019205071, +0.31467583790553)); +#10810=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.0673948434578401, +0.263271611354877)); +#10811=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.0813260407103889, +0.140488065148285)); +#10812=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.0858932697668497, +0.0712550004630065)); +#10813=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0904561665286201, +0.0352504118261689)); +#10814=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0523906217210473, +0.336227470303083)); +#10815=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.0613740669288448, +0.31467583790553)); +#10816=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.0703652818801133, +0.263271611354877)); +#10817=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.0832153440004184, +0.140488065148285)); +#10818=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.0874667957915046, +0.0712550004630065)); +#10819=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0917142148218527, +0.0352504118261689)); +#10820=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0606991792088871, +0.336227470303083)); +#10821=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.0682124340812791, +0.31467583790553)); +#10822=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.0757321871357872, +0.263271611354877)); +#10823=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.0865049935649377, +0.140488065148285)); +#10824=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.0900841101298472, +0.0712550004630065)); +#10825=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0936598316848942, +0.0352504118261689)); +#10826=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0741200148135031, +0.336227470303084)); +#10827=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686906,-0.0791866370879682, +0.31467583790553)); +#10828=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178307,-0.0842576414624436, +0.263271611354877)); +#10829=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377496,-0.0915153025655544, +0.140488065148285)); +#10830=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281665,-0.0939224713018811, +0.0712550004630064)); +#10831=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983573,-0.0963273566921775, +0.0352504118261689)); +#10832=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0880208050349294, +0.336227470303083)); +#10833=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.0905091409832965, +0.31467583790553)); +#10834=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.0929996290828196, +0.263271611354877)); +#10835=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.0965571657272095, +0.140488065148285)); +#10836=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.0977331241516794, +0.0712550004630065)); +#10837=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.0989079671080228, +0.0352504118261689)); +#10838=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.0971456692913386, +0.336227470303083)); +#10839=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.0979524191137569, +0.31467583790553)); +#10840=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.098759866690658, +0.263271611354877)); +#10841=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.0999086457297044, +0.140488065148285)); +#10842=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.100285698199364, +0.0712550004630065)); +#10843=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.100662393011811, +0.0352504118261689)); +#10844=CARTESIAN_POINT('Ctrl Pts',(-0.32007874015748,-0.101574803149606, +0.336227470303083)); +#10845=CARTESIAN_POINT('Ctrl Pts',(-0.350713960686905,-0.101574803149606, +0.31467583790553)); +#10846=CARTESIAN_POINT('Ctrl Pts',(-0.357478634178306,-0.101574803149606, +0.263271611354877)); +#10847=CARTESIAN_POINT('Ctrl Pts',(-0.367416431377495,-0.101574803149606, +0.140488065148285)); +#10848=CARTESIAN_POINT('Ctrl Pts',(-0.370875739281664,-0.101574803149606, +0.0712550004630065)); +#10849=CARTESIAN_POINT('Ctrl Pts',(-0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#10850=CARTESIAN_POINT('Origin',(0.405082280106423,0.123696747637795,0.0365779343581004)); +#10851=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.134645669291339, +0.0379054568900319)); +#10852=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.131120454805414, +0.037905456890032)); +#10853=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.127595634020277, +0.037905456890032)); +#10854=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.123655629086311, +0.0379054568900319)); +#10855=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.123541164334655, +0.0379054568900321)); +#10856=CARTESIAN_POINT('Ctrl Pts',(0.406501840883755,0.123200006853449, +0.0378744502115013)); +#10857=CARTESIAN_POINT('Ctrl Pts',(0.406459573035034,0.123010081977728, +0.0378358460623651)); +#10858=CARTESIAN_POINT('Ctrl Pts',(0.406296038516381,0.122576866181256, +0.037686486415778)); +#10859=CARTESIAN_POINT('Ctrl Pts',(0.406161956082061,0.122378377295386, +0.037564026000884)); +#10860=CARTESIAN_POINT('Ctrl Pts',(0.405863378071392,0.122036033731113, +0.0372913281844255)); +#10861=CARTESIAN_POINT('Ctrl Pts',(0.405669257961687,0.12188091777814,0.0371140340497074)); +#10862=CARTESIAN_POINT('Ctrl Pts',(0.405262091573645,0.121700964607882, +0.036742160097216)); +#10863=CARTESIAN_POINT('Ctrl Pts',(0.405101943598969,0.121699671246406, +0.0365958934551865)); +#10864=CARTESIAN_POINT('Ctrl Pts',(0.404845284119363,0.121727813746347, +0.0363614807484189)); +#10865=CARTESIAN_POINT('Ctrl Pts',(0.404694629598887,0.12178514169707,0.0362238846853951)); +#10866=CARTESIAN_POINT('Ctrl Pts',(0.404361477239557,0.121982998454929, +0.0359196093613895)); +#10867=CARTESIAN_POINT('Ctrl Pts',(0.404185490457531,0.122148017712741, +0.035758876790774)); +#10868=CARTESIAN_POINT('Ctrl Pts',(0.403939792922901,0.122471931044362, +0.0355344758677025)); +#10869=CARTESIAN_POINT('Ctrl Pts',(0.403823027095335,0.12266495834532,0.0354278310878581)); +#10870=CARTESIAN_POINT('Ctrl Pts',(0.403678883106681,0.123124171902392, +0.035296181235702)); +#10871=CARTESIAN_POINT('Ctrl Pts',(0.403644407694213,0.123329276557636, +0.0352646940887398)); +#10872=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.123616997193171, +0.0352504118261691)); +#10873=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.123676913387915, +0.0352504118261691)); +#10874=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.127734595035863, +0.0352504118261691)); +#10875=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.131189935313207, +0.0352504118261689)); +#10876=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.134645669291339, +0.0352504118261689)); +#10877=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.336227470303083)); +#10878=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.134645669291339, +0.31467583790553)); +#10879=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.134645669291339, +0.263271611354877)); +#10880=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.134645669291339, +0.140488065148285)); +#10881=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.134645669291339, +0.0712550004630065)); +#10882=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.134645669291339, +0.0352504118261689)); +#10883=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.130216535433071,0.336227470303083)); +#10884=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.131023285255489, +0.31467583790553)); +#10885=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.13183073283239,0.263271611354877)); +#10886=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.132979511871437, +0.140488065148285)); +#10887=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.133356564341096, +0.0712550004630065)); +#10888=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.133733259153543, +0.0352504118261689)); +#10889=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.121091671176662, +0.336227470303084)); +#10890=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,0.123580007125029, +0.31467583790553)); +#10891=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,0.126070495224552, +0.263271611354877)); +#10892=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.129628031868942, +0.140488065148285)); +#10893=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.130803990293412, +0.0712550004630066)); +#10894=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.131978833249755, +0.0352504118261689)); +#10895=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.107190880955235,0.336227470303083)); +#10896=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.1122575032297,0.314675837905529)); +#10897=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.117328507604176, +0.263271611354876)); +#10898=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.124586168707287, +0.140488065148285)); +#10899=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.126993337443613, +0.0712550004630063)); +#10900=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.12939822283391,0.0352504118261689)); +#10901=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0937700453506195, +0.336227470303084)); +#10902=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,0.101283300223011, +0.31467583790553)); +#10903=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,0.10880305327752,0.263271611354877)); +#10904=CARTESIAN_POINT('Ctrl Pts',(0.367416431377496,0.11957585970667,0.140488065148285)); +#10905=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,0.123154976271579, +0.0712550004630066)); +#10906=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.126730697826627, +0.0352504118261689)); +#10907=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0854614878627796, +0.336227470303083)); +#10908=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.094444933070577, +0.31467583790553)); +#10909=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.103436148021846, +0.263271611354877)); +#10910=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.116286210142151, +0.140488065148285)); +#10911=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,0.120537661933237, +0.0712550004630065)); +#10912=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.124785080963585, +0.0352504118261689)); +#10913=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0808776936590618, +0.336227470303083)); +#10914=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.0906674680622393, +0.31467583790553)); +#10915=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.100465709599572, +0.263271611354877)); +#10916=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.114396906852121, +0.140488065148285)); +#10917=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.118964135908582, +0.0712550004630065)); +#10918=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.123527032670352, +0.0352504118261689)); +#10919=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0800177468437201, +0.336542307975266)); +#10920=CARTESIAN_POINT('Ctrl Pts',(0.35078445645021,0.0899139744294995, +0.314855754976075)); +#10921=CARTESIAN_POINT('Ctrl Pts',(0.357619686676401,0.0998187612203384, +0.263316491131531)); +#10922=CARTESIAN_POINT('Ctrl Pts',(0.367559200891141,0.11389960720563,0.140447069462912)); +#10923=CARTESIAN_POINT('Ctrl Pts',(0.37096157076896,0.118514825550915,0.0712477377974929)); +#10924=CARTESIAN_POINT('Ctrl Pts',(0.403657717453701,0.12312566608075,0.0352768501826927)); +#10925=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0788604269793215, +0.337531157166466)); +#10926=CARTESIAN_POINT('Ctrl Pts',(0.351092898625208,0.088905472992331, +0.315401103835561)); +#10927=CARTESIAN_POINT('Ctrl Pts',(0.358236837796719,0.0989592069228233, +0.263417956077757)); +#10928=CARTESIAN_POINT('Ctrl Pts',(0.368251320806904,0.113120385086616, +0.140354385536891)); +#10929=CARTESIAN_POINT('Ctrl Pts',(0.371466021418934,0.117685287051978, +0.0713462294756278)); +#10930=CARTESIAN_POINT('Ctrl Pts',(0.403974676853605,0.122245858930037, +0.0355663361232889)); +#10931=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0783483816141784, +0.339147152074873)); +#10932=CARTESIAN_POINT('Ctrl Pts',(0.351577673050625,0.0884781513964856, +0.31623708128914)); +#10933=CARTESIAN_POINT('Ctrl Pts',(0.359206805926888,0.0986166823735025, +0.263473241442735)); +#10934=CARTESIAN_POINT('Ctrl Pts',(0.369570377681041,0.112621476287077, +0.140303883930291)); +#10935=CARTESIAN_POINT('Ctrl Pts',(0.372700802359367,0.11697360102555,0.0718466671496062)); +#10936=CARTESIAN_POINT('Ctrl Pts',(0.405125261801185,0.121321597508867, +0.0366171904784121)); +#10937=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.0788265684425349, +0.34078157817449)); +#10938=CARTESIAN_POINT('Ctrl Pts',(0.35207180619113,0.0888697295503327, +0.317087646893348)); +#10939=CARTESIAN_POINT('Ctrl Pts',(0.360195499581544,0.098921576945367, +0.263539268593933)); +#10940=CARTESIAN_POINT('Ctrl Pts',(0.370891164562165,0.113121844730895, +0.140243570219108)); +#10941=CARTESIAN_POINT('Ctrl Pts',(0.37391406371489,0.117723921953417,0.0723217571407678)); +#10942=CARTESIAN_POINT('Ctrl Pts',(0.406231099625611,0.122321633825643, +0.0376271763089256)); +#10943=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0799810651352562, +0.34179546508998)); +#10944=CARTESIAN_POINT('Ctrl Pts',(0.352393654644603,0.089879200152374, +0.317650399572338)); +#10945=CARTESIAN_POINT('Ctrl Pts',(0.360839474853846,0.0997858960242805, +0.263650496852344)); +#10946=CARTESIAN_POINT('Ctrl Pts',(0.371596733489672,0.113893880346704, +0.140141967971506)); +#10947=CARTESIAN_POINT('Ctrl Pts',(0.37440865303713,0.118532239068092,0.0724006464842733)); +#10948=CARTESIAN_POINT('Ctrl Pts',(0.406514909469579,0.123166198023974, +0.037886386036281)); +#10949=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0808464157041237, +0.342132982114107)); +#10950=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.0906435556403802, +0.317844163485738)); +#10951=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.10044916908121,0.263700383323565)); +#10952=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.114394766776581, +0.140096398901607)); +#10953=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.118969002352048, +0.0723874127121983)); +#10954=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.123538898986714, +0.0379054568900319)); +#10955=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.0898280658106721, +0.342132982114107)); +#10956=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.0980194922623267, +0.317844163485738)); +#10957=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.106218003443806, +0.263700383323565)); +#10958=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.117948087410939, +0.140096398901607)); +#10959=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.121836499770488, +0.0723874127121983)); +#10960=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.125721223734293, +0.0379054568900319)); +#10961=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.107207485548429,0.342132982114107)); +#10962=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.1122629790758,0.317844163485738)); +#10963=CARTESIAN_POINT('Ctrl Pts',(0.360988220367636,0.117322845077976, +0.263700383323565)); +#10964=CARTESIAN_POINT('Ctrl Pts',(0.371743870673432,0.124586225443885, +0.140096398901607)); +#10965=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.127007839886892, +0.0723874127121982)); +#10966=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.129427157281236, +0.0379054568900319)); +#10967=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.342132982114107)); +#10968=CARTESIAN_POINT('Ctrl Pts',(0.352467995253061,0.134645669291339, +0.317844163485738)); +#10969=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.134645669291339, +0.263700383323565)); +#10970=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.134645669291339, +0.140096398901608)); +#10971=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.134645669291339, +0.0723874127121984)); +#10972=CARTESIAN_POINT('Ctrl Pts',(0.406535790229273,0.134645669291339, +0.0379054568900319)); +#10973=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.162083853034248,0.342132982114107)); +#10974=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.157028359506877,0.317844163485738)); +#10975=CARTESIAN_POINT('Ctrl Pts',(0.360988220367636,0.151968493504701, +0.263700383323565)); +#10976=CARTESIAN_POINT('Ctrl Pts',(0.371743870673432,0.144705113138792, +0.140096398901607)); +#10977=CARTESIAN_POINT('Ctrl Pts',(0.374492632054175,0.142283498695785, +0.0723874127121982)); +#10978=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.139864181301441, +0.0379054568900319)); +#10979=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.179463272772005,0.342132982114107)); +#10980=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.17127184632035,0.317844163485738)); +#10981=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.163073335138871, +0.263700383323565)); +#10982=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.151343251171738, +0.140096398901607)); +#10983=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.147454838812189, +0.0723874127121983)); +#10984=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.143570114848385, +0.0379054568900319)); +#10985=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.188444922878553,0.342132982114107)); +#10986=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,0.178647782942297,0.317844163485738)); +#10987=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,0.168842169501467, +0.263700383323565)); +#10988=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,0.154896571806096, +0.140096398901607)); +#10989=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,0.15032233623063,0.0723874127121983)); +#10990=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,0.145752439595963, +0.0379054568900319)); +#10991=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.189310273447421, +0.34179546508998)); +#10992=CARTESIAN_POINT('Ctrl Pts',(0.352393670368481,0.179412105718232, +0.317650413933298)); +#10993=CARTESIAN_POINT('Ctrl Pts',(0.360839506315201,0.169505377105961, +0.263650525586686)); +#10994=CARTESIAN_POINT('Ctrl Pts',(0.371596704751278,0.155397518023542, +0.140141941724103)); +#10995=CARTESIAN_POINT('Ctrl Pts',(0.374408556407411,0.150759300543772, +0.0724005582302408)); +#10996=CARTESIAN_POINT('Ctrl Pts',(0.406514745012934,0.146125482695531, +0.0378862358344359)); +#10997=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.190464770140142,0.34078157817449)); +#10998=CARTESIAN_POINT('Ctrl Pts',(0.352071833016388,0.180421515848718, +0.317087671393442)); +#10999=CARTESIAN_POINT('Ctrl Pts',(0.360195553255261,0.170369575189464, +0.26353931761531)); +#11000=CARTESIAN_POINT('Ctrl Pts',(0.370891115533875,0.156169664162685, +0.1402435254405)); +#11001=CARTESIAN_POINT('Ctrl Pts',(0.373913898862605,0.151567989281116, +0.0723216065775769)); +#11002=CARTESIAN_POINT('Ctrl Pts',(0.406230819059198,0.146970679368198, +0.0376269200614947)); +#11003=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,0.190942956968499, +0.339147152074873)); +#11004=CARTESIAN_POINT('Ctrl Pts',(0.351577519524622,0.180813282325868, +0.316236941070489)); +#11005=CARTESIAN_POINT('Ctrl Pts',(0.359206498742098,0.170674846570813, +0.263472960884159)); +#11006=CARTESIAN_POINT('Ctrl Pts',(0.369570658279181,0.156669688409641, +0.1403041402067)); +#11007=CARTESIAN_POINT('Ctrl Pts',(0.372701745840045,0.152317152884536, +0.0718475288511102)); +#11008=CARTESIAN_POINT('Ctrl Pts',(0.405126867535617,0.147968746004241, +0.0366186570307289)); +#11009=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.190430911603355,0.337531157166466)); +#11010=CARTESIAN_POINT('Ctrl Pts',(0.351092870061595,0.180385849330314, +0.31540107774779)); +#11011=CARTESIAN_POINT('Ctrl Pts',(0.358236780644789,0.170332099125727, +0.263417903879651)); +#11012=CARTESIAN_POINT('Ctrl Pts',(0.36825137301237,0.15617098321438,0.140354433217283)); +#11013=CARTESIAN_POINT('Ctrl Pts',(0.371466196954127,0.151606151455309, +0.0713463897957521)); +#11014=CARTESIAN_POINT('Ctrl Pts',(0.403974975601539,0.147045649716946, +0.0355666089762999)); +#11015=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.189273591738957,0.336542307975266)); +#11016=CARTESIAN_POINT('Ctrl Pts',(0.350784455557427,0.179377354518184, +0.314855754160676)); +#11017=CARTESIAN_POINT('Ctrl Pts',(0.357619684890062,0.169472558084019, +0.263316489500028)); +#11018=CARTESIAN_POINT('Ctrl Pts',(0.367559202522873,0.155391748986841, +0.140447070953209)); +#11019=CARTESIAN_POINT('Ctrl Pts',(0.370961576255484,0.150776572242774, +0.071247742808455)); +#11020=CARTESIAN_POINT('Ctrl Pts',(0.40365772679136,0.146165773274698,0.0352768587109802)); +#11021=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.188413644923615,0.336227470303083)); +#11022=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.178623870520438, +0.31467583790553)); +#11023=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.168825628983105, +0.263271611354877)); +#11024=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.154894431730556, +0.140488065148285)); +#11025=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.150327202674095, +0.0712550004630065)); +#11026=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.145764305912325, +0.0352504118261689)); +#11027=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.183829850719898,0.336227470303083)); +#11028=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.1748464055121,0.31467583790553)); +#11029=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.165855190560832, +0.263271611354877)); +#11030=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.153005128440526, +0.140488065148285)); +#11031=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.14875367664944,0.0712550004630065)); +#11032=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.144506257619092, +0.0352504118261689)); +#11033=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.175521293232058,0.336227470303083)); +#11034=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.168008038359666, +0.31467583790553)); +#11035=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.160488285305158, +0.263271611354877)); +#11036=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.149715478876007, +0.140488065148285)); +#11037=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.146136362311098, +0.0712550004630065)); +#11038=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.142560640756051, +0.0352504118261689)); +#11039=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.162100457627442,0.336227470303084)); +#11040=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,0.157033835352977, +0.31467583790553)); +#11041=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,0.151962830978501, +0.263271611354877)); +#11042=CARTESIAN_POINT('Ctrl Pts',(0.367416431377496,0.144705169875391, +0.140488065148285)); +#11043=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,0.142298001139064, +0.0712550004630064)); +#11044=CARTESIAN_POINT('Ctrl Pts',(0.403628769983573,0.139893115748767, +0.0352504118261689)); +#11045=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.148199667406016,0.336227470303083)); +#11046=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.145711331457648, +0.31467583790553)); +#11047=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.143220843358125, +0.263271611354877)); +#11048=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.139663306713735, +0.140488065148285)); +#11049=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.138487348289266, +0.0712550004630065)); +#11050=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.137312505332922, +0.0352504118261689)); +#11051=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.139074803149606,0.336227470303083)); +#11052=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.138268053327188, +0.31467583790553)); +#11053=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.137460605750287, +0.263271611354877)); +#11054=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.13631182671124,0.140488065148285)); +#11055=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.135934774241581, +0.0712550004630065)); +#11056=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.135558079429134, +0.0352504118261689)); +#11057=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,0.134645669291339,0.336227470303083)); +#11058=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,0.134645669291339, +0.31467583790553)); +#11059=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,0.134645669291339, +0.263271611354877)); +#11060=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,0.134645669291339, +0.140488065148285)); +#11061=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,0.134645669291339, +0.0712550004630065)); +#11062=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,0.134645669291339, +0.0352504118261689)); +#11063=CARTESIAN_POINT('Origin',(0.405082280106423,-0.11252372480315,0.0365779343581004)); +#11064=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.101574803149606, +0.0379054568900319)); +#11065=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.105100017635511, +0.037905456890032)); +#11066=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.108624838420628, +0.0379054568900318)); +#11067=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.112564843354628, +0.0379054568900318)); +#11068=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.112679308106268, +0.037905456890032)); +#11069=CARTESIAN_POINT('Ctrl Pts',(0.406501840883762,-0.113020465587455, +0.0378744502115077)); +#11070=CARTESIAN_POINT('Ctrl Pts',(0.406459573035045,-0.113210390463177, +0.0378358460623749)); +#11071=CARTESIAN_POINT('Ctrl Pts',(0.406296038516404,-0.113643606259645, +0.0376864864157986)); +#11072=CARTESIAN_POINT('Ctrl Pts',(0.406161956082094,-0.113842095145513, +0.0375640260009139)); +#11073=CARTESIAN_POINT('Ctrl Pts',(0.405863378071426,-0.114184438709802, +0.0372913281844567)); +#11074=CARTESIAN_POINT('Ctrl Pts',(0.405669257961719,-0.114339554662784, +0.0371140340497367)); +#11075=CARTESIAN_POINT('Ctrl Pts',(0.405262091573668,-0.114519507833059, +0.0367421600972371)); +#11076=CARTESIAN_POINT('Ctrl Pts',(0.405101943598989,-0.114520801194538, +0.0365958934552047)); +#11077=CARTESIAN_POINT('Ctrl Pts',(0.404845284119393,-0.114492658694606, +0.0363614807484468)); +#11078=CARTESIAN_POINT('Ctrl Pts',(0.40469462959892,-0.114435330743889, +0.0362238846854252)); +#11079=CARTESIAN_POINT('Ctrl Pts',(0.404361477239594,-0.114237473986045, +0.0359196093614229)); +#11080=CARTESIAN_POINT('Ctrl Pts',(0.404185490457568,-0.114072454728242, +0.0357588767908073)); +#11081=CARTESIAN_POINT('Ctrl Pts',(0.403939792922917,-0.113748541396613, +0.0355344758677172)); +#11082=CARTESIAN_POINT('Ctrl Pts',(0.403823027095352,-0.113555514095655, +0.0354278310878727)); +#11083=CARTESIAN_POINT('Ctrl Pts',(0.403678883106692,-0.113096300538602, +0.0352961812357117)); +#11084=CARTESIAN_POINT('Ctrl Pts',(0.40364440769422,-0.11289119588336,0.0352646940887458)); +#11085=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.1126034752478,0.035250411826169)); +#11086=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.112543559053034, +0.035250411826169)); +#11087=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.108485877405133, +0.035250411826169)); +#11088=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.105030537127763, +0.0352504118261689)); +#11089=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#11090=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606, +0.336227470303083)); +#11091=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.101574803149606, +0.31467583790553)); +#11092=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.101574803149606, +0.263271611354877)); +#11093=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.101574803149606, +0.140488065148285)); +#11094=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.101574803149606, +0.0712550004630065)); +#11095=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#11096=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.106003937007874, +0.336227470303083)); +#11097=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.105197187185456, +0.31467583790553)); +#11098=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.104389739608555, +0.263271611354877)); +#11099=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.103240960569508, +0.140488065148285)); +#11100=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.102863908099849, +0.0712550004630065)); +#11101=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.102487213287402, +0.0352504118261689)); +#11102=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.115128801264283, +0.336227470303084)); +#11103=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,-0.112640465315916, +0.31467583790553)); +#11104=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,-0.110149977216393, +0.263271611354877)); +#11105=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.106592440572003, +0.140488065148285)); +#11106=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.105416482147533, +0.0712550004630066)); +#11107=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.10424163919119, +0.0352504118261689)); +#11108=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.12902959148571,0.336227470303083)); +#11109=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.123962969211245, +0.314675837905529)); +#11110=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.118891964836769, +0.263271611354876)); +#11111=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.111634303733658, +0.140488065148285)); +#11112=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.109227134997332, +0.0712550004630063)); +#11113=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.106822249607035, +0.0352504118261689)); +#11114=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.142450427090325, +0.336227470303084)); +#11115=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,-0.134937172217933, +0.31467583790553)); +#11116=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,-0.127417419163425, +0.263271611354877)); +#11117=CARTESIAN_POINT('Ctrl Pts',(0.367416431377496,-0.116644612734275, +0.140488065148285)); +#11118=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,-0.113065496169365, +0.0712550004630066)); +#11119=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.109489774614318, +0.0352504118261689)); +#11120=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.150758984578165, +0.336227470303083)); +#11121=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.141775539370368, +0.31467583790553)); +#11122=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.132784324419099, +0.263271611354877)); +#11123=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.119934262298794, +0.140488065148285)); +#11124=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,-0.115682810507708, +0.0712550004630065)); +#11125=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.11143539147736, +0.0352504118261689)); +#11126=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.155342778781883, +0.336227470303083)); +#11127=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.145553004378706, +0.31467583790553)); +#11128=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.135754762841373, +0.263271611354877)); +#11129=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.121823565588824, +0.140488065148285)); +#11130=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.117256336532363, +0.0712550004630065)); +#11131=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.112693439770592, +0.0352504118261689)); +#11132=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.156202725597225, +0.336542307975266)); +#11133=CARTESIAN_POINT('Ctrl Pts',(0.35078445645021,-0.146306498011445, +0.314855754976075)); +#11134=CARTESIAN_POINT('Ctrl Pts',(0.357619686676401,-0.136401711220606, +0.263316491131531)); +#11135=CARTESIAN_POINT('Ctrl Pts',(0.367559200891141,-0.122320865235315, +0.140447069462912)); +#11136=CARTESIAN_POINT('Ctrl Pts',(0.37096157076896,-0.11770564689003,0.0712477377974929)); +#11137=CARTESIAN_POINT('Ctrl Pts',(0.403657717453701,-0.113094806360195, +0.0352768501826927)); +#11138=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157360045461623, +0.337531157166466)); +#11139=CARTESIAN_POINT('Ctrl Pts',(0.351092898625208,-0.147314999448614, +0.315401103835561)); +#11140=CARTESIAN_POINT('Ctrl Pts',(0.358236837796719,-0.137261265518122, +0.263417956077757)); +#11141=CARTESIAN_POINT('Ctrl Pts',(0.368251320806904,-0.123100087354329, +0.140354385536891)); +#11142=CARTESIAN_POINT('Ctrl Pts',(0.371466021418934,-0.118535185388967, +0.0713462294756278)); +#11143=CARTESIAN_POINT('Ctrl Pts',(0.403974676853605,-0.113974613510908, +0.0355663361232889)); +#11144=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.157872090826766, +0.339147152074873)); +#11145=CARTESIAN_POINT('Ctrl Pts',(0.351577673050625,-0.147742321044459, +0.31623708128914)); +#11146=CARTESIAN_POINT('Ctrl Pts',(0.359206805926888,-0.137603790067442, +0.263473241442735)); +#11147=CARTESIAN_POINT('Ctrl Pts',(0.369570377681041,-0.123598996153868, +0.140303883930291)); +#11148=CARTESIAN_POINT('Ctrl Pts',(0.372700802359367,-0.119246871415395, +0.0718466671496062)); +#11149=CARTESIAN_POINT('Ctrl Pts',(0.405125261801185,-0.114898874932078, +0.0366171904784121)); +#11150=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.15739390399841, +0.34078157817449)); +#11151=CARTESIAN_POINT('Ctrl Pts',(0.35207180619113,-0.147350742890612, +0.317087646893348)); +#11152=CARTESIAN_POINT('Ctrl Pts',(0.360195499581544,-0.137298895495578, +0.263539268593933)); +#11153=CARTESIAN_POINT('Ctrl Pts',(0.370891164562165,-0.12309862771005, +0.140243570219108)); +#11154=CARTESIAN_POINT('Ctrl Pts',(0.37391406371489,-0.118496550487528, +0.0723217571407678)); +#11155=CARTESIAN_POINT('Ctrl Pts',(0.406231099625611,-0.113898838615302, +0.0376271763089256)); +#11156=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.156239407305689, +0.34179546508998)); +#11157=CARTESIAN_POINT('Ctrl Pts',(0.352393654644603,-0.146341272288571, +0.317650399572338)); +#11158=CARTESIAN_POINT('Ctrl Pts',(0.360839474853846,-0.136434576416664, +0.263650496852344)); +#11159=CARTESIAN_POINT('Ctrl Pts',(0.371596733489672,-0.122326592094241, +0.140141967971506)); +#11160=CARTESIAN_POINT('Ctrl Pts',(0.37440865303713,-0.117688233372853, +0.0724006464842733)); +#11161=CARTESIAN_POINT('Ctrl Pts',(0.406514909469579,-0.113054274416971, +0.037886386036281)); +#11162=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.155374056736821, +0.342132982114107)); +#11163=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.145576916800565, +0.317844163485738)); +#11164=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.135771303359735, +0.263700383323565)); +#11165=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.121825705664364, +0.140096398901607)); +#11166=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.117251470088897, +0.0723874127121983)); +#11167=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.112681573454231, +0.0379054568900319)); +#11168=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.146392406630273, +0.342132982114107)); +#11169=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.138200980178618, +0.317844163485738)); +#11170=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.130002468997139, +0.263700383323565)); +#11171=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.118272385030006, +0.140096398901607)); +#11172=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.114383972670457, +0.0723874127121983)); +#11173=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.110499248706652, +0.0379054568900319)); +#11174=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.129012986892516, +0.342132982114107)); +#11175=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.123957493365145, +0.317844163485738)); +#11176=CARTESIAN_POINT('Ctrl Pts',(0.360988220367636,-0.118897627362969, +0.263700383323565)); +#11177=CARTESIAN_POINT('Ctrl Pts',(0.371743870673432,-0.11163424699706, +0.140096398901607)); +#11178=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.109212632554053, +0.0723874127121982)); +#11179=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.106793315159708, +0.0379054568900319)); +#11180=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606, +0.342132982114107)); +#11181=CARTESIAN_POINT('Ctrl Pts',(0.352467995253061,-0.101574803149606, +0.317844163485738)); +#11182=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.101574803149606, +0.263700383323565)); +#11183=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.101574803149606, +0.140096398901608)); +#11184=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.101574803149606, +0.0723874127121984)); +#11185=CARTESIAN_POINT('Ctrl Pts',(0.406535790229273,-0.101574803149606, +0.0379054568900319)); +#11186=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0741366194066967, +0.342132982114107)); +#11187=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.0791921129340683, +0.317844163485738)); +#11188=CARTESIAN_POINT('Ctrl Pts',(0.360988220367636,-0.0842519789362443, +0.263700383323565)); +#11189=CARTESIAN_POINT('Ctrl Pts',(0.371743870673432,-0.0915153593021528, +0.140096398901607)); +#11190=CARTESIAN_POINT('Ctrl Pts',(0.374492632054175,-0.0939369737451595, +0.0723874127121982)); +#11191=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.096356291139504, +0.0379054568900319)); +#11192=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0567571996689398, +0.342132982114107)); +#11193=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.0649486261205946, +0.317844163485738)); +#11194=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.0731471373020739, +0.263700383323565)); +#11195=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.0848772212692065, +0.140096398901607)); +#11196=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.0887656336287559, +0.0723874127121983)); +#11197=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.0926503575925602, +0.0379054568900319)); +#11198=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0477755495623915, +0.342132982114107)); +#11199=CARTESIAN_POINT('Ctrl Pts',(0.35246799525306,-0.057572689498648, +0.317844163485738)); +#11200=CARTESIAN_POINT('Ctrl Pts',(0.360988220367637,-0.0673783029394781, +0.263700383323565)); +#11201=CARTESIAN_POINT('Ctrl Pts',(0.371743870673433,-0.0813239006348491, +0.140096398901607)); +#11202=CARTESIAN_POINT('Ctrl Pts',(0.374492632054176,-0.0858981362103153, +0.0723874127121983)); +#11203=CARTESIAN_POINT('Ctrl Pts',(0.406535790229274,-0.0904680328449815, +0.0379054568900319)); +#11204=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.0469101989935236, +0.34179546508998)); +#11205=CARTESIAN_POINT('Ctrl Pts',(0.352393670368481,-0.0568083667227132, +0.317650413933298)); +#11206=CARTESIAN_POINT('Ctrl Pts',(0.360839506315201,-0.0667150953349838, +0.263650525586686)); +#11207=CARTESIAN_POINT('Ctrl Pts',(0.371596704751278,-0.0808229544174032, +0.140141941724103)); +#11208=CARTESIAN_POINT('Ctrl Pts',(0.374408556407411,-0.0854611718971733, +0.0724005582302408)); +#11209=CARTESIAN_POINT('Ctrl Pts',(0.406514745012934,-0.0900949897454137, +0.0378862358344359)); +#11210=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.045755702300803, +0.34078157817449)); +#11211=CARTESIAN_POINT('Ctrl Pts',(0.352071833016388,-0.0557989565922265, +0.317087671393442)); +#11212=CARTESIAN_POINT('Ctrl Pts',(0.360195553255261,-0.0658508972514804, +0.26353931761531)); +#11213=CARTESIAN_POINT('Ctrl Pts',(0.370891115533875,-0.0800508082782596, +0.1402435254405)); +#11214=CARTESIAN_POINT('Ctrl Pts',(0.373913898862605,-0.0846524831598288, +0.0723216065775769)); +#11215=CARTESIAN_POINT('Ctrl Pts',(0.406230819059198,-0.0892497930727464, +0.0376269200614947)); +#11216=CARTESIAN_POINT('Ctrl Pts',(0.320078740157481,-0.0452775154724458, +0.339147152074873)); +#11217=CARTESIAN_POINT('Ctrl Pts',(0.351577519524622,-0.0554071901150771, +0.316236941070489)); +#11218=CARTESIAN_POINT('Ctrl Pts',(0.359206498742098,-0.0655456258701322, +0.263472960884159)); +#11219=CARTESIAN_POINT('Ctrl Pts',(0.369570658279181,-0.0795507840313036, +0.1403041402067)); +#11220=CARTESIAN_POINT('Ctrl Pts',(0.372701745840045,-0.0839033195564093, +0.0718475288511102)); +#11221=CARTESIAN_POINT('Ctrl Pts',(0.405126867535617,-0.0882517264367039, +0.0366186570307289)); +#11222=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0457895608375895, +0.337531157166466)); +#11223=CARTESIAN_POINT('Ctrl Pts',(0.351092870061595,-0.0558346231106308, +0.31540107774779)); +#11224=CARTESIAN_POINT('Ctrl Pts',(0.358236780644789,-0.065888373315218, +0.263417903879651)); +#11225=CARTESIAN_POINT('Ctrl Pts',(0.36825137301237,-0.0800494892265649, +0.140354433217283)); +#11226=CARTESIAN_POINT('Ctrl Pts',(0.371466196954127,-0.0846143209856358, +0.0713463897957521)); +#11227=CARTESIAN_POINT('Ctrl Pts',(0.403974975601539,-0.0891748227239986, +0.0355666089762999)); +#11228=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0469468807019877, +0.336542307975266)); +#11229=CARTESIAN_POINT('Ctrl Pts',(0.350784455557427,-0.0568431179227606, +0.314855754160676)); +#11230=CARTESIAN_POINT('Ctrl Pts',(0.357619684890062,-0.0667479143569261, +0.263316489500028)); +#11231=CARTESIAN_POINT('Ctrl Pts',(0.367559202522873,-0.0808287234541038, +0.140447070953209)); +#11232=CARTESIAN_POINT('Ctrl Pts',(0.370961576255484,-0.0854439001981705, +0.071247742808455)); +#11233=CARTESIAN_POINT('Ctrl Pts',(0.40365772679136,-0.0900546991662473, +0.0352768587109802)); +#11234=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0478068275173295, +0.336227470303083)); +#11235=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.0575966019205071, +0.31467583790553)); +#11236=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.0673948434578401, +0.263271611354877)); +#11237=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.0813260407103889, +0.140488065148285)); +#11238=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.0858932697668497, +0.0712550004630065)); +#11239=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0904561665286201, +0.0352504118261689)); +#11240=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0523906217210473, +0.336227470303083)); +#11241=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.0613740669288448, +0.31467583790553)); +#11242=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.0703652818801133, +0.263271611354877)); +#11243=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.0832153440004184, +0.140488065148285)); +#11244=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.0874667957915046, +0.0712550004630065)); +#11245=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0917142148218527, +0.0352504118261689)); +#11246=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0606991792088871, +0.336227470303083)); +#11247=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.0682124340812791, +0.31467583790553)); +#11248=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.0757321871357872, +0.263271611354877)); +#11249=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.0865049935649377, +0.140488065148285)); +#11250=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.0900841101298472, +0.0712550004630065)); +#11251=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0936598316848942, +0.0352504118261689)); +#11252=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0741200148135031, +0.336227470303084)); +#11253=CARTESIAN_POINT('Ctrl Pts',(0.350713960686906,-0.0791866370879682, +0.31467583790553)); +#11254=CARTESIAN_POINT('Ctrl Pts',(0.357478634178307,-0.0842576414624436, +0.263271611354877)); +#11255=CARTESIAN_POINT('Ctrl Pts',(0.367416431377496,-0.0915153025655544, +0.140488065148285)); +#11256=CARTESIAN_POINT('Ctrl Pts',(0.370875739281665,-0.0939224713018811, +0.0712550004630064)); +#11257=CARTESIAN_POINT('Ctrl Pts',(0.403628769983573,-0.0963273566921775, +0.0352504118261689)); +#11258=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0880208050349294, +0.336227470303083)); +#11259=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.0905091409832965, +0.31467583790553)); +#11260=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.0929996290828196, +0.263271611354877)); +#11261=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.0965571657272095, +0.140488065148285)); +#11262=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.0977331241516794, +0.0712550004630065)); +#11263=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.0989079671080228, +0.0352504118261689)); +#11264=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.0971456692913386, +0.336227470303083)); +#11265=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.0979524191137569, +0.31467583790553)); +#11266=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.098759866690658, +0.263271611354877)); +#11267=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.0999086457297044, +0.140488065148285)); +#11268=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.100285698199364, +0.0712550004630065)); +#11269=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.100662393011811, +0.0352504118261689)); +#11270=CARTESIAN_POINT('Ctrl Pts',(0.32007874015748,-0.101574803149606, +0.336227470303083)); +#11271=CARTESIAN_POINT('Ctrl Pts',(0.350713960686905,-0.101574803149606, +0.31467583790553)); +#11272=CARTESIAN_POINT('Ctrl Pts',(0.357478634178306,-0.101574803149606, +0.263271611354877)); +#11273=CARTESIAN_POINT('Ctrl Pts',(0.367416431377495,-0.101574803149606, +0.140488065148285)); +#11274=CARTESIAN_POINT('Ctrl Pts',(0.370875739281664,-0.101574803149606, +0.0712550004630065)); +#11275=CARTESIAN_POINT('Ctrl Pts',(0.403628769983572,-0.101574803149606, +0.0352504118261689)); +#11276=CARTESIAN_POINT('Origin',(0.319685039370079,-0.0804228249845882, +-0.36357665684673)); +#11277=CARTESIAN_POINT('',(0.319685039370079,-0.119442999180826,-0.36357665684673)); +#11278=CARTESIAN_POINT('',(0.319685039370079,-0.119442999180826,-0.36357665684673)); +#11279=CARTESIAN_POINT('',(0.319685039370079,-0.0804228249845882,-0.36357665684673)); +#11280=CARTESIAN_POINT('',(0.319685039370079,-0.0804228249845882,-0.36357665684673)); +#11281=CARTESIAN_POINT('',(0.319685039370079,-0.0804228249845882,-0.36357665684673)); +#11282=CARTESIAN_POINT('Origin',(0.319685039370079,-0.0804228249845882, +-0.36357665684673)); +#11283=CARTESIAN_POINT('',(0.319685039370079,-0.0804228249845882,-0.404118325547644)); +#11284=CARTESIAN_POINT('',(0.319685039370079,-0.0804228249845882,-0.36357665684673)); +#11285=CARTESIAN_POINT('',(0.319685039370079,-0.0804228249845882,-0.404118325547644)); +#11286=CARTESIAN_POINT('Origin',(0.319685039370079,-0.0804228249845882, +-0.404118325547644)); +#11287=CARTESIAN_POINT('',(0.319685039370079,-0.119442999180826,-0.404118325547644)); +#11288=CARTESIAN_POINT('',(0.319685039370079,-0.0804228249845882,-0.404118325547644)); +#11289=CARTESIAN_POINT('',(0.319685039370079,-0.119442999180826,-0.404118325547644)); +#11290=CARTESIAN_POINT('Origin',(0.319685039370079,-0.119442999180826,-0.36357665684673)); +#11291=CARTESIAN_POINT('',(0.319685039370079,-0.119442999180826,-0.36357665684673)); +#11292=CARTESIAN_POINT('Origin',(0.319685039370079,0.,0.)); +#11293=CARTESIAN_POINT('Origin',(-0.319685039370079,-0.0804228249845882, +-0.36357665684673)); +#11294=CARTESIAN_POINT('',(-0.319685039370079,-0.0804228249845882,-0.36357665684673)); +#11295=CARTESIAN_POINT('',(-0.319685039370079,-0.0804228249845882,-0.36357665684673)); +#11296=CARTESIAN_POINT('',(-0.319685039370079,-0.119442999180826,-0.36357665684673)); +#11297=CARTESIAN_POINT('',(-0.319685039370079,-0.0804228249845882,-0.36357665684673)); +#11298=CARTESIAN_POINT('',(-0.319685039370079,-0.119442999180826,-0.36357665684673)); +#11299=CARTESIAN_POINT('Origin',(-0.319685039370079,-0.119442999180826, +-0.36357665684673)); +#11300=CARTESIAN_POINT('',(-0.319685039370079,-0.119442999180826,-0.404118325547644)); +#11301=CARTESIAN_POINT('',(-0.319685039370079,-0.119442999180826,-0.36357665684673)); +#11302=CARTESIAN_POINT('',(-0.319685039370079,-0.119442999180826,-0.404118325547644)); +#11303=CARTESIAN_POINT('Origin',(-0.319685039370079,-0.0804228249845882, +-0.404118325547644)); +#11304=CARTESIAN_POINT('',(-0.319685039370079,-0.0804228249845882,-0.404118325547644)); +#11305=CARTESIAN_POINT('',(-0.319685039370079,-0.0804228249845882,-0.404118325547644)); +#11306=CARTESIAN_POINT('',(-0.319685039370079,-0.0804228249845882,-0.404118325547644)); +#11307=CARTESIAN_POINT('Origin',(-0.319685039370079,-0.0804228249845882, +-0.36357665684673)); +#11308=CARTESIAN_POINT('',(-0.319685039370079,-0.0804228249845882,-0.36357665684673)); +#11309=CARTESIAN_POINT('Origin',(-0.319685039370079,0.,0.)); +#11310=CARTESIAN_POINT('Origin',(-0.137795275590551,-0.134645669291339, +0.423031496062992)); +#11311=CARTESIAN_POINT('',(-0.137795275590551,-0.134645669291339,0.423031496062992)); +#11312=CARTESIAN_POINT('',(-0.137795275590551,-0.134645669291339,0.423031496062992)); +#11313=CARTESIAN_POINT('',(-0.137795275590551,-0.134645669291339,0.423031496062992)); +#11314=CARTESIAN_POINT('Origin',(-0.236220472440945,-0.134645669291339, +0.423031496062992)); +#11315=CARTESIAN_POINT('',(-0.236220472440945,-0.134645669291339,0.423031496062992)); +#11316=CARTESIAN_POINT('Origin',(0.,0.,0.423031496062992)); +#11317=CARTESIAN_POINT('Origin',(0.236220472440945,-0.134645669291339,0.423031496062992)); +#11318=CARTESIAN_POINT('',(0.137795275590551,-0.134645669291339,0.423031496062992)); +#11319=CARTESIAN_POINT('',(0.137795275590551,-0.134645669291339,0.423031496062992)); +#11320=CARTESIAN_POINT('',(0.236220472440945,-0.134645669291339,0.423031496062992)); +#11321=CARTESIAN_POINT('Origin',(0.137795275590551,-0.134645669291339,0.423031496062992)); +#11322=CARTESIAN_POINT('',(0.137795275590551,-0.134645669291339,0.423031496062992)); +#11323=CARTESIAN_POINT('Origin',(0.,0.,0.423031496062992)); +#11324=CARTESIAN_POINT('Origin',(0.267913385826772,-0.27244094488189,0.146850393700787)); +#11325=CARTESIAN_POINT('',(0.267913385826772,-0.402362204724409,0.164566929133858)); +#11326=CARTESIAN_POINT('',(0.267913385826772,-0.27244094488189,0.164566929133858)); +#11327=CARTESIAN_POINT('',(0.267913385826772,-0.402362204724409,0.146850393700787)); +#11328=CARTESIAN_POINT('',(0.267913385826772,-0.402362204724409,0.146850393700787)); +#11329=CARTESIAN_POINT('',(0.267913385826772,-0.27244094488189,0.146850393700787)); +#11330=CARTESIAN_POINT('Origin',(0.267913385826772,-0.402362204724409,0.146850393700787)); +#11331=CARTESIAN_POINT('',(0.254133858267717,-0.402362204724409,0.164566929133858)); +#11332=CARTESIAN_POINT('',(0.267913385826772,-0.402362204724409,0.164566929133858)); +#11333=CARTESIAN_POINT('',(0.254133858267717,-0.402362204724409,0.146850393700787)); +#11334=CARTESIAN_POINT('',(0.254133858267717,-0.402362204724409,0.146850393700787)); +#11335=CARTESIAN_POINT('',(0.267913385826772,-0.402362204724409,0.146850393700787)); +#11336=CARTESIAN_POINT('Origin',(0.254133858267717,-0.27244094488189,0.146850393700787)); +#11337=CARTESIAN_POINT('',(0.254133858267717,-0.27244094488189,0.164566929133858)); +#11338=CARTESIAN_POINT('',(0.254133858267717,-0.27244094488189,0.146850393700787)); +#11339=CARTESIAN_POINT('Origin',(0.,0.,0.146850393700787)); +#11340=CARTESIAN_POINT('Origin',(0.,0.,0.164566929133858)); +#11341=CARTESIAN_POINT('Origin',(-0.154133858267717,-0.27244094488189,0.146850393700787)); +#11342=CARTESIAN_POINT('',(-0.154133858267717,-0.402362204724409,0.164566929133858)); +#11343=CARTESIAN_POINT('',(-0.154133858267717,-0.27244094488189,0.164566929133858)); +#11344=CARTESIAN_POINT('',(-0.154133858267717,-0.402362204724409,0.146850393700787)); +#11345=CARTESIAN_POINT('',(-0.154133858267717,-0.402362204724409,0.146850393700787)); +#11346=CARTESIAN_POINT('',(-0.154133858267717,-0.27244094488189,0.146850393700787)); +#11347=CARTESIAN_POINT('Origin',(-0.167913385826772,-0.402362204724409, +0.146850393700787)); +#11348=CARTESIAN_POINT('',(-0.167913385826772,-0.402362204724409,0.164566929133858)); +#11349=CARTESIAN_POINT('',(-0.167913385826772,-0.402362204724409,0.164566929133858)); +#11350=CARTESIAN_POINT('',(-0.167913385826772,-0.402362204724409,0.146850393700787)); +#11351=CARTESIAN_POINT('',(-0.167913385826772,-0.402362204724409,0.146850393700787)); +#11352=CARTESIAN_POINT('',(-0.167913385826772,-0.402362204724409,0.146850393700787)); +#11353=CARTESIAN_POINT('Origin',(-0.167913385826772,-0.27244094488189,0.146850393700787)); +#11354=CARTESIAN_POINT('',(-0.167913385826772,-0.27244094488189,0.164566929133858)); +#11355=CARTESIAN_POINT('',(-0.167913385826772,-0.27244094488189,0.146850393700787)); +#11356=CARTESIAN_POINT('Origin',(0.,0.,0.146850393700787)); +#11357=CARTESIAN_POINT('Origin',(0.,0.,0.164566929133858)); +#11358=CARTESIAN_POINT('Origin',(0.167913385826772,-0.27244094488189,0.146850393700787)); +#11359=CARTESIAN_POINT('',(0.167913385826772,-0.40236220472441,0.164566929133858)); +#11360=CARTESIAN_POINT('',(0.167913385826772,-0.27244094488189,0.164566929133858)); +#11361=CARTESIAN_POINT('',(0.167913385826772,-0.40236220472441,0.146850393700787)); +#11362=CARTESIAN_POINT('',(0.167913385826772,-0.40236220472441,0.146850393700787)); +#11363=CARTESIAN_POINT('',(0.167913385826772,-0.27244094488189,0.146850393700787)); +#11364=CARTESIAN_POINT('Origin',(0.167913385826772,-0.40236220472441,0.146850393700787)); +#11365=CARTESIAN_POINT('',(0.154133858267717,-0.40236220472441,0.164566929133858)); +#11366=CARTESIAN_POINT('',(0.167913385826772,-0.40236220472441,0.164566929133858)); +#11367=CARTESIAN_POINT('',(0.154133858267717,-0.40236220472441,0.146850393700787)); +#11368=CARTESIAN_POINT('',(0.154133858267717,-0.40236220472441,0.146850393700787)); +#11369=CARTESIAN_POINT('',(0.167913385826772,-0.40236220472441,0.146850393700787)); +#11370=CARTESIAN_POINT('Origin',(0.154133858267717,-0.27244094488189,0.146850393700787)); +#11371=CARTESIAN_POINT('',(0.154133858267717,-0.27244094488189,0.164566929133858)); +#11372=CARTESIAN_POINT('',(0.154133858267717,-0.27244094488189,0.146850393700787)); +#11373=CARTESIAN_POINT('Origin',(0.,0.,0.146850393700787)); +#11374=CARTESIAN_POINT('Origin',(0.,0.,0.164566929133858)); +#11375=CARTESIAN_POINT('Origin',(-0.254133858267717,-0.27244094488189,0.146850393700787)); +#11376=CARTESIAN_POINT('',(-0.254133858267717,-0.402362204724409,0.164566929133858)); +#11377=CARTESIAN_POINT('',(-0.254133858267717,-0.27244094488189,0.164566929133858)); +#11378=CARTESIAN_POINT('',(-0.254133858267717,-0.402362204724409,0.146850393700787)); +#11379=CARTESIAN_POINT('',(-0.254133858267717,-0.402362204724409,0.146850393700787)); +#11380=CARTESIAN_POINT('',(-0.254133858267717,-0.27244094488189,0.146850393700787)); +#11381=CARTESIAN_POINT('Origin',(-0.267913385826772,-0.402362204724409, +0.146850393700787)); +#11382=CARTESIAN_POINT('',(-0.267913385826772,-0.402362204724409,0.164566929133858)); +#11383=CARTESIAN_POINT('',(-0.267913385826772,-0.402362204724409,0.164566929133858)); +#11384=CARTESIAN_POINT('',(-0.267913385826772,-0.402362204724409,0.146850393700787)); +#11385=CARTESIAN_POINT('',(-0.267913385826772,-0.402362204724409,0.146850393700787)); +#11386=CARTESIAN_POINT('',(-0.267913385826772,-0.402362204724409,0.146850393700787)); +#11387=CARTESIAN_POINT('Origin',(-0.267913385826772,-0.27244094488189,0.146850393700787)); +#11388=CARTESIAN_POINT('',(-0.267913385826772,-0.27244094488189,0.164566929133858)); +#11389=CARTESIAN_POINT('',(-0.267913385826772,-0.27244094488189,0.146850393700787)); +#11390=CARTESIAN_POINT('Origin',(0.,0.,0.146850393700787)); +#11391=CARTESIAN_POINT('Origin',(0.,0.,0.164566929133858)); +#11392=CARTESIAN_POINT('Origin',(-0.181889763779528,-0.40236220472441,-0.245275590551181)); +#11393=CARTESIAN_POINT('Origin',(-0.181889763779528,-0.40236220472441,-0.245275590551181)); +#11394=CARTESIAN_POINT('',(0.,0.,0.)); +#11395=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), +#11402,'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#11396=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), +#11402,'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#11397=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575), +#11402,'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#11398=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#11395)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#11402,#11406,#11407)) +REPRESENTATION_CONTEXT('','3D') +); +#11399=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#11396)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#11402,#11406,#11407)) +REPRESENTATION_CONTEXT('','3D') +); +#11400=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#11397)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#11402,#11406,#11407)) +REPRESENTATION_CONTEXT('','3D') +); +#11401=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.); +#11402=( +CONVERSION_BASED_UNIT('inch',#11405) +LENGTH_UNIT() +NAMED_UNIT(#11401) +); +#11403=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#11404=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT($,.METRE.) +); +#11405=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#11403); +#11406=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#11407=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#11408=SHAPE_DEFINITION_REPRESENTATION(#11410,#11413); +#11409=SHAPE_DEFINITION_REPRESENTATION(#11411,#11414); +#11410=PRODUCT_DEFINITION_SHAPE('',$,#11416); +#11411=PRODUCT_DEFINITION_SHAPE('',$,#11417); +#11412=PRODUCT_DEFINITION_SHAPE($,$,#14); +#11413=SHAPE_REPRESENTATION('',(#4947,#5185),#11398); +#11414=SHAPE_REPRESENTATION('',(#4948),#11399); +#11415=PRODUCT_DEFINITION_CONTEXT('part definition',#11423,'design'); +#11416=PRODUCT_DEFINITION('ARJP11A','ARJP11A v1',#11418,#11415); +#11417=PRODUCT_DEFINITION('ARJP11A_ARJP11A-MA','ARJP11A_ARJP11A-MA',#11419, +#11415); +#11418=PRODUCT_DEFINITION_FORMATION('',$,#11425); +#11419=PRODUCT_DEFINITION_FORMATION('',$,#11426); +#11420=PRODUCT_RELATED_PRODUCT_CATEGORY('ARJP11A v1','ARJP11A v1',(#11425)); +#11421=PRODUCT_RELATED_PRODUCT_CATEGORY('ARJP11A_ARJP11A-MA', +'ARJP11A_ARJP11A-MA',(#11426)); +#11422=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2009,#11423); +#11423=APPLICATION_CONTEXT( +'Core Data for Automotive Mechanical Design Process'); +#11424=PRODUCT_CONTEXT('part definition',#11423,'mechanical'); +#11425=PRODUCT('ARJP11A','ARJP11A v1',$,(#11424)); +#11426=PRODUCT('ARJP11A_ARJP11A-MA','ARJP11A_ARJP11A-MA',$,(#11424)); +#11427=PRESENTATION_STYLE_ASSIGNMENT((#11432)); +#11428=PRESENTATION_STYLE_ASSIGNMENT((#11433)); +#11429=PRESENTATION_STYLE_ASSIGNMENT((#11434)); +#11430=PRESENTATION_STYLE_ASSIGNMENT((#11435)); +#11431=PRESENTATION_STYLE_ASSIGNMENT((#11436)); +#11432=SURFACE_STYLE_USAGE(.BOTH.,#11437); +#11433=SURFACE_STYLE_USAGE(.BOTH.,#11438); +#11434=SURFACE_STYLE_USAGE(.BOTH.,#11439); +#11435=SURFACE_STYLE_USAGE(.BOTH.,#11440); +#11436=SURFACE_STYLE_USAGE(.BOTH.,#11441); +#11437=SURFACE_SIDE_STYLE('',(#11442)); +#11438=SURFACE_SIDE_STYLE('',(#11443)); +#11439=SURFACE_SIDE_STYLE('',(#11444)); +#11440=SURFACE_SIDE_STYLE('',(#11445)); +#11441=SURFACE_SIDE_STYLE('',(#11446)); +#11442=SURFACE_STYLE_FILL_AREA(#11447); +#11443=SURFACE_STYLE_FILL_AREA(#11448); +#11444=SURFACE_STYLE_FILL_AREA(#11449); +#11445=SURFACE_STYLE_FILL_AREA(#11450); +#11446=SURFACE_STYLE_FILL_AREA(#11451); +#11447=FILL_AREA_STYLE('Steel - Satin',(#11452)); +#11448=FILL_AREA_STYLE('Opaque(251,255,247)',(#11453)); +#11449=FILL_AREA_STYLE('Opaque(64,64,64)',(#11454)); +#11450=FILL_AREA_STYLE('Opaque(128,255,128)',(#11455)); +#11451=FILL_AREA_STYLE('Opaque(255,255,128)',(#11456)); +#11452=FILL_AREA_STYLE_COLOUR('Steel - Satin',#11457); +#11453=FILL_AREA_STYLE_COLOUR('Opaque(251,255,247)',#11458); +#11454=FILL_AREA_STYLE_COLOUR('Opaque(64,64,64)',#11459); +#11455=FILL_AREA_STYLE_COLOUR('Opaque(128,255,128)',#11460); +#11456=FILL_AREA_STYLE_COLOUR('Opaque(255,255,128)',#11461); +#11457=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157,0.627450980392157); +#11458=COLOUR_RGB('Opaque(251,255,247)',0.984313725490196,1.,0.968627450980392); +#11459=COLOUR_RGB('Opaque(64,64,64)',0.250980392156863,0.250980392156863, +0.250980392156863); +#11460=COLOUR_RGB('Opaque(128,255,128)',0.501960784313725,1.,0.501960784313725); +#11461=COLOUR_RGB('Opaque(255,255,128)',1.,1.,0.501960784313725); +ENDSEC; +END-ISO-10303-21; diff --git a/kirdy.pretty/Type-C 16P.STEP b/kirdy.pretty/Type-C 16P.STEP new file mode 100644 index 0000000..3b8d226 --- /dev/null +++ b/kirdy.pretty/Type-C 16P.STEP @@ -0,0 +1,13071 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION (( 'STEP AP214' ), + '1' ); +FILE_NAME ('Type-C 16P.STEP', + '2019-03-27T12:39:14', + ( 'xiaotao' ), + ( '' ), + 'SwSTEP 2.0', + 'SolidWorks 2010', + '' ); +FILE_SCHEMA (( 'AUTOMOTIVE_DESIGN' )); +ENDSEC; + +DATA; +#1 = EDGE_LOOP ( 'NONE', ( #6302, #6303, #6304, #6305 ) ) ; +#2 = EDGE_LOOP ( 'NONE', ( #6310, #6311, #6312, #6313 ) ) ; +#3 = EDGE_LOOP ( 'NONE', ( #6298, #6299, #6300, #6301 ) ) ; +#4 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#5 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#6 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#7 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#10 = FILL_AREA_STYLE_COLOUR ( '', #12861 ) ; +#11 = FILL_AREA_STYLE ('',( #10 ) ) ; +#12 = SURFACE_SIDE_STYLE ('',( #12854 ) ) ; +#13 = SURFACE_STYLE_USAGE ( .BOTH. , #12 ) ; +#14 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#15 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#16 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#17 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#18 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#19 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#20 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#21 = FILL_AREA_STYLE_COLOUR ( '', #12868 ) ; +#22 = FILL_AREA_STYLE ('',( #21 ) ) ; +#23 = SURFACE_SIDE_STYLE ('',( #12860 ) ) ; +#24 = SURFACE_STYLE_USAGE ( .BOTH. , #23 ) ; +#25 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#26 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#27 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#28 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#29 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#30 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#31 = FILL_AREA_STYLE_COLOUR ( '', #12875 ) ; +#32 = FILL_AREA_STYLE ('',( #31 ) ) ; +#33 = SURFACE_SIDE_STYLE ('',( #12867 ) ) ; +#34 = SURFACE_STYLE_USAGE ( .BOTH. , #33 ) ; +#35 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#36 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#37 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#38 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#39 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#40 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#41 = FILL_AREA_STYLE_COLOUR ( '', #12882 ) ; +#42 = FILL_AREA_STYLE ('',( #41 ) ) ; +#43 = SURFACE_SIDE_STYLE ('',( #12874 ) ) ; +#44 = SURFACE_STYLE_USAGE ( .BOTH. , #43 ) ; +#45 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#46 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#47 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#48 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#49 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#50 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#51 = FILL_AREA_STYLE_COLOUR ( '', #12889 ) ; +#52 = FILL_AREA_STYLE ('',( #51 ) ) ; +#53 = SURFACE_SIDE_STYLE ('',( #12881 ) ) ; +#54 = SURFACE_STYLE_USAGE ( .BOTH. , #53 ) ; +#55 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#56 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#57 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#58 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#59 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#60 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#61 = FILL_AREA_STYLE_COLOUR ( '', #12896 ) ; +#62 = FILL_AREA_STYLE ('',( #61 ) ) ; +#63 = SURFACE_SIDE_STYLE ('',( #12888 ) ) ; +#64 = SURFACE_STYLE_USAGE ( .BOTH. , #63 ) ; +#65 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#66 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#67 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#68 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#69 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#70 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#71 = FILL_AREA_STYLE_COLOUR ( '', #12903 ) ; +#72 = FILL_AREA_STYLE ('',( #71 ) ) ; +#73 = SURFACE_SIDE_STYLE ('',( #12895 ) ) ; +#74 = SURFACE_STYLE_USAGE ( .BOTH. , #73 ) ; +#75 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#76 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#77 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#78 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#79 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#80 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#81 = FILL_AREA_STYLE_COLOUR ( '', #12910 ) ; +#82 = FILL_AREA_STYLE ('',( #81 ) ) ; +#83 = SURFACE_SIDE_STYLE ('',( #12902 ) ) ; +#84 = SURFACE_STYLE_USAGE ( .BOTH. , #83 ) ; +#85 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#86 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#87 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#88 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#89 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#90 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#91 = FILL_AREA_STYLE_COLOUR ( '', #12917 ) ; +#92 = FILL_AREA_STYLE ('',( #91 ) ) ; +#93 = SURFACE_SIDE_STYLE ('',( #12909 ) ) ; +#94 = SURFACE_STYLE_USAGE ( .BOTH. , #93 ) ; +#95 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#96 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#97 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#98 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#99 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#100 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#101 = FILL_AREA_STYLE_COLOUR ( '', #12924 ) ; +#102 = FILL_AREA_STYLE ('',( #101 ) ) ; +#103 = SURFACE_SIDE_STYLE ('',( #12916 ) ) ; +#104 = SURFACE_STYLE_USAGE ( .BOTH. , #103 ) ; +#105 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#106 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#107 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#108 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#109 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#110 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#111 = FILL_AREA_STYLE_COLOUR ( '', #12931 ) ; +#112 = FILL_AREA_STYLE ('',( #111 ) ) ; +#113 = SURFACE_SIDE_STYLE ('',( #12923 ) ) ; +#114 = SURFACE_STYLE_USAGE ( .BOTH. , #113 ) ; +#115 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#116 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#117 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#118 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#119 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#120 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#121 = FILL_AREA_STYLE_COLOUR ( '', #12938 ) ; +#122 = FILL_AREA_STYLE ('',( #121 ) ) ; +#123 = SURFACE_SIDE_STYLE ('',( #12930 ) ) ; +#124 = SURFACE_STYLE_USAGE ( .BOTH. , #123 ) ; +#125 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#126 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#127 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#128 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.3099999999999999400, -3.611010601084524700 ) ) ; +#129 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.955000000000000100, 2.499999999999999600 ) ) ; +#130 = PLANE ( 'NONE', #10393 ) ; +#131 = FILL_AREA_STYLE_COLOUR ( '', #12945 ) ; +#132 = FILL_AREA_STYLE ('',( #131 ) ) ; +#133 = SURFACE_SIDE_STYLE ('',( #12937 ) ) ; +#134 = SURFACE_STYLE_USAGE ( .BOTH. , #133 ) ; +#135 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#136 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#137 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#138 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.255000000000000100, 2.499999999999999600 ) ) ; +#139 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#140 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#141 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#142 = FILL_AREA_STYLE_COLOUR ( '', #12943 ) ; +#143 = PLANE ( 'NONE', #10396 ) ; +#144 = FILL_AREA_STYLE ('',( #142 ) ) ; +#145 = SURFACE_SIDE_STYLE ('',( #12944 ) ) ; +#146 = SURFACE_STYLE_USAGE ( .BOTH. , #145 ) ; +#147 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#148 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#149 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#150 = PLANE ( 'NONE', #10399 ) ; +#151 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#152 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#153 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#154 = FILL_AREA_STYLE_COLOUR ( '', #12958 ) ; +#155 = FILL_AREA_STYLE ('',( #154 ) ) ; +#156 = SURFACE_SIDE_STYLE ('',( #12952 ) ) ; +#157 = SURFACE_STYLE_USAGE ( .BOTH. , #156 ) ; +#158 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#159 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#160 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#161 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#162 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#163 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#164 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.955000000000000100, 2.499999999999999600 ) ) ; +#165 = FILL_AREA_STYLE_COLOUR ( '', #12964 ) ; +#166 = FILL_AREA_STYLE ('',( #165 ) ) ; +#167 = SURFACE_SIDE_STYLE ('',( #12957 ) ) ; +#168 = SURFACE_STYLE_USAGE ( .BOTH. , #167 ) ; +#169 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#170 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#171 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#172 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.6700000000000000400, -2.600000000000000500 ) ) ; +#173 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000000, 1.955000000000000100, 2.499999999999999600 ) ) ; +#174 = PLANE ( 'NONE', #10405 ) ; +#175 = FILL_AREA_STYLE_COLOUR ( '', #12971 ) ; +#176 = FILL_AREA_STYLE ('',( #175 ) ) ; +#177 = SURFACE_SIDE_STYLE ('',( #12963 ) ) ; +#178 = SURFACE_STYLE_USAGE ( .BOTH. , #177 ) ; +#179 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#180 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#181 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#182 = PLANE ( 'NONE', #10408 ) ; +#183 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#184 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#185 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#186 = FILL_AREA_STYLE_COLOUR ( '', #12977 ) ; +#187 = FILL_AREA_STYLE ('',( #186 ) ) ; +#188 = SURFACE_SIDE_STYLE ('',( #12970 ) ) ; +#189 = SURFACE_STYLE_USAGE ( .BOTH. , #188 ) ; +#190 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#191 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#192 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#193 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#194 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#195 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#196 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.504999999999998600, 2.499999999999999600 ) ) ; +#197 = FILL_AREA_STYLE_COLOUR ( '', #12983 ) ; +#198 = FILL_AREA_STYLE ('',( #197 ) ) ; +#199 = SURFACE_SIDE_STYLE ('',( #12976 ) ) ; +#200 = SURFACE_STYLE_USAGE ( .BOTH. , #199 ) ; +#201 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#202 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#203 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#204 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.6700000000000000400, -2.599999999999998800 ) ) ; +#205 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.255000000000000100, 2.499999999999999600 ) ) ; +#206 = PLANE ( 'NONE', #10414 ) ; +#207 = FILL_AREA_STYLE_COLOUR ( '', #12990 ) ; +#208 = FILL_AREA_STYLE ('',( #207 ) ) ; +#209 = SURFACE_SIDE_STYLE ('',( #12982 ) ) ; +#210 = SURFACE_STYLE_USAGE ( .BOTH. , #209 ) ; +#211 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#212 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#213 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#214 = PLANE ( 'NONE', #10417 ) ; +#215 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#216 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#217 = CARTESIAN_POINT ( 'NONE', ( 3.000000000000001300, 1.255000000000000100, 2.499999999999999600 ) ) ; +#218 = FILL_AREA_STYLE_COLOUR ( '', #12996 ) ; +#219 = FILL_AREA_STYLE ('',( #218 ) ) ; +#220 = SURFACE_SIDE_STYLE ('',( #12989 ) ) ; +#221 = SURFACE_STYLE_USAGE ( .BOTH. , #220 ) ; +#222 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#223 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#224 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#225 = PLANE ( 'NONE', #10420 ) ; +#226 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.7071067811865525700, 0.7071067811865425800 ) ) ; +#227 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.7071067811865425800, -0.7071067811865525700 ) ) ; +#228 = CARTESIAN_POINT ( 'NONE', ( -3.000000000000001300, 1.255000000000000100, 2.499999999999999600 ) ) ; +#229 = FILL_AREA_STYLE_COLOUR ( '', #13002 ) ; +#230 = FILL_AREA_STYLE ('',( #229 ) ) ; +#231 = SURFACE_SIDE_STYLE ('',( #12995 ) ) ; +#232 = SURFACE_STYLE_USAGE ( .BOTH. , #231 ) ; +#233 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#234 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#235 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#236 = PLANE ( 'NONE', #10423 ) ; +#237 = DIRECTION ( 'NONE', ( 0.7071067811865525700, 0.0000000000000000000, 0.7071067811865425800 ) ) ; +#238 = DIRECTION ( 'NONE', ( 0.7071067811865425800, 0.0000000000000000000, -0.7071067811865525700 ) ) ; +#239 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.705000000000001600, 2.499999999999999600 ) ) ; +#240 = FILL_AREA_STYLE_COLOUR ( '', #13008 ) ; +#241 = FILL_AREA_STYLE ('',( #240 ) ) ; +#242 = SURFACE_SIDE_STYLE ('',( #13001 ) ) ; +#243 = SURFACE_STYLE_USAGE ( .BOTH. , #242 ) ; +#244 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#245 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#246 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#247 = PLANE ( 'NONE', #10426 ) ; +#248 = DIRECTION ( 'NONE', ( -0.7071067811865525700, 0.0000000000000000000, 0.7071067811865425800 ) ) ; +#249 = DIRECTION ( 'NONE', ( 0.7071067811865425800, 0.0000000000000000000, 0.7071067811865525700 ) ) ; +#250 = FILL_AREA_STYLE_COLOUR ( '', #663 ) ; +#251 = FILL_AREA_STYLE ('',( #250 ) ) ; +#252 = SURFACE_SIDE_STYLE ('',( #13007 ) ) ; +#253 = SURFACE_STYLE_USAGE ( .BOTH. , #252 ) ; +#254 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#255 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#256 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#257 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999997900, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#258 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.7071067811865525700, 0.7071067811865425800 ) ) ; +#259 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.7071067811865425800, 0.7071067811865525700 ) ) ; +#260 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999998700, 0.3099999999999999400, -3.611010601084524700 ) ) ; +#261 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#262 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#263 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#264 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#265 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#266 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999993900, 0.4099999999999999200, -8.729904482840639800 ) ) ; +#267 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -9.237183497387701400E-016 ) ) ; +#268 = DIRECTION ( 'NONE', ( 1.445602896647339500E-015, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#269 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999998400, 0.3099999999999999400, -3.611010601084524700 ) ) ; +#270 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 9.237183497387701400E-016 ) ) ; +#271 = DIRECTION ( 'NONE', ( 1.445602896647339500E-015, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#272 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999993900, 0.009999999999999972500, -8.729904482840639800 ) ) ; +#273 = DIRECTION ( 'NONE', ( -9.237183497387701400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#274 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000900 ) ) ; +#275 = DIRECTION ( 'NONE', ( -9.237183497387701400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#276 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999993900, 0.009999999999999972500, -8.729904482840639800 ) ) ; +#277 = DIRECTION ( 'NONE', ( -9.237183497387701400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#278 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999998300, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#279 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#280 = CARTESIAN_POINT ( 'NONE', ( -3.000000000000001300, 1.255000000000000100, 2.499999999999999600 ) ) ; +#281 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#282 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.955000000000000100, 2.499999999999999600 ) ) ; +#283 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#284 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#285 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#286 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.955000000000000100, 2.249999999999997800 ) ) ; +#287 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#288 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999998800, 0.009999999999999972500, -3.611010601084524700 ) ) ; +#289 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#290 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000000, 1.255000000000000100, 2.249999999999997800 ) ) ; +#291 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#292 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000000, 1.955000000000000100, 2.249999999999997800 ) ) ; +#293 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#294 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.255000000000000100, 2.499999999999999600 ) ) ; +#295 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#296 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.255000000000000100, 2.249999999999997800 ) ) ; +#297 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#298 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.705000000000001600, 2.499999999999999600 ) ) ; +#299 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#300 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000000, 1.255000000000000100, 2.499999999999999600 ) ) ; +#301 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#302 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000000, 1.955000000000000100, 2.499999999999999600 ) ) ; +#303 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#304 = CARTESIAN_POINT ( 'NONE', ( 0.9166666666666876100, 3.588333333333312900, 4.583333333333343700 ) ) ; +#305 = DIRECTION ( 'NONE', ( 0.5773502691896230700, -0.5773502691896230700, -0.5773502691896311700 ) ) ; +#306 = CARTESIAN_POINT ( 'NONE', ( -3.083333333333333500, 1.421666666666666600, 2.416666666666666500 ) ) ; +#307 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#308 = CARTESIAN_POINT ( 'NONE', ( 3.000000000000001300, 1.955000000000000100, 2.499999999999999600 ) ) ; +#309 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#310 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000000, 1.504999999999998600, 2.499999999999999600 ) ) ; +#311 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#312 = CARTESIAN_POINT ( 'NONE', ( 2.850000000000002300, 1.555000000000002600, 2.650000000000000800 ) ) ; +#313 = DIRECTION ( 'NONE', ( 0.5773502691896230700, 0.5773502691896230700, -0.5773502691896311700 ) ) ; +#314 = CARTESIAN_POINT ( 'NONE', ( -2.850000000000002300, 1.555000000000002600, 2.650000000000000800 ) ) ; +#315 = CARTESIAN_POINT ( 'NONE', ( -1.848528137423855800, 1.937207793864217200, -3.300000000000000300 ) ) ; +#316 = DIRECTION ( 'NONE', ( 0.5773502691896230700, 0.5773502691896230700, 0.5773502691896311700 ) ) ; +#317 = CARTESIAN_POINT ( 'NONE', ( -0.8343145750507624500, 2.810000000000000900, -3.300000000000000300 ) ) ; +#318 = DIRECTION ( 'NONE', ( 0.5773502691896230700, -0.5773502691896230700, 0.5773502691896311700 ) ) ; +#319 = CARTESIAN_POINT ( 'NONE', ( 2.699999999999999300, 2.006284116830124600, -3.600000000000000500 ) ) ; +#320 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#321 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#322 = CARTESIAN_POINT ( 'NONE', ( 2.599999999999999200, 2.006284116830123800, -3.600000000000000500 ) ) ; +#323 = DIRECTION ( 'NONE', ( -0.7071067811865475700, -0.7071067811865474600, 0.0000000000000000000 ) ) ; +#324 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#325 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#326 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#327 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#328 = CARTESIAN_POINT ( 'NONE', ( 3.360000000000000300, 2.100000000000000100, -3.600000000000000500 ) ) ; +#329 = CARTESIAN_POINT ( 'NONE', ( -2.060660171779819600, 2.149339828220181200, -3.300000000000000300 ) ) ; +#330 = DIRECTION ( 'NONE', ( -0.7071067811865472400, 0.7071067811865479100, 0.0000000000000000000 ) ) ; +#331 = CARTESIAN_POINT ( 'NONE', ( 3.360000000000000300, 1.110000000000000300, -3.600000000000000500 ) ) ; +#332 = DIRECTION ( 'NONE', ( -1.092786167418507800E-015, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#333 = CARTESIAN_POINT ( 'NONE', ( -2.799999999999998500, 2.800000000000000300, -3.300000000000000300 ) ) ; +#334 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#335 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#336 = CARTESIAN_POINT ( 'NONE', ( 2.799999999999998500, 2.800000000000000300, -3.600000000000000500 ) ) ; +#337 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#338 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#339 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#340 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#341 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 2.900000000000000400, -3.600000000000000500 ) ) ; +#342 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#343 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#344 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#345 = CARTESIAN_POINT ( 'NONE', ( 4.160000000000000100, 2.099999999999994800, -3.600000000000000500 ) ) ; +#346 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#347 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 2.900000000000000400, -3.300000000000000300 ) ) ; +#348 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#349 = CARTESIAN_POINT ( 'NONE', ( -1.029289321881343900, 3.180710678118655800, -3.300000000000000300 ) ) ; +#350 = DIRECTION ( 'NONE', ( 0.7071067811865479100, 0.7071067811865470200, 0.0000000000000000000 ) ) ; +#351 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999998700, 2.100000000000000100, -3.600000000000000500 ) ) ; +#352 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#353 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 2.900000000000000400, -3.300000000000000300 ) ) ; +#354 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#355 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000000300, 1.650000000000000100 ) ) ; +#356 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#357 = CARTESIAN_POINT ( 'NONE', ( -1.848528137423855800, 1.937207793864217200, -3.650000000000000400 ) ) ; +#358 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#359 = CARTESIAN_POINT ( 'NONE', ( 1.838030458220619700, 1.906284116830121900, -3.300000000000000300 ) ) ; +#360 = DIRECTION ( 'NONE', ( 1.000000000000000000, 2.845788743612077600E-015, 0.0000000000000000000 ) ) ; +#361 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -3.150000000000000400 ) ) ; +#362 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#363 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -3.150000000000000400 ) ) ; +#364 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#365 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762687400, 1.210000000000000200, -3.150000000000000800 ) ) ; +#366 = CARTESIAN_POINT ( 'NONE', ( -0.8343145750507621200, 2.910000000000000600, -3.650000000000000400 ) ) ; +#367 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#368 = CARTESIAN_POINT ( 'NONE', ( -0.8343145750507624500, 2.810000000000000900, -3.150000000000000400 ) ) ; +#369 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#370 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#371 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507640100, 2.900000000000000400, -3.150000000000000400 ) ) ; +#372 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#373 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762688300, 1.210000000000000200, -3.150000000000000400 ) ) ; +#374 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#375 = CARTESIAN_POINT ( 'NONE', ( 0.9050252531694190600, 2.880710678118654200, -3.650000000000000400 ) ) ; +#376 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#377 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507640100, 2.900000000000000400, -3.150000000000000400 ) ) ; +#378 = CARTESIAN_POINT ( 'NONE', ( -0.8343145750507624500, 2.810000000000000900, -3.650000000000000400 ) ) ; +#379 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#380 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507640100, 2.810000000000000100, -3.150000000000000400 ) ) ; +#381 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#382 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, 0.3099999999999999400, -2.599999999999998800 ) ) ; +#383 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#384 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#385 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#386 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#387 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#388 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.6700000000000000400, -2.350000000000000500 ) ) ; +#389 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#390 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#391 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.5699999999999999500, -2.600000000000000500 ) ) ; +#392 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#393 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#394 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.5699999999999999500, -2.449999999999998400 ) ) ; +#395 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#396 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.6700000000000000400, -2.850000000000001000 ) ) ; +#397 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#398 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#399 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#400 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -1.350000000000000500 ) ) ; +#401 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#402 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#403 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.5699999999999999500, -2.750000000000001300 ) ) ; +#404 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#405 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.5699999999999999500, -2.599999999999998800 ) ) ; +#406 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#407 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#408 = CARTESIAN_POINT ( 'NONE', ( -0.05000000000000002400, 0.2999999999999999300, -2.000000000000000400 ) ) ; +#409 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#410 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -1.750000000000000400 ) ) ; +#411 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#412 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999986000, 0.2999999999999999900, -3.649999999999999500 ) ) ; +#413 = DIRECTION ( 'NONE', ( -2.168404344971012300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#414 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999975300, 0.2999999999999999300, -1.500000000000000400 ) ) ; +#415 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#416 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#417 = CARTESIAN_POINT ( 'NONE', ( 0.3000000000000003800, 0.2999999999999999900, -3.649999999999999900 ) ) ; +#418 = DIRECTION ( 'NONE', ( -7.744301232039357400E-017, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#419 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999994900, 0.2999999999999999900, -3.649999999999999900 ) ) ; +#420 = DIRECTION ( 'NONE', ( -1.414176746720224900E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#421 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.5699999999999999500, -2.749999999999999100 ) ) ; +#422 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#423 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#424 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -1.650000000000000400 ) ) ; +#425 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#426 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -2.149999999999999900 ) ) ; +#427 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#428 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#429 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000001300, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#430 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#431 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 1.224646799147353200E-016 ) ) ; +#432 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#433 = CARTESIAN_POINT ( 'NONE', ( -1.770000000000000700, 0.2999999999999999300, -2.950000000000000200 ) ) ; +#434 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#435 = CARTESIAN_POINT ( 'NONE', ( -0.05000000000000002400, 0.2999999999999999300, -0.3000000000000003800 ) ) ; +#436 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#437 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#438 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999964200, 0.2999999999999999300, -0.8000000000000003800 ) ) ; +#439 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.5699999999999999500, -2.450000000000000200 ) ) ; +#440 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#441 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#442 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -0.5500000000000001600 ) ) ; +#443 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#444 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.6700000000000000400, -2.849999999999998800 ) ) ; +#445 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#446 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#447 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.6700000000000000400, -2.599999999999998800 ) ) ; +#448 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.224646799147353200E-016 ) ) ; +#449 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#450 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.6700000000000000400, -2.600000000000000500 ) ) ; +#451 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#452 = CARTESIAN_POINT ( 'NONE', ( -0.05000000000000012800, 0.2999999999999999300, 0.6999999999999996200 ) ) ; +#453 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#454 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#455 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999969700, 0.2999999999999999300, 1.199999999999999700 ) ) ; +#456 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#457 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#458 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -3.150000000000000400 ) ) ; +#459 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#460 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, 0.9499999999999995100 ) ) ; +#461 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#462 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999964200, 0.2999999999999999300, 1.899999999999999700 ) ) ; +#463 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#464 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#465 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999994900, 0.2999999999999999900, -3.649999999999999900 ) ) ; +#466 = DIRECTION ( 'NONE', ( -1.084202172485508100E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#467 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, 0.3099999999999999400, -2.600000000000000500 ) ) ; +#468 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#469 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#470 = CARTESIAN_POINT ( 'NONE', ( 0.2999999999999999300, 0.2999999999999999900, -3.649999999999999900 ) ) ; +#471 = DIRECTION ( 'NONE', ( -7.744301232039352400E-017, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#472 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, 2.149999999999999900 ) ) ; +#473 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#474 = CARTESIAN_POINT ( 'NONE', ( -0.05000000000000007900, 0.2999999999999999300, 2.399999999999999900 ) ) ; +#475 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#476 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#477 = CARTESIAN_POINT ( 'NONE', ( -2.170000000000000800, 0.2999999999999999300, -2.350000000000000500 ) ) ; +#478 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#479 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#480 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 0.2999999999999999300, 0.2499999999999998900 ) ) ; +#481 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#482 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#483 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 0.2999999999999999300, 1.450000000000000200 ) ) ; +#484 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#485 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#486 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.6700000000000000400, -2.349999999999998300 ) ) ; +#487 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#488 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#489 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#490 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999993900, 0.2999999999999999900, 0.05000000000000012800 ) ) ; +#491 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#492 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#493 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#494 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#495 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000400, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#496 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#497 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#498 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#499 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999993900, 0.2999999999999999900, 1.650000000000000400 ) ) ; +#500 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#501 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#502 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#503 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, 3.649999999999999500 ) ) ; +#504 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#505 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, -1.650000000000000400 ) ) ; +#506 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#507 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#508 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999999300, 0.0000000000000000000, 3.650000000000000400 ) ) ; +#509 = DIRECTION ( 'NONE', ( 2.168404344971012300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#510 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999600, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#511 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#512 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, -1.350000000000000500 ) ) ; +#513 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#514 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#515 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#516 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 1.650000000000000400 ) ) ; +#517 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#518 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 0.0000000000000000000, 0.2499999999999998300 ) ) ; +#519 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000200, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#520 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#521 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 0.0000000000000000000, 1.450000000000000000 ) ) ; +#522 = CARTESIAN_POINT ( 'NONE', ( -2.170000000000000800, 0.0000000000000000000, -2.350000000000000500 ) ) ; +#523 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#524 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#525 = CARTESIAN_POINT ( 'NONE', ( -1.969999999999999500, 0.0000000000000000000, 3.649999999999999000 ) ) ; +#526 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#527 = CARTESIAN_POINT ( 'NONE', ( -1.770000000000000700, 0.0000000000000000000, -2.950000000000000200 ) ) ; +#528 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#529 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#530 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 0.05000000000000012800 ) ) ; +#531 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#532 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000200, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#533 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#534 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 0.0000000000000000000, -2.149999999999999900 ) ) ; +#535 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#536 = CARTESIAN_POINT ( 'NONE', ( -0.05000000000000002400, 0.0000000000000000000, -2.000000000000000400 ) ) ; +#537 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#538 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#539 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 0.0000000000000000000, 3.649999999999999000 ) ) ; +#540 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#541 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999975300, 0.0000000000000000000, -1.500000000000000400 ) ) ; +#542 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#543 = DIRECTION ( 'NONE', ( 1.084202172485503800E-015, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#544 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, -3.150000000000000800 ) ) ; +#545 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#546 = CARTESIAN_POINT ( 'NONE', ( -0.3000000000000007700, 0.0000000000000000000, 3.649999999999999900 ) ) ; +#547 = DIRECTION ( 'NONE', ( 1.414176746720224900E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#548 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999964200, 0.0000000000000000000, -0.8000000000000003800 ) ) ; +#549 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#550 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#551 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, -1.750000000000000700 ) ) ; +#552 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#553 = CARTESIAN_POINT ( 'NONE', ( -0.05000000000000002400, 0.0000000000000000000, -0.3000000000000003800 ) ) ; +#554 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#555 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#556 = CARTESIAN_POINT ( 'NONE', ( 0.2999999999999999300, 0.0000000000000000000, 3.649999999999999900 ) ) ; +#557 = DIRECTION ( 'NONE', ( 7.744301232039357400E-017, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#558 = CARTESIAN_POINT ( 'NONE', ( -0.05000000000000012800, 0.0000000000000000000, 0.6999999999999996200 ) ) ; +#559 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#560 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#561 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, -0.5500000000000001600 ) ) ; +#562 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#563 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999969700, 0.0000000000000000000, 1.199999999999999700 ) ) ; +#564 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#565 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#566 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999999300, 0.0000000000000000000, 3.649999999999999900 ) ) ; +#567 = DIRECTION ( 'NONE', ( 1.084202172485508100E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#568 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999964200, 0.0000000000000000000, 1.899999999999999700 ) ) ; +#569 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#570 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#571 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 0.9499999999999995100 ) ) ; +#572 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#573 = CARTESIAN_POINT ( 'NONE', ( -0.05000000000000007900, 0.0000000000000000000, 2.399999999999999900 ) ) ; +#574 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#575 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#576 = CARTESIAN_POINT ( 'NONE', ( 0.2999999999999999300, 0.0000000000000000000, 3.649999999999999900 ) ) ; +#577 = DIRECTION ( 'NONE', ( 7.744301232039352400E-017, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#578 = CARTESIAN_POINT ( 'NONE', ( 3.360000000000000300, 1.110000000000000300, -1.800000000000000500 ) ) ; +#579 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#580 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#581 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 2.149999999999999900 ) ) ; +#582 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#583 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#584 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#585 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 1.110000000000000100, -1.800000000000000500 ) ) ; +#586 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#587 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#588 = CARTESIAN_POINT ( 'NONE', ( 3.360000000000000300, 2.100000000000000100, -1.800000000000000500 ) ) ; +#589 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 2.100000000000000100, -1.800000000000000500 ) ) ; +#590 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#591 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#592 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 2.900000000000000400, -1.800000000000000500 ) ) ; +#593 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#594 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#595 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#596 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#597 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#598 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#599 = CARTESIAN_POINT ( 'NONE', ( 4.160000000000000100, 2.099999999999994800, -1.800000000000000500 ) ) ; +#600 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#601 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#602 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#603 = CARTESIAN_POINT ( 'NONE', ( -4.160000000000000100, 2.099999999999994800, -1.800000000000000500 ) ) ; +#604 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#605 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.955000000000000100, -1.800000000000000500 ) ) ; +#606 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#607 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.255000000000000100, -1.800000000000000500 ) ) ; +#608 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#609 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000000, 1.955000000000000100, -1.800000000000000500 ) ) ; +#610 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#611 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.955000000000000100, -1.800000000000000500 ) ) ; +#612 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#613 = CARTESIAN_POINT ( 'NONE', ( 3.330000000000000500, 0.3099999999999999400, -1.800000000000006500 ) ) ; +#614 = DIRECTION ( 'NONE', ( 9.237183497387701400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#615 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999999300, 0.3099999999999999400, -1.800000000000006300 ) ) ; +#616 = DIRECTION ( 'NONE', ( 9.237183497387701400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#617 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, 0.3099999999999999400, -2.599999999999998800 ) ) ; +#618 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084524800 ) ) ; +#619 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#620 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, 0.3099999999999999400, -2.600000000000000500 ) ) ; +#621 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#622 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#623 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#624 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#625 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#626 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#627 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#628 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#629 = FILL_AREA_STYLE ('',( #646 ) ) ; +#630 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995400, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#631 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#632 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#633 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#634 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#635 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#636 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#637 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#638 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#639 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#640 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#641 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#642 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#643 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#644 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#645 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#646 = FILL_AREA_STYLE_COLOUR ( '', #946 ) ; +#647 = SURFACE_SIDE_STYLE ('',( #934 ) ) ; +#648 = SURFACE_STYLE_USAGE ( .BOTH. , #647 ) ; +#649 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#650 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#651 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#652 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#653 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#654 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#655 = APPLICATION_CONTEXT ( 'automotive_design' ) ; +#656 = APPLICATION_PROTOCOL_DEFINITION ( 'draft international standard', 'automotive_design', 1998, #655 ) ; +#657 = PRODUCT_CONTEXT ( 'NONE', #655, 'mechanical' ) ; +#658 = APPLICATION_CONTEXT ( 'automotive_design' ) ; +#659 = APPLICATION_PROTOCOL_DEFINITION ( 'draft international standard', 'automotive_design', 1998, #658 ) ; +#660 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12755 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #244, #245, #246 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#661 = LINE ( 'NONE', #274, #682 ) ; +#662 = VECTOR ( 'NONE', #261, 1000.000000000000000 ) ; +#663 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#664 = PRESENTATION_STYLE_ASSIGNMENT (( #253 ) ) ; +#665 = STYLED_ITEM ( 'NONE', ( #664 ), #4954 ) ; +#666 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12756 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #254, #255, #256 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#667 = LINE ( 'NONE', #280, #686 ) ; +#668 = LINE ( 'NONE', #257, #662 ) ; +#669 = LINE ( 'NONE', #262, #670 ) ; +#670 = VECTOR ( 'NONE', #263, 1000.000000000000000 ) ; +#671 = LINE ( 'NONE', #264, #672 ) ; +#672 = VECTOR ( 'NONE', #265, 1000.000000000000000 ) ; +#673 = CIRCLE ( 'NONE', #10424, 0.2999999999999999300 ) ; +#674 = LINE ( 'NONE', #266, #676 ) ; +#675 = CIRCLE ( 'NONE', #10425, 0.2999999999999999300 ) ; +#676 = VECTOR ( 'NONE', #275, 1000.000000000000000 ) ; +#677 = LINE ( 'NONE', #284, #688 ) ; +#678 = LINE ( 'NONE', #276, #679 ) ; +#679 = VECTOR ( 'NONE', #277, 1000.000000000000000 ) ; +#680 = LINE ( 'NONE', #278, #681 ) ; +#681 = VECTOR ( 'NONE', #279, 1000.000000000000000 ) ; +#682 = VECTOR ( 'NONE', #281, 1000.000000000000000 ) ; +#683 = LINE ( 'NONE', #286, #694 ) ; +#684 = LINE ( 'NONE', #282, #685 ) ; +#685 = VECTOR ( 'NONE', #283, 1000.000000000000000 ) ; +#686 = VECTOR ( 'NONE', #285, 1000.000000000000000 ) ; +#687 = LINE ( 'NONE', #292, #698 ) ; +#688 = VECTOR ( 'NONE', #287, 1000.000000000000000 ) ; +#689 = LINE ( 'NONE', #296, #700 ) ; +#690 = LINE ( 'NONE', #288, #691 ) ; +#691 = VECTOR ( 'NONE', #289, 1000.000000000000000 ) ; +#692 = LINE ( 'NONE', #290, #693 ) ; +#693 = VECTOR ( 'NONE', #291, 1000.000000000000000 ) ; +#694 = VECTOR ( 'NONE', #293, 1000.000000000000000 ) ; +#695 = LINE ( 'NONE', #298, #708 ) ; +#696 = LINE ( 'NONE', #294, #697 ) ; +#697 = VECTOR ( 'NONE', #295, 1000.000000000000000 ) ; +#698 = VECTOR ( 'NONE', #297, 1000.000000000000000 ) ; +#699 = LINE ( 'NONE', #306, #716 ) ; +#700 = VECTOR ( 'NONE', #299, 1000.000000000000000 ) ; +#701 = LINE ( 'NONE', #314, #718 ) ; +#702 = LINE ( 'NONE', #300, #703 ) ; +#703 = VECTOR ( 'NONE', #301, 1000.000000000000000 ) ; +#704 = LINE ( 'NONE', #302, #705 ) ; +#705 = VECTOR ( 'NONE', #303, 1000.000000000000000 ) ; +#706 = LINE ( 'NONE', #304, #707 ) ; +#707 = VECTOR ( 'NONE', #305, 1000.000000000000000 ) ; +#708 = VECTOR ( 'NONE', #307, 1000.000000000000000 ) ; +#709 = LINE ( 'NONE', #345, #733 ) ; +#710 = LINE ( 'NONE', #308, #711 ) ; +#711 = VECTOR ( 'NONE', #309, 1000.000000000000000 ) ; +#712 = LINE ( 'NONE', #310, #713 ) ; +#713 = VECTOR ( 'NONE', #311, 1000.000000000000000 ) ; +#714 = LINE ( 'NONE', #312, #715 ) ; +#715 = VECTOR ( 'NONE', #313, 1000.000000000000000 ) ; +#716 = VECTOR ( 'NONE', #316, 1000.000000000000000 ) ; +#717 = VECTOR ( 'NONE', #323, 1000.000000000000000 ) ; +#718 = VECTOR ( 'NONE', #318, 1000.000000000000000 ) ; +#719 = VECTOR ( 'NONE', #330, 999.9999999999998900 ) ; +#720 = LINE ( 'NONE', #329, #719 ) ; +#721 = LINE ( 'NONE', #315, #717 ) ; +#722 = CIRCLE ( 'NONE', #10388, 0.09999999999999993600 ) ; +#723 = LINE ( 'NONE', #324, #724 ) ; +#724 = VECTOR ( 'NONE', #325, 1000.000000000000000 ) ; +#725 = LINE ( 'NONE', #319, #727 ) ; +#726 = CIRCLE ( 'NONE', #10409, 0.1000000000000002600 ) ; +#727 = VECTOR ( 'NONE', #332, 1000.000000000000000 ) ; +#728 = LINE ( 'NONE', #341, #731 ) ; +#729 = VECTOR ( 'NONE', #372, 1000.000000000000000 ) ; +#730 = CIRCLE ( 'NONE', #10428, 0.8000000000000003800 ) ; +#731 = VECTOR ( 'NONE', #342, 1000.000000000000000 ) ; +#732 = CIRCLE ( 'NONE', #10427, 0.8000000000000003800 ) ; +#733 = VECTOR ( 'NONE', #346, 1000.000000000000000 ) ; +#734 = CIRCLE ( 'NONE', #10430, 0.1000000000000002600 ) ; +#735 = LINE ( 'NONE', #371, #729 ) ; +#736 = CIRCLE ( 'NONE', #10432, 0.1000000000000002600 ) ; +#737 = LINE ( 'NONE', #347, #738 ) ; +#738 = VECTOR ( 'NONE', #348, 1000.000000000000000 ) ; +#739 = LINE ( 'NONE', #349, #740 ) ; +#740 = VECTOR ( 'NONE', #350, 1000.000000000000100 ) ; +#741 = LINE ( 'NONE', #351, #742 ) ; +#742 = VECTOR ( 'NONE', #352, 1000.000000000000000 ) ; +#743 = LINE ( 'NONE', #353, #744 ) ; +#744 = VECTOR ( 'NONE', #354, 1000.000000000000000 ) ; +#745 = LINE ( 'NONE', #355, #746 ) ; +#746 = VECTOR ( 'NONE', #356, 1000.000000000000000 ) ; +#747 = LINE ( 'NONE', #357, #748 ) ; +#748 = VECTOR ( 'NONE', #358, 1000.000000000000000 ) ; +#749 = LINE ( 'NONE', #359, #750 ) ; +#750 = VECTOR ( 'NONE', #360, 1000.000000000000000 ) ; +#751 = LINE ( 'NONE', #361, #752 ) ; +#752 = VECTOR ( 'NONE', #362, 1000.000000000000000 ) ; +#753 = LINE ( 'NONE', #363, #754 ) ; +#754 = VECTOR ( 'NONE', #364, 1000.000000000000000 ) ; +#755 = LINE ( 'NONE', #366, #756 ) ; +#756 = VECTOR ( 'NONE', #367, 1000.000000000000000 ) ; +#757 = LINE ( 'NONE', #400, #780 ) ; +#758 = LINE ( 'NONE', #377, #766 ) ; +#759 = LINE ( 'NONE', #365, #765 ) ; +#760 = CIRCLE ( 'NONE', #10429, 0.09999999999999993600 ) ; +#761 = LINE ( 'NONE', #373, #762 ) ; +#762 = VECTOR ( 'NONE', #374, 1000.000000000000000 ) ; +#763 = LINE ( 'NONE', #375, #764 ) ; +#764 = VECTOR ( 'NONE', #376, 1000.000000000000000 ) ; +#765 = VECTOR ( 'NONE', #379, 1000.000000000000000 ) ; +#766 = VECTOR ( 'NONE', #381, 1000.000000000000000 ) ; +#767 = VECTOR ( 'NONE', #402, 1000.000000000000000 ) ; +#768 = VECTOR ( 'NONE', #395, 1000.000000000000000 ) ; +#769 = CIRCLE ( 'NONE', #10433, 0.09999999999999993600 ) ; +#770 = VECTOR ( 'NONE', #409, 1000.000000000000000 ) ; +#771 = CIRCLE ( 'NONE', #10434, 0.09999999999999993600 ) ; +#772 = CIRCLE ( 'NONE', #10435, 0.2500000000000002200 ) ; +#773 = LINE ( 'NONE', #388, #768 ) ; +#774 = CIRCLE ( 'NONE', #10431, 0.2500000000000002200 ) ; +#775 = LINE ( 'NONE', #396, #776 ) ; +#776 = VECTOR ( 'NONE', #397, 1000.000000000000000 ) ; +#777 = LINE ( 'NONE', #424, #790 ) ; +#778 = LINE ( 'NONE', #385, #767 ) ; +#779 = CIRCLE ( 'NONE', #10436, 0.09999999999999982500 ) ; +#780 = VECTOR ( 'NONE', #404, 1000.000000000000000 ) ; +#781 = VECTOR ( 'NONE', #418, 1000.000000000000000 ) ; +#782 = LINE ( 'NONE', #417, #781 ) ; +#783 = LINE ( 'NONE', #401, #770 ) ; +#784 = CIRCLE ( 'NONE', #10437, 0.09999999999999982500 ) ; +#785 = LINE ( 'NONE', #410, #786 ) ; +#786 = VECTOR ( 'NONE', #411, 1000.000000000000000 ) ; +#787 = LINE ( 'NONE', #412, #788 ) ; +#788 = VECTOR ( 'NONE', #413, 1000.000000000000000 ) ; +#789 = LINE ( 'NONE', #429, #795 ) ; +#790 = VECTOR ( 'NONE', #425, 1000.000000000000000 ) ; +#791 = CIRCLE ( 'NONE', #10440, 0.2499999999999997800 ) ; +#792 = LINE ( 'NONE', #419, #793 ) ; +#793 = VECTOR ( 'NONE', #420, 1000.000000000000000 ) ; +#794 = VECTOR ( 'NONE', #434, 1000.000000000000000 ) ; +#795 = VECTOR ( 'NONE', #430, 1000.000000000000000 ) ; +#796 = CIRCLE ( 'NONE', #10439, 0.2500000000000002200 ) ; +#797 = VECTOR ( 'NONE', #443, 1000.000000000000000 ) ; +#798 = CIRCLE ( 'NONE', #10442, 0.2500000000000000000 ) ; +#799 = VECTOR ( 'NONE', #451, 1000.000000000000000 ) ; +#800 = LINE ( 'NONE', #426, #794 ) ; +#801 = CIRCLE ( 'NONE', #10443, 0.09999999999999982500 ) ; +#802 = LINE ( 'NONE', #442, #797 ) ; +#803 = CIRCLE ( 'NONE', #10444, 0.2000000000000000900 ) ; +#804 = CIRCLE ( 'NONE', #10441, 0.2500000000000000000 ) ; +#805 = LINE ( 'NONE', #470, #817 ) ; +#806 = LINE ( 'NONE', #458, #811 ) ; +#807 = CIRCLE ( 'NONE', #10446, 0.2500000000000000000 ) ; +#808 = LINE ( 'NONE', #444, #799 ) ; +#809 = CIRCLE ( 'NONE', #10445, 0.09999999999999982500 ) ; +#810 = LINE ( 'NONE', #465, #813 ) ; +#811 = VECTOR ( 'NONE', #459, 1000.000000000000000 ) ; +#812 = CIRCLE ( 'NONE', #10448, 0.1500000000000003800 ) ; +#813 = VECTOR ( 'NONE', #466, 1000.000000000000000 ) ; +#814 = CIRCLE ( 'NONE', #10438, 0.1500000000000003800 ) ; +#815 = LINE ( 'NONE', #460, #816 ) ; +#816 = VECTOR ( 'NONE', #461, 1000.000000000000000 ) ; +#817 = VECTOR ( 'NONE', #471, 1000.000000000000000 ) ; +#818 = CIRCLE ( 'NONE', #10449, 0.2500000000000000000 ) ; +#819 = LINE ( 'NONE', #486, #827 ) ; +#820 = CIRCLE ( 'NONE', #10451, 0.2500000000000000000 ) ; +#821 = LINE ( 'NONE', #472, #822 ) ; +#822 = VECTOR ( 'NONE', #473, 1000.000000000000000 ) ; +#823 = LINE ( 'NONE', #495, #829 ) ; +#824 = CIRCLE ( 'NONE', #10450, 0.2500000000000002200 ) ; +#825 = LINE ( 'NONE', #499, #835 ) ; +#826 = CIRCLE ( 'NONE', #10452, 0.2500000000000002200 ) ; +#827 = VECTOR ( 'NONE', #487, 1000.000000000000000 ) ; +#828 = CIRCLE ( 'NONE', #10453, 0.2499999999999997800 ) ; +#829 = VECTOR ( 'NONE', #496, 1000.000000000000000 ) ; +#830 = CIRCLE ( 'NONE', #10454, 0.2000000000000000900 ) ; +#831 = LINE ( 'NONE', #488, #832 ) ; +#832 = VECTOR ( 'NONE', #489, 1000.000000000000000 ) ; +#833 = LINE ( 'NONE', #490, #834 ) ; +#834 = VECTOR ( 'NONE', #491, 1000.000000000000000 ) ; +#835 = VECTOR ( 'NONE', #500, 1000.000000000000000 ) ; +#836 = CIRCLE ( 'NONE', #10456, 0.2000000000000000100 ) ; +#837 = VECTOR ( 'NONE', #526, 1000.000000000000000 ) ; +#838 = LINE ( 'NONE', #492, #846 ) ; +#839 = CIRCLE ( 'NONE', #10457, 0.2000000000000000900 ) ; +#840 = LINE ( 'NONE', #501, #841 ) ; +#841 = VECTOR ( 'NONE', #502, 1000.000000000000000 ) ; +#842 = LINE ( 'NONE', #503, #843 ) ; +#843 = VECTOR ( 'NONE', #504, 1000.000000000000000 ) ; +#844 = LINE ( 'NONE', #505, #845 ) ; +#845 = VECTOR ( 'NONE', #506, 1000.000000000000000 ) ; +#846 = VECTOR ( 'NONE', #507, 1000.000000000000000 ) ; +#847 = LINE ( 'NONE', #525, #837 ) ; +#848 = LINE ( 'NONE', #508, #849 ) ; +#849 = VECTOR ( 'NONE', #509, 1000.000000000000000 ) ; +#850 = LINE ( 'NONE', #510, #851 ) ; +#851 = VECTOR ( 'NONE', #511, 1000.000000000000000 ) ; +#852 = LINE ( 'NONE', #512, #853 ) ; +#853 = VECTOR ( 'NONE', #513, 1000.000000000000000 ) ; +#854 = LINE ( 'NONE', #514, #855 ) ; +#855 = VECTOR ( 'NONE', #515, 1000.000000000000000 ) ; +#856 = LINE ( 'NONE', #516, #857 ) ; +#857 = VECTOR ( 'NONE', #517, 1000.000000000000000 ) ; +#858 = LINE ( 'NONE', #519, #859 ) ; +#859 = VECTOR ( 'NONE', #520, 1000.000000000000000 ) ; +#860 = LINE ( 'NONE', #539, #865 ) ; +#861 = LINE ( 'NONE', #530, #862 ) ; +#862 = VECTOR ( 'NONE', #531, 1000.000000000000000 ) ; +#863 = CIRCLE ( 'NONE', #10455, 0.2000000000000000900 ) ; +#864 = LINE ( 'NONE', #544, #872 ) ; +#865 = VECTOR ( 'NONE', #540, 1000.000000000000000 ) ; +#866 = CIRCLE ( 'NONE', #10459, 0.2000000000000000400 ) ; +#867 = LINE ( 'NONE', #532, #868 ) ; +#868 = VECTOR ( 'NONE', #533, 1000.000000000000000 ) ; +#869 = LINE ( 'NONE', #534, #870 ) ; +#870 = VECTOR ( 'NONE', #535, 1000.000000000000000 ) ; +#871 = LINE ( 'NONE', #551, #875 ) ; +#872 = VECTOR ( 'NONE', #545, 1000.000000000000000 ) ; +#873 = CIRCLE ( 'NONE', #10460, 0.2000000000000000900 ) ; +#874 = LINE ( 'NONE', #556, #880 ) ; +#875 = VECTOR ( 'NONE', #552, 1000.000000000000000 ) ; +#876 = CIRCLE ( 'NONE', #10461, 0.2000000000000000900 ) ; +#877 = LINE ( 'NONE', #546, #878 ) ; +#878 = VECTOR ( 'NONE', #547, 1000.000000000000000 ) ; +#879 = LINE ( 'NONE', #561, #883 ) ; +#880 = VECTOR ( 'NONE', #557, 1000.000000000000000 ) ; +#881 = CIRCLE ( 'NONE', #10447, 0.2500000000000000000 ) ; +#882 = LINE ( 'NONE', #566, #886 ) ; +#883 = VECTOR ( 'NONE', #562, 1000.000000000000000 ) ; +#884 = CIRCLE ( 'NONE', #10463, 0.2500000000000000000 ) ; +#885 = LINE ( 'NONE', #571, #889 ) ; +#886 = VECTOR ( 'NONE', #567, 1000.000000000000000 ) ; +#887 = CIRCLE ( 'NONE', #10464, 0.2500000000000000000 ) ; +#888 = LINE ( 'NONE', #576, #892 ) ; +#889 = VECTOR ( 'NONE', #572, 1000.000000000000000 ) ; +#890 = CIRCLE ( 'NONE', #10465, 0.2500000000000000000 ) ; +#891 = LINE ( 'NONE', #581, #894 ) ; +#892 = VECTOR ( 'NONE', #577, 1000.000000000000000 ) ; +#893 = CIRCLE ( 'NONE', #10466, 0.2500000000000000000 ) ; +#894 = VECTOR ( 'NONE', #582, 1000.000000000000000 ) ; +#895 = CIRCLE ( 'NONE', #10467, 0.2500000000000000000 ) ; +#896 = LINE ( 'NONE', #603, #907 ) ; +#897 = LINE ( 'NONE', #592, #899 ) ; +#898 = CIRCLE ( 'NONE', #10468, 0.2500000000000002200 ) ; +#899 = VECTOR ( 'NONE', #593, 1000.000000000000000 ) ; +#900 = LINE ( 'NONE', #599, #903 ) ; +#901 = CIRCLE ( 'NONE', #10469, 0.2499999999999997800 ) ; +#902 = CIRCLE ( 'NONE', #10462, 0.8000000000000003800 ) ; +#903 = VECTOR ( 'NONE', #600, 1000.000000000000000 ) ; +#904 = CIRCLE ( 'NONE', #10458, 0.8000000000000003800 ) ; +#905 = LINE ( 'NONE', #594, #906 ) ; +#906 = VECTOR ( 'NONE', #595, 1000.000000000000000 ) ; +#907 = VECTOR ( 'NONE', #604, 1000.000000000000000 ) ; +#908 = CIRCLE ( 'NONE', #10471, 0.8000000000000003800 ) ; +#909 = LINE ( 'NONE', #596, #925 ) ; +#910 = CIRCLE ( 'NONE', #10472, 0.8000000000000003800 ) ; +#911 = LINE ( 'NONE', #605, #912 ) ; +#912 = VECTOR ( 'NONE', #606, 1000.000000000000000 ) ; +#913 = LINE ( 'NONE', #607, #914 ) ; +#914 = VECTOR ( 'NONE', #608, 1000.000000000000000 ) ; +#915 = LINE ( 'NONE', #609, #916 ) ; +#916 = VECTOR ( 'NONE', #610, 1000.000000000000000 ) ; +#917 = LINE ( 'NONE', #611, #918 ) ; +#918 = VECTOR ( 'NONE', #612, 1000.000000000000000 ) ; +#919 = LINE ( 'NONE', #613, #920 ) ; +#920 = VECTOR ( 'NONE', #614, 1000.000000000000000 ) ; +#921 = LINE ( 'NONE', #615, #922 ) ; +#922 = VECTOR ( 'NONE', #616, 1000.000000000000000 ) ; +#923 = LINE ( 'NONE', #618, #924 ) ; +#924 = VECTOR ( 'NONE', #619, 1000.000000000000000 ) ; +#925 = VECTOR ( 'NONE', #621, 1000.000000000000000 ) ; +#926 = LINE ( 'NONE', #627, #927 ) ; +#927 = VECTOR ( 'NONE', #628, 1000.000000000000000 ) ; +#928 = CIRCLE ( 'NONE', #10473, 0.2500000000000002200 ) ; +#929 = LINE ( 'NONE', #622, #933 ) ; +#930 = CIRCLE ( 'NONE', #10470, 0.2500000000000002200 ) ; +#931 = LINE ( 'NONE', #630, #932 ) ; +#932 = VECTOR ( 'NONE', #631, 1000.000000000000000 ) ; +#933 = VECTOR ( 'NONE', #632, 1000.000000000000000 ) ; +#934 = SURFACE_STYLE_FILL_AREA ( #629 ) ; +#935 = LINE ( 'NONE', #633, #936 ) ; +#936 = VECTOR ( 'NONE', #634, 1000.000000000000000 ) ; +#937 = LINE ( 'NONE', #635, #938 ) ; +#938 = VECTOR ( 'NONE', #636, 1000.000000000000000 ) ; +#939 = LINE ( 'NONE', #637, #940 ) ; +#940 = VECTOR ( 'NONE', #638, 1000.000000000000000 ) ; +#941 = LINE ( 'NONE', #639, #942 ) ; +#942 = VECTOR ( 'NONE', #640, 1000.000000000000000 ) ; +#943 = LINE ( 'NONE', #641, #944 ) ; +#944 = VECTOR ( 'NONE', #642, 1000.000000000000000 ) ; +#945 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12757 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #643, #644, #645 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#946 = COLOUR_RGB ( '',1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ; +#947 = PRESENTATION_STYLE_ASSIGNMENT (( #648 ) ) ; +#948 = STYLED_ITEM ( 'NONE', ( #947 ), #12758 ) ; +#949 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12759 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #649, #650, #651 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#950 = SHAPE_DEFINITION_REPRESENTATION ( #12449, #12758 ) ; +#951 = EDGE_LOOP ( 'NONE', ( #5543, #5544, #5545, #5546 ) ) ; +#952 = EDGE_LOOP ( 'NONE', ( #5601, #5602, #5603, #5604 ) ) ; +#953 = EDGE_LOOP ( 'NONE', ( #5606, #5607, #5608, #5609 ) ) ; +#954 = EDGE_LOOP ( 'NONE', ( #5610, #5611, #5612, #5613 ) ) ; +#955 = EDGE_LOOP ( 'NONE', ( #5626, #5627, #5628, #5629, #5630 ) ) ; +#956 = EDGE_LOOP ( 'NONE', ( #5623, #5624, #5625 ) ) ; +#957 = EDGE_LOOP ( 'NONE', ( #5618, #5619, #5620, #5621, #5622 ) ) ; +#958 = EDGE_LOOP ( 'NONE', ( #5614, #5615, #5616, #5617 ) ) ; +#959 = EDGE_LOOP ( 'NONE', ( #6246, #6247, #6248, #6249 ) ) ; +#960 = EDGE_LOOP ( 'NONE', ( #6242, #6243, #6244, #6245 ) ) ; +#961 = EDGE_LOOP ( 'NONE', ( #6218, #6219, #6220, #6221, #6222, #6223, #6224, #6225, #6226, #6227, #6228, #6229 ) ) ; +#962 = EDGE_LOOP ( 'NONE', ( #5631, #5632, #5633, #5634, #5635 ) ) ; +#963 = EDGE_LOOP ( 'NONE', ( #5597, #5598, #5599, #5600 ) ) ; +#964 = EDGE_LOOP ( 'NONE', ( #5585, #5586, #5587, #5588 ) ) ; +#965 = EDGE_LOOP ( 'NONE', ( #5589, #5590, #5591, #5592 ) ) ; +#966 = EDGE_LOOP ( 'NONE', ( #5593, #5594, #5595, #5596 ) ) ; +#967 = EDGE_LOOP ( 'NONE', ( #5551, #5552, #5553, #5554 ) ) ; +#968 = EDGE_LOOP ( 'NONE', ( #5531, #5532, #5533, #5534 ) ) ; +#969 = EDGE_LOOP ( 'NONE', ( #5547, #5548, #5549, #5550 ) ) ; +#970 = EDGE_LOOP ( 'NONE', ( #5555, #5556, #5557, #5558 ) ) ; +#971 = EDGE_LOOP ( 'NONE', ( #5539, #5540, #5541, #5542 ) ) ; +#972 = EDGE_LOOP ( 'NONE', ( #5519, #5520, #5521, #5522 ) ) ; +#973 = EDGE_LOOP ( 'NONE', ( #5567, #5568, #5569, #5570 ) ) ; +#974 = EDGE_LOOP ( 'NONE', ( #5503, #5504, #5505, #5506 ) ) ; +#975 = EDGE_LOOP ( 'NONE', ( #5527, #5528, #5529, #5530 ) ) ; +#976 = EDGE_LOOP ( 'NONE', ( #5581, #5582, #5583, #5584 ) ) ; +#977 = EDGE_LOOP ( 'NONE', ( #5571, #5572, #5573, #5574, #5575, #5576 ) ) ; +#978 = EDGE_LOOP ( 'NONE', ( #5559, #5560, #5561, #5562 ) ) ; +#979 = EDGE_LOOP ( 'NONE', ( #5563, #5564, #5565, #5566 ) ) ; +#980 = EDGE_LOOP ( 'NONE', ( #5577, #5578, #5579, #5580 ) ) ; +#981 = EDGE_LOOP ( 'NONE', ( #5523, #5524, #5525, #5526 ) ) ; +#982 = EDGE_LOOP ( 'NONE', ( #5535, #5536, #5537, #5538 ) ) ; +#983 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3165, 'distance_accuracy_value', 'NONE'); +#984 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3155, 'distance_accuracy_value', 'NONE'); +#985 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3135, 'distance_accuracy_value', 'NONE'); +#986 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3115, 'distance_accuracy_value', 'NONE'); +#987 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3145, 'distance_accuracy_value', 'NONE'); +#988 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3125, 'distance_accuracy_value', 'NONE'); +#989 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2977, 'distance_accuracy_value', 'NONE'); +#990 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2966, 'distance_accuracy_value', 'NONE'); +#991 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2956, 'distance_accuracy_value', 'NONE'); +#992 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2946, 'distance_accuracy_value', 'NONE'); +#993 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2935, 'distance_accuracy_value', 'NONE'); +#994 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2924, 'distance_accuracy_value', 'NONE'); +#995 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2914, 'distance_accuracy_value', 'NONE'); +#996 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2904, 'distance_accuracy_value', 'NONE'); +#997 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2893, 'distance_accuracy_value', 'NONE'); +#998 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2883, 'distance_accuracy_value', 'NONE'); +#999 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2872, 'distance_accuracy_value', 'NONE'); +#1000 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2862, 'distance_accuracy_value', 'NONE'); +#1001 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2852, 'distance_accuracy_value', 'NONE'); +#1002 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2842, 'distance_accuracy_value', 'NONE'); +#1003 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3043, 'distance_accuracy_value', 'NONE'); +#1004 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3032, 'distance_accuracy_value', 'NONE'); +#1005 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3021, 'distance_accuracy_value', 'NONE'); +#1006 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3010, 'distance_accuracy_value', 'NONE'); +#1007 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3054, 'distance_accuracy_value', 'NONE'); +#1008 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3105, 'distance_accuracy_value', 'NONE'); +#1009 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3095, 'distance_accuracy_value', 'NONE'); +#1010 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3084, 'distance_accuracy_value', 'NONE'); +#1011 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3074, 'distance_accuracy_value', 'NONE'); +#1012 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3064, 'distance_accuracy_value', 'NONE'); +#1013 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2999, 'distance_accuracy_value', 'NONE'); +#1014 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2988, 'distance_accuracy_value', 'NONE'); +#1015 = ORIENTED_EDGE ( 'NONE', *, *, #4081, .T. ) ; +#1016 = ORIENTED_EDGE ( 'NONE', *, *, #4200, .F. ) ; +#1017 = ORIENTED_EDGE ( 'NONE', *, *, #4224, .T. ) ; +#1018 = ORIENTED_EDGE ( 'NONE', *, *, #4081, .F. ) ; +#1019 = ORIENTED_EDGE ( 'NONE', *, *, #4201, .T. ) ; +#1020 = ORIENTED_EDGE ( 'NONE', *, *, #4215, .T. ) ; +#1021 = ORIENTED_EDGE ( 'NONE', *, *, #4086, .T. ) ; +#1022 = ORIENTED_EDGE ( 'NONE', *, *, #4102, .T. ) ; +#1023 = ORIENTED_EDGE ( 'NONE', *, *, #4077, .T. ) ; +#1024 = ORIENTED_EDGE ( 'NONE', *, *, #4099, .T. ) ; +#1025 = ORIENTED_EDGE ( 'NONE', *, *, #4087, .T. ) ; +#1026 = ORIENTED_EDGE ( 'NONE', *, *, #5800, .F. ) ; +#1027 = ORIENTED_EDGE ( 'NONE', *, *, #4069, .F. ) ; +#1028 = ORIENTED_EDGE ( 'NONE', *, *, #4072, .T. ) ; +#1029 = ORIENTED_EDGE ( 'NONE', *, *, #5801, .F. ) ; +#1030 = ORIENTED_EDGE ( 'NONE', *, *, #4098, .T. ) ; +#1031 = ORIENTED_EDGE ( 'NONE', *, *, #4240, .F. ) ; +#1032 = ORIENTED_EDGE ( 'NONE', *, *, #4227, .T. ) ; +#1033 = ORIENTED_EDGE ( 'NONE', *, *, #4195, .T. ) ; +#1034 = ORIENTED_EDGE ( 'NONE', *, *, #4204, .T. ) ; +#1035 = ORIENTED_EDGE ( 'NONE', *, *, #4235, .T. ) ; +#1036 = ORIENTED_EDGE ( 'NONE', *, *, #4213, .F. ) ; +#1037 = ORIENTED_EDGE ( 'NONE', *, *, #4193, .F. ) ; +#1038 = ORIENTED_EDGE ( 'NONE', *, *, #4093, .T. ) ; +#1039 = ORIENTED_EDGE ( 'NONE', *, *, #4188, .T. ) ; +#1040 = ORIENTED_EDGE ( 'NONE', *, *, #4076, .F. ) ; +#1041 = ORIENTED_EDGE ( 'NONE', *, *, #4196, .T. ) ; +#1042 = ORIENTED_EDGE ( 'NONE', *, *, #4103, .T. ) ; +#1043 = ORIENTED_EDGE ( 'NONE', *, *, #4088, .F. ) ; +#1044 = ORIENTED_EDGE ( 'NONE', *, *, #5796, .T. ) ; +#1045 = ORIENTED_EDGE ( 'NONE', *, *, #4222, .T. ) ; +#1046 = ORIENTED_EDGE ( 'NONE', *, *, #4071, .T. ) ; +#1047 = ORIENTED_EDGE ( 'NONE', *, *, #4089, .T. ) ; +#1048 = ORIENTED_EDGE ( 'NONE', *, *, #4100, .F. ) ; +#1049 = ORIENTED_EDGE ( 'NONE', *, *, #5797, .T. ) ; +#1050 = ORIENTED_EDGE ( 'NONE', *, *, #4085, .T. ) ; +#1051 = ORIENTED_EDGE ( 'NONE', *, *, #4194, .F. ) ; +#1052 = ORIENTED_EDGE ( 'NONE', *, *, #4069, .T. ) ; +#1053 = ORIENTED_EDGE ( 'NONE', *, *, #4097, .T. ) ; +#1054 = ORIENTED_EDGE ( 'NONE', *, *, #4101, .F. ) ; +#1055 = ORIENTED_EDGE ( 'NONE', *, *, #5795, .F. ) ; +#1056 = ORIENTED_EDGE ( 'NONE', *, *, #4078, .T. ) ; +#1057 = ORIENTED_EDGE ( 'NONE', *, *, #4090, .T. ) ; +#1058 = ORIENTED_EDGE ( 'NONE', *, *, #4089, .F. ) ; +#1059 = ORIENTED_EDGE ( 'NONE', *, *, #4192, .F. ) ; +#1060 = ORIENTED_EDGE ( 'NONE', *, *, #4080, .F. ) ; +#1061 = ORIENTED_EDGE ( 'NONE', *, *, #4198, .T. ) ; +#1062 = ORIENTED_EDGE ( 'NONE', *, *, #4077, .F. ) ; +#1063 = ORIENTED_EDGE ( 'NONE', *, *, #4073, .F. ) ; +#1064 = ORIENTED_EDGE ( 'NONE', *, *, #4067, .F. ) ; +#1065 = ORIENTED_EDGE ( 'NONE', *, *, #4085, .F. ) ; +#1066 = ORIENTED_EDGE ( 'NONE', *, *, #5791, .T. ) ; +#1067 = ORIENTED_EDGE ( 'NONE', *, *, #5779, .F. ) ; +#1068 = ORIENTED_EDGE ( 'NONE', *, *, #4071, .F. ) ; +#1069 = ORIENTED_EDGE ( 'NONE', *, *, #4094, .T. ) ; +#1070 = ORIENTED_EDGE ( 'NONE', *, *, #4189, .T. ) ; +#1071 = ORIENTED_EDGE ( 'NONE', *, *, #4104, .F. ) ; +#1072 = ORIENTED_EDGE ( 'NONE', *, *, #4079, .F. ) ; +#1073 = ORIENTED_EDGE ( 'NONE', *, *, #4091, .T. ) ; +#1074 = ORIENTED_EDGE ( 'NONE', *, *, #4202, .T. ) ; +#1075 = ORIENTED_EDGE ( 'NONE', *, *, #4092, .F. ) ; +#1076 = ORIENTED_EDGE ( 'NONE', *, *, #4095, .T. ) ; +#1077 = ORIENTED_EDGE ( 'NONE', *, *, #5799, .F. ) ; +#1078 = ORIENTED_EDGE ( 'NONE', *, *, #4070, .F. ) ; +#1079 = ORIENTED_EDGE ( 'NONE', *, *, #4074, .T. ) ; +#1080 = ORIENTED_EDGE ( 'NONE', *, *, #4237, .T. ) ; +#1081 = ORIENTED_EDGE ( 'NONE', *, *, #4225, .T. ) ; +#1082 = ORIENTED_EDGE ( 'NONE', *, *, #4214, .T. ) ; +#1083 = ORIENTED_EDGE ( 'NONE', *, *, #4191, .F. ) ; +#1084 = ORIENTED_EDGE ( 'NONE', *, *, #4096, .F. ) ; +#1085 = ORIENTED_EDGE ( 'NONE', *, *, #4101, .T. ) ; +#1086 = ORIENTED_EDGE ( 'NONE', *, *, #4197, .F. ) ; +#1087 = ORIENTED_EDGE ( 'NONE', *, *, #5798, .T. ) ; +#1088 = ORIENTED_EDGE ( 'NONE', *, *, #4082, .T. ) ; +#1089 = ORIENTED_EDGE ( 'NONE', *, *, #4075, .F. ) ; +#1090 = ORIENTED_EDGE ( 'NONE', *, *, #4228, .F. ) ; +#1091 = ORIENTED_EDGE ( 'NONE', *, *, #4062, .T. ) ; +#1092 = ORIENTED_EDGE ( 'NONE', *, *, #4068, .F. ) ; +#1093 = ORIENTED_EDGE ( 'NONE', *, *, #4199, .T. ) ; +#1094 = ORIENTED_EDGE ( 'NONE', *, *, #4216, .T. ) ; +#1095 = ORIENTED_EDGE ( 'NONE', *, *, #4097, .F. ) ; +#1096 = ORIENTED_EDGE ( 'NONE', *, *, #4190, .T. ) ; +#1097 = ORIENTED_EDGE ( 'NONE', *, *, #4093, .F. ) ; +#1098 = ORIENTED_EDGE ( 'NONE', *, *, #4221, .F. ) ; +#1099 = ORIENTED_EDGE ( 'NONE', *, *, #4236, .T. ) ; +#1100 = ORIENTED_EDGE ( 'NONE', *, *, #4223, .F. ) ; +#1101 = ORIENTED_EDGE ( 'NONE', *, *, #5801, .T. ) ; +#1102 = ORIENTED_EDGE ( 'NONE', *, *, #4238, .T. ) ; +#1103 = ORIENTED_EDGE ( 'NONE', *, *, #4233, .T. ) ; +#1104 = ORIENTED_EDGE ( 'NONE', *, *, #4219, .F. ) ; +#1105 = ORIENTED_EDGE ( 'NONE', *, *, #5799, .T. ) ; +#1106 = ORIENTED_EDGE ( 'NONE', *, *, #4226, .T. ) ; +#1107 = ORIENTED_EDGE ( 'NONE', *, *, #4234, .T. ) ; +#1108 = ORIENTED_EDGE ( 'NONE', *, *, #4239, .T. ) ; +#1109 = ORIENTED_EDGE ( 'NONE', *, *, #4232, .T. ) ; +#1110 = ORIENTED_EDGE ( 'NONE', *, *, #4231, .T. ) ; +#1111 = ORIENTED_EDGE ( 'NONE', *, *, #4230, .T. ) ; +#1112 = ORIENTED_EDGE ( 'NONE', *, *, #4220, .T. ) ; +#1113 = ORIENTED_EDGE ( 'NONE', *, *, #4218, .T. ) ; +#1114 = ORIENTED_EDGE ( 'NONE', *, *, #4217, .T. ) ; +#1115 = ORIENTED_EDGE ( 'NONE', *, *, #4229, .T. ) ; +#1116 = ORIENTED_EDGE ( 'NONE', *, *, #4241, .T. ) ; +#1117 = ORIENTED_EDGE ( 'NONE', *, *, #4212, .T. ) ; +#1118 = ORIENTED_EDGE ( 'NONE', *, *, #5667, .T. ) ; +#1119 = ORIENTED_EDGE ( 'NONE', *, *, #5669, .T. ) ; +#1120 = ORIENTED_EDGE ( 'NONE', *, *, #5674, .T. ) ; +#1121 = ORIENTED_EDGE ( 'NONE', *, *, #5673, .T. ) ; +#1122 = ORIENTED_EDGE ( 'NONE', *, *, #5671, .T. ) ; +#1123 = ORIENTED_EDGE ( 'NONE', *, *, #5675, .T. ) ; +#1124 = ORIENTED_EDGE ( 'NONE', *, *, #5670, .T. ) ; +#1125 = ORIENTED_EDGE ( 'NONE', *, *, #5794, .T. ) ; +#1126 = ORIENTED_EDGE ( 'NONE', *, *, #5678, .T. ) ; +#1127 = ORIENTED_EDGE ( 'NONE', *, *, #5666, .T. ) ; +#1128 = ORIENTED_EDGE ( 'NONE', *, *, #5672, .F. ) ; +#1129 = ORIENTED_EDGE ( 'NONE', *, *, #5676, .F. ) ; +#1130 = ORIENTED_EDGE ( 'NONE', *, *, #5677, .F. ) ; +#1131 = ORIENTED_EDGE ( 'NONE', *, *, #5668, .F. ) ; +#1132 = ORIENTED_EDGE ( 'NONE', *, *, #5665, .F. ) ; +#1133 = ORIENTED_EDGE ( 'NONE', *, *, #5664, .T. ) ; +#1134 = ORIENTED_EDGE ( 'NONE', *, *, #4548, .F. ) ; +#1135 = ORIENTED_EDGE ( 'NONE', *, *, #4547, .F. ) ; +#1136 = ORIENTED_EDGE ( 'NONE', *, *, #4546, .F. ) ; +#1137 = ORIENTED_EDGE ( 'NONE', *, *, #4545, .F. ) ; +#1138 = ORIENTED_EDGE ( 'NONE', *, *, #4544, .F. ) ; +#1139 = ORIENTED_EDGE ( 'NONE', *, *, #4539, .F. ) ; +#1140 = ORIENTED_EDGE ( 'NONE', *, *, #4540, .F. ) ; +#1141 = ORIENTED_EDGE ( 'NONE', *, *, #4543, .T. ) ; +#1142 = ORIENTED_EDGE ( 'NONE', *, *, #4538, .F. ) ; +#1143 = ORIENTED_EDGE ( 'NONE', *, *, #4542, .F. ) ; +#1144 = ORIENTED_EDGE ( 'NONE', *, *, #4541, .F. ) ; +#1145 = ORIENTED_EDGE ( 'NONE', *, *, #4537, .F. ) ; +#1146 = ORIENTED_EDGE ( 'NONE', *, *, #4536, .F. ) ; +#1147 = ORIENTED_EDGE ( 'NONE', *, *, #4535, .F. ) ; +#1148 = ORIENTED_EDGE ( 'NONE', *, *, #4534, .F. ) ; +#1149 = ORIENTED_EDGE ( 'NONE', *, *, #5682, .F. ) ; +#1150 = ORIENTED_EDGE ( 'NONE', *, *, #5687, .F. ) ; +#1151 = ORIENTED_EDGE ( 'NONE', *, *, #5686, .F. ) ; +#1152 = ORIENTED_EDGE ( 'NONE', *, *, #5683, .F. ) ; +#1153 = ORIENTED_EDGE ( 'NONE', *, *, #5688, .F. ) ; +#1154 = ORIENTED_EDGE ( 'NONE', *, *, #5691, .F. ) ; +#1155 = ORIENTED_EDGE ( 'NONE', *, *, #5690, .T. ) ; +#1156 = ORIENTED_EDGE ( 'NONE', *, *, #5684, .F. ) ; +#1157 = ORIENTED_EDGE ( 'NONE', *, *, #5692, .F. ) ; +#1158 = ORIENTED_EDGE ( 'NONE', *, *, #4538, .T. ) ; +#1159 = ORIENTED_EDGE ( 'NONE', *, *, #5689, .F. ) ; +#1160 = ORIENTED_EDGE ( 'NONE', *, *, #4210, .F. ) ; +#1161 = ORIENTED_EDGE ( 'NONE', *, *, #4211, .T. ) ; +#1162 = ORIENTED_EDGE ( 'NONE', *, *, #4205, .F. ) ; +#1163 = ORIENTED_EDGE ( 'NONE', *, *, #5692, .T. ) ; +#1164 = ORIENTED_EDGE ( 'NONE', *, *, #4207, .F. ) ; +#1165 = ORIENTED_EDGE ( 'NONE', *, *, #4206, .F. ) ; +#1166 = ORIENTED_EDGE ( 'NONE', *, *, #5689, .T. ) ; +#1167 = ORIENTED_EDGE ( 'NONE', *, *, #4543, .F. ) ; +#1168 = ORIENTED_EDGE ( 'NONE', *, *, #4549, .F. ) ; +#1169 = ORIENTED_EDGE ( 'NONE', *, *, #5664, .F. ) ; +#1170 = ORIENTED_EDGE ( 'NONE', *, *, #4209, .F. ) ; +#1171 = ORIENTED_EDGE ( 'NONE', *, *, #5693, .F. ) ; +#1172 = ORIENTED_EDGE ( 'NONE', *, *, #5685, .T. ) ; +#1173 = ORIENTED_EDGE ( 'NONE', *, *, #5686, .T. ) ; +#1174 = ORIENTED_EDGE ( 'NONE', *, *, #4209, .T. ) ; +#1175 = ORIENTED_EDGE ( 'NONE', *, *, #5665, .T. ) ; +#1176 = ORIENTED_EDGE ( 'NONE', *, *, #5681, .F. ) ; +#1177 = ORIENTED_EDGE ( 'NONE', *, *, #4066, .F. ) ; +#1178 = ORIENTED_EDGE ( 'NONE', *, *, #5679, .F. ) ; +#1179 = ORIENTED_EDGE ( 'NONE', *, *, #4208, .T. ) ; +#1180 = ORIENTED_EDGE ( 'NONE', *, *, #4550, .F. ) ; +#1181 = ORIENTED_EDGE ( 'NONE', *, *, #4242, .F. ) ; +#1182 = ORIENTED_EDGE ( 'NONE', *, *, #4247, .F. ) ; +#1183 = ORIENTED_EDGE ( 'NONE', *, *, #4246, .T. ) ; +#1184 = ORIENTED_EDGE ( 'NONE', *, *, #4243, .F. ) ; +#1185 = ORIENTED_EDGE ( 'NONE', *, *, #4208, .F. ) ; +#1186 = ORIENTED_EDGE ( 'NONE', *, *, #4250, .F. ) ; +#1187 = ORIENTED_EDGE ( 'NONE', *, *, #4249, .T. ) ; +#1188 = ORIENTED_EDGE ( 'NONE', *, *, #4245, .T. ) ; +#1189 = ORIENTED_EDGE ( 'NONE', *, *, #4246, .F. ) ; +#1190 = ORIENTED_EDGE ( 'NONE', *, *, #4253, .F. ) ; +#1191 = ORIENTED_EDGE ( 'NONE', *, *, #5688, .T. ) ; +#1192 = ORIENTED_EDGE ( 'NONE', *, *, #4244, .T. ) ; +#1193 = ORIENTED_EDGE ( 'NONE', *, *, #4251, .F. ) ; +#1194 = ORIENTED_EDGE ( 'NONE', *, *, #4249, .F. ) ; +#1195 = ORIENTED_EDGE ( 'NONE', *, *, #4256, .F. ) ; +#1196 = ORIENTED_EDGE ( 'NONE', *, *, #4255, .T. ) ; +#1197 = ORIENTED_EDGE ( 'NONE', *, *, #4248, .F. ) ; +#1198 = ORIENTED_EDGE ( 'NONE', *, *, #4257, .F. ) ; +#1199 = ORIENTED_EDGE ( 'NONE', *, *, #5690, .F. ) ; +#1200 = ORIENTED_EDGE ( 'NONE', *, *, #4260, .F. ) ; +#1201 = ORIENTED_EDGE ( 'NONE', *, *, #4259, .T. ) ; +#1202 = ORIENTED_EDGE ( 'NONE', *, *, #4258, .T. ) ; +#1203 = ORIENTED_EDGE ( 'NONE', *, *, #4255, .F. ) ; +#1204 = ORIENTED_EDGE ( 'NONE', *, *, #4263, .F. ) ; +#1205 = ORIENTED_EDGE ( 'NONE', *, *, #4262, .T. ) ; +#1206 = ORIENTED_EDGE ( 'NONE', *, *, #4254, .F. ) ; +#1207 = ORIENTED_EDGE ( 'NONE', *, *, #4259, .F. ) ; +#1208 = ORIENTED_EDGE ( 'NONE', *, *, #4266, .F. ) ; +#1209 = ORIENTED_EDGE ( 'NONE', *, *, #4265, .F. ) ; +#1210 = ORIENTED_EDGE ( 'NONE', *, *, #4252, .F. ) ; +#1211 = ORIENTED_EDGE ( 'NONE', *, *, #4262, .F. ) ; +#1212 = ORIENTED_EDGE ( 'NONE', *, *, #4269, .T. ) ; +#1213 = ORIENTED_EDGE ( 'NONE', *, *, #4268, .T. ) ; +#1214 = ORIENTED_EDGE ( 'NONE', *, *, #4261, .T. ) ; +#1215 = ORIENTED_EDGE ( 'NONE', *, *, #4270, .F. ) ; +#1216 = ORIENTED_EDGE ( 'NONE', *, *, #4273, .F. ) ; +#1217 = ORIENTED_EDGE ( 'NONE', *, *, #4272, .F. ) ; +#1218 = ORIENTED_EDGE ( 'NONE', *, *, #4267, .F. ) ; +#1219 = ORIENTED_EDGE ( 'NONE', *, *, #4274, .F. ) ; +#1220 = ORIENTED_EDGE ( 'NONE', *, *, #4277, .F. ) ; +#1221 = ORIENTED_EDGE ( 'NONE', *, *, #4276, .T. ) ; +#1222 = ORIENTED_EDGE ( 'NONE', *, *, #4264, .F. ) ; +#1223 = ORIENTED_EDGE ( 'NONE', *, *, #4278, .F. ) ; +#1224 = ORIENTED_EDGE ( 'NONE', *, *, #4281, .T. ) ; +#1225 = ORIENTED_EDGE ( 'NONE', *, *, #4280, .T. ) ; +#1226 = ORIENTED_EDGE ( 'NONE', *, *, #4271, .T. ) ; +#1227 = ORIENTED_EDGE ( 'NONE', *, *, #4282, .T. ) ; +#1228 = ORIENTED_EDGE ( 'NONE', *, *, #4285, .T. ) ; +#1229 = ORIENTED_EDGE ( 'NONE', *, *, #4248, .T. ) ; +#1230 = ORIENTED_EDGE ( 'NONE', *, *, #4275, .T. ) ; +#1231 = ORIENTED_EDGE ( 'NONE', *, *, #4287, .T. ) ; +#1232 = ORIENTED_EDGE ( 'NONE', *, *, #4550, .T. ) ; +#1233 = ORIENTED_EDGE ( 'NONE', *, *, #4243, .T. ) ; +#1234 = ORIENTED_EDGE ( 'NONE', *, *, #4279, .F. ) ; +#1235 = ORIENTED_EDGE ( 'NONE', *, *, #4284, .F. ) ; +#1236 = ORIENTED_EDGE ( 'NONE', *, *, #4290, .F. ) ; +#1237 = ORIENTED_EDGE ( 'NONE', *, *, #4244, .F. ) ; +#1238 = ORIENTED_EDGE ( 'NONE', *, *, #4283, .F. ) ; +#1239 = ORIENTED_EDGE ( 'NONE', *, *, #4288, .T. ) ; +#1240 = ORIENTED_EDGE ( 'NONE', *, *, #4289, .T. ) ; +#1241 = ORIENTED_EDGE ( 'NONE', *, *, #4294, .T. ) ; +#1242 = ORIENTED_EDGE ( 'NONE', *, *, #4293, .F. ) ; +#1243 = ORIENTED_EDGE ( 'NONE', *, *, #4257, .T. ) ; +#1244 = ORIENTED_EDGE ( 'NONE', *, *, #4285, .F. ) ; +#1245 = ORIENTED_EDGE ( 'NONE', *, *, #4295, .T. ) ; +#1246 = ORIENTED_EDGE ( 'NONE', *, *, #4297, .T. ) ; +#1247 = ORIENTED_EDGE ( 'NONE', *, *, #4296, .T. ) ; +#1248 = ORIENTED_EDGE ( 'NONE', *, *, #4292, .F. ) ; +#1249 = ORIENTED_EDGE ( 'NONE', *, *, #4298, .T. ) ; +#1250 = ORIENTED_EDGE ( 'NONE', *, *, #4291, .T. ) ; +#1251 = ORIENTED_EDGE ( 'NONE', *, *, #4286, .T. ) ; +#1252 = ORIENTED_EDGE ( 'NONE', *, *, #4299, .T. ) ; +#1253 = ORIENTED_EDGE ( 'NONE', *, *, #4300, .T. ) ; +#1254 = ORIENTED_EDGE ( 'NONE', *, *, #4305, .T. ) ; +#1255 = ORIENTED_EDGE ( 'NONE', *, *, #4304, .T. ) ; +#1256 = ORIENTED_EDGE ( 'NONE', *, *, #4301, .T. ) ; +#1257 = ORIENTED_EDGE ( 'NONE', *, *, #4306, .T. ) ; +#1258 = ORIENTED_EDGE ( 'NONE', *, *, #4302, .T. ) ; +#1259 = ORIENTED_EDGE ( 'NONE', *, *, #4303, .T. ) ; +#1260 = ORIENTED_EDGE ( 'NONE', *, *, #4307, .T. ) ; +#1261 = ORIENTED_EDGE ( 'NONE', *, *, #4308, .T. ) ; +#1262 = ORIENTED_EDGE ( 'NONE', *, *, #4309, .T. ) ; +#1263 = ORIENTED_EDGE ( 'NONE', *, *, #4310, .T. ) ; +#1264 = ORIENTED_EDGE ( 'NONE', *, *, #4311, .T. ) ; +#1265 = ORIENTED_EDGE ( 'NONE', *, *, #4312, .T. ) ; +#1266 = ORIENTED_EDGE ( 'NONE', *, *, #4313, .T. ) ; +#1267 = ORIENTED_EDGE ( 'NONE', *, *, #4314, .F. ) ; +#1268 = ORIENTED_EDGE ( 'NONE', *, *, #4315, .T. ) ; +#1269 = ORIENTED_EDGE ( 'NONE', *, *, #4316, .T. ) ; +#1270 = ORIENTED_EDGE ( 'NONE', *, *, #4317, .T. ) ; +#1271 = ORIENTED_EDGE ( 'NONE', *, *, #4318, .T. ) ; +#1272 = ORIENTED_EDGE ( 'NONE', *, *, #4319, .T. ) ; +#1273 = ORIENTED_EDGE ( 'NONE', *, *, #4320, .T. ) ; +#1274 = ORIENTED_EDGE ( 'NONE', *, *, #4321, .T. ) ; +#1275 = ORIENTED_EDGE ( 'NONE', *, *, #4322, .T. ) ; +#1276 = ORIENTED_EDGE ( 'NONE', *, *, #4323, .T. ) ; +#1277 = ORIENTED_EDGE ( 'NONE', *, *, #4324, .T. ) ; +#1278 = ORIENTED_EDGE ( 'NONE', *, *, #4325, .T. ) ; +#1279 = ORIENTED_EDGE ( 'NONE', *, *, #4326, .F. ) ; +#1280 = ORIENTED_EDGE ( 'NONE', *, *, #4327, .T. ) ; +#1281 = ORIENTED_EDGE ( 'NONE', *, *, #4332, .T. ) ; +#1282 = ORIENTED_EDGE ( 'NONE', *, *, #4331, .T. ) ; +#1283 = ORIENTED_EDGE ( 'NONE', *, *, #4328, .F. ) ; +#1284 = ORIENTED_EDGE ( 'NONE', *, *, #4333, .T. ) ; +#1285 = ORIENTED_EDGE ( 'NONE', *, *, #4329, .T. ) ; +#1286 = ORIENTED_EDGE ( 'NONE', *, *, #4330, .F. ) ; +#1287 = ORIENTED_EDGE ( 'NONE', *, *, #4334, .F. ) ; +#1288 = ORIENTED_EDGE ( 'NONE', *, *, #4335, .T. ) ; +#1289 = ORIENTED_EDGE ( 'NONE', *, *, #4336, .F. ) ; +#1290 = ORIENTED_EDGE ( 'NONE', *, *, #4337, .T. ) ; +#1291 = ORIENTED_EDGE ( 'NONE', *, *, #4338, .T. ) ; +#1292 = ORIENTED_EDGE ( 'NONE', *, *, #4339, .T. ) ; +#1293 = ORIENTED_EDGE ( 'NONE', *, *, #4340, .F. ) ; +#1294 = ORIENTED_EDGE ( 'NONE', *, *, #4341, .T. ) ; +#1295 = ORIENTED_EDGE ( 'NONE', *, *, #4342, .T. ) ; +#1296 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#1297 = CLOSED_SHELL ( 'NONE', ( #4908, #4909, #4949, #4946, #4944, #4905, #4906, #4907, #4105, #4106, #4107, #4108, #4109, #4110, #4111, #4112, #4113, #4114, #4115, #4116, #4117, #4118, #4119, #4910, #4911, #4912, #4913, #4914, #4915, #4916, #4917, #4918, #4919, #4920, #4921, #4922, #4797, #4798, #4799, #4800, #4801, #4802, #4803, #4804, #4805, #4806, #4807, #4808, #4809, #4810, #4811, #4812, #4120, #4121, #4122, #4123, #4124, #4125, #4126, #4127, #4128, #4129, #4130, #4131, #4132, #4133, #4134, #4135, #4136, #4137, #4138, #4139, #4140, #4141, #4142, #4143, #4144, #4145, #4146, #4147, #4148, #4149, #4150, #4151, #4152, #4153, #4154, #4155, #4156, #4157, #4158, #4159, #4160, #4161, #4162, #4163, #4164, #4165, #4166, #4167, #4168, #4169, #4170, #4171, #4172, #4173, #4174, #4175, #4176, #4177, #4178, #4179, #4180, #4181, #4182, #4183, #4184, #4185, #4186, #4187, #4772, #4773, #4774, #4775, #4776, #4777, #4778, #4779, #4780, #4781, #4782, #4783, #4784, #4785, #4786, #4787, #4788, #4789, #4790, #4791, #4792, #4793, #4794, #4795, #4796, #4813, #4814, #4815, #4816, #4817, #4818, #4819, #4820, #4821, #4822, #4823, #4824, #4825, #4826, #4827, #4828, #4829, #4830, #4831, #4832, #4833, #4834, #4835, #4836, #4837, #4838, #4839, #4840, #4841, #4842, #4843, #4844, #4845, #4846, #4847, #4848, #4849, #4850, #4851, #4852, #4853, #4854, #4855, #4856, #4857, #4858, #4859, #4860, #4861, #4862, #4863, #4864, #4865, #4866, #4867, #4868, #4869, #4870, #4871, #4872, #4873, #4874, #4875, #4876, #4877, #4878, #4879, #4880, #4881, #4882, #4883, #4884, #4885, #4886, #4887, #4888, #4889, #4890, #4891, #4892, #4893, #4894, #4895, #4896, #4897, #4898, #4899, #4900, #4901, #4902, #4903, #4904, #4923, #4924, #4925, #4926, #4927, #4928, #4929, #4930, #4931, #4932, #4933, #4934, #4935, #4936, #4937, #4938, #4939, #4940, #4941, #4942, #4943, #4945, #4947, #4948, #4950, #4951, #4952, #4953, #4954 ) ) ; +#1298 = ORIENTED_EDGE ( 'NONE', *, *, #5725, .F. ) ; +#1299 = ORIENTED_EDGE ( 'NONE', *, *, #5724, .F. ) ; +#1300 = ORIENTED_EDGE ( 'NONE', *, *, #5723, .F. ) ; +#1301 = ORIENTED_EDGE ( 'NONE', *, *, #5726, .F. ) ; +#1302 = ORIENTED_EDGE ( 'NONE', *, *, #5727, .F. ) ; +#1303 = ORIENTED_EDGE ( 'NONE', *, *, #5729, .F. ) ; +#1304 = ORIENTED_EDGE ( 'NONE', *, *, #5728, .F. ) ; +#1305 = ORIENTED_EDGE ( 'NONE', *, *, #5731, .F. ) ; +#1306 = ORIENTED_EDGE ( 'NONE', *, *, #5704, .F. ) ; +#1307 = ORIENTED_EDGE ( 'NONE', *, *, #5699, .T. ) ; +#1308 = ORIENTED_EDGE ( 'NONE', *, *, #5700, .T. ) ; +#1309 = ORIENTED_EDGE ( 'NONE', *, *, #5702, .T. ) ; +#1310 = ORIENTED_EDGE ( 'NONE', *, *, #5709, .T. ) ; +#1311 = ORIENTED_EDGE ( 'NONE', *, *, #5696, .F. ) ; +#1312 = ORIENTED_EDGE ( 'NONE', *, *, #5730, .T. ) ; +#1313 = ORIENTED_EDGE ( 'NONE', *, *, #5697, .T. ) ; +#1314 = ORIENTED_EDGE ( 'NONE', *, *, #5695, .T. ) ; +#1315 = ORIENTED_EDGE ( 'NONE', *, *, #5733, .F. ) ; +#1316 = ORIENTED_EDGE ( 'NONE', *, *, #5694, .T. ) ; +#1317 = ORIENTED_EDGE ( 'NONE', *, *, #5719, .T. ) ; +#1318 = ORIENTED_EDGE ( 'NONE', *, *, #5708, .T. ) ; +#1319 = ORIENTED_EDGE ( 'NONE', *, *, #5701, .F. ) ; +#1320 = ORIENTED_EDGE ( 'NONE', *, *, #5720, .F. ) ; +#1321 = ORIENTED_EDGE ( 'NONE', *, *, #5718, .T. ) ; +#1322 = ORIENTED_EDGE ( 'NONE', *, *, #5695, .F. ) ; +#1323 = ORIENTED_EDGE ( 'NONE', *, *, #5721, .F. ) ; +#1324 = ORIENTED_EDGE ( 'NONE', *, *, #5708, .F. ) ; +#1325 = ORIENTED_EDGE ( 'NONE', *, *, #5698, .F. ) ; +#1326 = ORIENTED_EDGE ( 'NONE', *, *, #5712, .T. ) ; +#1327 = ORIENTED_EDGE ( 'NONE', *, *, #5717, .F. ) ; +#1328 = ORIENTED_EDGE ( 'NONE', *, *, #5716, .F. ) ; +#1329 = ORIENTED_EDGE ( 'NONE', *, *, #5715, .F. ) ; +#1330 = ORIENTED_EDGE ( 'NONE', *, *, #5706, .F. ) ; +#1331 = ORIENTED_EDGE ( 'NONE', *, *, #5710, .F. ) ; +#1332 = ORIENTED_EDGE ( 'NONE', *, *, #5703, .F. ) ; +#1333 = ORIENTED_EDGE ( 'NONE', *, *, #5705, .F. ) ; +#1334 = ORIENTED_EDGE ( 'NONE', *, *, #5707, .F. ) ; +#1335 = ORIENTED_EDGE ( 'NONE', *, *, #5722, .T. ) ; +#1336 = ORIENTED_EDGE ( 'NONE', *, *, #5714, .T. ) ; +#1337 = ORIENTED_EDGE ( 'NONE', *, *, #5711, .F. ) ; +#1338 = ORIENTED_EDGE ( 'NONE', *, *, #5770, .F. ) ; +#1339 = ORIENTED_EDGE ( 'NONE', *, *, #5767, .F. ) ; +#1340 = ORIENTED_EDGE ( 'NONE', *, *, #5768, .F. ) ; +#1341 = ORIENTED_EDGE ( 'NONE', *, *, #5769, .F. ) ; +#1342 = ORIENTED_EDGE ( 'NONE', *, *, #5764, .F. ) ; +#1343 = ORIENTED_EDGE ( 'NONE', *, *, #5765, .F. ) ; +#1344 = ORIENTED_EDGE ( 'NONE', *, *, #5766, .F. ) ; +#1345 = ORIENTED_EDGE ( 'NONE', *, *, #5763, .F. ) ; +#1346 = ORIENTED_EDGE ( 'NONE', *, *, #5762, .F. ) ; +#1347 = ORIENTED_EDGE ( 'NONE', *, *, #5760, .F. ) ; +#1348 = ORIENTED_EDGE ( 'NONE', *, *, #5761, .F. ) ; +#1349 = ORIENTED_EDGE ( 'NONE', *, *, #5759, .T. ) ; +#1350 = ORIENTED_EDGE ( 'NONE', *, *, #5758, .T. ) ; +#1351 = ORIENTED_EDGE ( 'NONE', *, *, #5757, .F. ) ; +#1352 = ORIENTED_EDGE ( 'NONE', *, *, #5756, .T. ) ; +#1353 = ORIENTED_EDGE ( 'NONE', *, *, #5755, .F. ) ; +#1354 = ORIENTED_EDGE ( 'NONE', *, *, #5771, .F. ) ; +#1355 = ORIENTED_EDGE ( 'NONE', *, *, #5772, .F. ) ; +#1356 = ORIENTED_EDGE ( 'NONE', *, *, #5773, .F. ) ; +#1357 = ORIENTED_EDGE ( 'NONE', *, *, #5784, .F. ) ; +#1358 = ORIENTED_EDGE ( 'NONE', *, *, #5785, .F. ) ; +#1359 = ORIENTED_EDGE ( 'NONE', *, *, #5783, .T. ) ; +#1360 = ORIENTED_EDGE ( 'NONE', *, *, #5782, .T. ) ; +#1361 = ORIENTED_EDGE ( 'NONE', *, *, #5778, .T. ) ; +#1362 = ORIENTED_EDGE ( 'NONE', *, *, #5781, .T. ) ; +#1363 = ORIENTED_EDGE ( 'NONE', *, *, #5777, .T. ) ; +#1364 = ORIENTED_EDGE ( 'NONE', *, *, #5776, .T. ) ; +#1365 = ORIENTED_EDGE ( 'NONE', *, *, #5779, .T. ) ; +#1366 = ORIENTED_EDGE ( 'NONE', *, *, #5780, .T. ) ; +#1367 = ORIENTED_EDGE ( 'NONE', *, *, #5775, .F. ) ; +#1368 = ORIENTED_EDGE ( 'NONE', *, *, #5774, .F. ) ; +#1369 = ORIENTED_EDGE ( 'NONE', *, *, #5754, .T. ) ; +#1370 = ORIENTED_EDGE ( 'NONE', *, *, #5753, .F. ) ; +#1371 = ORIENTED_EDGE ( 'NONE', *, *, #5752, .T. ) ; +#1372 = ORIENTED_EDGE ( 'NONE', *, *, #5751, .T. ) ; +#1373 = ORIENTED_EDGE ( 'NONE', *, *, #5750, .T. ) ; +#1374 = ORIENTED_EDGE ( 'NONE', *, *, #5748, .F. ) ; +#1375 = ORIENTED_EDGE ( 'NONE', *, *, #5732, .T. ) ; +#1376 = ORIENTED_EDGE ( 'NONE', *, *, #5787, .F. ) ; +#1377 = ORIENTED_EDGE ( 'NONE', *, *, #5694, .F. ) ; +#1378 = ORIENTED_EDGE ( 'NONE', *, *, #5791, .F. ) ; +#1379 = ORIENTED_EDGE ( 'NONE', *, *, #5789, .T. ) ; +#1380 = ORIENTED_EDGE ( 'NONE', *, *, #5790, .F. ) ; +#1381 = ORIENTED_EDGE ( 'NONE', *, *, #5786, .F. ) ; +#1382 = ORIENTED_EDGE ( 'NONE', *, *, #5792, .F. ) ; +#1383 = ORIENTED_EDGE ( 'NONE', *, *, #5730, .F. ) ; +#1384 = ORIENTED_EDGE ( 'NONE', *, *, #5793, .F. ) ; +#1385 = ORIENTED_EDGE ( 'NONE', *, *, #5743, .F. ) ; +#1386 = ORIENTED_EDGE ( 'NONE', *, *, #5749, .T. ) ; +#1387 = ORIENTED_EDGE ( 'NONE', *, *, #5744, .F. ) ; +#1388 = ORIENTED_EDGE ( 'NONE', *, *, #5747, .T. ) ; +#1389 = ORIENTED_EDGE ( 'NONE', *, *, #5742, .T. ) ; +#1390 = ORIENTED_EDGE ( 'NONE', *, *, #5745, .T. ) ; +#1391 = ORIENTED_EDGE ( 'NONE', *, *, #5746, .T. ) ; +#1392 = ORIENTED_EDGE ( 'NONE', *, *, #5741, .T. ) ; +#1393 = ORIENTED_EDGE ( 'NONE', *, *, #5740, .T. ) ; +#1394 = ORIENTED_EDGE ( 'NONE', *, *, #5739, .F. ) ; +#1395 = ORIENTED_EDGE ( 'NONE', *, *, #5738, .T. ) ; +#1396 = ORIENTED_EDGE ( 'NONE', *, *, #5735, .F. ) ; +#1397 = ORIENTED_EDGE ( 'NONE', *, *, #5737, .T. ) ; +#1398 = ORIENTED_EDGE ( 'NONE', *, *, #5734, .T. ) ; +#1399 = ORIENTED_EDGE ( 'NONE', *, *, #5713, .F. ) ; +#1400 = ORIENTED_EDGE ( 'NONE', *, *, #5736, .T. ) ; +#1401 = EDGE_LOOP ( 'NONE', ( #5515, #5516, #5517, #5518 ) ) ; +#1402 = EDGE_LOOP ( 'NONE', ( #5469, #5470, #5471, #5472 ) ) ; +#1403 = EDGE_LOOP ( 'NONE', ( #5487, #5488, #5489, #5490 ) ) ; +#1404 = EDGE_LOOP ( 'NONE', ( #5473, #5474, #5475, #5476, #5477, #5478, #5479 ) ) ; +#1405 = EDGE_LOOP ( 'NONE', ( #5511, #5512, #5513, #5514 ) ) ; +#1406 = EDGE_LOOP ( 'NONE', ( #5491, #5492, #5493, #5494 ) ) ; +#1407 = EDGE_LOOP ( 'NONE', ( #5499, #5500, #5501, #5502 ) ) ; +#1408 = EDGE_LOOP ( 'NONE', ( #5495, #5496, #5497, #5498 ) ) ; +#1409 = EDGE_LOOP ( 'NONE', ( #1158, #1159, #1160, #1161 ) ) ; +#1410 = EDGE_LOOP ( 'NONE', ( #1174, #1175, #1176, #1177 ) ) ; +#1411 = EDGE_LOOP ( 'NONE', ( #1168, #1169, #1170, #1171, #1172, #1173 ) ) ; +#1412 = EDGE_LOOP ( 'NONE', ( #1182, #1183, #1184, #1185 ) ) ; +#1413 = EDGE_LOOP ( 'NONE', ( #1139, #1140, #1141, #1142, #1143, #1144, #1145, #1146, #1147, #1148, #1149 ) ) ; +#1414 = EDGE_LOOP ( 'NONE', ( #1378, #1379, #1380, #1454, #1453, #1055, #1067, #1049, #1077, #1044, #1087, #1026, #1029, #1034, #1063, #1064, #1068, #1028, #1078, #1092, #1027, #1079, #1089, #1040, #1062, #1056, #1072, #1060, #1018, #1088, #6841, #6840, #1065, #1021, #1025, #1043, #1058, #1057, #1073, #1075, #1097, #1069, #1076, #1084, #1095, #1030, #1024, #1048, #1054, #1022, #1042, #1071 ) ) ; +#1415 = EDGE_LOOP ( 'NONE', ( #1162, #1163, #1164, #1165, #1166, #1167 ) ) ; +#1416 = EDGE_LOOP ( 'NONE', ( #1178, #1179, #1180, #1181 ) ) ; +#1417 = EDGE_LOOP ( 'NONE', ( #1384, #1377 ) ) ; +#1418 = EDGE_LOOP ( 'NONE', ( #1128, #1129, #1130, #1131, #1132, #1133, #1134, #1135, #1136, #1137, #1138 ) ) ; +#1419 = EDGE_LOOP ( 'NONE', ( #1186, #1187, #1188, #1189 ) ) ; +#1420 = EDGE_LOOP ( 'NONE', ( #1200, #1201, #1202, #1203 ) ) ; +#1421 = EDGE_LOOP ( 'NONE', ( #1059, #1066, #1039, #1070, #1083, #1085, #1096, #1033, #1037, #1053, #1041, #1061, #1051, #1038, #1093, #1019, #1086, #1047, #1074, #1091, #1016, #1050, #1045, #1094, #1098, #1015, #1035, #1020, #1031, #1023, #1082, #1032, #1036, #1052, #1017, #1080, #1090, #1046, #1081, #1099, #1100, #1101, #1102, #1103, #1104, #1105, #1106, #1107, #1108, #1109, #1110, #1111, #1112, #1113, #1114, #1115, #1116, #1117, #1118, #1119, #1120, #1121, #1122, #1123, #1124, #1125, #1126, #1127 ) ) ; +#1422 = EDGE_LOOP ( 'NONE', ( #1358, #1357, #1376, #1381 ) ) ; +#1423 = EDGE_LOOP ( 'NONE', ( #1204, #1205, #1206, #1207 ) ) ; +#1424 = EDGE_LOOP ( 'NONE', ( #1190, #1191, #1192, #1193, #1194 ) ) ; +#1425 = EDGE_LOOP ( 'NONE', ( #1150, #1151, #1152, #1153 ) ) ; +#1426 = EDGE_LOOP ( 'NONE', ( #1387, #1385, #1386, #1374, #1373, #1372, #1371, #1370, #1369, #1353, #1352, #1351, #1350, #1349, #1347, #1348, #1346, #1345, #1342, #1343, #1344, #1339, #1340, #1341, #1338, #1354, #1355, #1356, #1368, #1367 ) ) ; +#1427 = EDGE_LOOP ( 'NONE', ( #1208, #1209, #1210, #1211 ) ) ; +#1428 = EDGE_LOOP ( 'NONE', ( #1195, #1196, #1197, #1198, #1199 ) ) ; +#1429 = EDGE_LOOP ( 'NONE', ( #1382, #1383 ) ) ; +#1430 = EDGE_LOOP ( 'NONE', ( #1306, #1305, #1304, #1303, #1302, #1301, #1300, #1299, #1298, #1327, #1328, #1329, #1330, #1331, #1332, #1333, #1334, #1335, #1336, #1337, #1375, #1399, #1398, #1396, #1400, #1397, #1395, #1394, #1393, #1392 ) ) ; +#1431 = EDGE_LOOP ( 'NONE', ( #1281, #1282, #1283, #1284, #1285, #1286, #1287, #1288, #1289, #1290, #1291, #1292, #1293, #1294, #1295, #5065, #5066, #5067, #5068, #5069, #5070, #5071, #5072, #5073 ) ) ; +#1432 = EDGE_LOOP ( 'NONE', ( #1212, #1213, #1214, #1215 ) ) ; +#1433 = EDGE_LOOP ( 'NONE', ( #1216, #1217, #1218, #1219 ) ) ; +#1434 = EDGE_LOOP ( 'NONE', ( #1310, #1309, #1308, #1307 ) ) ; +#1435 = EDGE_LOOP ( 'NONE', ( #1236, #1237, #1238, #1239, #1240 ) ) ; +#1436 = EDGE_LOOP ( 'NONE', ( #1220, #1221, #1222, #1223 ) ) ; +#1437 = EDGE_LOOP ( 'NONE', ( #1154, #1155, #1156, #1157 ) ) ; +#1438 = EDGE_LOOP ( 'NONE', ( #1314, #1313, #1312, #1311 ) ) ; +#1439 = EDGE_LOOP ( 'NONE', ( #1228, #1229, #1230 ) ) ; +#1440 = EDGE_LOOP ( 'NONE', ( #1224, #1225, #1226, #1227 ) ) ; +#1441 = EDGE_LOOP ( 'NONE', ( #1323, #1326, #1324, #1325 ) ) ; +#1442 = EDGE_LOOP ( 'NONE', ( #1366, #1365, #1364, #1362, #1363, #1361, #1360, #1359 ) ) ; +#1443 = EDGE_LOOP ( 'NONE', ( #5074, #5075, #5076, #5077 ) ) ; +#1444 = EDGE_LOOP ( 'NONE', ( #1231, #1232, #1233, #1234, #1235 ) ) ; +#1445 = EDGE_LOOP ( 'NONE', ( #1318, #1317, #1316, #1315 ) ) ; +#1446 = EDGE_LOOP ( 'NONE', ( #1391, #1390, #1389, #1388 ) ) ; +#1447 = EDGE_LOOP ( 'NONE', ( #5174, #5175, #5176, #5177 ) ) ; +#1448 = EDGE_LOOP ( 'NONE', ( #1254, #1255, #1256, #1257, #1258, #1259, #1260, #1261, #1262, #1263, #1264, #1265, #1266, #1267, #1268, #1269, #1270, #1271, #1272, #1273, #1274, #1275, #1276, #1277, #1278, #1279, #1280 ) ) ; +#1449 = EDGE_LOOP ( 'NONE', ( #1322, #1321, #1320, #1319 ) ) ; +#1450 = PRESENTATION_STYLE_ASSIGNMENT (( #2861 ) ) ; +#1451 = EDGE_LOOP ( 'NONE', ( #1246, #1247, #1248, #1249, #1250, #1251, #1252, #1253 ) ) ; +#1452 = EDGE_LOOP ( 'NONE', ( #1241, #1242, #1243, #1244, #1245 ) ) ; +#1453 = ORIENTED_EDGE ( 'NONE', *, *, #5794, .F. ) ; +#1454 = ORIENTED_EDGE ( 'NONE', *, *, #5788, .F. ) ; +#1455 = EDGE_LOOP ( 'NONE', ( #5446, #5447, #5448, #5449 ) ) ; +#1456 = EDGE_LOOP ( 'NONE', ( #5454, #5455, #5456, #5457, #5458, #5459, #5460 ) ) ; +#1457 = EDGE_LOOP ( 'NONE', ( #5442, #5443, #5444, #5445 ) ) ; +#1458 = EDGE_LOOP ( 'NONE', ( #5461, #5462, #5463, #5464 ) ) ; +#1459 = EDGE_LOOP ( 'NONE', ( #5450, #5451, #5452, #5453 ) ) ; +#1460 = EDGE_LOOP ( 'NONE', ( #5465, #5466, #5467, #5468 ) ) ; +#1461 = EDGE_LOOP ( 'NONE', ( #5507, #5508, #5509, #5510 ) ) ; +#1462 = EDGE_LOOP ( 'NONE', ( #5480, #5481, #5482, #5483, #5484, #5485, #5486 ) ) ; +#1463 = EDGE_LOOP ( 'NONE', ( #5434, #5435, #5436, #5437 ) ) ; +#1464 = EDGE_LOOP ( 'NONE', ( #5430, #5431, #5432, #5433 ) ) ; +#1465 = EDGE_LOOP ( 'NONE', ( #5124, #5125, #5126, #5127 ) ) ; +#1466 = EDGE_LOOP ( 'NONE', ( #5166, #5167, #5168, #5169, #5170, #5171, #5172, #5173 ) ) ; +#1467 = EDGE_LOOP ( 'NONE', ( #5120, #5121, #5122, #5123 ) ) ; +#1468 = EDGE_LOOP ( 'NONE', ( #5116, #5117, #5118, #5119 ) ) ; +#1469 = EDGE_LOOP ( 'NONE', ( #5422, #5423, #5424, #5425 ) ) ; +#1470 = EDGE_LOOP ( 'NONE', ( #5438, #5439, #5440, #5441 ) ) ; +#1471 = EDGE_LOOP ( 'NONE', ( #5078, #5079, #5080, #5081 ) ) ; +#1472 = EDGE_LOOP ( 'NONE', ( #5178, #5179, #5180, #5181 ) ) ; +#1473 = EDGE_LOOP ( 'NONE', ( #5082, #5083, #5084, #5085 ) ) ; +#1474 = EDGE_LOOP ( 'NONE', ( #5086, #5087, #5088, #5089, #5090, #5091, #5092, #5093, #5094, #5095, #5096, #5097, #5098, #5099, #5100, #5101, #5102, #5103, #5104, #5105, #5106, #5107, #5108, #5109, #5110, #5111, #5112, #5113, #5114, #5115 ) ) ; +#1475 = EDGE_LOOP ( 'NONE', ( #5352, #5353, #5354, #5355 ) ) ; +#1476 = EDGE_LOOP ( 'NONE', ( #5363, #5364, #5365, #5366, #5367, #5368, #5369 ) ) ; +#1477 = EDGE_LOOP ( 'NONE', ( #5406, #5407, #5408, #5409 ) ) ; +#1478 = EDGE_LOOP ( 'NONE', ( #5402, #5403, #5404, #5405 ) ) ; +#1479 = EDGE_LOOP ( 'NONE', ( #5348, #5349, #5350, #5351 ) ) ; +#1480 = EDGE_LOOP ( 'NONE', ( #5231, #5232, #5233, #5234, #5235, #5236, #5237, #5238, #5239, #5240, #5241, #5242, #5243, #5244, #5245, #5246, #5247, #5248, #5249, #5250 ) ) ; +#1481 = EDGE_LOOP ( 'NONE', ( #5195, #5196, #5197, #5198, #5199, #5200, #5201, #5202, #5203 ) ) ; +#1482 = EDGE_LOOP ( 'NONE', ( #5251, #5252, #5253, #5254 ) ) ; +#1483 = EDGE_LOOP ( 'NONE', ( #5329, #5330, #5331, #5332 ) ) ; +#1484 = EDGE_LOOP ( 'NONE', ( #5333, #5334, #5335, #5336 ) ) ; +#1485 = EDGE_LOOP ( 'NONE', ( #5275, #5276, #5277, #5278 ) ) ; +#1486 = EDGE_LOOP ( 'NONE', ( #5301, #5302, #5303, #5304 ) ) ; +#1487 = EDGE_LOOP ( 'NONE', ( #5374, #5375, #5376, #5377 ) ) ; +#1488 = EDGE_LOOP ( 'NONE', ( #5356, #5357, #5358, #5359, #5360, #5361, #5362 ) ) ; +#1489 = EDGE_LOOP ( 'NONE', ( #5305, #5306, #5307, #5308, #5309, #5310, #5311, #5312, #5313, #5314, #5315, #5316, #5317, #5318, #5319, #5320, #5321, #5322, #5323, #5324, #5325, #5326, #5327, #5328 ) ) ; +#1490 = EDGE_LOOP ( 'NONE', ( #5344, #5345, #5346, #5347 ) ) ; +#1491 = EDGE_LOOP ( 'NONE', ( #5426, #5427, #5428, #5429 ) ) ; +#1492 = EDGE_LOOP ( 'NONE', ( #5418, #5419, #5420, #5421 ) ) ; +#1493 = EDGE_LOOP ( 'NONE', ( #5394, #5395, #5396, #5397 ) ) ; +#1494 = EDGE_LOOP ( 'NONE', ( #5390, #5391, #5392, #5393 ) ) ; +#1495 = EDGE_LOOP ( 'NONE', ( #5410, #5411, #5412, #5413 ) ) ; +#1496 = EDGE_LOOP ( 'NONE', ( #5414, #5415, #5416, #5417 ) ) ; +#1497 = EDGE_LOOP ( 'NONE', ( #5386, #5387, #5388, #5389 ) ) ; +#1498 = EDGE_LOOP ( 'NONE', ( #5382, #5383, #5384, #5385 ) ) ; +#1499 = EDGE_LOOP ( 'NONE', ( #5378, #5379, #5380, #5381 ) ) ; +#1500 = EDGE_LOOP ( 'NONE', ( #5398, #5399, #5400, #5401 ) ) ; +#1501 = EDGE_LOOP ( 'NONE', ( #5370, #5371, #5372, #5373 ) ) ; +#1502 = EDGE_LOOP ( 'NONE', ( #5337, #5338, #5339, #5340, #5341, #5342, #5343 ) ) ; +#1503 = EDGE_LOOP ( 'NONE', ( #5136, #5137, #5138, #5139, #5140, #5141, #5142, #5143, #5144, #5145, #5146, #5147, #5148, #5149, #5150, #5151, #5152, #5153, #5154, #5155, #5156, #5157, #5158, #5159, #5160, #5161, #5162, #5163, #5164, #5165 ) ) ; +#1504 = EDGE_LOOP ( 'NONE', ( #5132, #5133, #5134, #5135 ) ) ; +#1505 = EDGE_LOOP ( 'NONE', ( #5128, #5129, #5130, #5131 ) ) ; +#1506 = EDGE_LOOP ( 'NONE', ( #5182, #5183, #5184, #5185 ) ) ; +#1507 = EDGE_LOOP ( 'NONE', ( #5283, #5284, #5285, #5286, #5287, #5288, #5289, #5290, #5291, #5292, #5293, #5294, #5295, #5296, #5297, #5298, #5299, #5300 ) ) ; +#1508 = EDGE_LOOP ( 'NONE', ( #5279, #5280, #5281, #5282 ) ) ; +#1509 = EDGE_LOOP ( 'NONE', ( #5255, #5256, #5257, #5258 ) ) ; +#1510 = EDGE_LOOP ( 'NONE', ( #5263, #5264, #5265, #5266 ) ) ; +#1511 = EDGE_LOOP ( 'NONE', ( #5271, #5272, #5273, #5274 ) ) ; +#1512 = EDGE_LOOP ( 'NONE', ( #5204, #5205, #5206, #5207, #5208, #5209, #5210, #5211, #5212, #5213, #5214, #5215, #5216, #5217, #5218, #5219, #5220, #5221, #5222, #5223, #5224, #5225, #5226, #5227, #5228, #5229, #5230 ) ) ; +#1513 = EDGE_LOOP ( 'NONE', ( #5186, #5187, #5188, #5189, #5190, #5191, #5192, #5193, #5194 ) ) ; +#1514 = EDGE_LOOP ( 'NONE', ( #5259, #5260, #5261, #5262 ) ) ; +#1515 = EDGE_LOOP ( 'NONE', ( #5267, #5268, #5269, #5270 ) ) ; +#1516 = MANIFOLD_SOLID_BREP ( '·Ö¸îÏß1', #1297 ) ; +#1517 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2071, 'distance_accuracy_value', 'NONE'); +#1518 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2686, 'distance_accuracy_value', 'NONE'); +#1519 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2698, 'distance_accuracy_value', 'NONE'); +#1520 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2709, 'distance_accuracy_value', 'NONE'); +#1521 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2720, 'distance_accuracy_value', 'NONE'); +#1522 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2731, 'distance_accuracy_value', 'NONE'); +#1523 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2742, 'distance_accuracy_value', 'NONE'); +#1524 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2753, 'distance_accuracy_value', 'NONE'); +#1525 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2763, 'distance_accuracy_value', 'NONE'); +#1526 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #4061, 'distance_accuracy_value', 'NONE'); +#1527 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2778, 'distance_accuracy_value', 'NONE'); +#1528 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2790, 'distance_accuracy_value', 'NONE'); +#1529 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2800, 'distance_accuracy_value', 'NONE'); +#1530 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2811, 'distance_accuracy_value', 'NONE'); +#1531 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2821, 'distance_accuracy_value', 'NONE'); +#1532 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #2831, 'distance_accuracy_value', 'NONE'); +#1533 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.9999999999999991100, 0.8500000000000002000 ) ) ; +#1534 = VERTEX_POINT ( 'NONE', #1535 ) ; +#1535 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000011300, 1.200000000000001100, -2.300000000000000300 ) ) ; +#1536 = FILL_AREA_STYLE_COLOUR ( '', #6843 ) ; +#1537 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, 0.2000000000000000900, 0.2500000000000003300 ) ) ; +#1538 = VERTEX_POINT ( 'NONE', #1533 ) ; +#1539 = VERTEX_POINT ( 'NONE', #2075 ) ; +#1540 = VERTEX_POINT ( 'NONE', #2067 ) ; +#1541 = VERTEX_POINT ( 'NONE', #2076 ) ; +#1542 = VERTEX_POINT ( 'NONE', #1537 ) ; +#1543 = VERTEX_POINT ( 'NONE', #2077 ) ; +#1544 = VERTEX_POINT ( 'NONE', #2078 ) ; +#1545 = VERTEX_POINT ( 'NONE', #2074 ) ; +#1546 = VERTEX_POINT ( 'NONE', #2079 ) ; +#1547 = VERTEX_POINT ( 'NONE', #2080 ) ; +#1548 = VERTEX_POINT ( 'NONE', #2081 ) ; +#1549 = VERTEX_POINT ( 'NONE', #2082 ) ; +#1550 = VERTEX_POINT ( 'NONE', #2083 ) ; +#1551 = VERTEX_POINT ( 'NONE', #2084 ) ; +#1552 = VERTEX_POINT ( 'NONE', #2085 ) ; +#1553 = VERTEX_POINT ( 'NONE', #2086 ) ; +#1554 = VERTEX_POINT ( 'NONE', #2087 ) ; +#1555 = VERTEX_POINT ( 'NONE', #2088 ) ; +#1556 = VERTEX_POINT ( 'NONE', #2089 ) ; +#1557 = VERTEX_POINT ( 'NONE', #2090 ) ; +#1558 = VERTEX_POINT ( 'NONE', #2091 ) ; +#1559 = VERTEX_POINT ( 'NONE', #2092 ) ; +#1560 = VERTEX_POINT ( 'NONE', #2093 ) ; +#1561 = VERTEX_POINT ( 'NONE', #2094 ) ; +#1562 = VERTEX_POINT ( 'NONE', #2095 ) ; +#1563 = VERTEX_POINT ( 'NONE', #2096 ) ; +#1564 = VERTEX_POINT ( 'NONE', #2097 ) ; +#1565 = VERTEX_POINT ( 'NONE', #2098 ) ; +#1566 = VERTEX_POINT ( 'NONE', #2099 ) ; +#1567 = VERTEX_POINT ( 'NONE', #2100 ) ; +#1568 = VERTEX_POINT ( 'NONE', #2101 ) ; +#1569 = VERTEX_POINT ( 'NONE', #2102 ) ; +#1570 = VERTEX_POINT ( 'NONE', #2103 ) ; +#1571 = VERTEX_POINT ( 'NONE', #2104 ) ; +#1572 = VERTEX_POINT ( 'NONE', #2105 ) ; +#1573 = VERTEX_POINT ( 'NONE', #2106 ) ; +#1574 = VERTEX_POINT ( 'NONE', #2107 ) ; +#1575 = VERTEX_POINT ( 'NONE', #2108 ) ; +#1576 = VERTEX_POINT ( 'NONE', #2109 ) ; +#1577 = VERTEX_POINT ( 'NONE', #2110 ) ; +#1578 = VERTEX_POINT ( 'NONE', #2111 ) ; +#1579 = VERTEX_POINT ( 'NONE', #2112 ) ; +#1580 = VERTEX_POINT ( 'NONE', #2113 ) ; +#1581 = VERTEX_POINT ( 'NONE', #2114 ) ; +#1582 = VERTEX_POINT ( 'NONE', #2115 ) ; +#1583 = VERTEX_POINT ( 'NONE', #2116 ) ; +#1584 = VERTEX_POINT ( 'NONE', #2117 ) ; +#1585 = VERTEX_POINT ( 'NONE', #2118 ) ; +#1586 = VERTEX_POINT ( 'NONE', #2119 ) ; +#1587 = VERTEX_POINT ( 'NONE', #2120 ) ; +#1588 = VERTEX_POINT ( 'NONE', #2121 ) ; +#1589 = VERTEX_POINT ( 'NONE', #2122 ) ; +#1590 = VERTEX_POINT ( 'NONE', #2123 ) ; +#1591 = VERTEX_POINT ( 'NONE', #2124 ) ; +#1592 = VERTEX_POINT ( 'NONE', #2125 ) ; +#1593 = VERTEX_POINT ( 'NONE', #2126 ) ; +#1594 = VERTEX_POINT ( 'NONE', #2127 ) ; +#1595 = VERTEX_POINT ( 'NONE', #2128 ) ; +#1596 = VERTEX_POINT ( 'NONE', #2129 ) ; +#1597 = VERTEX_POINT ( 'NONE', #2130 ) ; +#1598 = VERTEX_POINT ( 'NONE', #2131 ) ; +#1599 = VERTEX_POINT ( 'NONE', #2132 ) ; +#1600 = VERTEX_POINT ( 'NONE', #2133 ) ; +#1601 = VERTEX_POINT ( 'NONE', #2134 ) ; +#1602 = VERTEX_POINT ( 'NONE', #2135 ) ; +#1603 = VERTEX_POINT ( 'NONE', #2136 ) ; +#1604 = VERTEX_POINT ( 'NONE', #2137 ) ; +#1605 = VERTEX_POINT ( 'NONE', #2138 ) ; +#1606 = VERTEX_POINT ( 'NONE', #2139 ) ; +#1607 = VERTEX_POINT ( 'NONE', #2140 ) ; +#1608 = VERTEX_POINT ( 'NONE', #2141 ) ; +#1609 = VERTEX_POINT ( 'NONE', #2142 ) ; +#1610 = VERTEX_POINT ( 'NONE', #2143 ) ; +#1611 = VERTEX_POINT ( 'NONE', #2144 ) ; +#1612 = VERTEX_POINT ( 'NONE', #2145 ) ; +#1613 = VERTEX_POINT ( 'NONE', #2146 ) ; +#1614 = VERTEX_POINT ( 'NONE', #2147 ) ; +#1615 = VERTEX_POINT ( 'NONE', #2148 ) ; +#1616 = VERTEX_POINT ( 'NONE', #2149 ) ; +#1617 = VERTEX_POINT ( 'NONE', #2150 ) ; +#1618 = VERTEX_POINT ( 'NONE', #2151 ) ; +#1619 = VERTEX_POINT ( 'NONE', #2152 ) ; +#1620 = VERTEX_POINT ( 'NONE', #2153 ) ; +#1621 = VERTEX_POINT ( 'NONE', #2154 ) ; +#1622 = VERTEX_POINT ( 'NONE', #2155 ) ; +#1623 = VERTEX_POINT ( 'NONE', #2156 ) ; +#1624 = VERTEX_POINT ( 'NONE', #2157 ) ; +#1625 = VERTEX_POINT ( 'NONE', #2158 ) ; +#1626 = VERTEX_POINT ( 'NONE', #2159 ) ; +#1627 = VERTEX_POINT ( 'NONE', #2160 ) ; +#1628 = VERTEX_POINT ( 'NONE', #2161 ) ; +#1629 = VERTEX_POINT ( 'NONE', #2162 ) ; +#1630 = VERTEX_POINT ( 'NONE', #2163 ) ; +#1631 = VERTEX_POINT ( 'NONE', #2164 ) ; +#1632 = VERTEX_POINT ( 'NONE', #2165 ) ; +#1633 = VERTEX_POINT ( 'NONE', #2166 ) ; +#1634 = VERTEX_POINT ( 'NONE', #2167 ) ; +#1635 = VERTEX_POINT ( 'NONE', #2168 ) ; +#1636 = VERTEX_POINT ( 'NONE', #2169 ) ; +#1637 = VERTEX_POINT ( 'NONE', #2170 ) ; +#1638 = VERTEX_POINT ( 'NONE', #2171 ) ; +#1639 = VERTEX_POINT ( 'NONE', #2172 ) ; +#1640 = VERTEX_POINT ( 'NONE', #2173 ) ; +#1641 = VERTEX_POINT ( 'NONE', #2174 ) ; +#1642 = VERTEX_POINT ( 'NONE', #2175 ) ; +#1643 = VERTEX_POINT ( 'NONE', #2176 ) ; +#1644 = VERTEX_POINT ( 'NONE', #2177 ) ; +#1645 = VERTEX_POINT ( 'NONE', #2178 ) ; +#1646 = VERTEX_POINT ( 'NONE', #2179 ) ; +#1647 = VERTEX_POINT ( 'NONE', #2180 ) ; +#1648 = VERTEX_POINT ( 'NONE', #2181 ) ; +#1649 = VERTEX_POINT ( 'NONE', #2182 ) ; +#1650 = VERTEX_POINT ( 'NONE', #2183 ) ; +#1651 = VERTEX_POINT ( 'NONE', #2184 ) ; +#1652 = VERTEX_POINT ( 'NONE', #2185 ) ; +#1653 = VERTEX_POINT ( 'NONE', #2186 ) ; +#1654 = VERTEX_POINT ( 'NONE', #2187 ) ; +#1655 = VERTEX_POINT ( 'NONE', #2188 ) ; +#1656 = VERTEX_POINT ( 'NONE', #2189 ) ; +#1657 = VERTEX_POINT ( 'NONE', #2190 ) ; +#1658 = VERTEX_POINT ( 'NONE', #2191 ) ; +#1659 = VERTEX_POINT ( 'NONE', #2192 ) ; +#1660 = VERTEX_POINT ( 'NONE', #2193 ) ; +#1661 = VERTEX_POINT ( 'NONE', #2194 ) ; +#1662 = VERTEX_POINT ( 'NONE', #2195 ) ; +#1663 = VERTEX_POINT ( 'NONE', #2196 ) ; +#1664 = VERTEX_POINT ( 'NONE', #2197 ) ; +#1665 = VERTEX_POINT ( 'NONE', #2198 ) ; +#1666 = VERTEX_POINT ( 'NONE', #2199 ) ; +#1667 = VERTEX_POINT ( 'NONE', #2200 ) ; +#1668 = VERTEX_POINT ( 'NONE', #2201 ) ; +#1669 = VERTEX_POINT ( 'NONE', #2202 ) ; +#1670 = VERTEX_POINT ( 'NONE', #2203 ) ; +#1671 = VERTEX_POINT ( 'NONE', #2204 ) ; +#1672 = VERTEX_POINT ( 'NONE', #2205 ) ; +#1673 = VERTEX_POINT ( 'NONE', #2206 ) ; +#1674 = VERTEX_POINT ( 'NONE', #2207 ) ; +#1675 = VERTEX_POINT ( 'NONE', #2208 ) ; +#1676 = VERTEX_POINT ( 'NONE', #2209 ) ; +#1677 = VERTEX_POINT ( 'NONE', #2210 ) ; +#1678 = VERTEX_POINT ( 'NONE', #2211 ) ; +#1679 = VERTEX_POINT ( 'NONE', #2212 ) ; +#1680 = VERTEX_POINT ( 'NONE', #2213 ) ; +#1681 = VERTEX_POINT ( 'NONE', #2214 ) ; +#1682 = VERTEX_POINT ( 'NONE', #2215 ) ; +#1683 = VERTEX_POINT ( 'NONE', #2216 ) ; +#1684 = VERTEX_POINT ( 'NONE', #2217 ) ; +#1685 = VERTEX_POINT ( 'NONE', #2218 ) ; +#1686 = VERTEX_POINT ( 'NONE', #2219 ) ; +#1687 = VERTEX_POINT ( 'NONE', #2220 ) ; +#1688 = VERTEX_POINT ( 'NONE', #2221 ) ; +#1689 = VERTEX_POINT ( 'NONE', #2222 ) ; +#1690 = VERTEX_POINT ( 'NONE', #2223 ) ; +#1691 = VERTEX_POINT ( 'NONE', #2224 ) ; +#1692 = VERTEX_POINT ( 'NONE', #2225 ) ; +#1693 = VERTEX_POINT ( 'NONE', #2226 ) ; +#1694 = VERTEX_POINT ( 'NONE', #2227 ) ; +#1695 = VERTEX_POINT ( 'NONE', #2228 ) ; +#1696 = VERTEX_POINT ( 'NONE', #2229 ) ; +#1697 = VERTEX_POINT ( 'NONE', #2230 ) ; +#1698 = VERTEX_POINT ( 'NONE', #2231 ) ; +#1699 = VERTEX_POINT ( 'NONE', #2232 ) ; +#1700 = VERTEX_POINT ( 'NONE', #2233 ) ; +#1701 = VERTEX_POINT ( 'NONE', #2234 ) ; +#1702 = VERTEX_POINT ( 'NONE', #2235 ) ; +#1703 = VERTEX_POINT ( 'NONE', #2236 ) ; +#1704 = VERTEX_POINT ( 'NONE', #2237 ) ; +#1705 = VERTEX_POINT ( 'NONE', #2238 ) ; +#1706 = VERTEX_POINT ( 'NONE', #2239 ) ; +#1707 = VERTEX_POINT ( 'NONE', #2240 ) ; +#1708 = VERTEX_POINT ( 'NONE', #2241 ) ; +#1709 = VERTEX_POINT ( 'NONE', #2242 ) ; +#1710 = VERTEX_POINT ( 'NONE', #2243 ) ; +#1711 = VERTEX_POINT ( 'NONE', #2244 ) ; +#1712 = VERTEX_POINT ( 'NONE', #2245 ) ; +#1713 = VERTEX_POINT ( 'NONE', #2246 ) ; +#1714 = VERTEX_POINT ( 'NONE', #2247 ) ; +#1715 = VERTEX_POINT ( 'NONE', #2248 ) ; +#1716 = VERTEX_POINT ( 'NONE', #2249 ) ; +#1717 = VERTEX_POINT ( 'NONE', #2250 ) ; +#1718 = VERTEX_POINT ( 'NONE', #2251 ) ; +#1719 = VERTEX_POINT ( 'NONE', #2252 ) ; +#1720 = VERTEX_POINT ( 'NONE', #2253 ) ; +#1721 = VERTEX_POINT ( 'NONE', #2254 ) ; +#1722 = VERTEX_POINT ( 'NONE', #2255 ) ; +#1723 = VERTEX_POINT ( 'NONE', #2256 ) ; +#1724 = VERTEX_POINT ( 'NONE', #2257 ) ; +#1725 = VERTEX_POINT ( 'NONE', #2258 ) ; +#1726 = VERTEX_POINT ( 'NONE', #2259 ) ; +#1727 = VERTEX_POINT ( 'NONE', #2260 ) ; +#1728 = VERTEX_POINT ( 'NONE', #2261 ) ; +#1729 = VERTEX_POINT ( 'NONE', #2262 ) ; +#1730 = VERTEX_POINT ( 'NONE', #2263 ) ; +#1731 = VERTEX_POINT ( 'NONE', #2264 ) ; +#1732 = VERTEX_POINT ( 'NONE', #2265 ) ; +#1733 = VERTEX_POINT ( 'NONE', #2266 ) ; +#1734 = VERTEX_POINT ( 'NONE', #2267 ) ; +#1735 = VERTEX_POINT ( 'NONE', #2268 ) ; +#1736 = VERTEX_POINT ( 'NONE', #2269 ) ; +#1737 = VERTEX_POINT ( 'NONE', #2270 ) ; +#1738 = VERTEX_POINT ( 'NONE', #2271 ) ; +#1739 = VERTEX_POINT ( 'NONE', #2272 ) ; +#1740 = VERTEX_POINT ( 'NONE', #2273 ) ; +#1741 = VERTEX_POINT ( 'NONE', #2274 ) ; +#1742 = VERTEX_POINT ( 'NONE', #2275 ) ; +#1743 = VERTEX_POINT ( 'NONE', #2276 ) ; +#1744 = VERTEX_POINT ( 'NONE', #2277 ) ; +#1745 = VERTEX_POINT ( 'NONE', #2278 ) ; +#1746 = VERTEX_POINT ( 'NONE', #2279 ) ; +#1747 = VERTEX_POINT ( 'NONE', #2280 ) ; +#1748 = VERTEX_POINT ( 'NONE', #2281 ) ; +#1749 = VERTEX_POINT ( 'NONE', #2282 ) ; +#1750 = VERTEX_POINT ( 'NONE', #2283 ) ; +#1751 = VERTEX_POINT ( 'NONE', #2284 ) ; +#1752 = VERTEX_POINT ( 'NONE', #2285 ) ; +#1753 = VERTEX_POINT ( 'NONE', #2286 ) ; +#1754 = VERTEX_POINT ( 'NONE', #2287 ) ; +#1755 = VERTEX_POINT ( 'NONE', #2288 ) ; +#1756 = VERTEX_POINT ( 'NONE', #2289 ) ; +#1757 = VERTEX_POINT ( 'NONE', #2290 ) ; +#1758 = VERTEX_POINT ( 'NONE', #2291 ) ; +#1759 = VERTEX_POINT ( 'NONE', #2292 ) ; +#1760 = VERTEX_POINT ( 'NONE', #2293 ) ; +#1761 = VERTEX_POINT ( 'NONE', #2294 ) ; +#1762 = VERTEX_POINT ( 'NONE', #2295 ) ; +#1763 = VERTEX_POINT ( 'NONE', #2296 ) ; +#1764 = VERTEX_POINT ( 'NONE', #2297 ) ; +#1765 = VERTEX_POINT ( 'NONE', #2298 ) ; +#1766 = VERTEX_POINT ( 'NONE', #2299 ) ; +#1767 = VERTEX_POINT ( 'NONE', #2300 ) ; +#1768 = VERTEX_POINT ( 'NONE', #2301 ) ; +#1769 = VERTEX_POINT ( 'NONE', #2302 ) ; +#1770 = VERTEX_POINT ( 'NONE', #2303 ) ; +#1771 = VERTEX_POINT ( 'NONE', #2304 ) ; +#1772 = VERTEX_POINT ( 'NONE', #2305 ) ; +#1773 = VERTEX_POINT ( 'NONE', #2306 ) ; +#1774 = VERTEX_POINT ( 'NONE', #2307 ) ; +#1775 = VERTEX_POINT ( 'NONE', #2308 ) ; +#1776 = VERTEX_POINT ( 'NONE', #2309 ) ; +#1777 = VERTEX_POINT ( 'NONE', #2310 ) ; +#1778 = VERTEX_POINT ( 'NONE', #2311 ) ; +#1779 = VERTEX_POINT ( 'NONE', #2312 ) ; +#1780 = VERTEX_POINT ( 'NONE', #2313 ) ; +#1781 = VERTEX_POINT ( 'NONE', #2314 ) ; +#1782 = VERTEX_POINT ( 'NONE', #2315 ) ; +#1783 = VERTEX_POINT ( 'NONE', #2316 ) ; +#1784 = VERTEX_POINT ( 'NONE', #2317 ) ; +#1785 = VERTEX_POINT ( 'NONE', #2318 ) ; +#1786 = VERTEX_POINT ( 'NONE', #2319 ) ; +#1787 = VERTEX_POINT ( 'NONE', #2320 ) ; +#1788 = VERTEX_POINT ( 'NONE', #2321 ) ; +#1789 = VERTEX_POINT ( 'NONE', #2322 ) ; +#1790 = VERTEX_POINT ( 'NONE', #2323 ) ; +#1791 = VERTEX_POINT ( 'NONE', #2324 ) ; +#1792 = VERTEX_POINT ( 'NONE', #2325 ) ; +#1793 = VERTEX_POINT ( 'NONE', #2326 ) ; +#1794 = VERTEX_POINT ( 'NONE', #2327 ) ; +#1795 = VERTEX_POINT ( 'NONE', #2328 ) ; +#1796 = VERTEX_POINT ( 'NONE', #2329 ) ; +#1797 = VERTEX_POINT ( 'NONE', #2330 ) ; +#1798 = VERTEX_POINT ( 'NONE', #2331 ) ; +#1799 = VERTEX_POINT ( 'NONE', #2332 ) ; +#1800 = VERTEX_POINT ( 'NONE', #2333 ) ; +#1801 = VERTEX_POINT ( 'NONE', #2334 ) ; +#1802 = VERTEX_POINT ( 'NONE', #2335 ) ; +#1803 = VERTEX_POINT ( 'NONE', #2336 ) ; +#1804 = VERTEX_POINT ( 'NONE', #2337 ) ; +#1805 = VERTEX_POINT ( 'NONE', #2338 ) ; +#1806 = VERTEX_POINT ( 'NONE', #2339 ) ; +#1807 = VERTEX_POINT ( 'NONE', #2340 ) ; +#1808 = VERTEX_POINT ( 'NONE', #2341 ) ; +#1809 = VERTEX_POINT ( 'NONE', #2342 ) ; +#1810 = VERTEX_POINT ( 'NONE', #2343 ) ; +#1811 = VERTEX_POINT ( 'NONE', #2344 ) ; +#1812 = VERTEX_POINT ( 'NONE', #2345 ) ; +#1813 = VERTEX_POINT ( 'NONE', #2346 ) ; +#1814 = VERTEX_POINT ( 'NONE', #2347 ) ; +#1815 = VERTEX_POINT ( 'NONE', #2348 ) ; +#1816 = VERTEX_POINT ( 'NONE', #2349 ) ; +#1817 = VERTEX_POINT ( 'NONE', #2350 ) ; +#1818 = VERTEX_POINT ( 'NONE', #2351 ) ; +#1819 = VERTEX_POINT ( 'NONE', #2352 ) ; +#1820 = VERTEX_POINT ( 'NONE', #2353 ) ; +#1821 = VERTEX_POINT ( 'NONE', #2354 ) ; +#1822 = VERTEX_POINT ( 'NONE', #2355 ) ; +#1823 = VERTEX_POINT ( 'NONE', #2356 ) ; +#1824 = VERTEX_POINT ( 'NONE', #2357 ) ; +#1825 = VERTEX_POINT ( 'NONE', #2358 ) ; +#1826 = VERTEX_POINT ( 'NONE', #2359 ) ; +#1827 = VERTEX_POINT ( 'NONE', #2360 ) ; +#1828 = VERTEX_POINT ( 'NONE', #2361 ) ; +#1829 = VERTEX_POINT ( 'NONE', #2362 ) ; +#1830 = VERTEX_POINT ( 'NONE', #2363 ) ; +#1831 = VERTEX_POINT ( 'NONE', #2364 ) ; +#1832 = VERTEX_POINT ( 'NONE', #2365 ) ; +#1833 = VERTEX_POINT ( 'NONE', #2366 ) ; +#1834 = VERTEX_POINT ( 'NONE', #2367 ) ; +#1835 = VERTEX_POINT ( 'NONE', #2368 ) ; +#1836 = VERTEX_POINT ( 'NONE', #2369 ) ; +#1837 = VERTEX_POINT ( 'NONE', #2370 ) ; +#1838 = VERTEX_POINT ( 'NONE', #2371 ) ; +#1839 = VERTEX_POINT ( 'NONE', #2372 ) ; +#1840 = VERTEX_POINT ( 'NONE', #2373 ) ; +#1841 = VERTEX_POINT ( 'NONE', #2374 ) ; +#1842 = VERTEX_POINT ( 'NONE', #2375 ) ; +#1843 = VERTEX_POINT ( 'NONE', #2376 ) ; +#1844 = VERTEX_POINT ( 'NONE', #2377 ) ; +#1845 = VERTEX_POINT ( 'NONE', #2378 ) ; +#1846 = VERTEX_POINT ( 'NONE', #2379 ) ; +#1847 = VERTEX_POINT ( 'NONE', #2380 ) ; +#1848 = VERTEX_POINT ( 'NONE', #2381 ) ; +#1849 = VERTEX_POINT ( 'NONE', #2382 ) ; +#1850 = VERTEX_POINT ( 'NONE', #2383 ) ; +#1851 = VERTEX_POINT ( 'NONE', #2384 ) ; +#1852 = VERTEX_POINT ( 'NONE', #2385 ) ; +#1853 = VERTEX_POINT ( 'NONE', #2386 ) ; +#1854 = VERTEX_POINT ( 'NONE', #2387 ) ; +#1855 = VERTEX_POINT ( 'NONE', #2388 ) ; +#1856 = VERTEX_POINT ( 'NONE', #2389 ) ; +#1857 = VERTEX_POINT ( 'NONE', #2390 ) ; +#1858 = VERTEX_POINT ( 'NONE', #2391 ) ; +#1859 = VERTEX_POINT ( 'NONE', #2392 ) ; +#1860 = VERTEX_POINT ( 'NONE', #2393 ) ; +#1861 = VERTEX_POINT ( 'NONE', #2394 ) ; +#1862 = VERTEX_POINT ( 'NONE', #2395 ) ; +#1863 = VERTEX_POINT ( 'NONE', #2396 ) ; +#1864 = VERTEX_POINT ( 'NONE', #2397 ) ; +#1865 = VERTEX_POINT ( 'NONE', #2398 ) ; +#1866 = VERTEX_POINT ( 'NONE', #2399 ) ; +#1867 = VERTEX_POINT ( 'NONE', #2400 ) ; +#1868 = VERTEX_POINT ( 'NONE', #2401 ) ; +#1869 = VERTEX_POINT ( 'NONE', #2402 ) ; +#1870 = VERTEX_POINT ( 'NONE', #2403 ) ; +#1871 = VERTEX_POINT ( 'NONE', #2404 ) ; +#1872 = VERTEX_POINT ( 'NONE', #2405 ) ; +#1873 = VERTEX_POINT ( 'NONE', #2406 ) ; +#1874 = VERTEX_POINT ( 'NONE', #2407 ) ; +#1875 = VERTEX_POINT ( 'NONE', #2408 ) ; +#1876 = VERTEX_POINT ( 'NONE', #2409 ) ; +#1877 = VERTEX_POINT ( 'NONE', #2410 ) ; +#1878 = VERTEX_POINT ( 'NONE', #2411 ) ; +#1879 = VERTEX_POINT ( 'NONE', #2412 ) ; +#1880 = VERTEX_POINT ( 'NONE', #2413 ) ; +#1881 = VERTEX_POINT ( 'NONE', #2414 ) ; +#1882 = VERTEX_POINT ( 'NONE', #2415 ) ; +#1883 = VERTEX_POINT ( 'NONE', #2416 ) ; +#1884 = VERTEX_POINT ( 'NONE', #2417 ) ; +#1885 = VERTEX_POINT ( 'NONE', #2418 ) ; +#1886 = VERTEX_POINT ( 'NONE', #2419 ) ; +#1887 = VERTEX_POINT ( 'NONE', #2420 ) ; +#1888 = VERTEX_POINT ( 'NONE', #2421 ) ; +#1889 = VERTEX_POINT ( 'NONE', #2422 ) ; +#1890 = VERTEX_POINT ( 'NONE', #2423 ) ; +#1891 = VERTEX_POINT ( 'NONE', #2424 ) ; +#1892 = VERTEX_POINT ( 'NONE', #2425 ) ; +#1893 = VERTEX_POINT ( 'NONE', #2426 ) ; +#1894 = VERTEX_POINT ( 'NONE', #2427 ) ; +#1895 = VERTEX_POINT ( 'NONE', #2428 ) ; +#1896 = VERTEX_POINT ( 'NONE', #2429 ) ; +#1897 = VERTEX_POINT ( 'NONE', #2430 ) ; +#1898 = VERTEX_POINT ( 'NONE', #2431 ) ; +#1899 = VERTEX_POINT ( 'NONE', #2432 ) ; +#1900 = VERTEX_POINT ( 'NONE', #2433 ) ; +#1901 = VERTEX_POINT ( 'NONE', #2434 ) ; +#1902 = VERTEX_POINT ( 'NONE', #2435 ) ; +#1903 = VERTEX_POINT ( 'NONE', #2436 ) ; +#1904 = VERTEX_POINT ( 'NONE', #2437 ) ; +#1905 = VERTEX_POINT ( 'NONE', #2438 ) ; +#1906 = VERTEX_POINT ( 'NONE', #2439 ) ; +#1907 = VERTEX_POINT ( 'NONE', #2440 ) ; +#1908 = VERTEX_POINT ( 'NONE', #2441 ) ; +#1909 = VERTEX_POINT ( 'NONE', #2442 ) ; +#1910 = VERTEX_POINT ( 'NONE', #2443 ) ; +#1911 = VERTEX_POINT ( 'NONE', #2444 ) ; +#1912 = VERTEX_POINT ( 'NONE', #2445 ) ; +#1913 = VERTEX_POINT ( 'NONE', #2446 ) ; +#1914 = VERTEX_POINT ( 'NONE', #2447 ) ; +#1915 = VERTEX_POINT ( 'NONE', #2448 ) ; +#1916 = VERTEX_POINT ( 'NONE', #2449 ) ; +#1917 = VERTEX_POINT ( 'NONE', #2450 ) ; +#1918 = VERTEX_POINT ( 'NONE', #2451 ) ; +#1919 = VERTEX_POINT ( 'NONE', #2452 ) ; +#1920 = VERTEX_POINT ( 'NONE', #2453 ) ; +#1921 = VERTEX_POINT ( 'NONE', #2454 ) ; +#1922 = VERTEX_POINT ( 'NONE', #2455 ) ; +#1923 = VERTEX_POINT ( 'NONE', #2456 ) ; +#1924 = VERTEX_POINT ( 'NONE', #2457 ) ; +#1925 = VERTEX_POINT ( 'NONE', #2458 ) ; +#1926 = VERTEX_POINT ( 'NONE', #2459 ) ; +#1927 = VERTEX_POINT ( 'NONE', #2460 ) ; +#1928 = VERTEX_POINT ( 'NONE', #2461 ) ; +#1929 = VERTEX_POINT ( 'NONE', #2462 ) ; +#1930 = VERTEX_POINT ( 'NONE', #2463 ) ; +#1931 = VERTEX_POINT ( 'NONE', #2464 ) ; +#1932 = VERTEX_POINT ( 'NONE', #2465 ) ; +#1933 = VERTEX_POINT ( 'NONE', #2466 ) ; +#1934 = VERTEX_POINT ( 'NONE', #2467 ) ; +#1935 = VERTEX_POINT ( 'NONE', #2468 ) ; +#1936 = VERTEX_POINT ( 'NONE', #2469 ) ; +#1937 = VERTEX_POINT ( 'NONE', #2470 ) ; +#1938 = VERTEX_POINT ( 'NONE', #2471 ) ; +#1939 = VERTEX_POINT ( 'NONE', #2472 ) ; +#1940 = VERTEX_POINT ( 'NONE', #2473 ) ; +#1941 = VERTEX_POINT ( 'NONE', #2474 ) ; +#1942 = VERTEX_POINT ( 'NONE', #2475 ) ; +#1943 = VERTEX_POINT ( 'NONE', #2476 ) ; +#1944 = VERTEX_POINT ( 'NONE', #2477 ) ; +#1945 = VERTEX_POINT ( 'NONE', #2478 ) ; +#1946 = VERTEX_POINT ( 'NONE', #2479 ) ; +#1947 = VERTEX_POINT ( 'NONE', #2480 ) ; +#1948 = VERTEX_POINT ( 'NONE', #2481 ) ; +#1949 = VERTEX_POINT ( 'NONE', #2482 ) ; +#1950 = VERTEX_POINT ( 'NONE', #2483 ) ; +#1951 = VERTEX_POINT ( 'NONE', #2484 ) ; +#1952 = VERTEX_POINT ( 'NONE', #2485 ) ; +#1953 = VERTEX_POINT ( 'NONE', #2486 ) ; +#1954 = VERTEX_POINT ( 'NONE', #2487 ) ; +#1955 = VERTEX_POINT ( 'NONE', #2488 ) ; +#1956 = VERTEX_POINT ( 'NONE', #2489 ) ; +#1957 = VERTEX_POINT ( 'NONE', #2490 ) ; +#1958 = VERTEX_POINT ( 'NONE', #2491 ) ; +#1959 = VERTEX_POINT ( 'NONE', #2492 ) ; +#1960 = VERTEX_POINT ( 'NONE', #2493 ) ; +#1961 = VERTEX_POINT ( 'NONE', #2494 ) ; +#1962 = VERTEX_POINT ( 'NONE', #2495 ) ; +#1963 = VERTEX_POINT ( 'NONE', #2496 ) ; +#1964 = VERTEX_POINT ( 'NONE', #2497 ) ; +#1965 = VERTEX_POINT ( 'NONE', #2498 ) ; +#1966 = VERTEX_POINT ( 'NONE', #2499 ) ; +#1967 = VERTEX_POINT ( 'NONE', #2500 ) ; +#1968 = VERTEX_POINT ( 'NONE', #2501 ) ; +#1969 = VERTEX_POINT ( 'NONE', #2502 ) ; +#1970 = VERTEX_POINT ( 'NONE', #2503 ) ; +#1971 = VERTEX_POINT ( 'NONE', #2504 ) ; +#1972 = VERTEX_POINT ( 'NONE', #2505 ) ; +#1973 = VERTEX_POINT ( 'NONE', #2506 ) ; +#1974 = VERTEX_POINT ( 'NONE', #2507 ) ; +#1975 = VERTEX_POINT ( 'NONE', #2508 ) ; +#1976 = VERTEX_POINT ( 'NONE', #2509 ) ; +#1977 = VERTEX_POINT ( 'NONE', #2510 ) ; +#1978 = VERTEX_POINT ( 'NONE', #2511 ) ; +#1979 = VERTEX_POINT ( 'NONE', #2512 ) ; +#1980 = VERTEX_POINT ( 'NONE', #2513 ) ; +#1981 = VERTEX_POINT ( 'NONE', #2514 ) ; +#1982 = VERTEX_POINT ( 'NONE', #2515 ) ; +#1983 = VERTEX_POINT ( 'NONE', #2516 ) ; +#1984 = VERTEX_POINT ( 'NONE', #2517 ) ; +#1985 = VERTEX_POINT ( 'NONE', #2518 ) ; +#1986 = VERTEX_POINT ( 'NONE', #2519 ) ; +#1987 = VERTEX_POINT ( 'NONE', #2520 ) ; +#1988 = VERTEX_POINT ( 'NONE', #2521 ) ; +#1989 = VERTEX_POINT ( 'NONE', #2522 ) ; +#1990 = VERTEX_POINT ( 'NONE', #2523 ) ; +#1991 = VERTEX_POINT ( 'NONE', #2524 ) ; +#1992 = VERTEX_POINT ( 'NONE', #2525 ) ; +#1993 = VERTEX_POINT ( 'NONE', #2526 ) ; +#1994 = VERTEX_POINT ( 'NONE', #2527 ) ; +#1995 = VERTEX_POINT ( 'NONE', #2528 ) ; +#1996 = VERTEX_POINT ( 'NONE', #2529 ) ; +#1997 = VERTEX_POINT ( 'NONE', #2530 ) ; +#1998 = VERTEX_POINT ( 'NONE', #2531 ) ; +#1999 = VERTEX_POINT ( 'NONE', #2532 ) ; +#2000 = VERTEX_POINT ( 'NONE', #2533 ) ; +#2001 = VERTEX_POINT ( 'NONE', #2534 ) ; +#2002 = VERTEX_POINT ( 'NONE', #2535 ) ; +#2003 = VERTEX_POINT ( 'NONE', #2536 ) ; +#2004 = VERTEX_POINT ( 'NONE', #2537 ) ; +#2005 = VERTEX_POINT ( 'NONE', #2538 ) ; +#2006 = VERTEX_POINT ( 'NONE', #2539 ) ; +#2007 = VERTEX_POINT ( 'NONE', #2540 ) ; +#2008 = VERTEX_POINT ( 'NONE', #2541 ) ; +#2009 = VERTEX_POINT ( 'NONE', #2542 ) ; +#2010 = VERTEX_POINT ( 'NONE', #2543 ) ; +#2011 = VERTEX_POINT ( 'NONE', #2544 ) ; +#2012 = VERTEX_POINT ( 'NONE', #2545 ) ; +#2013 = VERTEX_POINT ( 'NONE', #2546 ) ; +#2014 = VERTEX_POINT ( 'NONE', #2547 ) ; +#2015 = VERTEX_POINT ( 'NONE', #2548 ) ; +#2016 = VERTEX_POINT ( 'NONE', #2549 ) ; +#2017 = VERTEX_POINT ( 'NONE', #2550 ) ; +#2018 = VERTEX_POINT ( 'NONE', #2551 ) ; +#2019 = VERTEX_POINT ( 'NONE', #2552 ) ; +#2020 = VERTEX_POINT ( 'NONE', #2553 ) ; +#2021 = VERTEX_POINT ( 'NONE', #2554 ) ; +#2022 = VERTEX_POINT ( 'NONE', #2555 ) ; +#2023 = VERTEX_POINT ( 'NONE', #2556 ) ; +#2024 = VERTEX_POINT ( 'NONE', #2557 ) ; +#2025 = VERTEX_POINT ( 'NONE', #2558 ) ; +#2026 = VERTEX_POINT ( 'NONE', #2559 ) ; +#2027 = VERTEX_POINT ( 'NONE', #2560 ) ; +#2028 = VERTEX_POINT ( 'NONE', #2561 ) ; +#2029 = VERTEX_POINT ( 'NONE', #2562 ) ; +#2030 = VERTEX_POINT ( 'NONE', #2563 ) ; +#2031 = VERTEX_POINT ( 'NONE', #2564 ) ; +#2032 = VERTEX_POINT ( 'NONE', #2565 ) ; +#2033 = VERTEX_POINT ( 'NONE', #2566 ) ; +#2034 = VERTEX_POINT ( 'NONE', #2567 ) ; +#2035 = VERTEX_POINT ( 'NONE', #2568 ) ; +#2036 = VERTEX_POINT ( 'NONE', #2569 ) ; +#2037 = VERTEX_POINT ( 'NONE', #2570 ) ; +#2038 = VERTEX_POINT ( 'NONE', #2571 ) ; +#2039 = VERTEX_POINT ( 'NONE', #2572 ) ; +#2040 = VERTEX_POINT ( 'NONE', #2573 ) ; +#2041 = VERTEX_POINT ( 'NONE', #2574 ) ; +#2042 = VERTEX_POINT ( 'NONE', #2575 ) ; +#2043 = VERTEX_POINT ( 'NONE', #2576 ) ; +#2044 = VERTEX_POINT ( 'NONE', #2577 ) ; +#2045 = VERTEX_POINT ( 'NONE', #2578 ) ; +#2046 = VERTEX_POINT ( 'NONE', #2579 ) ; +#2047 = VERTEX_POINT ( 'NONE', #2580 ) ; +#2048 = VERTEX_POINT ( 'NONE', #2581 ) ; +#2049 = VERTEX_POINT ( 'NONE', #2582 ) ; +#2050 = VERTEX_POINT ( 'NONE', #2583 ) ; +#2051 = VERTEX_POINT ( 'NONE', #2584 ) ; +#2052 = VERTEX_POINT ( 'NONE', #2585 ) ; +#2053 = VERTEX_POINT ( 'NONE', #2586 ) ; +#2054 = VERTEX_POINT ( 'NONE', #2587 ) ; +#2055 = VERTEX_POINT ( 'NONE', #2588 ) ; +#2056 = VERTEX_POINT ( 'NONE', #2589 ) ; +#2057 = VERTEX_POINT ( 'NONE', #2590 ) ; +#2058 = VERTEX_POINT ( 'NONE', #2591 ) ; +#2059 = VERTEX_POINT ( 'NONE', #2592 ) ; +#2060 = VERTEX_POINT ( 'NONE', #2593 ) ; +#2061 = VERTEX_POINT ( 'NONE', #2594 ) ; +#2062 = VERTEX_POINT ( 'NONE', #2595 ) ; +#2063 = VERTEX_POINT ( 'NONE', #2596 ) ; +#2064 = VERTEX_POINT ( 'NONE', #2597 ) ; +#2065 = VERTEX_POINT ( 'NONE', #2598 ) ; +#2066 = VERTEX_POINT ( 'NONE', #2599 ) ; +#2067 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.1999999999999999800, 0.6500000000000001300 ) ) ; +#2068 = FILL_AREA_STYLE ('',( #1536 ) ) ; +#2069 = SURFACE_SIDE_STYLE ('',( #6846 ) ) ; +#2070 = SURFACE_STYLE_USAGE ( .BOTH. , #2069 ) ; +#2071 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2072 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2073 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2074 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000000300, 0.05000000000000022500 ) ) ; +#2075 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999991600, 0.6500000000000001300 ) ) ; +#2076 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 0.0000000000000000000, 0.4500000000000002900 ) ) ; +#2077 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, 1.100000000000001200, 0.2500000000000003300 ) ) ; +#2078 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.200000000000001100, 0.1500000000000009400 ) ) ; +#2079 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000000800, -2.149999999999999900 ) ) ; +#2080 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.200000000000000800, -2.250000000000000000 ) ) ; +#2081 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.200000000000001100, -2.300000000000000300 ) ) ; +#2082 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000001200, -2.400000000000000400 ) ) ; +#2083 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999991600, -2.400000000000000400 ) ) ; +#2084 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999999300, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#2085 = CARTESIAN_POINT ( 'NONE', ( 1.769999999999999800, 0.0000000000000000000, -3.150000000000000800 ) ) ; +#2086 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999999500, 0.0000000000000000000, -2.950000000000000200 ) ) ; +#2087 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999999500, 0.0000000000000000000, -2.350000000000000500 ) ) ; +#2088 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.0000000000000000000, -2.149999999999999900 ) ) ; +#2089 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999998900, 0.0000000000000000000, -2.150000000000000800 ) ) ; +#2090 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999998900, 0.0000000000000000000, 0.04999999999999955900 ) ) ; +#2091 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.0000000000000000000, 0.04999999999999934400 ) ) ; +#2092 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999999100, 0.0000000000000000000, 0.2499999999999993600 ) ) ; +#2093 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999999100, 0.0000000000000000000, 1.449999999999999100 ) ) ; +#2094 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.0000000000000000000, 1.649999999999999000 ) ) ; +#2095 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999998900, 0.0000000000000000000, 1.649999999999999200 ) ) ; +#2096 = CARTESIAN_POINT ( 'NONE', ( 1.500000000000000200, 0.2999999999999999300, -1.650000000000000400 ) ) ; +#2097 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.2999999999999999300, -1.650000000000001000 ) ) ; +#2098 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.2999999999999999300, -1.350000000000001200 ) ) ; +#2099 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999300, 0.2999999999999999300, -1.350000000000001000 ) ) ; +#2100 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999994300, 0.2999999999999999900, 3.649999999999999500 ) ) ; +#2101 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999994800, 0.2999999999999999900, 1.649999999999999500 ) ) ; +#2102 = CARTESIAN_POINT ( 'NONE', ( 2.170000000000000400, 0.2999999999999999900, 1.649999999999999700 ) ) ; +#2103 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999999100, 0.2999999999999999900, 1.449999999999999500 ) ) ; +#2104 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999999100, 0.2999999999999999900, 0.2499999999999993600 ) ) ; +#2105 = CARTESIAN_POINT ( 'NONE', ( 2.170000000000000400, 0.2999999999999999900, 0.04999999999999947500 ) ) ; +#2106 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999994800, 0.2999999999999999900, 0.04999999999999933000 ) ) ; +#2107 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999994300, 0.2999999999999999900, -2.150000000000000800 ) ) ; +#2108 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.2999999999999999900, -2.150000000000000800 ) ) ; +#2109 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 3.210000000000000000, -1.350000000000000500 ) ) ; +#2110 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996800, 3.009999999999999800, -1.350000000000000500 ) ) ; +#2111 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 3.010000000000000700, -1.350000000000000500 ) ) ; +#2112 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 3.210000000000000000, -1.350000000000000500 ) ) ; +#2113 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626905300, 3.210000000000000000, -3.150000000000000400 ) ) ; +#2114 = CARTESIAN_POINT ( 'NONE', ( -1.029289321881343900, 3.180710678118655800, -3.650000000000000400 ) ) ; +#2115 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626883100, 3.210000000000000900, -3.650000000000000400 ) ) ; +#2116 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626875300, 3.210000000000000000, -3.150000000000000400 ) ) ; +#2117 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 2.910000000000000100, -3.150000000000000400 ) ) ; +#2118 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999998000, 3.210000000000000000, -3.150000000000000400 ) ) ; +#2119 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, 3.210000000000000000, -3.150000000000000400 ) ) ; +#2120 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999800, 2.910000000000000100, -3.150000000000000400 ) ) ; +#2121 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999993900, 2.910000000000000100, -3.650000000000000400 ) ) ; +#2122 = CARTESIAN_POINT ( 'NONE', ( 2.699999999999998400, 2.910000000000000100, -3.650000000000000400 ) ) ; +#2123 = CARTESIAN_POINT ( 'NONE', ( 2.700000000000000200, 3.210000000000000000, -3.650000000000000400 ) ) ; +#2124 = CARTESIAN_POINT ( 'NONE', ( 3.470000000000000200, 3.210000000000000000, -3.650000000000000400 ) ) ; +#2125 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, 2.210000000000000400, -3.650000000000000400 ) ) ; +#2126 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, -0.7999999999999977100, -3.650000000000000400 ) ) ; +#2127 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.7999999999999990500, -3.650000000000000400 ) ) ; +#2128 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 2.109999999999994500, -3.650000000000000400 ) ) ; +#2129 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 2.210000000000000400, -3.650000000000000400 ) ) ; +#2130 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, -0.7999999999999977100, -3.650000000000000400 ) ) ; +#2131 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.9999999999999991100, -3.450000000000000200 ) ) ; +#2132 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, -0.9999999999999991100, -2.600000000000000500 ) ) ; +#2133 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, -0.7999999999999991600, -2.400000000000000400 ) ) ; +#2134 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.100000000000001200, -2.400000000000000400 ) ) ; +#2135 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626874200, 3.210000000000000000, -3.230000000000000000 ) ) ; +#2136 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626874200, 2.900000000000000400, -3.220000000000001100 ) ) ; +#2137 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626883100, 3.210000000000000900, -3.220000000000000600 ) ) ; +#2138 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762688300, 3.181414284285430500, -3.150000000000000400 ) ) ; +#2139 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626866400, 2.900000000000000400, -3.220000000000001500 ) ) ; +#2140 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626866400, 3.210000000000000000, -3.220000000000001500 ) ) ; +#2141 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762687400, 3.181414284285431800, -3.150000000000000800 ) ) ; +#2142 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626863100, 2.900000000000000400, -3.230000000000000400 ) ) ; +#2143 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626863100, 3.210000000000000000, -3.230000000000000400 ) ) ; +#2144 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762686000, 2.900000000000000400, -3.300000000000000300 ) ) ; +#2145 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762687400, 3.181414284285431800, -3.300000000000000700 ) ) ; +#2146 = CARTESIAN_POINT ( 'NONE', ( 1.029289321881345700, 3.180710678118654900, -3.300000000000000700 ) ) ; +#2147 = CARTESIAN_POINT ( 'NONE', ( 1.500000000000000200, 0.0000000000000000000, -1.350000000000000500 ) ) ; +#2148 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999996600, 0.2000000000000000900, -1.350000000000000500 ) ) ; +#2149 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.2000000000000000900, -1.350000000000000300 ) ) ; +#2150 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, 0.0000000000000000000, -1.350000000000000300 ) ) ; +#2151 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999600, 0.0000000000000000000, -1.650000000000000400 ) ) ; +#2152 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999996600, 0.2000000000000000900, -1.650000000000000400 ) ) ; +#2153 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.0000000000000000000, -1.650000000000001000 ) ) ; +#2154 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999995200, 0.2000000000000002100, -1.650000000000000400 ) ) ; +#2155 = CARTESIAN_POINT ( 'NONE', ( -1.500000000000000000, 3.210000000000000000, -1.650000000000000400 ) ) ; +#2156 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996800, 3.009999999999999800, -1.650000000000000400 ) ) ; +#2157 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 3.210000000000000000, -1.650000000000000400 ) ) ; +#2158 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 3.010000000000000700, -1.650000000000000400 ) ) ; +#2159 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000400, 1.955000000000000100, -1.800000000000000500 ) ) ; +#2160 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999997500, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2161 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999997600, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2162 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999998800, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2163 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999999200, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2164 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999998700, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2165 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#2166 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999994500, 0.3099999999999998300, -3.600000000000000500 ) ) ; +#2167 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2168 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2169 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2170 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2171 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2172 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2173 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2174 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2175 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2176 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2177 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999999700, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2178 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999999500, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2179 = CARTESIAN_POINT ( 'NONE', ( -1.350000000000000100, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2180 = CARTESIAN_POINT ( 'NONE', ( -1.350000000000000100, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2181 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999999900, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2182 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999999900, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2183 = CARTESIAN_POINT ( 'NONE', ( -0.8500000000000000900, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2184 = CARTESIAN_POINT ( 'NONE', ( -0.8500000000000000900, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2185 = CARTESIAN_POINT ( 'NONE', ( -0.6500000000000001300, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2186 = CARTESIAN_POINT ( 'NONE', ( -0.6500000000000000200, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2187 = CARTESIAN_POINT ( 'NONE', ( 0.2999999999999997700, 0.2999999999999999300, -1.499999999999998400 ) ) ; +#2188 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999796300, 0.2999999999999999900, -1.750000000000000400 ) ) ; +#2189 = CARTESIAN_POINT ( 'NONE', ( -0.04999999999999834400, 0.2999999999999999300, -1.750000000000000400 ) ) ; +#2190 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999997100, 0.2999999999999999900, -2.000000000000001800 ) ) ; +#2191 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999994900, 0.2999999999999999900, -3.150000000000000400 ) ) ; +#2192 = CARTESIAN_POINT ( 'NONE', ( -1.770000000000000700, 0.2999999999999999900, -3.150000000000000400 ) ) ; +#2193 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000001300, 0.2999999999999999900, -2.950000000000000200 ) ) ; +#2194 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000001300, 0.2999999999999999900, -2.350000000000000500 ) ) ; +#2195 = CARTESIAN_POINT ( 'NONE', ( -2.170000000000000800, 0.2999999999999999900, -2.149999999999999900 ) ) ; +#2196 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -2.149999999999999900 ) ) ; +#2197 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, 0.05000000000000012800 ) ) ; +#2198 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 0.2999999999999999900, 0.04999999999999998900 ) ) ; +#2199 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 0.2999999999999999900, 0.2499999999999997800 ) ) ; +#2200 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 0.2999999999999999900, 1.450000000000000000 ) ) ; +#2201 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 0.2999999999999999900, 1.650000000000000100 ) ) ; +#2202 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, 1.650000000000000400 ) ) ; +#2203 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999300, 3.649999999999999500 ) ) ; +#2204 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.0000000000000000000, -1.350000000000000500 ) ) ; +#2205 = CARTESIAN_POINT ( 'NONE', ( -1.500000000000000000, 0.0000000000000000000, -1.350000000000000500 ) ) ; +#2206 = CARTESIAN_POINT ( 'NONE', ( -1.500000000000000000, 0.0000000000000000000, -1.650000000000000400 ) ) ; +#2207 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.0000000000000000000, -1.650000000000000400 ) ) ; +#2208 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999999300, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#2209 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999999900, 0.0000000000000000000, 2.400000000000001700 ) ) ; +#2210 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000200, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#2211 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000600, 0.0000000000000000000, 1.650000000000000400 ) ) ; +#2212 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 0.0000000000000000000, 1.650000000000000100 ) ) ; +#2213 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 0.0000000000000000000, 1.450000000000000400 ) ) ; +#2214 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 0.0000000000000000000, 0.2499999999999997800 ) ) ; +#2215 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 0.0000000000000000000, 0.04999999999999985700 ) ) ; +#2216 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000600, 0.0000000000000000000, 0.04999999999999999600 ) ) ; +#2217 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000600, 0.0000000000000000000, -2.149999999999999900 ) ) ; +#2218 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999300, 2.006284116830124600, -3.600000000000000500 ) ) ; +#2219 = CARTESIAN_POINT ( 'NONE', ( -2.599999999999998800, 1.906284116830123900, -3.600000000000000500 ) ) ; +#2220 = CARTESIAN_POINT ( 'NONE', ( -1.838030458220621900, 1.906284116830123900, -3.600000000000000500 ) ) ; +#2221 = CARTESIAN_POINT ( 'NONE', ( -0.8443145750507630200, 2.900000000000000400, -3.600000000000000500 ) ) ; +#2222 = CARTESIAN_POINT ( 'NONE', ( 0.8443145750507630200, 2.900000000000000400, -3.600000000000000500 ) ) ; +#2223 = CARTESIAN_POINT ( 'NONE', ( 1.838030458220619700, 1.906284116830121900, -3.600000000000000500 ) ) ; +#2224 = CARTESIAN_POINT ( 'NONE', ( 2.599999999999998800, 1.906284116830123700, -3.600000000000000500 ) ) ; +#2225 = CARTESIAN_POINT ( 'NONE', ( 2.699999999999999300, 2.006284116830124600, -3.600000000000000500 ) ) ; +#2226 = CARTESIAN_POINT ( 'NONE', ( 2.699999999999998400, 2.799999999999999400, -3.600000000000000500 ) ) ; +#2227 = CARTESIAN_POINT ( 'NONE', ( 2.799999999999998900, 2.900000000000000400, -3.600000000000000500 ) ) ; +#2228 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 2.900000000000000800, -3.600000000000000500 ) ) ; +#2229 = CARTESIAN_POINT ( 'NONE', ( 4.160000000000000100, 2.099999999999994800, -3.600000000000000500 ) ) ; +#2230 = CARTESIAN_POINT ( 'NONE', ( 4.160000000000000100, 1.110000000000005200, -3.600000000000000500 ) ) ; +#2231 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999998700, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2232 = CARTESIAN_POINT ( 'NONE', ( -2.799999999999998900, 2.900000000000000800, -3.300000000000000300 ) ) ; +#2233 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999998400, 2.799999999999999400, -3.300000000000000300 ) ) ; +#2234 = CARTESIAN_POINT ( 'NONE', ( -1.309999999999999600, 2.900000000000000400, -3.300000000000000300 ) ) ; +#2235 = CARTESIAN_POINT ( 'NONE', ( -2.060660171779819600, 2.149339828220180800, -3.300000000000000300 ) ) ; +#2236 = CARTESIAN_POINT ( 'NONE', ( -1.848528137423855800, 1.937207793864217400, -3.300000000000000300 ) ) ; +#2237 = CARTESIAN_POINT ( 'NONE', ( -0.9050252531694175100, 2.880710678118655100, -3.300000000000000300 ) ) ; +#2238 = CARTESIAN_POINT ( 'NONE', ( -0.8779035644861703500, 2.900000000000000400, -3.300000000000000300 ) ) ; +#2239 = CARTESIAN_POINT ( 'NONE', ( -0.8443145750507630200, 2.900000000000000400, -3.300000000000000300 ) ) ; +#2240 = CARTESIAN_POINT ( 'NONE', ( -1.838030458220621900, 1.906284116830123900, -3.300000000000000300 ) ) ; +#2241 = CARTESIAN_POINT ( 'NONE', ( -2.599999999999998800, 1.906284116830123900, -3.300000000000000300 ) ) ; +#2242 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999300, 2.006284116830124600, -3.300000000000000300 ) ) ; +#2243 = CARTESIAN_POINT ( 'NONE', ( 0.8443145750507630200, 2.900000000000000400, -3.300000000000000300 ) ) ; +#2244 = CARTESIAN_POINT ( 'NONE', ( 1.838030458220619700, 1.906284116830121900, -3.300000000000000300 ) ) ; +#2245 = CARTESIAN_POINT ( 'NONE', ( 0.8779035644861701300, 2.900000000000000400, -3.300000000000000300 ) ) ; +#2246 = CARTESIAN_POINT ( 'NONE', ( 0.9050252531694190600, 2.880710678118654200, -3.300000000000000300 ) ) ; +#2247 = CARTESIAN_POINT ( 'NONE', ( 1.848528137423856700, 1.937207793864215200, -3.300000000000000700 ) ) ; +#2248 = CARTESIAN_POINT ( 'NONE', ( 2.060660171779821000, 2.149339828220179000, -3.300000000000000700 ) ) ; +#2249 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.6700000000000000400, -2.749999999999999100 ) ) ; +#2250 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.6700000000000000400, -2.449999999999998400 ) ) ; +#2251 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.5699999999999999500, -2.849999999999998800 ) ) ; +#2252 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.5699999999999999500, -2.349999999999998300 ) ) ; +#2253 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.5699999999999999500, -2.350000000000000500 ) ) ; +#2254 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.5699999999999999500, -2.850000000000001000 ) ) ; +#2255 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.6700000000000000400, -2.450000000000000200 ) ) ; +#2256 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.6700000000000000400, -2.750000000000001300 ) ) ; +#2257 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, 0.3099999999999999400, -2.849999999999998800 ) ) ; +#2258 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, 0.3099999999999999400, -2.349999999999998300 ) ) ; +#2259 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, 0.3099999999999999400, -2.850000000000001000 ) ) ; +#2260 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, 0.3099999999999999400, -2.350000000000000500 ) ) ; +#2261 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.2999999999999999300, -1.650000000000000400 ) ) ; +#2262 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 0.2999999999999999300, -1.650000000000000400 ) ) ; +#2263 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 0.2999999999999999300, -1.350000000000000500 ) ) ; +#2264 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.2999999999999999300, -1.350000000000000500 ) ) ; +#2265 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999999900, 0.2999999999999999300, 2.400000000000001700 ) ) ; +#2266 = CARTESIAN_POINT ( 'NONE', ( -0.3000000000000007700, 0.2999999999999999900, 3.649999999999999500 ) ) ; +#2267 = CARTESIAN_POINT ( 'NONE', ( -0.04999999999999796300, 0.2999999999999999900, 2.149999999999999900 ) ) ; +#2268 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999780300, 0.2999999999999999300, 2.149999999999999900 ) ) ; +#2269 = CARTESIAN_POINT ( 'NONE', ( 0.2999999999999994900, 0.2999999999999999900, 1.899999999999998600 ) ) ; +#2270 = CARTESIAN_POINT ( 'NONE', ( 0.2999999999999997100, 0.2999999999999999300, 1.200000000000001500 ) ) ; +#2271 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999796300, 0.2999999999999999900, 0.9499999999999995100 ) ) ; +#2272 = CARTESIAN_POINT ( 'NONE', ( -0.04999999999999839300, 0.2999999999999999300, 0.9499999999999996200 ) ) ; +#2273 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999999900, 0.2999999999999999900, 0.6999999999999979600 ) ) ; +#2274 = CARTESIAN_POINT ( 'NONE', ( -0.3000000000000000400, 0.2999999999999999300, -0.2999999999999986000 ) ) ; +#2275 = CARTESIAN_POINT ( 'NONE', ( -0.04999999999999796300, 0.2999999999999999900, -0.5500000000000001600 ) ) ; +#2276 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999785200, 0.2999999999999999300, -0.5500000000000003800 ) ) ; +#2277 = CARTESIAN_POINT ( 'NONE', ( 0.3000000000000001600, 0.2999999999999999900, -0.8000000000000020400 ) ) ; +#2278 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999998100, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2279 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999993100, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2280 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999986900, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2281 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999992000, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2282 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999990800, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2283 = CARTESIAN_POINT ( 'NONE', ( -0.1500000000000001100, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2284 = CARTESIAN_POINT ( 'NONE', ( -0.3500000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2285 = CARTESIAN_POINT ( 'NONE', ( -0.6500000000000000200, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2286 = CARTESIAN_POINT ( 'NONE', ( -0.8500000000000000900, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2287 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999999700, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2288 = CARTESIAN_POINT ( 'NONE', ( -1.350000000000000100, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2289 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999999500, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2290 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2291 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2292 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2293 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2294 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2295 = CARTESIAN_POINT ( 'NONE', ( -4.160000000000000100, 1.110000000000005400, -3.600000000000000500 ) ) ; +#2296 = CARTESIAN_POINT ( 'NONE', ( -4.160000000000000100, 2.099999999999994800, -3.600000000000000500 ) ) ; +#2297 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 2.900000000000000400, -3.600000000000000500 ) ) ; +#2298 = CARTESIAN_POINT ( 'NONE', ( -2.799999999999998900, 2.900000000000000800, -3.600000000000000500 ) ) ; +#2299 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999998400, 2.799999999999999400, -3.600000000000000500 ) ) ; +#2300 = CARTESIAN_POINT ( 'NONE', ( -0.3500000000000000300, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2301 = CARTESIAN_POINT ( 'NONE', ( -0.3500000000000001400, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2302 = CARTESIAN_POINT ( 'NONE', ( -0.1500000000000001600, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2303 = CARTESIAN_POINT ( 'NONE', ( -0.1499999999999999700, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2304 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999995200, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2305 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999993800, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2306 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999993100, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2307 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999992000, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2308 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999995800, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2309 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999994700, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2310 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999994200, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2311 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999992000, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2312 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999999000, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2313 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999999000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2314 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999999200, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2315 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999998800, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2316 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999998600, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2317 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999998800, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2318 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999998800, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2319 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999999200, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2320 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999998100, 0.3099999999999997200, -3.600000000000000500 ) ) ; +#2321 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999998600, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2322 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999998900, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2323 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999997500, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2324 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999998500, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2325 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999997200, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2326 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999998800, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2327 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999998600, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2328 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999999000, 0.4099999999999999200, -3.600000000000001000 ) ) ; +#2329 = CARTESIAN_POINT ( 'NONE', ( 1.310000000000000300, 2.900000000000000400, -3.300000000000000700 ) ) ; +#2330 = CARTESIAN_POINT ( 'NONE', ( 2.799999999999998900, 2.900000000000000400, -3.300000000000000300 ) ) ; +#2331 = CARTESIAN_POINT ( 'NONE', ( 2.699999999999998400, 2.799999999999999400, -3.300000000000000300 ) ) ; +#2332 = CARTESIAN_POINT ( 'NONE', ( 2.699999999999999300, 2.006284116830124600, -3.300000000000000300 ) ) ; +#2333 = CARTESIAN_POINT ( 'NONE', ( 2.599999999999998800, 1.906284116830123700, -3.300000000000000300 ) ) ; +#2334 = CARTESIAN_POINT ( 'NONE', ( -0.8779035644861703500, 2.900000000000000400, -3.150000000000000400 ) ) ; +#2335 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762688300, 2.900000000000000400, -3.150000000000000400 ) ) ; +#2336 = CARTESIAN_POINT ( 'NONE', ( -0.8343145750507624500, 2.910000000000000100, -3.150000000000000400 ) ) ; +#2337 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762688300, 2.910000000000000100, -3.150000000000000400 ) ) ; +#2338 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762687400, 2.900000000000000400, -3.150000000000000800 ) ) ; +#2339 = CARTESIAN_POINT ( 'NONE', ( 0.8779035644861700200, 2.900000000000000400, -3.150000000000000400 ) ) ; +#2340 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762687400, 2.910000000000000100, -3.150000000000000800 ) ) ; +#2341 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507640100, 2.910000000000000100, -3.150000000000000400 ) ) ; +#2342 = CARTESIAN_POINT ( 'NONE', ( 0.9050252531694190600, 2.880710678118654200, -3.650000000000000400 ) ) ; +#2343 = CARTESIAN_POINT ( 'NONE', ( 1.848528137423856700, 1.937207793864215200, -3.650000000000000400 ) ) ; +#2344 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507636700, 2.909999999999999700, -3.650000000000000400 ) ) ; +#2345 = CARTESIAN_POINT ( 'NONE', ( -0.9050252531694175100, 2.880710678118655100, -3.650000000000000400 ) ) ; +#2346 = CARTESIAN_POINT ( 'NONE', ( -0.8343145750507621200, 2.910000000000000600, -3.650000000000000400 ) ) ; +#2347 = CARTESIAN_POINT ( 'NONE', ( -1.848528137423855800, 1.937207793864217200, -3.650000000000000400 ) ) ; +#2348 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762688300, 2.900000000000000800, -3.300000000000000300 ) ) ; +#2349 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762688300, 3.181414284285430500, -3.300000000000000300 ) ) ; +#2350 = CARTESIAN_POINT ( 'NONE', ( -1.029289321881343900, 3.180710678118655800, -3.300000000000000300 ) ) ; +#2351 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626874200, 2.900000000000000400, -3.230000000000000000 ) ) ; +#2352 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.200000000000000800, -2.250000000000000000 ) ) ; +#2353 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.100000000000000800, -2.149999999999999900 ) ) ; +#2354 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.000000000000000000, -2.149999999999999900 ) ) ; +#2355 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.000000000000000000, 0.05000000000000026000 ) ) ; +#2356 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000000300, 0.05000000000000026000 ) ) ; +#2357 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.200000000000001100, 0.1500000000000009700 ) ) ; +#2358 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000001200, 0.2500000000000003300 ) ) ; +#2359 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.2000000000000000900, 0.2500000000000003300 ) ) ; +#2360 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 0.4500000000000002300 ) ) ; +#2361 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.1999999999999999800, 0.6500000000000001300 ) ) ; +#2362 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.7999999999999991600, 0.6500000000000001300 ) ) ; +#2363 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.9999999999999991100, 0.8500000000000002000 ) ) ; +#2364 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.9999999999999991100, 1.250000000000000200 ) ) ; +#2365 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.7999999999999991600, 1.450000000000000400 ) ) ; +#2366 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000001200, 1.450000000000000400 ) ) ; +#2367 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.200000000000001100, 1.549999999999999800 ) ) ; +#2368 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000000300, 1.650000000000000400 ) ) ; +#2369 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.000000000000000000, 1.650000000000000400 ) ) ; +#2370 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.000000000000000900, 3.649999999999999500 ) ) ; +#2371 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 2.210000000000000400, 3.649999999999999500 ) ) ; +#2372 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.9999999999999991100, -2.600000000000000500 ) ) ; +#2373 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.9999999999999991100, -3.450000000000000200 ) ) ; +#2374 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999994900, -3.650000000000000400 ) ) ; +#2375 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 2.109999999999995000, -3.650000000000000400 ) ) ; +#2376 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 2.109999999999995000, 3.649999999999999500 ) ) ; +#2377 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000005600, 3.649999999999999500 ) ) ; +#2378 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000000300, 1.650000000000000400 ) ) ; +#2379 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.200000000000001100, 1.549999999999999800 ) ) ; +#2380 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, 1.100000000000001200, 1.450000000000000200 ) ) ; +#2381 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.7999999999999991600, 1.450000000000000200 ) ) ; +#2382 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.9999999999999991100, 1.250000000000000000 ) ) ; +#2383 = CARTESIAN_POINT ( 'NONE', ( -2.170000000000000800, 0.0000000000000000000, -2.150000000000000400 ) ) ; +#2384 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000900, 0.0000000000000000000, -2.350000000000001000 ) ) ; +#2385 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000900, 0.0000000000000000000, -2.950000000000000600 ) ) ; +#2386 = CARTESIAN_POINT ( 'NONE', ( -1.770000000000000700, 0.0000000000000000000, -3.150000000000000800 ) ) ; +#2387 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999999300, 0.0000000000000000000, -3.150000000000000800 ) ) ; +#2388 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999999900, 0.0000000000000000000, -2.000000000000002200 ) ) ; +#2389 = CARTESIAN_POINT ( 'NONE', ( -0.05000000000000002400, 0.0000000000000000000, -1.750000000000000400 ) ) ; +#2390 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999883000, 0.0000000000000000000, -1.750000000000000700 ) ) ; +#2391 = CARTESIAN_POINT ( 'NONE', ( 0.2999999999999997700, 0.0000000000000000000, -1.499999999999998400 ) ) ; +#2392 = CARTESIAN_POINT ( 'NONE', ( 0.3000000000000002700, 0.0000000000000000000, -0.8000000000000017100 ) ) ; +#2393 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999964200, 0.0000000000000000000, -0.5500000000000003800 ) ) ; +#2394 = CARTESIAN_POINT ( 'NONE', ( -0.04999999999999883000, 0.0000000000000000000, -0.5500000000000001600 ) ) ; +#2395 = CARTESIAN_POINT ( 'NONE', ( -0.3000000000000000400, 0.0000000000000000000, -0.2999999999999986000 ) ) ; +#2396 = CARTESIAN_POINT ( 'NONE', ( -0.2999999999999996000, 0.0000000000000000000, 0.6999999999999975100 ) ) ; +#2397 = CARTESIAN_POINT ( 'NONE', ( -0.05000000000000012800, 0.0000000000000000000, 0.9499999999999996200 ) ) ; +#2398 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999796300, 0.0000000000000000000, 0.9499999999999995100 ) ) ; +#2399 = CARTESIAN_POINT ( 'NONE', ( 0.2999999999999997100, 0.0000000000000000000, 1.200000000000001500 ) ) ; +#2400 = CARTESIAN_POINT ( 'NONE', ( 0.3000000000000001000, 0.0000000000000000000, 1.899999999999998400 ) ) ; +#2401 = CARTESIAN_POINT ( 'NONE', ( 0.04999999999999964200, 0.0000000000000000000, 2.149999999999999900 ) ) ; +#2402 = CARTESIAN_POINT ( 'NONE', ( -0.04999999999999796300, 0.0000000000000000000, 2.149999999999999900 ) ) ; +#2403 = CARTESIAN_POINT ( 'NONE', ( -4.160000000000000100, 1.110000000000005400, -1.800000000000000500 ) ) ; +#2404 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#2405 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995400, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#2406 = CARTESIAN_POINT ( 'NONE', ( 4.160000000000000100, 1.110000000000005400, -1.800000000000000500 ) ) ; +#2407 = CARTESIAN_POINT ( 'NONE', ( 4.160000000000000100, 2.099999999999994800, -1.800000000000000500 ) ) ; +#2408 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 2.900000000000000400, -1.800000000000000500 ) ) ; +#2409 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 2.900000000000000800, -1.800000000000000500 ) ) ; +#2410 = CARTESIAN_POINT ( 'NONE', ( -4.160000000000000100, 2.099999999999994800, -1.800000000000000500 ) ) ; +#2411 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.255000000000000100, -1.800000000000000500 ) ) ; +#2412 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000000, 1.255000000000000100, -1.800000000000000500 ) ) ; +#2413 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.955000000000000100, -1.800000000000000500 ) ) ; +#2414 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999999500, 0.2999999999999999900, -2.350000000000000500 ) ) ; +#2415 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999999500, 0.2999999999999999900, -2.950000000000000200 ) ) ; +#2416 = CARTESIAN_POINT ( 'NONE', ( 1.769999999999999800, 0.2999999999999999900, -3.150000000000000400 ) ) ; +#2417 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999700, 3.210000000000000000, -3.650000000000000400 ) ) ; +#2418 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000600, 3.210000000000000000, -3.650000000000000400 ) ) ; +#2419 = CARTESIAN_POINT ( 'NONE', ( -2.700000000000000200, 2.910000000000000100, -3.650000000000000400 ) ) ; +#2420 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -3.650000000000000400 ) ) ; +#2421 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.200000000000000800, -2.300000000000001200 ) ) ; +#2422 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.200000000000000800, -2.250000000000000900 ) ) ; +#2423 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.200000000000000800, -2.300000000000001200 ) ) ; +#2424 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.200000000000000800, -2.250000000000000900 ) ) ; +#2425 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.000000000000000000, 0.04999999999999919800 ) ) ; +#2426 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.000000000000000000, -2.150000000000000800 ) ) ; +#2427 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000000300, 0.04999999999999923300 ) ) ; +#2428 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000000800, -2.150000000000000400 ) ) ; +#2429 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, 3.649999999999999500 ) ) ; +#2430 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999993900, 2.910000000000000100, 3.649999999999999500 ) ) ; +#2431 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 2.109999999999994500, 3.649999999999999500 ) ) ; +#2432 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000005900, 3.649999999999999500 ) ) ; +#2433 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.000000000000000900, 3.649999999999999500 ) ) ; +#2434 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 2.210000000000000400, 3.649999999999999500 ) ) ; +#2435 = CARTESIAN_POINT ( 'NONE', ( 3.470000000000000600, 3.210000000000000000, 3.649999999999999500 ) ) ; +#2436 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000200, 3.210000000000000000, 3.649999999999999500 ) ) ; +#2437 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, -0.9999999999999991100, -3.450000000000000200 ) ) ; +#2438 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.9999999999999991100, -2.600000000000001000 ) ) ; +#2439 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.000000000000000000, 1.649999999999998600 ) ) ; +#2440 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000000300, 1.649999999999999200 ) ) ; +#2441 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.200000000000001100, 1.549999999999998700 ) ) ; +#2442 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000001200, 1.449999999999999300 ) ) ; +#2443 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.7999999999999991600, 1.449999999999999300 ) ) ; +#2444 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.9999999999999991100, 1.249999999999999100 ) ) ; +#2445 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.9999999999999991100, 0.8499999999999990900 ) ) ; +#2446 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.7999999999999991600, 0.6499999999999991300 ) ) ; +#2447 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.1999999999999999800, 0.6499999999999991300 ) ) ; +#2448 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 0.0000000000000000000, 0.4499999999999992300 ) ) ; +#2449 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 0.2000000000000000900, 0.2499999999999992500 ) ) ; +#2450 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000001200, 0.2499999999999992500 ) ) ; +#2451 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.200000000000001100, 0.1499999999999999100 ) ) ; +#2452 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000000300, 0.04999999999999919800 ) ) ; +#2453 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000000800, -2.150000000000000800 ) ) ; +#2454 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000001200, -2.400000000000001200 ) ) ; +#2455 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.7999999999999991600, -2.400000000000001200 ) ) ; +#2456 = CARTESIAN_POINT ( 'NONE', ( -2.499999999999999600, 3.210000000000000000, -3.450000000000000200 ) ) ; +#2457 = CARTESIAN_POINT ( 'NONE', ( -2.499999999999999600, 3.210000000000000000, -3.350000000000000500 ) ) ; +#2458 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, 3.210000000000000000, -3.450000000000000200 ) ) ; +#2459 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, 3.210000000000000000, -3.350000000000000500 ) ) ; +#2460 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626900900, 3.210000000000000000, -3.650000000000000400 ) ) ; +#2461 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, 3.210000000000000000, -1.350000000000000300 ) ) ; +#2462 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 3.210000000000000000, -1.650000000000001000 ) ) ; +#2463 = CARTESIAN_POINT ( 'NONE', ( 1.500000000000000200, 3.210000000000000000, -1.350000000000000500 ) ) ; +#2464 = CARTESIAN_POINT ( 'NONE', ( 1.500000000000000200, 3.210000000000000000, -1.650000000000000400 ) ) ; +#2465 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 2.910000000000000100, -1.350000000000000500 ) ) ; +#2466 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 2.910000000000000100, -1.350000000000000500 ) ) ; +#2467 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 2.910000000000000100, -1.650000000000000400 ) ) ; +#2468 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 2.910000000000000100, -1.650000000000000400 ) ) ; +#2469 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999300, 2.910000000000000100, -1.350000000000001000 ) ) ; +#2470 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 2.910000000000000100, -1.350000000000001200 ) ) ; +#2471 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000700, 2.910000000000000100, -1.650000000000000400 ) ) ; +#2472 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999300, 2.910000000000000100, -1.650000000000000800 ) ) ; +#2473 = CARTESIAN_POINT ( 'NONE', ( -2.499999999999999600, 2.910000000000000100, -3.450000000000000200 ) ) ; +#2474 = CARTESIAN_POINT ( 'NONE', ( -2.499999999999999600, 2.910000000000000100, -3.350000000000000500 ) ) ; +#2475 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, 2.910000000000000100, -3.350000000000000500 ) ) ; +#2476 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, 2.910000000000000100, -3.450000000000000200 ) ) ; +#2477 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, -3.450000000000000200 ) ) ; +#2478 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, -2.600000000000001000 ) ) ; +#2479 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.7999999999999991600, -2.400000000000001200 ) ) ; +#2480 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, 1.100000000000001200, -2.400000000000001200 ) ) ; +#2481 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.200000000000001100, 0.1499999999999999400 ) ) ; +#2482 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, 1.100000000000001200, 0.2499999999999993600 ) ) ; +#2483 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, 0.2000000000000000900, 0.2499999999999993600 ) ) ; +#2484 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 0.0000000000000000000, 0.4499999999999993400 ) ) ; +#2485 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.1999999999999999800, 0.6499999999999991300 ) ) ; +#2486 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.7999999999999991600, 0.6499999999999991300 ) ) ; +#2487 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, 0.8499999999999990900 ) ) ; +#2488 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, 1.249999999999998900 ) ) ; +#2489 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.7999999999999991600, 1.449999999999999100 ) ) ; +#2490 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, 1.100000000000001200, 1.449999999999999100 ) ) ; +#2491 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.200000000000001100, 1.549999999999998700 ) ) ; +#2492 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000000300, 1.649999999999999200 ) ) ; +#2493 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999996200, 3.010000000000000200, -1.650000000000000400 ) ) ; +#2494 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 3.009999999999999800, -1.650000000000000400 ) ) ; +#2495 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 3.009999999999999800, -1.350000000000000300 ) ) ; +#2496 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999996200, 3.010000000000000700, -1.350000000000000500 ) ) ; +#2497 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.2000000000000000900, -1.350000000000000500 ) ) ; +#2498 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996800, 0.2000000000000000900, -1.350000000000000500 ) ) ; +#2499 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996800, 0.1999999999999998700, -1.650000000000000400 ) ) ; +#2500 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.2000000000000000900, -1.650000000000000400 ) ) ; +#2501 = CARTESIAN_POINT ( 'NONE', ( 2.060660171779820500, 2.149339828220178500, -3.650000000000000400 ) ) ; +#2502 = CARTESIAN_POINT ( 'NONE', ( -2.060660171779819600, 2.149339828220181200, -3.650000000000000400 ) ) ; +#2503 = CARTESIAN_POINT ( 'NONE', ( 1.029289321881345700, 3.180710678118654900, -3.650000000000000400 ) ) ; +#2504 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996200, 2.710000000000000400, -1.350000000000000500 ) ) ; +#2505 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 2.710000000000000000, -1.350000000000000500 ) ) ; +#2506 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996200, 2.710000000000000400, -1.650000000000000400 ) ) ; +#2507 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 2.710000000000000000, -1.650000000000000400 ) ) ; +#2508 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 2.710000000000000000, -1.650000000000000800 ) ) ; +#2509 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 2.710000000000000000, -1.350000000000001000 ) ) ; +#2510 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999995700, 2.710000000000000400, -1.650000000000000800 ) ) ; +#2511 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999995700, 2.710000000000000400, -1.350000000000001000 ) ) ; +#2512 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999995700, 0.4999999999999995600, -1.350000000000001000 ) ) ; +#2513 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.5000000000000000000, -1.350000000000001000 ) ) ; +#2514 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999995700, 0.4999999999999995600, -1.650000000000000800 ) ) ; +#2515 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.5000000000000000000, -1.650000000000000800 ) ) ; +#2516 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.5000000000000000000, -1.350000000000000500 ) ) ; +#2517 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996200, 0.4999999999999995600, -1.350000000000000500 ) ) ; +#2518 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.5000000000000000000, -1.650000000000000400 ) ) ; +#2519 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996200, 0.4999999999999995600, -1.650000000000000400 ) ) ; +#2520 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2521 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2522 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2523 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2524 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2525 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2526 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2527 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2528 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2529 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2530 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2531 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2532 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999999500, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2533 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999999500, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2534 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999999500, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2535 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2536 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2537 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2538 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999999700, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2539 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999999700, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2540 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999999700, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2541 = CARTESIAN_POINT ( 'NONE', ( -1.349999999999999900, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2542 = CARTESIAN_POINT ( 'NONE', ( -1.349999999999999900, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2543 = CARTESIAN_POINT ( 'NONE', ( -1.349999999999999900, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2544 = CARTESIAN_POINT ( 'NONE', ( -0.6500000000000000200, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2545 = CARTESIAN_POINT ( 'NONE', ( -0.6499999999999999100, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2546 = CARTESIAN_POINT ( 'NONE', ( -0.6499999999999999100, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2547 = CARTESIAN_POINT ( 'NONE', ( -0.8499999999999999800, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2548 = CARTESIAN_POINT ( 'NONE', ( -0.8499999999999998700, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2549 = CARTESIAN_POINT ( 'NONE', ( -0.8499999999999998700, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2550 = CARTESIAN_POINT ( 'NONE', ( -0.1500000000000001100, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2551 = CARTESIAN_POINT ( 'NONE', ( -0.1499999999999999900, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2552 = CARTESIAN_POINT ( 'NONE', ( -0.1499999999999999900, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2553 = CARTESIAN_POINT ( 'NONE', ( -0.3500000000000000900, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2554 = CARTESIAN_POINT ( 'NONE', ( -0.3499999999999999800, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2555 = CARTESIAN_POINT ( 'NONE', ( -0.3499999999999999800, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2556 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999992000, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2557 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999989200, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2558 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999989200, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2559 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999992500, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2560 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999990200, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2561 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999990200, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2562 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999993100, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2563 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999989800, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2564 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999989800, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2565 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999993600, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2566 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999990200, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2567 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999990200, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2568 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999999000, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2569 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999999000, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2570 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999999000, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2571 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999998800, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2572 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999998800, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2573 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999998800, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2574 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999998800, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2575 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999998800, 0.009999999999999972500, -4.250000000000000900 ) ) ; +#2576 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999998800, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#2577 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999998600, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2578 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999998600, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#2579 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999998600, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#2580 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999998100, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2581 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999997700, 0.009999999999999972500, -4.250000000000000900 ) ) ; +#2582 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999997700, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#2583 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999998500, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#2584 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999998000, 0.009999999999999972500, -4.250000000000000900 ) ) ; +#2585 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999998000, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#2586 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999998400, 0.009999999999999972500, -3.611010601084524700 ) ) ; +#2587 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999997900, 0.009999999999999972500, -4.250000000000000900 ) ) ; +#2588 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999997900, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#2589 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999998700, 0.009999999999999972500, -3.611010601084524700 ) ) ; +#2590 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999998300, 0.009999999999999972500, -4.250000000000000900 ) ) ; +#2591 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999998300, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#2592 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.255000000000000100, 2.249999999999997800 ) ) ; +#2593 = CARTESIAN_POINT ( 'NONE', ( -3.250000000000000000, 1.955000000000000100, 2.249999999999997800 ) ) ; +#2594 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000000, 1.255000000000000100, 2.249999999999997800 ) ) ; +#2595 = CARTESIAN_POINT ( 'NONE', ( 3.250000000000000000, 1.955000000000000100, 2.249999999999997800 ) ) ; +#2596 = CARTESIAN_POINT ( 'NONE', ( 3.000000000000001300, 1.504999999999998600, 2.499999999999999600 ) ) ; +#2597 = CARTESIAN_POINT ( 'NONE', ( 3.000000000000001300, 1.705000000000001600, 2.499999999999999600 ) ) ; +#2598 = CARTESIAN_POINT ( 'NONE', ( -3.000000000000001300, 1.705000000000001600, 2.499999999999999600 ) ) ; +#2599 = CARTESIAN_POINT ( 'NONE', ( -3.000000000000001300, 1.504999999999998600, 2.499999999999999600 ) ) ; +#2600 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#2601 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2602 = CARTESIAN_POINT ( 'NONE', ( -1.848528137423855800, 1.937207793864217200, -3.650000000000000400 ) ) ; +#2603 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#2604 = DIRECTION ( 'NONE', ( -0.7071067811865475700, -0.7071067811865474600, -0.0000000000000000000 ) ) ; +#2605 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999999900, 0.3099999999999999400, -1.800000000000000000 ) ) ; +#2606 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2607 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#2608 = DIRECTION ( 'NONE', ( -2.309295874346925400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2609 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#2610 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2611 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2612 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2613 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2614 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2615 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#2616 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2617 = CARTESIAN_POINT ( 'NONE', ( -1.350000000000000500, 0.3099999999999999400, -1.800000000000000000 ) ) ; +#2618 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2619 = CARTESIAN_POINT ( 'NONE', ( -1.150000000000000400, 0.3099999999999999400, -1.800000000000000000 ) ) ; +#2620 = DIRECTION ( 'NONE', ( -2.309295874346925400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2621 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2622 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2623 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#2624 = DIRECTION ( 'NONE', ( -2.309295874346925400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2625 = CARTESIAN_POINT ( 'NONE', ( -0.6500000000000003600, 0.3099999999999999400, -1.799999999999999800 ) ) ; +#2626 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2627 = CARTESIAN_POINT ( 'NONE', ( -0.8500000000000005300, 0.3099999999999999400, -1.799999999999999800 ) ) ; +#2628 = DIRECTION ( 'NONE', ( -2.309295874346925400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2629 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2630 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2631 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#2632 = DIRECTION ( 'NONE', ( -2.309295874346925400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2633 = CARTESIAN_POINT ( 'NONE', ( -0.1500000000000003800, 0.3099999999999999400, -1.799999999999999800 ) ) ; +#2634 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2635 = CARTESIAN_POINT ( 'NONE', ( -0.3500000000000004800, 0.3099999999999999400, -1.800000000000000000 ) ) ; +#2636 = DIRECTION ( 'NONE', ( -1.731971905760194000E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2637 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2638 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2639 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#2640 = DIRECTION ( 'NONE', ( -1.731971905760194000E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2641 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#2642 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2643 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999999700, 0.3099999999999999400, -1.800000000000001800 ) ) ; +#2644 = DIRECTION ( 'NONE', ( 4.041267780107119600E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2645 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2646 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2647 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999996400, 0.3099999999999999400, -1.800000000000001800 ) ) ; +#2648 = DIRECTION ( 'NONE', ( 4.041267780107119600E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2649 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#2650 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2651 = CARTESIAN_POINT ( 'NONE', ( 0.6500000000000003600, 0.3099999999999999400, -1.800000000000002300 ) ) ; +#2652 = DIRECTION ( 'NONE', ( 4.618591748693850700E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2653 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2654 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2655 = CARTESIAN_POINT ( 'NONE', ( 0.8500000000000000900, 0.3099999999999999400, -1.800000000000002300 ) ) ; +#2656 = DIRECTION ( 'NONE', ( 4.618591748693850700E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2657 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#2658 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2659 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999999900, 0.3099999999999999400, -1.800000000000001400 ) ) ; +#2660 = DIRECTION ( 'NONE', ( 2.309295874346926300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2661 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2662 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2663 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999999600, 0.3099999999999999400, -1.800000000000001400 ) ) ; +#2664 = DIRECTION ( 'NONE', ( 2.309295874346926300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2665 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 0.3099999999999999400, -3.600000000000000500 ) ) ; +#2666 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2667 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999999500, 0.3099999999999999400, -1.800000000000001400 ) ) ; +#2668 = DIRECTION ( 'NONE', ( 2.309295874346926300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2669 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2670 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2671 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 2.100000000000000100, -3.600000000000000500 ) ) ; +#2672 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999999200, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#2673 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2674 = PLANE ( 'NONE', #5805 ) ; +#2675 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2676 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999999900, 0.3099999999999999400, -1.800000000000005400 ) ) ; +#2677 = DIRECTION ( 'NONE', ( 9.237183497387695500E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2678 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -3.311010601084523900 ) ) ; +#2679 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2680 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999999400, 0.3099999999999999400, -1.800000000000005800 ) ) ; +#2681 = DIRECTION ( 'NONE', ( 9.237183497387695500E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2682 = FILL_AREA_STYLE_COLOUR ( '', #6907 ) ; +#2683 = FILL_AREA_STYLE ('',( #2682 ) ) ; +#2684 = SURFACE_SIDE_STYLE ('',( #6933 ) ) ; +#2685 = SURFACE_STYLE_USAGE ( .BOTH. , #2684 ) ; +#2686 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2687 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2688 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2689 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 1.110000000000000100, -3.300000000000000300 ) ) ; +#2690 = PLANE ( 'NONE', #5811 ) ; +#2691 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2692 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2693 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 1.110000000000000100, -3.300000000000000300 ) ) ; +#2694 = FILL_AREA_STYLE_COLOUR ( '', #6939 ) ; +#2695 = FILL_AREA_STYLE ('',( #2694 ) ) ; +#2696 = SURFACE_SIDE_STYLE ('',( #6930 ) ) ; +#2697 = SURFACE_STYLE_USAGE ( .BOTH. , #2696 ) ; +#2698 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2699 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2700 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2701 = PLANE ( 'NONE', #5814 ) ; +#2702 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2703 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2704 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507640100, 2.810000000000000100, -3.150000000000000400 ) ) ; +#2705 = FILL_AREA_STYLE_COLOUR ( '', #6945 ) ; +#2706 = FILL_AREA_STYLE ('',( #2705 ) ) ; +#2707 = SURFACE_SIDE_STYLE ('',( #6938 ) ) ; +#2708 = SURFACE_STYLE_USAGE ( .BOTH. , #2707 ) ; +#2709 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2710 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2711 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2712 = PLANE ( 'NONE', #5817 ) ; +#2713 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2714 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2715 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507640100, 2.810000000000000100, -3.150000000000000400 ) ) ; +#2716 = FILL_AREA_STYLE_COLOUR ( '', #6951 ) ; +#2717 = FILL_AREA_STYLE ('',( #2716 ) ) ; +#2718 = SURFACE_SIDE_STYLE ('',( #6944 ) ) ; +#2719 = SURFACE_STYLE_USAGE ( .BOTH. , #2718 ) ; +#2720 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2721 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2722 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2723 = PLANE ( 'NONE', #5820 ) ; +#2724 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2725 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2726 = CARTESIAN_POINT ( 'NONE', ( 1.848528137423856700, 1.937207793864215200, -3.650000000000000400 ) ) ; +#2727 = FILL_AREA_STYLE_COLOUR ( '', #6957 ) ; +#2728 = FILL_AREA_STYLE ('',( #2727 ) ) ; +#2729 = SURFACE_SIDE_STYLE ('',( #6950 ) ) ; +#2730 = SURFACE_STYLE_USAGE ( .BOTH. , #2729 ) ; +#2731 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2732 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2733 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2734 = PLANE ( 'NONE', #5823 ) ; +#2735 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2736 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2737 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2738 = FILL_AREA_STYLE_COLOUR ( '', #6963 ) ; +#2739 = FILL_AREA_STYLE ('',( #2738 ) ) ; +#2740 = SURFACE_SIDE_STYLE ('',( #6956 ) ) ; +#2741 = SURFACE_STYLE_USAGE ( .BOTH. , #2740 ) ; +#2742 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2743 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2744 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2745 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2746 = DIRECTION ( 'NONE', ( 0.7071067811865482400, 0.7071067811865469100, 0.0000000000000000000 ) ) ; +#2747 = DIRECTION ( 'NONE', ( -0.7071067811865469100, 0.7071067811865482400, 0.0000000000000000000 ) ) ; +#2748 = CARTESIAN_POINT ( 'NONE', ( 1.500000000000000400, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#2749 = FILL_AREA_STYLE_COLOUR ( '', #6969 ) ; +#2750 = FILL_AREA_STYLE ('',( #2749 ) ) ; +#2751 = SURFACE_SIDE_STYLE ('',( #6962 ) ) ; +#2752 = SURFACE_STYLE_USAGE ( .BOTH. , #2751 ) ; +#2753 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2754 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2755 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2756 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507640100, 2.810000000000000100, -3.650000000000000400 ) ) ; +#2757 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2758 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2759 = FILL_AREA_STYLE_COLOUR ( '', #6976 ) ; +#2760 = FILL_AREA_STYLE ('',( #2759 ) ) ; +#2761 = SURFACE_SIDE_STYLE ('',( #6968 ) ) ; +#2762 = SURFACE_STYLE_USAGE ( .BOTH. , #2761 ) ; +#2763 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2764 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2765 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2766 = CARTESIAN_POINT ( 'NONE', ( -0.8343145750507624500, 2.810000000000000900, -3.650000000000000400 ) ) ; +#2767 = CARTESIAN_POINT ( 'NONE', ( -1.848528137423855800, 1.937207793864217200, -3.650000000000000400 ) ) ; +#2768 = PLANE ( 'NONE', #5832 ) ; +#2769 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2770 = PLANE ( 'NONE', #5835 ) ; +#2771 = DIRECTION ( 'NONE', ( -0.7071067811865474600, 0.7071067811865475700, 0.0000000000000000000 ) ) ; +#2772 = DIRECTION ( 'NONE', ( -0.7071067811865475700, -0.7071067811865474600, 0.0000000000000000000 ) ) ; +#2773 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2774 = FILL_AREA_STYLE_COLOUR ( '', #6989 ) ; +#2775 = FILL_AREA_STYLE ('',( #2774 ) ) ; +#2776 = SURFACE_SIDE_STYLE ('',( #6982 ) ) ; +#2777 = SURFACE_STYLE_USAGE ( .BOTH. , #2776 ) ; +#2778 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2779 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2780 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2781 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2782 = CARTESIAN_POINT ( 'NONE', ( -2.208577066413877100, 1.210000000000000200, -3.300000000000000300 ) ) ; +#2783 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2784 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2785 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2786 = FILL_AREA_STYLE_COLOUR ( '', #6995 ) ; +#2787 = FILL_AREA_STYLE ('',( #2786 ) ) ; +#2788 = SURFACE_SIDE_STYLE ('',( #6988 ) ) ; +#2789 = SURFACE_STYLE_USAGE ( .BOTH. , #2788 ) ; +#2790 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2791 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2792 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2793 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762687600, 1.210000000000000200, -3.230000000000000400 ) ) ; +#2794 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626875300, 1.210000000000000200, -3.230000000000000000 ) ) ; +#2795 = PLANE ( 'NONE', #5841 ) ; +#2796 = FILL_AREA_STYLE_COLOUR ( '', #7002 ) ; +#2797 = FILL_AREA_STYLE ('',( #2796 ) ) ; +#2798 = SURFACE_SIDE_STYLE ('',( #6994 ) ) ; +#2799 = SURFACE_STYLE_USAGE ( .BOTH. , #2798 ) ; +#2800 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2801 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2802 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2803 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2804 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2805 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2806 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2807 = FILL_AREA_STYLE_COLOUR ( '', #7008 ) ; +#2808 = FILL_AREA_STYLE ('',( #2807 ) ) ; +#2809 = SURFACE_SIDE_STYLE ('',( #7001 ) ) ; +#2810 = SURFACE_STYLE_USAGE ( .BOTH. , #2809 ) ; +#2811 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2812 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2813 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2814 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762687600, 1.210000000000000200, -3.220000000000000600 ) ) ; +#2815 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2816 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2817 = FILL_AREA_STYLE_COLOUR ( '', #7015 ) ; +#2818 = FILL_AREA_STYLE ('',( #2817 ) ) ; +#2819 = SURFACE_SIDE_STYLE ('',( #7007 ) ) ; +#2820 = SURFACE_STYLE_USAGE ( .BOTH. , #2819 ) ; +#2821 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2822 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2823 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2824 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762686700, 1.210000000000000200, -3.220000000000000600 ) ) ; +#2825 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626866400, 1.210000000000000200, -3.230000000000000400 ) ) ; +#2826 = PLANE ( 'NONE', #5850 ) ; +#2827 = FILL_AREA_STYLE_COLOUR ( '', #7022 ) ; +#2828 = FILL_AREA_STYLE ('',( #2827 ) ) ; +#2829 = SURFACE_SIDE_STYLE ('',( #7014 ) ) ; +#2830 = SURFACE_STYLE_USAGE ( .BOTH. , #2829 ) ; +#2831 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2832 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2833 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2834 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2835 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2836 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2837 = PLANE ( 'NONE', #5862 ) ; +#2838 = FILL_AREA_STYLE_COLOUR ( '', #7028 ) ; +#2839 = FILL_AREA_STYLE ('',( #2838 ) ) ; +#2840 = SURFACE_SIDE_STYLE ('',( #7021 ) ) ; +#2841 = SURFACE_STYLE_USAGE ( .BOTH. , #2840 ) ; +#2842 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2843 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2844 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2845 = CARTESIAN_POINT ( 'NONE', ( 3.470000000000000600, 2.210000000000000000, 3.649999999999998600 ) ) ; +#2846 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2847 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2848 = FILL_AREA_STYLE_COLOUR ( '', #7035 ) ; +#2849 = FILL_AREA_STYLE ('',( #2848 ) ) ; +#2850 = SURFACE_SIDE_STYLE ('',( #7027 ) ) ; +#2851 = SURFACE_STYLE_USAGE ( .BOTH. , #2850 ) ; +#2852 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2853 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2854 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2855 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000200, 2.210000000000000000, 3.649999999999999500 ) ) ; +#2856 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2857 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2858 = FILL_AREA_STYLE_COLOUR ( '', #1296 ) ; +#2859 = FILL_AREA_STYLE ('',( #2858 ) ) ; +#2860 = SURFACE_SIDE_STYLE ('',( #7034 ) ) ; +#2861 = SURFACE_STYLE_USAGE ( .BOTH. , #2860 ) ; +#2862 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2863 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2864 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2865 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999999700, 2.109999999999999900, -3.650000000000000400 ) ) ; +#2866 = FILL_AREA_STYLE_COLOUR ( '', #7044 ) ; +#2867 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2868 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -3.650000000000000400 ) ) ; +#2869 = FILL_AREA_STYLE ('',( #2866 ) ) ; +#2870 = SURFACE_SIDE_STYLE ('',( #7050 ) ) ; +#2871 = SURFACE_STYLE_USAGE ( .BOTH. , #2870 ) ; +#2872 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2873 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2874 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2875 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2876 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2877 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2878 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2879 = FILL_AREA_STYLE_COLOUR ( '', #7055 ) ; +#2880 = FILL_AREA_STYLE ('',( #2879 ) ) ; +#2881 = SURFACE_SIDE_STYLE ('',( #7040 ) ) ; +#2882 = SURFACE_STYLE_USAGE ( .BOTH. , #2881 ) ; +#2883 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2884 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2885 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2886 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999999700, 2.109999999999999900, -3.650000000000000400 ) ) ; +#2887 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 2.109999999999994500, -3.650000000000000400 ) ) ; +#2888 = PLANE ( 'NONE', #5868 ) ; +#2889 = FILL_AREA_STYLE_COLOUR ( '', #7062 ) ; +#2890 = FILL_AREA_STYLE ('',( #2889 ) ) ; +#2891 = SURFACE_SIDE_STYLE ('',( #7054 ) ) ; +#2892 = SURFACE_STYLE_USAGE ( .BOTH. , #2891 ) ; +#2893 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2894 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2895 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2896 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2897 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2898 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2899 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000000800, -2.250000000000000400 ) ) ; +#2900 = FILL_AREA_STYLE_COLOUR ( '', #7068 ) ; +#2901 = FILL_AREA_STYLE ('',( #2900 ) ) ; +#2902 = SURFACE_SIDE_STYLE ('',( #7061 ) ) ; +#2903 = SURFACE_STYLE_USAGE ( .BOTH. , #2902 ) ; +#2904 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2905 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2906 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2907 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999999700, 1.100000000000000300, -3.650000000000000400 ) ) ; +#2908 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2909 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#2910 = FILL_AREA_STYLE_COLOUR ( '', #7075 ) ; +#2911 = FILL_AREA_STYLE ('',( #2910 ) ) ; +#2912 = SURFACE_SIDE_STYLE ('',( #7067 ) ) ; +#2913 = SURFACE_STYLE_USAGE ( .BOTH. , #2912 ) ; +#2914 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2915 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2916 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2917 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000000800, -2.250000000000000400 ) ) ; +#2918 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000000800, -2.150000000000000400 ) ) ; +#2919 = PLANE ( 'NONE', #5877 ) ; +#2920 = FILL_AREA_STYLE_COLOUR ( '', #7082 ) ; +#2921 = FILL_AREA_STYLE ('',( #2920 ) ) ; +#2922 = SURFACE_SIDE_STYLE ('',( #7074 ) ) ; +#2923 = SURFACE_STYLE_USAGE ( .BOTH. , #2922 ) ; +#2924 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2925 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2926 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2927 = PLANE ( 'NONE', #5880 ) ; +#2928 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2929 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#2930 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2931 = FILL_AREA_STYLE_COLOUR ( '', #7088 ) ; +#2932 = FILL_AREA_STYLE ('',( #2931 ) ) ; +#2933 = SURFACE_SIDE_STYLE ('',( #7081 ) ) ; +#2934 = SURFACE_STYLE_USAGE ( .BOTH. , #2933 ) ; +#2935 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2936 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2937 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2938 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#2939 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#2940 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2941 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000000300, 1.649999999999999500 ) ) ; +#2942 = FILL_AREA_STYLE_COLOUR ( '', #7094 ) ; +#2943 = FILL_AREA_STYLE ('',( #2942 ) ) ; +#2944 = SURFACE_SIDE_STYLE ('',( #7087 ) ) ; +#2945 = SURFACE_STYLE_USAGE ( .BOTH. , #2944 ) ; +#2946 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2947 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2948 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2949 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000001000, 1.549999999999999600 ) ) ; +#2950 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2951 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#2952 = FILL_AREA_STYLE_COLOUR ( '', #7101 ) ; +#2953 = FILL_AREA_STYLE ('',( #2952 ) ) ; +#2954 = SURFACE_SIDE_STYLE ('',( #7093 ) ) ; +#2955 = SURFACE_STYLE_USAGE ( .BOTH. , #2954 ) ; +#2956 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2957 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2958 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2959 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000001000, 0.1499999999999995200 ) ) ; +#2960 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000000300, 0.04999999999999951000 ) ) ; +#2961 = PLANE ( 'NONE', #5889 ) ; +#2962 = FILL_AREA_STYLE_COLOUR ( '', #7108 ) ; +#2963 = FILL_AREA_STYLE ('',( #2962 ) ) ; +#2964 = SURFACE_SIDE_STYLE ('',( #7100 ) ) ; +#2965 = SURFACE_STYLE_USAGE ( .BOTH. , #2964 ) ; +#2966 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2967 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2968 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2969 = PLANE ( 'NONE', #5892 ) ; +#2970 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 1.379948910513871700E-016, -1.000000000000000000 ) ) ; +#2971 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 1.379948910513871700E-016 ) ) ; +#2972 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000001000, 1.549999999999999600 ) ) ; +#2973 = FILL_AREA_STYLE_COLOUR ( '', #7114 ) ; +#2974 = FILL_AREA_STYLE ('',( #2973 ) ) ; +#2975 = SURFACE_SIDE_STYLE ('',( #7107 ) ) ; +#2976 = SURFACE_STYLE_USAGE ( .BOTH. , #2975 ) ; +#2977 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2978 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2979 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2980 = PLANE ( 'NONE', #5895 ) ; +#2981 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, -1.162062240432734000E-016, 1.000000000000000000 ) ) ; +#2982 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#2983 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, 0.2499999999999993600 ) ) ; +#2984 = FILL_AREA_STYLE_COLOUR ( '', #7120 ) ; +#2985 = FILL_AREA_STYLE ('',( #2984 ) ) ; +#2986 = SURFACE_SIDE_STYLE ('',( #7113 ) ) ; +#2987 = SURFACE_STYLE_USAGE ( .BOTH. , #2986 ) ; +#2988 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#2989 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#2990 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2991 = PLANE ( 'NONE', #5898 ) ; +#2992 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#2993 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2994 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, 1.449999999999999100 ) ) ; +#2995 = FILL_AREA_STYLE_COLOUR ( '', #7126 ) ; +#2996 = FILL_AREA_STYLE ('',( #2995 ) ) ; +#2997 = SURFACE_SIDE_STYLE ('',( #7119 ) ) ; +#2998 = SURFACE_STYLE_USAGE ( .BOTH. , #2997 ) ; +#2999 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3000 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3001 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3002 = PLANE ( 'NONE', #5901 ) ; +#3003 = DIRECTION ( 'NONE', ( 3.614007241618339400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3004 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -3.614007241618339400E-016 ) ) ; +#3005 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, 0.2499999999999993600 ) ) ; +#3006 = FILL_AREA_STYLE_COLOUR ( '', #7132 ) ; +#3007 = FILL_AREA_STYLE ('',( #3006 ) ) ; +#3008 = SURFACE_SIDE_STYLE ('',( #7125 ) ) ; +#3009 = SURFACE_STYLE_USAGE ( .BOTH. , #3008 ) ; +#3010 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3011 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3012 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3013 = PLANE ( 'NONE', #5904 ) ; +#3014 = DIRECTION ( 'NONE', ( 7.228014483236678800E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3015 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -7.228014483236678800E-016 ) ) ; +#3016 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, -2.400000000000001200 ) ) ; +#3017 = FILL_AREA_STYLE_COLOUR ( '', #7138 ) ; +#3018 = FILL_AREA_STYLE ('',( #3017 ) ) ; +#3019 = SURFACE_SIDE_STYLE ('',( #7131 ) ) ; +#3020 = SURFACE_STYLE_USAGE ( .BOTH. , #3019 ) ; +#3021 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3022 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3023 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3024 = PLANE ( 'NONE', #5907 ) ; +#3025 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#3026 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#3027 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, -3.650000000000000400 ) ) ; +#3028 = FILL_AREA_STYLE_COLOUR ( '', #7144 ) ; +#3029 = FILL_AREA_STYLE ('',( #3028 ) ) ; +#3030 = SURFACE_SIDE_STYLE ('',( #7137 ) ) ; +#3031 = SURFACE_STYLE_USAGE ( .BOTH. , #3030 ) ; +#3032 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3033 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3034 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3035 = PLANE ( 'NONE', #5910 ) ; +#3036 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3037 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3038 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3039 = FILL_AREA_STYLE_COLOUR ( '', #7150 ) ; +#3040 = FILL_AREA_STYLE ('',( #3039 ) ) ; +#3041 = SURFACE_SIDE_STYLE ('',( #7143 ) ) ; +#3042 = SURFACE_STYLE_USAGE ( .BOTH. , #3041 ) ; +#3043 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3044 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3045 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3046 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 3.614007241618339400E-016 ) ) ; +#3047 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#3048 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#3049 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3050 = FILL_AREA_STYLE_COLOUR ( '', #7156 ) ; +#3051 = FILL_AREA_STYLE ('',( #3050 ) ) ; +#3052 = SURFACE_SIDE_STYLE ('',( #7149 ) ) ; +#3053 = SURFACE_STYLE_USAGE ( .BOTH. , #3052 ) ; +#3054 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3055 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3056 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3057 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, 1.100000000000001200, 0.1499999999999993600 ) ) ; +#3058 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3059 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 7.228014483236678800E-016 ) ) ; +#3060 = FILL_AREA_STYLE_COLOUR ( '', #7163 ) ; +#3061 = FILL_AREA_STYLE ('',( #3060 ) ) ; +#3062 = SURFACE_SIDE_STYLE ('',( #7155 ) ) ; +#3063 = SURFACE_STYLE_USAGE ( .BOTH. , #3062 ) ; +#3064 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3065 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3066 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3067 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, 1.100000000000001200, 1.549999999999999200 ) ) ; +#3068 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3069 = DIRECTION ( 'NONE', ( 1.000000000000000000, -1.981866134157704800E-032, 0.0000000000000000000 ) ) ; +#3070 = FILL_AREA_STYLE_COLOUR ( '', #7170 ) ; +#3071 = FILL_AREA_STYLE ('',( #3070 ) ) ; +#3072 = SURFACE_SIDE_STYLE ('',( #7162 ) ) ; +#3073 = SURFACE_STYLE_USAGE ( .BOTH. , #3072 ) ; +#3074 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3075 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3076 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3077 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, 1.100000000000001200, -2.300000000000001200 ) ) ; +#3078 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.9999999999999991100, 0.6499999999999991300 ) ) ; +#3079 = PLANE ( 'NONE', #5922 ) ; +#3080 = FILL_AREA_STYLE_COLOUR ( '', #7177 ) ; +#3081 = FILL_AREA_STYLE ('',( #3080 ) ) ; +#3082 = SURFACE_SIDE_STYLE ('',( #7169 ) ) ; +#3083 = SURFACE_STYLE_USAGE ( .BOTH. , #3082 ) ; +#3084 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3085 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3086 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3087 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3088 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3089 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3090 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3091 = FILL_AREA_STYLE_COLOUR ( '', #7183 ) ; +#3092 = FILL_AREA_STYLE ('',( #3091 ) ) ; +#3093 = SURFACE_SIDE_STYLE ('',( #7176 ) ) ; +#3094 = SURFACE_STYLE_USAGE ( .BOTH. , #3093 ) ; +#3095 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3096 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3097 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3098 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.7999999999999991600, -3.450000000000000200 ) ) ; +#3099 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3100 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3101 = FILL_AREA_STYLE_COLOUR ( '', #7190 ) ; +#3102 = FILL_AREA_STYLE ('',( #3101 ) ) ; +#3103 = SURFACE_SIDE_STYLE ('',( #7182 ) ) ; +#3104 = SURFACE_STYLE_USAGE ( .BOTH. , #3103 ) ; +#3105 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3106 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3107 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3108 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.7999999999999991600, -2.600000000000001000 ) ) ; +#3109 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3110 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3111 = FILL_AREA_STYLE_COLOUR ( '', #7197 ) ; +#3112 = FILL_AREA_STYLE ('',( #3111 ) ) ; +#3113 = SURFACE_SIDE_STYLE ('',( #7189 ) ) ; +#3114 = SURFACE_STYLE_USAGE ( .BOTH. , #3113 ) ; +#3115 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3116 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3117 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3118 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.1999999999999999800, 0.4499999999999991200 ) ) ; +#3119 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3120 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -3.614007241618339400E-016 ) ) ; +#3121 = FILL_AREA_STYLE_COLOUR ( '', #7204 ) ; +#3122 = FILL_AREA_STYLE ('',( #3121 ) ) ; +#3123 = SURFACE_SIDE_STYLE ('',( #7196 ) ) ; +#3124 = SURFACE_STYLE_USAGE ( .BOTH. , #3123 ) ; +#3125 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3126 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3127 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3128 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 0.2000000000000000100, 0.4499999999999993400 ) ) ; +#3129 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3130 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3131 = FILL_AREA_STYLE_COLOUR ( '', #7211 ) ; +#3132 = FILL_AREA_STYLE ('',( #3131 ) ) ; +#3133 = SURFACE_SIDE_STYLE ('',( #7203 ) ) ; +#3134 = SURFACE_STYLE_USAGE ( .BOTH. , #3133 ) ; +#3135 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3136 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3137 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3138 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.7999999999999991600, 0.8499999999999990900 ) ) ; +#3139 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3140 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 7.228014483236678800E-016 ) ) ; +#3141 = FILL_AREA_STYLE_COLOUR ( '', #7218 ) ; +#3142 = FILL_AREA_STYLE ('',( #3141 ) ) ; +#3143 = SURFACE_SIDE_STYLE ('',( #7210 ) ) ; +#3144 = SURFACE_STYLE_USAGE ( .BOTH. , #3143 ) ; +#3145 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3146 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3147 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3148 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999998200, -0.7999999999999991600, 1.249999999999998900 ) ) ; +#3149 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3150 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#3151 = FILL_AREA_STYLE_COLOUR ( '', #7225 ) ; +#3152 = FILL_AREA_STYLE ('',( #3151 ) ) ; +#3153 = SURFACE_SIDE_STYLE ('',( #7217 ) ) ; +#3154 = SURFACE_STYLE_USAGE ( .BOTH. , #3153 ) ; +#3155 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3156 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3157 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3158 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 1.100000000000000800, -2.350000000000000500 ) ) ; +#3159 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3160 = DIRECTION ( 'NONE', ( -1.639609431997966900E-032, -1.000000000000000000, -1.379948910513871700E-016 ) ) ; +#3161 = FILL_AREA_STYLE_COLOUR ( '', #7232 ) ; +#3162 = FILL_AREA_STYLE ('',( #3161 ) ) ; +#3163 = SURFACE_SIDE_STYLE ('',( #7224 ) ) ; +#3164 = SURFACE_STYLE_USAGE ( .BOTH. , #3163 ) ; +#3165 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3166 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3167 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3168 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 1.100000000000001200, 0.2499999999999995800 ) ) ; +#3169 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3170 = DIRECTION ( 'NONE', ( 1.380723732208814300E-032, 1.000000000000000000, 1.162062240432734000E-016 ) ) ; +#3171 = FILL_AREA_STYLE_COLOUR ( '', #7239 ) ; +#3172 = FILL_AREA_STYLE ('',( #3171 ) ) ; +#3173 = SURFACE_SIDE_STYLE ('',( #7231 ) ) ; +#3174 = SURFACE_STYLE_USAGE ( .BOTH. , #3173 ) ; +#3175 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3176 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3177 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3178 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 1.100000000000001000, 1.449999999999999500 ) ) ; +#3179 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000900, 1.200000000000001100, -3.549999999999999400 ) ) ; +#3180 = PLANE ( 'NONE', #5952 ) ; +#3181 = FILL_AREA_STYLE_COLOUR ( '', #7246 ) ; +#3182 = FILL_AREA_STYLE ('',( #3181 ) ) ; +#3183 = SURFACE_SIDE_STYLE ('',( #7238 ) ) ; +#3184 = SURFACE_STYLE_USAGE ( .BOTH. , #3183 ) ; +#3185 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3186 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3187 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3188 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3189 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#3190 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#3191 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000900, 1.100000000000000800, -2.250000000000000400 ) ) ; +#3192 = FILL_AREA_STYLE_COLOUR ( '', #7252 ) ; +#3193 = FILL_AREA_STYLE ('',( #3192 ) ) ; +#3194 = SURFACE_SIDE_STYLE ('',( #7245 ) ) ; +#3195 = SURFACE_STYLE_USAGE ( .BOTH. , #3194 ) ; +#3196 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3197 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3198 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3199 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000900, 1.100000000000000800, -2.250000000000000400 ) ) ; +#3200 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000900, 1.100000000000000800, -2.150000000000000400 ) ) ; +#3201 = PLANE ( 'NONE', #5958 ) ; +#3202 = FILL_AREA_STYLE_COLOUR ( '', #7259 ) ; +#3203 = FILL_AREA_STYLE ('',( #3202 ) ) ; +#3204 = SURFACE_SIDE_STYLE ('',( #7251 ) ) ; +#3205 = SURFACE_STYLE_USAGE ( .BOTH. , #3204 ) ; +#3206 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3207 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3208 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3209 = PLANE ( 'NONE', #5961 ) ; +#3210 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3211 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3212 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3213 = FILL_AREA_STYLE_COLOUR ( '', #7265 ) ; +#3214 = FILL_AREA_STYLE ('',( #3213 ) ) ; +#3215 = SURFACE_SIDE_STYLE ('',( #7258 ) ) ; +#3216 = SURFACE_STYLE_USAGE ( .BOTH. , #3215 ) ; +#3217 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3218 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3219 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3220 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3221 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3222 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3223 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000000300, 1.650000000000000100 ) ) ; +#3224 = FILL_AREA_STYLE_COLOUR ( '', #7271 ) ; +#3225 = FILL_AREA_STYLE ('',( #3224 ) ) ; +#3226 = SURFACE_SIDE_STYLE ('',( #7264 ) ) ; +#3227 = SURFACE_STYLE_USAGE ( .BOTH. , #3226 ) ; +#3228 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3229 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3230 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3231 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000001000, 0.1499999999999999900 ) ) ; +#3232 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3233 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3234 = FILL_AREA_STYLE_COLOUR ( '', #7278 ) ; +#3235 = FILL_AREA_STYLE ('',( #3234 ) ) ; +#3236 = SURFACE_SIDE_STYLE ('',( #7270 ) ) ; +#3237 = SURFACE_STYLE_USAGE ( .BOTH. , #3236 ) ; +#3238 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3239 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3240 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3241 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000001000, 1.550000000000000300 ) ) ; +#3242 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000000300, 0.04999999999999997500 ) ) ; +#3243 = PLANE ( 'NONE', #5970 ) ; +#3244 = FILL_AREA_STYLE_COLOUR ( '', #7285 ) ; +#3245 = FILL_AREA_STYLE ('',( #3244 ) ) ; +#3246 = SURFACE_SIDE_STYLE ('',( #7277 ) ) ; +#3247 = SURFACE_STYLE_USAGE ( .BOTH. , #3246 ) ; +#3248 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3249 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3250 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3251 = PLANE ( 'NONE', #5973 ) ; +#3252 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, -1.379948910513871700E-016, 1.000000000000000000 ) ) ; +#3253 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -1.379948910513871700E-016 ) ) ; +#3254 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000001000, 1.550000000000000300 ) ) ; +#3255 = FILL_AREA_STYLE_COLOUR ( '', #7291 ) ; +#3256 = FILL_AREA_STYLE ('',( #3255 ) ) ; +#3257 = SURFACE_SIDE_STYLE ('',( #7284 ) ) ; +#3258 = SURFACE_STYLE_USAGE ( .BOTH. , #3257 ) ; +#3259 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3260 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3261 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3262 = PLANE ( 'NONE', #5976 ) ; +#3263 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 1.162062240432734000E-016, -1.000000000000000000 ) ) ; +#3264 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3265 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.9999999999999991100, 1.450000000000000200 ) ) ; +#3266 = FILL_AREA_STYLE_COLOUR ( '', #7371 ) ; +#3267 = FILL_AREA_STYLE ('',( #3266 ) ) ; +#3268 = SURFACE_SIDE_STYLE ('',( #7290 ) ) ; +#3269 = SURFACE_STYLE_USAGE ( .BOTH. , #3268 ) ; +#3270 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3271 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3272 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3273 = PLANE ( 'NONE', #5979 ) ; +#3274 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3275 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3276 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.9999999999999991100, -2.400000000000000400 ) ) ; +#3277 = FILL_AREA_STYLE_COLOUR ( '', #7377 ) ; +#3278 = FILL_AREA_STYLE ('',( #3277 ) ) ; +#3279 = SURFACE_SIDE_STYLE ('',( #7370 ) ) ; +#3280 = SURFACE_STYLE_USAGE ( .BOTH. , #3279 ) ; +#3281 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3282 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3283 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3284 = PLANE ( 'NONE', #5982 ) ; +#3285 = DIRECTION ( 'NONE', ( 9.604348011972031500E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3286 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -9.604348011972031500E-016 ) ) ; +#3287 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.9999999999999991100, -3.649999999999999500 ) ) ; +#3288 = FILL_AREA_STYLE_COLOUR ( '', #7383 ) ; +#3289 = FILL_AREA_STYLE ('',( #3288 ) ) ; +#3290 = SURFACE_SIDE_STYLE ('',( #7283 ) ) ; +#3291 = SURFACE_STYLE_USAGE ( .BOTH. , #3290 ) ; +#3292 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3293 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3294 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3295 = PLANE ( 'NONE', #5985 ) ; +#3296 = DIRECTION ( 'NONE', ( 2.376333528735352700E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3297 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#3298 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3299 = FILL_AREA_STYLE_COLOUR ( '', #7389 ) ; +#3300 = FILL_AREA_STYLE ('',( #3299 ) ) ; +#3301 = SURFACE_SIDE_STYLE ('',( #7382 ) ) ; +#3302 = SURFACE_STYLE_USAGE ( .BOTH. , #3301 ) ; +#3303 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3304 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3305 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3306 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 1.237673712882986500E-016 ) ) ; +#3307 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#3308 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3309 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3310 = FILL_AREA_STYLE_COLOUR ( '', #7396 ) ; +#3311 = FILL_AREA_STYLE ('',( #3310 ) ) ; +#3312 = SURFACE_SIDE_STYLE ('',( #7388 ) ) ; +#3313 = SURFACE_STYLE_USAGE ( .BOTH. , #3312 ) ; +#3314 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3315 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3316 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3317 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000001000, 0.1500000000000006100 ) ) ; +#3318 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3319 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 9.604348011972031500E-016 ) ) ; +#3320 = FILL_AREA_STYLE_COLOUR ( '', #7403 ) ; +#3321 = FILL_AREA_STYLE ('',( #3320 ) ) ; +#3322 = SURFACE_SIDE_STYLE ('',( #7395 ) ) ; +#3323 = SURFACE_STYLE_USAGE ( .BOTH. , #3322 ) ; +#3324 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3325 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3326 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3327 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000001000, 1.549999999999998000 ) ) ; +#3328 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3329 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 2.376333528735352700E-016 ) ) ; +#3330 = FILL_AREA_STYLE_COLOUR ( '', #7410 ) ; +#3331 = FILL_AREA_STYLE ('',( #3330 ) ) ; +#3332 = SURFACE_SIDE_STYLE ('',( #7402 ) ) ; +#3333 = SURFACE_STYLE_USAGE ( .BOTH. , #3332 ) ; +#3334 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3335 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3336 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3337 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000400, 1.100000000000001000, -2.300000000000000700 ) ) ; +#3338 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.9999999999999991100, 0.6500000000000001300 ) ) ; +#3339 = PLANE ( 'NONE', #5997 ) ; +#3340 = FILL_AREA_STYLE_COLOUR ( '', #7417 ) ; +#3341 = FILL_AREA_STYLE ('',( #3340 ) ) ; +#3342 = SURFACE_SIDE_STYLE ('',( #7409 ) ) ; +#3343 = SURFACE_STYLE_USAGE ( .BOTH. , #3342 ) ; +#3344 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3345 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3346 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3347 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3348 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3349 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3350 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, -1.000000000000000000, -1.350000000000000300 ) ) ; +#3351 = FILL_AREA_STYLE_COLOUR ( '', #7423 ) ; +#3352 = FILL_AREA_STYLE ('',( #3351 ) ) ; +#3353 = SURFACE_SIDE_STYLE ('',( #7416 ) ) ; +#3354 = SURFACE_STYLE_USAGE ( .BOTH. , #3353 ) ; +#3355 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3356 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3357 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3358 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, -0.7999999999999994900, -3.450000000000000200 ) ) ; +#3359 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3360 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#3361 = FILL_AREA_STYLE_COLOUR ( '', #7430 ) ; +#3362 = FILL_AREA_STYLE ('',( #3361 ) ) ; +#3363 = SURFACE_SIDE_STYLE ('',( #7422 ) ) ; +#3364 = SURFACE_STYLE_USAGE ( .BOTH. , #3363 ) ; +#3365 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3366 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3367 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3368 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999991600, -2.600000000000000500 ) ) ; +#3369 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3370 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3371 = FILL_AREA_STYLE_COLOUR ( '', #7437 ) ; +#3372 = FILL_AREA_STYLE ('',( #3371 ) ) ; +#3373 = SURFACE_SIDE_STYLE ('',( #7429 ) ) ; +#3374 = SURFACE_STYLE_USAGE ( .BOTH. , #3373 ) ; +#3375 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3376 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3377 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3378 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.2000000000000000100, 0.4500000000000001800 ) ) ; +#3379 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3380 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.237673712882986500E-016 ) ) ; +#3381 = FILL_AREA_STYLE_COLOUR ( '', #7444 ) ; +#3382 = FILL_AREA_STYLE ('',( #3381 ) ) ; +#3383 = SURFACE_SIDE_STYLE ('',( #7436 ) ) ; +#3384 = SURFACE_STYLE_USAGE ( .BOTH. , #3383 ) ; +#3385 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3386 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3387 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3388 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, 0.2000000000000000900, 0.4500000000000002900 ) ) ; +#3389 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3390 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3391 = FILL_AREA_STYLE_COLOUR ( '', #7451 ) ; +#3392 = FILL_AREA_STYLE ('',( #3391 ) ) ; +#3393 = SURFACE_SIDE_STYLE ('',( #7443 ) ) ; +#3394 = SURFACE_STYLE_USAGE ( .BOTH. , #3393 ) ; +#3395 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3396 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3397 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3398 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999991600, 0.8500000000000002000 ) ) ; +#3399 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3400 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -9.604348011972031500E-016 ) ) ; +#3401 = FILL_AREA_STYLE_COLOUR ( '', #7458 ) ; +#3402 = FILL_AREA_STYLE ('',( #3401 ) ) ; +#3403 = SURFACE_SIDE_STYLE ('',( #7450 ) ) ; +#3404 = SURFACE_STYLE_USAGE ( .BOTH. , #3403 ) ; +#3405 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3406 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3407 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3408 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.7999999999999991600, 1.250000000000000000 ) ) ; +#3409 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3410 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3411 = FILL_AREA_STYLE_COLOUR ( '', #7465 ) ; +#3412 = FILL_AREA_STYLE ('',( #3411 ) ) ; +#3413 = SURFACE_SIDE_STYLE ('',( #7457 ) ) ; +#3414 = SURFACE_STYLE_USAGE ( .BOTH. , #3413 ) ; +#3415 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3416 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3417 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3418 = CARTESIAN_POINT ( 'NONE', ( -2.170000000000000800, 1.100000000000000800, -2.350000000000000500 ) ) ; +#3419 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3420 = DIRECTION ( 'NONE', ( 1.639609431997966900E-032, 1.000000000000000000, 1.379948910513871700E-016 ) ) ; +#3421 = FILL_AREA_STYLE_COLOUR ( '', #7472 ) ; +#3422 = FILL_AREA_STYLE ('',( #3421 ) ) ; +#3423 = SURFACE_SIDE_STYLE ('',( #7464 ) ) ; +#3424 = SURFACE_STYLE_USAGE ( .BOTH. , #3423 ) ; +#3425 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3426 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3427 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3428 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 1.100000000000000300, 0.2500000000000000000 ) ) ; +#3429 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3430 = DIRECTION ( 'NONE', ( -1.380723732208814300E-032, -1.000000000000000000, -1.162062240432734000E-016 ) ) ; +#3431 = FILL_AREA_STYLE_COLOUR ( '', #7479 ) ; +#3432 = FILL_AREA_STYLE ('',( #3431 ) ) ; +#3433 = SURFACE_SIDE_STYLE ('',( #7471 ) ) ; +#3434 = SURFACE_STYLE_USAGE ( .BOTH. , #3433 ) ; +#3435 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3436 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3437 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3438 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 1.100000000000000300, 1.450000000000000200 ) ) ; +#3439 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000700, -1.000000000000000000, -1.650000000000000400 ) ) ; +#3440 = PLANE ( 'NONE', #6027 ) ; +#3441 = FILL_AREA_STYLE_COLOUR ( '', #7486 ) ; +#3442 = FILL_AREA_STYLE ('',( #3441 ) ) ; +#3443 = SURFACE_SIDE_STYLE ('',( #7478 ) ) ; +#3444 = SURFACE_STYLE_USAGE ( .BOTH. , #3443 ) ; +#3445 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3446 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3447 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3448 = PLANE ( 'NONE', #6030 ) ; +#3449 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3450 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3451 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, -1.000000000000000000, -1.350000000000000500 ) ) ; +#3452 = FILL_AREA_STYLE_COLOUR ( '', #7492 ) ; +#3453 = FILL_AREA_STYLE ('',( #3452 ) ) ; +#3454 = SURFACE_SIDE_STYLE ('',( #7485 ) ) ; +#3455 = SURFACE_STYLE_USAGE ( .BOTH. , #3454 ) ; +#3456 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3457 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3458 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3459 = PLANE ( 'NONE', #6033 ) ; +#3460 = DIRECTION ( 'NONE', ( -2.710505431213760600E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3461 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 2.710505431213760600E-016 ) ) ; +#3462 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, -1.000000000000000000, -1.650000000000000400 ) ) ; +#3463 = FILL_AREA_STYLE_COLOUR ( '', #7498 ) ; +#3464 = FILL_AREA_STYLE ('',( #3463 ) ) ; +#3465 = SURFACE_SIDE_STYLE ('',( #7491 ) ) ; +#3466 = SURFACE_STYLE_USAGE ( .BOTH. , #3465 ) ; +#3467 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3468 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3469 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3470 = PLANE ( 'NONE', #6036 ) ; +#3471 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3472 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3473 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, -1.000000000000000000, -3.150000000000000400 ) ) ; +#3474 = FILL_AREA_STYLE_COLOUR ( '', #7504 ) ; +#3475 = FILL_AREA_STYLE ('',( #3474 ) ) ; +#3476 = SURFACE_SIDE_STYLE ('',( #7497 ) ) ; +#3477 = SURFACE_STYLE_USAGE ( .BOTH. , #3476 ) ; +#3478 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3479 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3480 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3481 = PLANE ( 'NONE', #6039 ) ; +#3482 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3483 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3484 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, -1.000000000000000000, -3.650000000000000400 ) ) ; +#3485 = FILL_AREA_STYLE_COLOUR ( '', #7510 ) ; +#3486 = FILL_AREA_STYLE ('',( #3485 ) ) ; +#3487 = SURFACE_SIDE_STYLE ('',( #7503 ) ) ; +#3488 = SURFACE_STYLE_USAGE ( .BOTH. , #3487 ) ; +#3489 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3490 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3491 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3492 = PLANE ( 'NONE', #6042 ) ; +#3493 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3494 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3495 = CARTESIAN_POINT ( 'NONE', ( -2.499999999999999600, -1.000000000000000000, -3.150000000000000400 ) ) ; +#3496 = FILL_AREA_STYLE_COLOUR ( '', #7516 ) ; +#3497 = FILL_AREA_STYLE ('',( #3496 ) ) ; +#3498 = SURFACE_SIDE_STYLE ('',( #7509 ) ) ; +#3499 = SURFACE_STYLE_USAGE ( .BOTH. , #3498 ) ; +#3500 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3501 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3502 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3503 = PLANE ( 'NONE', #6045 ) ; +#3504 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3505 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3506 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3507 = FILL_AREA_STYLE_COLOUR ( '', #7522 ) ; +#3508 = FILL_AREA_STYLE ('',( #3507 ) ) ; +#3509 = SURFACE_SIDE_STYLE ('',( #7515 ) ) ; +#3510 = SURFACE_STYLE_USAGE ( .BOTH. , #3509 ) ; +#3511 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3512 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3513 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3514 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#3515 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3516 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3517 = FILL_AREA_STYLE_COLOUR ( '', #7528 ) ; +#3518 = FILL_AREA_STYLE ('',( #3517 ) ) ; +#3519 = SURFACE_SIDE_STYLE ('',( #7521 ) ) ; +#3520 = SURFACE_STYLE_USAGE ( .BOTH. , #3519 ) ; +#3521 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3522 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3523 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3524 = CARTESIAN_POINT ( 'NONE', ( -1.770000000000000700, -1.000000000000000000, -2.950000000000000200 ) ) ; +#3525 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3526 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3527 = FILL_AREA_STYLE_COLOUR ( '', #7535 ) ; +#3528 = FILL_AREA_STYLE ('',( #3527 ) ) ; +#3529 = SURFACE_SIDE_STYLE ('',( #7527 ) ) ; +#3530 = SURFACE_STYLE_USAGE ( .BOTH. , #3529 ) ; +#3531 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3532 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3533 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3534 = CARTESIAN_POINT ( 'NONE', ( 1.769999999999999800, -1.000000000000000000, -2.950000000000000200 ) ) ; +#3535 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3536 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#3537 = FILL_AREA_STYLE_COLOUR ( '', #7542 ) ; +#3538 = FILL_AREA_STYLE ('',( #3537 ) ) ; +#3539 = SURFACE_SIDE_STYLE ('',( #7534 ) ) ; +#3540 = SURFACE_STYLE_USAGE ( .BOTH. , #3539 ) ; +#3541 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#3542 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#3543 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#3544 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, -1.000000000000000000, -3.350000000000000500 ) ) ; +#3545 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999997600, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3546 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3547 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999997500, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3548 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#3549 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3550 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999999200, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3551 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3552 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999998100, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3553 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3554 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999998600, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3555 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3556 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999999000, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3557 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3558 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#3559 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3560 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#3561 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3562 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999998800, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3563 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3564 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999995800, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3565 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3566 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#3567 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3568 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999992000, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3569 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3570 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999995200, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3571 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3572 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#3573 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3574 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999992000, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3575 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3576 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000000300, 0.04999999999999996100 ) ) ; +#3577 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3578 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3579 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762688300, 1.210000000000000200, -3.300000000000000300 ) ) ; +#3580 = CARTESIAN_POINT ( 'NONE', ( 0.8779035644861700200, 2.900000000000000400, -3.650000000000000400 ) ) ; +#3581 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3582 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507640100, 2.810000000000000100, -3.650000000000000400 ) ) ; +#3583 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3584 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3585 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507636700, 2.909999999999999700, -3.650000000000000400 ) ) ; +#3586 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3587 = CARTESIAN_POINT ( 'NONE', ( -0.9050252531694175100, 2.880710678118655100, -3.650000000000000400 ) ) ; +#3588 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3589 = CARTESIAN_POINT ( 'NONE', ( 1.848528137423856700, 1.937207793864215200, -3.650000000000000400 ) ) ; +#3590 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3591 = CARTESIAN_POINT ( 'NONE', ( 1.838030458220619700, 1.906284116830121900, -3.600000000000000500 ) ) ; +#3592 = DIRECTION ( 'NONE', ( -0.7071067811865469100, 0.7071067811865482400, 0.0000000000000000000 ) ) ; +#3593 = CARTESIAN_POINT ( 'NONE', ( 1.848528137423856700, 1.937207793864215200, -3.650000000000000400 ) ) ; +#3594 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3595 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999999900, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3596 = DIRECTION ( 'NONE', ( 1.000000000000000000, 2.845788743612077600E-015, 0.0000000000000000000 ) ) ; +#3597 = CARTESIAN_POINT ( 'NONE', ( -1.350000000000000100, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3598 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3599 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3600 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#3601 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3602 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#3603 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3604 = CARTESIAN_POINT ( 'NONE', ( -0.8443145750507630200, 2.900000000000000400, -3.600000000000000500 ) ) ; +#3605 = DIRECTION ( 'NONE', ( 0.7071067811865414700, 0.7071067811865536800, -0.0000000000000000000 ) ) ; +#3606 = CARTESIAN_POINT ( 'NONE', ( -1.838030458220621900, 1.906284116830123900, -3.600000000000000500 ) ) ; +#3607 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3608 = CARTESIAN_POINT ( 'NONE', ( -0.1499999999999999700, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3609 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3610 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3611 = CARTESIAN_POINT ( 'NONE', ( -2.599999999999999200, 2.006284116830123800, -3.600000000000000500 ) ) ; +#3612 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3613 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3614 = CARTESIAN_POINT ( 'NONE', ( -0.3500000000000000300, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3615 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3616 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999999500, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3617 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3618 = CARTESIAN_POINT ( 'NONE', ( 0.8443145750507630200, 2.900000000000000400, -3.600000000000000500 ) ) ; +#3619 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3620 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3621 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 1.110000000000000100, -3.600000000000000500 ) ) ; +#3622 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3623 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3624 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3625 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3626 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3627 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#3628 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3629 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3630 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3631 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3632 = CARTESIAN_POINT ( 'NONE', ( -2.799999999999998500, 2.800000000000000300, -3.600000000000000500 ) ) ; +#3633 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3634 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999300, 2.006284116830124600, -3.600000000000000500 ) ) ; +#3635 = DIRECTION ( 'NONE', ( -1.092786167418507800E-015, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#3636 = CARTESIAN_POINT ( 'NONE', ( 0.8443145750507630200, 2.900000000000000400, -3.600000000000000500 ) ) ; +#3637 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3638 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3639 = CARTESIAN_POINT ( 'NONE', ( -2.799999999999998900, 2.900000000000000400, -3.600000000000000500 ) ) ; +#3640 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3641 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#3642 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3643 = CARTESIAN_POINT ( 'NONE', ( -4.160000000000000100, 2.099999999999994800, -3.600000000000000500 ) ) ; +#3644 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3645 = CARTESIAN_POINT ( 'NONE', ( -0.6500000000000000200, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3646 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3647 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#3648 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3649 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 0.4099999999999999800, -3.600000000000000500 ) ) ; +#3650 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3651 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3652 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3653 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3654 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3655 = CARTESIAN_POINT ( 'NONE', ( -0.8500000000000000900, 2.100000000000000100, -3.600000000000000500 ) ) ; +#3656 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3657 = DIRECTION ( 'NONE', ( 0.7071067811865398000, -0.7071067811865552300, 0.0000000000000000000 ) ) ; +#3658 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762688300, 3.181414284285430500, -3.300000000000000300 ) ) ; +#3659 = CARTESIAN_POINT ( 'NONE', ( -1.029289321881343900, 3.180710678118655800, -3.300000000000000300 ) ) ; +#3660 = DIRECTION ( 'NONE', ( 0.7071067811865479100, 0.7071067811865470200, 0.0000000000000000000 ) ) ; +#3661 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626875300, 3.210000000000000000, -3.230000000000000000 ) ) ; +#3662 = CARTESIAN_POINT ( 'NONE', ( -1.023268432468509300, 3.186619332467483600, -3.300000000000000300 ) ) ; +#3663 = CARTESIAN_POINT ( 'NONE', ( -1.017280937667316900, 3.191282173132109700, -3.299376517528958400 ) ) ; +#3664 = CARTESIAN_POINT ( 'NONE', ( -1.011100366848997300, 3.195096819000743600, -3.297782813722421200 ) ) ; +#3665 = CARTESIAN_POINT ( 'NONE', ( -1.004610242608428200, 3.199102521119361300, -3.296109289381200300 ) ) ; +#3666 = CARTESIAN_POINT ( 'NONE', ( -0.9977252179242447300, 3.202264116794978600, -3.293278586614355700 ) ) ; +#3667 = CARTESIAN_POINT ( 'NONE', ( -0.9913795989173698200, 3.204467440639306100, -3.289297816676468600 ) ) ; +#3668 = CARTESIAN_POINT ( 'NONE', ( -0.9857069456082284700, 3.206437097544817800, -3.285739215421552100 ) ) ; +#3669 = CARTESIAN_POINT ( 'NONE', ( -0.9803171383464923700, 3.207717884455675500, -3.281175372075400000 ) ) ; +#3670 = CARTESIAN_POINT ( 'NONE', ( -0.9757655985440750700, 3.208511971786899100, -3.275943250371919000 ) ) ; +#3671 = CARTESIAN_POINT ( 'NONE', ( -0.9712061759846546400, 3.209307434388414500, -3.270702067219831500 ) ) ; +#3672 = CARTESIAN_POINT ( 'NONE', ( -0.9673644893886032000, 3.209653435726703900, -3.264665400075324800 ) ) ; +#3673 = CARTESIAN_POINT ( 'NONE', ( -0.9645413886189659900, 3.209822070073602400, -3.258270655345391700 ) ) ; +#3674 = CARTESIAN_POINT ( 'NONE', ( -0.9615035132614266900, 3.210003533708336100, -3.251389414108185200 ) ) ; +#3675 = CARTESIAN_POINT ( 'NONE', ( -0.9595641337540982100, 3.209997526501768400, -3.243914399046496900 ) ) ; +#3676 = CARTESIAN_POINT ( 'NONE', ( -0.9588738491444729300, 3.209999564267964600, -3.236421962880033500 ) ) ; +#3677 = CARTESIAN_POINT ( 'NONE', ( -0.9586772531638631000, 3.210000144632408700, -3.234288085324656100 ) ) ; +#3678 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626873100, 3.210000000000004400, -3.232142914776130500 ) ) ; +#3679 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626874200, 3.210000000000000000, -3.230000000000000000 ) ) ; +#3680 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762688300, 1.210000000000000200, -3.150000000000000400 ) ) ; +#3681 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3682 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626874200, 1.210000000000000200, -3.230000000000000000 ) ) ; +#3683 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#3684 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626883100, 3.210000000000000900, -3.220000000000000600 ) ) ; +#3685 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3686 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762687600, 2.900000000000000400, -3.230000000000000400 ) ) ; +#3687 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762687400, 3.181414284285431800, -3.150000000000000800 ) ) ; +#3688 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3689 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3690 = CARTESIAN_POINT ( 'NONE', ( 1.026043825308667700, 3.183898903343898900, -3.149999999999991000 ) ) ; +#3691 = CARTESIAN_POINT ( 'NONE', ( 1.023369020720118700, 3.186254208758166200, -3.150138337830480100 ) ) ; +#3692 = CARTESIAN_POINT ( 'NONE', ( 1.020595813823242700, 3.188446609982080300, -3.150456672310294200 ) ) ; +#3693 = CARTESIAN_POINT ( 'NONE', ( 1.015240188877768000, 3.192680581381225600, -3.151071440751486800 ) ) ; +#3694 = CARTESIAN_POINT ( 'NONE', ( 1.009410338414455500, 3.196361085765420100, -3.152390654026830900 ) ) ; +#3695 = CARTESIAN_POINT ( 'NONE', ( 1.003617348168170500, 3.199283341701998600, -3.154601729975151000 ) ) ; +#3696 = CARTESIAN_POINT ( 'NONE', ( 0.9975103180345865600, 3.202364014084310400, -3.156932669070498400 ) ) ; +#3697 = CARTESIAN_POINT ( 'NONE', ( 0.9912941969072935900, 3.204674503189093300, -3.160337472431168600 ) ) ; +#3698 = CARTESIAN_POINT ( 'NONE', ( 0.9857177725666032000, 3.206246910016710100, -3.164656113975321100 ) ) ; +#3699 = CARTESIAN_POINT ( 'NONE', ( 0.9797477604377273800, 3.207930298377940300, -3.169279568150199700 ) ) ; +#3700 = CARTESIAN_POINT ( 'NONE', ( 0.9743348024172777700, 3.208843219862696400, -3.175086582664784700 ) ) ; +#3701 = CARTESIAN_POINT ( 'NONE', ( 0.9700925318806298300, 3.209334940380550900, -3.181537359465067100 ) ) ; +#3702 = CARTESIAN_POINT ( 'NONE', ( 0.9657959891934921900, 3.209832951569807900, -3.188070662244363500 ) ) ; +#3703 = CARTESIAN_POINT ( 'NONE', ( 0.9625561328573873900, 3.209938867577346600, -3.195455777972019200 ) ) ; +#3704 = CARTESIAN_POINT ( 'NONE', ( 0.9606597906079392700, 3.209978341793653400, -3.203058058371490700 ) ) ; +#3705 = CARTESIAN_POINT ( 'NONE', ( 0.9592827266716476000, 3.210007006724630200, -3.208578594643218800 ) ) ; +#3706 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626865300, 3.210000000000003500, -3.214310233089981300 ) ) ; +#3707 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626866400, 3.210000000000000000, -3.220000000000001500 ) ) ; +#3708 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626875300, 1.210000000000000200, -3.220000000000001100 ) ) ; +#3709 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3710 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626875300, 2.900000000000000400, -3.230000000000000000 ) ) ; +#3711 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3712 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626905300, 3.110000000000000300, -3.300000000000000700 ) ) ; +#3713 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626873100, 3.210000000000004400, -3.214310233089980400 ) ) ; +#3714 = CARTESIAN_POINT ( 'NONE', ( -0.9592827266716484900, 3.210007006724631100, -3.208578594643217000 ) ) ; +#3715 = CARTESIAN_POINT ( 'NONE', ( -0.9606597906079402700, 3.209978341793654300, -3.203058058371489400 ) ) ; +#3716 = CARTESIAN_POINT ( 'NONE', ( -0.9625561328573883900, 3.209938867577347500, -3.195455777972018300 ) ) ; +#3717 = CARTESIAN_POINT ( 'NONE', ( -0.9657959891934934100, 3.209832951569808300, -3.188070662244362600 ) ) ; +#3718 = CARTESIAN_POINT ( 'NONE', ( -0.9700925318806309400, 3.209334940380551300, -3.181537359465066200 ) ) ; +#3719 = CARTESIAN_POINT ( 'NONE', ( -0.9743348024172792100, 3.208843219862696400, -3.175086582664783800 ) ) ; +#3720 = CARTESIAN_POINT ( 'NONE', ( -0.9797477604377286000, 3.207930298377940300, -3.169279568150198400 ) ) ; +#3721 = CARTESIAN_POINT ( 'NONE', ( -0.9857177725666047600, 3.206246910016709700, -3.164656113975320200 ) ) ; +#3722 = CARTESIAN_POINT ( 'NONE', ( -0.9912941969072953700, 3.204674503189092900, -3.160337472431167700 ) ) ; +#3723 = CARTESIAN_POINT ( 'NONE', ( -0.9975103180345881200, 3.202364014084309900, -3.156932669070497100 ) ) ; +#3724 = CARTESIAN_POINT ( 'NONE', ( -1.003617348168172300, 3.199283341701997700, -3.154601729975150100 ) ) ; +#3725 = CARTESIAN_POINT ( 'NONE', ( -1.009410338414457000, 3.196361085765418800, -3.152390654026830500 ) ) ; +#3726 = CARTESIAN_POINT ( 'NONE', ( -1.015240188877769600, 3.192680581381224200, -3.151071440751486800 ) ) ; +#3727 = CARTESIAN_POINT ( 'NONE', ( -1.020595813823244000, 3.188446609982078500, -3.150456672310294200 ) ) ; +#3728 = CARTESIAN_POINT ( 'NONE', ( -1.023369020720119800, 3.186254208758164000, -3.150138337830480100 ) ) ; +#3729 = CARTESIAN_POINT ( 'NONE', ( -1.026043825308668800, 3.183898903343897100, -3.149999999999990600 ) ) ; +#3730 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762688300, 3.181414284285430500, -3.150000000000000400 ) ) ; +#3731 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#3732 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3733 = CARTESIAN_POINT ( 'NONE', ( -1.028578643762687600, 2.900000000000000400, -3.220000000000000600 ) ) ; +#3734 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626863100, 3.210000000000000000, -3.230000000000000400 ) ) ; +#3735 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3736 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3737 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626866400, 3.210000000000000000, -3.230000000000000400 ) ) ; +#3738 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626862000, 3.210000000000003500, -3.235689766910019700 ) ) ; +#3739 = CARTESIAN_POINT ( 'NONE', ( 0.9592827266716472700, 3.210007006724630200, -3.241421405356781400 ) ) ; +#3740 = CARTESIAN_POINT ( 'NONE', ( 0.9606597906079384900, 3.209978341793653400, -3.246941941628509000 ) ) ; +#3741 = CARTESIAN_POINT ( 'NONE', ( 0.9625561328573865000, 3.209938867577346600, -3.254544222027980500 ) ) ; +#3742 = CARTESIAN_POINT ( 'NONE', ( 0.9657959891934910800, 3.209832951569807400, -3.261929337755636200 ) ) ; +#3743 = CARTESIAN_POINT ( 'NONE', ( 0.9700925318806284900, 3.209334940380550900, -3.268462640534932600 ) ) ; +#3744 = CARTESIAN_POINT ( 'NONE', ( 0.9743348024172764400, 3.208843219862696400, -3.274913417335215500 ) ) ; +#3745 = CARTESIAN_POINT ( 'NONE', ( 0.9797477604377258200, 3.207930298377940300, -3.280720431849800900 ) ) ; +#3746 = CARTESIAN_POINT ( 'NONE', ( 0.9857177725666019800, 3.206246910016710100, -3.285343886024679500 ) ) ; +#3747 = CARTESIAN_POINT ( 'NONE', ( 0.9912941969072923700, 3.204674503189093700, -3.289662527568832500 ) ) ; +#3748 = CARTESIAN_POINT ( 'NONE', ( 0.9975103180345852300, 3.202364014084310800, -3.293067330929502700 ) ) ; +#3749 = CARTESIAN_POINT ( 'NONE', ( 1.003617348168169400, 3.199283341701999000, -3.295398270024850100 ) ) ; +#3750 = CARTESIAN_POINT ( 'NONE', ( 1.009410338414454600, 3.196361085765420600, -3.297609345973170100 ) ) ; +#3751 = CARTESIAN_POINT ( 'NONE', ( 1.015240188877767300, 3.192680581381226000, -3.298928559248514200 ) ) ; +#3752 = CARTESIAN_POINT ( 'NONE', ( 1.020595813823242000, 3.188446609982080700, -3.299543327689707300 ) ) ; +#3753 = CARTESIAN_POINT ( 'NONE', ( 1.023369020720117600, 3.186254208758166700, -3.299861662169521400 ) ) ; +#3754 = CARTESIAN_POINT ( 'NONE', ( 1.026043825308660300, 3.183898903343891800, -3.300000000000000300 ) ) ; +#3755 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762687400, 3.181414284285431800, -3.300000000000000700 ) ) ; +#3756 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626866400, 1.210000000000000200, -3.220000000000001500 ) ) ; +#3757 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3758 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762686700, 2.900000000000000400, -3.220000000000000600 ) ) ; +#3759 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3760 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3761 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762687400, 1.210000000000000200, -3.150000000000000800 ) ) ; +#3762 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3763 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626863100, 1.210000000000000200, -3.230000000000000400 ) ) ; +#3764 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3765 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762687400, 1.210000000000000200, -3.300000000000000700 ) ) ; +#3766 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3767 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626866400, 2.900000000000000400, -3.230000000000000400 ) ) ; +#3768 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3769 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.0000000000000000000, -1.350000000000001200 ) ) ; +#3770 = DIRECTION ( 'NONE', ( 0.7071067811865560100, -0.7071067811865391400, -1.680321552529745700E-016 ) ) ; +#3771 = CARTESIAN_POINT ( 'NONE', ( 1.029289321881345700, 3.180710678118654900, -3.300000000000000700 ) ) ; +#3772 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3773 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762686700, 2.900000000000000400, -3.230000000000000400 ) ) ; +#3774 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3775 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3776 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 3.210000000000000000, -1.650000000000000400 ) ) ; +#3777 = DIRECTION ( 'NONE', ( -0.7071067811865560100, 0.7071067811865391400, -0.0000000000000000000 ) ) ; +#3778 = CARTESIAN_POINT ( 'NONE', ( 2.208577066413876200, 2.900000000000000400, -3.300000000000000700 ) ) ; +#3779 = DIRECTION ( 'NONE', ( 0.7071067811865472400, -0.7071067811865479100, 0.0000000000000000000 ) ) ; +#3780 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.2000000000000000900, -1.350000000000001000 ) ) ; +#3781 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3782 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.0000000000000000000, -1.650000000000001000 ) ) ; +#3783 = DIRECTION ( 'NONE', ( 0.7071067811865560100, -0.7071067811865391400, -1.680321552529745700E-016 ) ) ; +#3784 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 3.210000000000000000, -1.350000000000000500 ) ) ; +#3785 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#3786 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999600, 0.0000000000000000000, -1.350000000000001000 ) ) ; +#3787 = DIRECTION ( 'NONE', ( 0.7071067811865418000, 0.7071067811865532300, -1.680321552529711700E-016 ) ) ; +#3788 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999998000, 0.0000000000000000000, -1.350000000000002300 ) ) ; +#3789 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 2.710505431213760600E-016 ) ) ; +#3790 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, -1.650000000000000400 ) ) ; +#3791 = DIRECTION ( 'NONE', ( -0.7071067811865560100, 0.7071067811865391400, -0.0000000000000000000 ) ) ; +#3792 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.2000000000000000900, -1.650000000000000800 ) ) ; +#3793 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3794 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999600, 0.0000000000000000000, -1.650000000000000800 ) ) ; +#3795 = DIRECTION ( 'NONE', ( 0.7071067811865418000, 0.7071067811865532300, -1.680321552529711700E-016 ) ) ; +#3796 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626900900, 3.210000000000000000, -3.650000000000000400 ) ) ; +#3797 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#3798 = CARTESIAN_POINT ( 'NONE', ( -1.500000000000000000, 3.210000000000000000, -1.650000000000000400 ) ) ; +#3799 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3800 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 3.210000000000000000, -1.650000000000000400 ) ) ; +#3801 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3802 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 3.010000000000000200, -1.650000000000000400 ) ) ; +#3803 = DIRECTION ( 'NONE', ( -0.7071067811865418000, -0.7071067811865532300, 0.0000000000000000000 ) ) ; +#3804 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626883100, 3.210000000000000900, -3.650000000000000400 ) ) ; +#3805 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3806 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 3.010000000000000200, -1.350000000000000500 ) ) ; +#3807 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626905300, 3.110000000000000300, -3.150000000000000400 ) ) ; +#3808 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3809 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626887500, 3.110000000000001200, -3.650000000000000400 ) ) ; +#3810 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3811 = CARTESIAN_POINT ( 'NONE', ( -1.500000000000000000, 3.210000000000000000, -1.350000000000000500 ) ) ; +#3812 = DIRECTION ( 'NONE', ( -0.7071067811865418000, -0.7071067811865532300, 0.0000000000000000000 ) ) ; +#3813 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 3.210000000000000000, -1.350000000000000500 ) ) ; +#3814 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3815 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -3.150000000000000400 ) ) ; +#3816 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3817 = CARTESIAN_POINT ( 'NONE', ( -1.029289321881343900, 3.180710678118655800, -3.650000000000000400 ) ) ; +#3818 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3819 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3820 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3821 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3822 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.7999999999999991600, -3.650000000000000400 ) ) ; +#3823 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3824 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, 3.210000000000000000, -3.150000000000000400 ) ) ; +#3825 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3826 = CARTESIAN_POINT ( 'NONE', ( 3.470000000000000200, 2.210000000000000000, -3.650000000000000400 ) ) ; +#3827 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 3.210000000000000000, -3.150000000000000400 ) ) ; +#3828 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3829 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626887500, 3.110000000000001200, -3.150000000000000400 ) ) ; +#3830 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3831 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999999700, 2.109999999999999900, -3.650000000000000400 ) ) ; +#3832 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3833 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3834 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, 3.210000000000000000, -3.650000000000000400 ) ) ; +#3835 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#3836 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 3.210000000000000000, -3.650000000000000400 ) ) ; +#3837 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3838 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -3.150000000000000400 ) ) ; +#3839 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3840 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, 2.910000000000000100, -3.150000000000000400 ) ) ; +#3841 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#3842 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, 3.210000000000000000, -3.150000000000000400 ) ) ; +#3843 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3844 = CARTESIAN_POINT ( 'NONE', ( 2.700000000000000200, 3.210000000000000000, -3.650000000000000400 ) ) ; +#3845 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3846 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -3.650000000000000400 ) ) ; +#3847 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3848 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, -0.9999999999999991100, -2.400000000000000400 ) ) ; +#3849 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#3850 = DIRECTION ( 'NONE', ( 1.000000000000000000, -1.734723475976807100E-015, 0.0000000000000000000 ) ) ; +#3851 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 2.109999999999994500, -3.650000000000000400 ) ) ; +#3852 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3853 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3854 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3855 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, -0.7999999999999994900, -3.450000000000000200 ) ) ; +#3856 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, -0.9999999999999991100, -2.400000000000000400 ) ) ; +#3857 = DIRECTION ( 'NONE', ( -4.562560375171879600E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3858 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.100000000000001000, -2.300000000000000300 ) ) ; +#3859 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3860 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, -0.7999999999999991600, -2.600000000000000500 ) ) ; +#3861 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3862 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3863 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.100000000000000800, -2.250000000000000000 ) ) ; +#3864 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3865 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3866 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 3.210000000000000000, -3.650000000000000400 ) ) ; +#3867 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#3868 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000001000, 0.1500000000000002700 ) ) ; +#3869 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3870 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3871 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.200000000000001100, -3.549999999999998900 ) ) ; +#3872 = DIRECTION ( 'NONE', ( -8.435984027010440000E-015, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3873 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000001000, 0.1500000000000003000 ) ) ; +#3874 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3875 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3876 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.100000000000000800, -2.149999999999999900 ) ) ; +#3877 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#3878 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.000000000000000000, -3.650000000000000400 ) ) ; +#3879 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3880 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000000300, 0.05000000000000027300 ) ) ; +#3881 = DIRECTION ( 'NONE', ( 3.279218863995933900E-032, 1.000000000000000000, 1.379948910513871700E-016 ) ) ; +#3882 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3883 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3884 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3885 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3886 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.2000000000000000100, 0.4500000000000002300 ) ) ; +#3887 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.9999999999999991100, 0.2500000000000003300 ) ) ; +#3888 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3889 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.2000000000000000900, 0.4500000000000002300 ) ) ; +#3890 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.7999999999999991600, 0.8500000000000002000 ) ) ; +#3891 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3892 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3893 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.7999999999999991600, 1.250000000000000200 ) ) ; +#3894 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3895 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3896 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.9999999999999991100, 0.6500000000000001300 ) ) ; +#3897 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#3898 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000001000, 1.550000000000000500 ) ) ; +#3899 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3900 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3901 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.9999999999999991100, 1.450000000000000400 ) ) ; +#3902 = DIRECTION ( 'NONE', ( 2.376333528735352700E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3903 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000001000, 1.550000000000000500 ) ) ; +#3904 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#3905 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3906 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.9999999999999991100, 1.450000000000000400 ) ) ; +#3907 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3908 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 2.210000000000000000, -3.650000000000000400 ) ) ; +#3909 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3910 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3911 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3912 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3913 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000000300, 1.650000000000000400 ) ) ; +#3914 = DIRECTION ( 'NONE', ( -2.761447464417628000E-032, -1.000000000000000000, -1.162062240432734000E-016 ) ) ; +#3915 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.000000000000000000, -3.650000000000000400 ) ) ; +#3916 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3917 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 3.210000000000000000, 3.649999999999999500 ) ) ; +#3918 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#3919 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 2.109999999999994500, 3.649999999999999500 ) ) ; +#3920 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#3921 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 2.109999999999994500, -3.650000000000000400 ) ) ; +#3922 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#3923 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000005600, -3.650000000000000400 ) ) ; +#3924 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#3925 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000001000, 1.550000000000000500 ) ) ; +#3926 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3927 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999994900, -3.450000000000000200 ) ) ; +#3928 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3929 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3930 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.9999999999999991100, -2.400000000000000400 ) ) ; +#3931 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3932 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 2.109999999999995000, -3.650000000000000400 ) ) ; +#3933 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3934 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3935 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3936 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000001000, 1.550000000000000300 ) ) ; +#3937 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3938 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3939 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999991600, 0.8500000000000002000 ) ) ; +#3940 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.9999999999999991100, 1.450000000000000200 ) ) ; +#3941 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3942 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999991600, 1.250000000000000000 ) ) ; +#3943 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3944 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3945 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.9999999999999991100, 1.450000000000000200 ) ) ; +#3946 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3947 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3948 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3949 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 0.2000000000000000900, 0.4500000000000002900 ) ) ; +#3950 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.9999999999999991100, 0.6500000000000001300 ) ) ; +#3951 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#3952 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.2000000000000000100, 0.4500000000000001800 ) ) ; +#3953 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000001000, 0.1500000000000003300 ) ) ; +#3954 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3955 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3956 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000001000, 0.1500000000000002400 ) ) ; +#3957 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3958 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3959 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.9999999999999991100, 0.2500000000000003300 ) ) ; +#3960 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3961 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000005600, -3.650000000000000400 ) ) ; +#3962 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3963 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3964 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3965 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3966 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.200000000000001100, -3.650000000000000400 ) ) ; +#3967 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#3968 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000000800, -2.250000000000000000 ) ) ; +#3969 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3970 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3971 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3972 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.100000000000001000, -2.300000000000000300 ) ) ; +#3973 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#3974 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3975 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, 1.100000000000001000, 0.2500000000000003300 ) ) ; +#3976 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.9999999999999991100, -2.400000000000000400 ) ) ; +#3977 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#3978 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999991600, -2.600000000000000500 ) ) ; +#3979 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3980 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3981 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999993200, 0.0000000000000000000, 3.650000000000009700 ) ) ; +#3982 = DIRECTION ( 'NONE', ( 1.445602896647338500E-015, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#3983 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, 0.2000000000000000900, 0.2500000000000003300 ) ) ; +#3984 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.237673712882986500E-016 ) ) ; +#3985 = CARTESIAN_POINT ( 'NONE', ( 1.500000000000000400, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#3986 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.9999999999999991100, 0.8500000000000002000 ) ) ; +#3987 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#3988 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.0000000000000000000, -2.350000000000000500 ) ) ; +#3989 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, 1.237673712882986500E-016 ) ) ; +#3990 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#3991 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#3992 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999998200, -0.9999999999999991100, 1.250000000000000200 ) ) ; +#3993 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 9.604348011972031500E-016 ) ) ; +#3994 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#3995 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3996 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, -3.150000000000000800 ) ) ; +#3997 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#3998 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.0000000000000000000, 0.2499999999999994200 ) ) ; +#3999 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000400, 0.0000000000000000000, 3.649999999999998600 ) ) ; +#4000 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#4001 = CARTESIAN_POINT ( 'NONE', ( 1.769999999999999800, 0.0000000000000000000, -2.950000000000000200 ) ) ; +#4002 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#4003 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.0000000000000000000, 1.449999999999999300 ) ) ; +#4004 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#4005 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#4006 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 0.0000000000000000000, -2.149999999999999000 ) ) ; +#4007 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#4008 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999999300, 0.0000000000000000000, 3.649999999999998600 ) ) ; +#4009 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#4010 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 0.05000000000000012800 ) ) ; +#4011 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#4012 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#4013 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#4014 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999999500, 0.0000000000000000000, 3.649999999999998600 ) ) ; +#4015 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#4016 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#4017 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#4018 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 1.649999999999999900 ) ) ; +#4019 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#4020 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999999300, 0.0000000000000000000, 3.649999999999998600 ) ) ; +#4021 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#4022 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.2999999999999999300, 1.449999999999999500 ) ) ; +#4023 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, 3.649999999999999500 ) ) ; +#4024 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#4025 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000600, 1.000000000000000000, 0.05000000000000012800 ) ) ; +#4026 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 1.379948910513871700E-016, -1.000000000000000000 ) ) ; +#4027 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -1.355252715606880500E-016 ) ) ; +#4028 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000600, 1.000000000000000000, 1.650000000000000400 ) ) ; +#4029 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, -1.162062240432734000E-016, 1.000000000000000000 ) ) ; +#4030 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 2.168404344971009900E-016 ) ) ; +#4031 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000600, 1.000000000000000000, -2.149999999999999900 ) ) ; +#4032 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000200, 1.000000000000000000, 3.649999999999999500 ) ) ; +#4033 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#4034 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#4035 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999999700, 1.100000000000000100, -2.149999999999999900 ) ) ; +#4036 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#4037 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#4038 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000003400, 0.2999999999999999900, -3.649999999999992400 ) ) ; +#4039 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#4040 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#4041 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#4042 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#4043 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999999700, 1.100000000000000100, 3.649999999999999500 ) ) ; +#4044 = DIRECTION ( 'NONE', ( -1.445602896647338500E-015, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#4045 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999999700, 1.100000000000000100, 0.05000000000000012800 ) ) ; +#4046 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999999700, 1.100000000000000100, 1.650000000000000400 ) ) ; +#4047 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 1.379948910513871700E-016, -1.000000000000000000 ) ) ; +#4048 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -1.355252715606880000E-016 ) ) ; +#4049 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994800, 0.2999999999999999900, -1.350000000000002300 ) ) ; +#4050 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#4051 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#4052 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#4053 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, -1.162062240432734000E-016, 1.000000000000000000 ) ) ; +#4054 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#4055 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999998600, 0.2999999999999999900, -3.650000000000000800 ) ) ; +#4056 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -2.710505431213760600E-016 ) ) ; +#4057 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -1.650000000000000400 ) ) ; +#4058 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#4059 = EDGE_LOOP ( 'NONE', ( #6338, #6339, #6340, #6341 ) ) ; +#4060 = SURFACE_STYLE_USAGE ( .BOTH. , #13012 ) ; +#4061 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#4062 = EDGE_CURVE ( 'NONE', #1748, #1749, #6845, .T. ) ; +#4063 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6848 ) ) ; +#4064 = AXIS2_PLACEMENT_3D ( 'NONE', #9279, #9299, #9300 ) ; +#4065 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6848 ), #6849 ) ; +#4066 = EDGE_CURVE ( 'NONE', #1812, #1814, #6852, .T. ) ; +#4067 = EDGE_CURVE ( 'NONE', #1641, #1640, #6850, .T. ) ; +#4068 = EDGE_CURVE ( 'NONE', #1645, #1644, #6842, .T. ) ; +#4069 = EDGE_CURVE ( 'NONE', #1646, #1645, #6853, .T. ) ; +#4070 = EDGE_CURVE ( 'NONE', #1644, #1643, #6860, .T. ) ; +#4071 = EDGE_CURVE ( 'NONE', #1642, #1641, #6855, .T. ) ; +#4072 = EDGE_CURVE ( 'NONE', #1642, #1643, #6864, .T. ) ; +#4073 = EDGE_CURVE ( 'NONE', #1640, #1639, #6857, .T. ) ; +#4074 = EDGE_CURVE ( 'NONE', #1646, #1647, #6859, .T. ) ; +#4075 = EDGE_CURVE ( 'NONE', #1648, #1647, #6870, .T. ) ; +#4076 = EDGE_CURVE ( 'NONE', #1649, #1648, #6863, .T. ) ; +#4077 = EDGE_CURVE ( 'NONE', #1650, #1649, #6867, .T. ) ; +#4078 = EDGE_CURVE ( 'NONE', #1650, #1651, #6876, .T. ) ; +#4079 = EDGE_CURVE ( 'NONE', #1652, #1651, #6878, .T. ) ; +#4080 = EDGE_CURVE ( 'NONE', #1653, #1652, #6869, .T. ) ; +#4081 = EDGE_CURVE ( 'NONE', #1767, #1653, #6873, .T. ) ; +#4082 = EDGE_CURVE ( 'NONE', #1767, #1768, #6884, .T. ) ; +#4083 = EDGE_CURVE ( 'NONE', #1769, #1768, #6886, .T. ) ; +#4084 = EDGE_CURVE ( 'NONE', #1770, #1769, #6875, .T. ) ; +#4085 = EDGE_CURVE ( 'NONE', #1771, #1770, #6881, .T. ) ; +#4086 = EDGE_CURVE ( 'NONE', #1771, #1772, #6892, .T. ) ; +#4087 = EDGE_CURVE ( 'NONE', #1772, #1773, #6894, .T. ) ; +#4088 = EDGE_CURVE ( 'NONE', #1774, #1773, #6896, .T. ) ; +#4089 = EDGE_CURVE ( 'NONE', #1775, #1774, #6883, .T. ) ; +#4090 = EDGE_CURVE ( 'NONE', #1775, #1776, #6900, .T. ) ; +#4091 = EDGE_CURVE ( 'NONE', #1776, #1777, #6902, .T. ) ; +#4092 = EDGE_CURVE ( 'NONE', #1778, #1777, #6904, .T. ) ; +#4093 = EDGE_CURVE ( 'NONE', #1779, #1778, #6889, .T. ) ; +#4094 = EDGE_CURVE ( 'NONE', #1779, #1780, #6908, .T. ) ; +#4095 = EDGE_CURVE ( 'NONE', #1780, #1781, #6910, .T. ) ; +#4096 = EDGE_CURVE ( 'NONE', #1782, #1781, #6912, .T. ) ; +#4097 = EDGE_CURVE ( 'NONE', #1783, #1782, #6891, .T. ) ; +#4098 = EDGE_CURVE ( 'NONE', #1783, #1784, #6916, .T. ) ; +#4099 = EDGE_CURVE ( 'NONE', #1784, #1785, #6918, .T. ) ; +#4100 = EDGE_CURVE ( 'NONE', #1786, #1785, #6920, .T. ) ; +#4101 = EDGE_CURVE ( 'NONE', #1787, #1786, #6899, .T. ) ; +#4102 = EDGE_CURVE ( 'NONE', #1787, #1788, #6924, .T. ) ; +#4103 = EDGE_CURVE ( 'NONE', #1788, #1789, #6926, .T. ) ; +#4104 = EDGE_CURVE ( 'NONE', #1628, #1789, #6928, .T. ) ; +#4105 = ADVANCED_FACE ( 'NONE', ( #6923 ), #2674, .T. ) ; +#4106 = ADVANCED_FACE ( 'NONE', ( #6931 ), #2690, .F. ) ; +#4107 = ADVANCED_FACE ( 'NONE', ( #6937 ), #2701, .F. ) ; +#4108 = ADVANCED_FACE ( 'NONE', ( #6943 ), #2712, .F. ) ; +#4109 = ADVANCED_FACE ( 'NONE', ( #6949 ), #2723, .F. ) ; +#4110 = ADVANCED_FACE ( 'NONE', ( #6955 ), #2734, .F. ) ; +#4111 = ADVANCED_FACE ( 'NONE', ( #6961 ), #6915, .F. ) ; +#4112 = ADVANCED_FACE ( 'NONE', ( #6967 ), #6932, .F. ) ; +#4113 = ADVANCED_FACE ( 'NONE', ( #6973 ), #2768, .F. ) ; +#4114 = ADVANCED_FACE ( 'NONE', ( #6980 ), #2770, .F. ) ; +#4115 = ADVANCED_FACE ( 'NONE', ( #6987 ), #6974, .F. ) ; +#4116 = ADVANCED_FACE ( 'NONE', ( #6993 ), #2795, .F. ) ; +#4117 = ADVANCED_FACE ( 'NONE', ( #6999 ), #7000, .F. ) ; +#4118 = ADVANCED_FACE ( 'NONE', ( #7006 ), #6981, .F. ) ; +#4119 = ADVANCED_FACE ( 'NONE', ( #7012 ), #2826, .F. ) ; +#4120 = ADVANCED_FACE ( 'NONE', ( #7019 ), #7020, .T. ) ; +#4121 = ADVANCED_FACE ( 'NONE', ( #7026 ), #7013, .T. ) ; +#4122 = ADVANCED_FACE ( 'NONE', ( #7032 ), #7033, .F. ) ; +#4123 = ADVANCED_FACE ( 'NONE', ( #7039, #7045, #7047 ), #2837, .F. ) ; +#4124 = ADVANCED_FACE ( 'NONE', ( #7049 ), #7041, .F. ) ; +#4125 = ADVANCED_FACE ( 'NONE', ( #7048 ), #2888, .F. ) ; +#4126 = ADVANCED_FACE ( 'NONE', ( #7059 ), #7060, .F. ) ; +#4127 = ADVANCED_FACE ( 'NONE', ( #7066 ), #7046, .F. ) ; +#4128 = ADVANCED_FACE ( 'NONE', ( #7072 ), #2919, .F. ) ; +#4129 = ADVANCED_FACE ( 'NONE', ( #7079 ), #2927, .T. ) ; +#4130 = ADVANCED_FACE ( 'NONE', ( #7086 ), #7073, .F. ) ; +#4131 = ADVANCED_FACE ( 'NONE', ( #7092 ), #7080, .F. ) ; +#4132 = ADVANCED_FACE ( 'NONE', ( #7098 ), #2961, .F. ) ; +#4133 = ADVANCED_FACE ( 'NONE', ( #7105 ), #2969, .F. ) ; +#4134 = ADVANCED_FACE ( 'NONE', ( #7112 ), #2980, .F. ) ; +#4135 = ADVANCED_FACE ( 'NONE', ( #7118 ), #2991, .F. ) ; +#4136 = ADVANCED_FACE ( 'NONE', ( #7124 ), #3002, .F. ) ; +#4137 = ADVANCED_FACE ( 'NONE', ( #7130 ), #3013, .T. ) ; +#4138 = ADVANCED_FACE ( 'NONE', ( #7136 ), #3024, .F. ) ; +#4139 = ADVANCED_FACE ( 'NONE', ( #7142 ), #3035, .T. ) ; +#4140 = ADVANCED_FACE ( 'NONE', ( #7148 ), #7099, .F. ) ; +#4141 = ADVANCED_FACE ( 'NONE', ( #7154 ), #7106, .F. ) ; +#4142 = ADVANCED_FACE ( 'NONE', ( #7160 ), #7161, .F. ) ; +#4143 = ADVANCED_FACE ( 'NONE', ( #7167 ), #3079, .F. ) ; +#4144 = ADVANCED_FACE ( 'NONE', ( #7174 ), #7175, .T. ) ; +#4145 = ADVANCED_FACE ( 'NONE', ( #7181 ), #7168, .T. ) ; +#4146 = ADVANCED_FACE ( 'NONE', ( #7187 ), #7188, .F. ) ; +#4147 = ADVANCED_FACE ( 'NONE', ( #7194 ), #7195, .T. ) ; +#4148 = ADVANCED_FACE ( 'NONE', ( #7201 ), #7202, .T. ) ; +#4149 = ADVANCED_FACE ( 'NONE', ( #7208 ), #7209, .T. ) ; +#4150 = ADVANCED_FACE ( 'NONE', ( #7215 ), #7216, .F. ) ; +#4151 = ADVANCED_FACE ( 'NONE', ( #7222 ), #7223, .F. ) ; +#4152 = ADVANCED_FACE ( 'NONE', ( #7229 ), #7230, .F. ) ; +#4153 = ADVANCED_FACE ( 'NONE', ( #7236 ), #3180, .T. ) ; +#4154 = ADVANCED_FACE ( 'NONE', ( #7243 ), #7244, .F. ) ; +#4155 = ADVANCED_FACE ( 'NONE', ( #7250 ), #3201, .T. ) ; +#4156 = ADVANCED_FACE ( 'NONE', ( #7256 ), #3209, .T. ) ; +#4157 = ADVANCED_FACE ( 'NONE', ( #7263 ), #7237, .F. ) ; +#4158 = ADVANCED_FACE ( 'NONE', ( #7269 ), #7257, .F. ) ; +#4159 = ADVANCED_FACE ( 'NONE', ( #7275 ), #3243, .T. ) ; +#4160 = ADVANCED_FACE ( 'NONE', ( #7282 ), #3251, .T. ) ; +#4161 = ADVANCED_FACE ( 'NONE', ( #7289 ), #3262, .F. ) ; +#4162 = ADVANCED_FACE ( 'NONE', ( #7375 ), #3273, .T. ) ; +#4163 = ADVANCED_FACE ( 'NONE', ( #7376 ), #3284, .T. ) ; +#4164 = ADVANCED_FACE ( 'NONE', ( #7381 ), #3295, .T. ) ; +#4165 = ADVANCED_FACE ( 'NONE', ( #7393 ), #7387, .F. ) ; +#4166 = ADVANCED_FACE ( 'NONE', ( #7394 ), #7369, .F. ) ; +#4167 = ADVANCED_FACE ( 'NONE', ( #7400 ), #7401, .F. ) ; +#4168 = ADVANCED_FACE ( 'NONE', ( #7407 ), #3339, .T. ) ; +#4169 = ADVANCED_FACE ( 'NONE', ( #7414 ), #7415, .T. ) ; +#4170 = ADVANCED_FACE ( 'NONE', ( #7421 ), #7408, .T. ) ; +#4171 = ADVANCED_FACE ( 'NONE', ( #7427 ), #7428, .F. ) ; +#4172 = ADVANCED_FACE ( 'NONE', ( #7434 ), #7435, .T. ) ; +#4173 = ADVANCED_FACE ( 'NONE', ( #7441 ), #7442, .T. ) ; +#4174 = ADVANCED_FACE ( 'NONE', ( #7448 ), #7449, .T. ) ; +#4175 = ADVANCED_FACE ( 'NONE', ( #7455 ), #7456, .F. ) ; +#4176 = ADVANCED_FACE ( 'NONE', ( #7462 ), #7463, .F. ) ; +#4177 = ADVANCED_FACE ( 'NONE', ( #7469 ), #7470, .F. ) ; +#4178 = ADVANCED_FACE ( 'NONE', ( #7476 ), #3440, .F. ) ; +#4179 = ADVANCED_FACE ( 'NONE', ( #7483 ), #3448, .F. ) ; +#4180 = ADVANCED_FACE ( 'NONE', ( #7490 ), #3459, .F. ) ; +#4181 = ADVANCED_FACE ( 'NONE', ( #7496 ), #3470, .F. ) ; +#4182 = ADVANCED_FACE ( 'NONE', ( #7502 ), #3481, .F. ) ; +#4183 = ADVANCED_FACE ( 'NONE', ( #7508 ), #3492, .F. ) ; +#4184 = ADVANCED_FACE ( 'NONE', ( #7514 ), #3503, .F. ) ; +#4185 = ADVANCED_FACE ( 'NONE', ( #7520 ), #7484, .T. ) ; +#4186 = ADVANCED_FACE ( 'NONE', ( #7526 ), #7477, .T. ) ; +#4187 = ADVANCED_FACE ( 'NONE', ( #7532 ), #7533, .F. ) ; +#4188 = EDGE_CURVE ( 'NONE', #1628, #1791, #7547, .T. ) ; +#4189 = EDGE_CURVE ( 'NONE', #1791, #1792, #7541, .T. ) ; +#4190 = EDGE_CURVE ( 'NONE', #1786, #1793, #7550, .T. ) ; +#4191 = EDGE_CURVE ( 'NONE', #1787, #1792, #7552, .T. ) ; +#4192 = EDGE_CURVE ( 'NONE', #1627, #1790, #7540, .T. ) ; +#4193 = EDGE_CURVE ( 'NONE', #1783, #1794, #7539, .T. ) ; +#4194 = EDGE_CURVE ( 'NONE', #1779, #1745, #7546, .T. ) ; +#4195 = EDGE_CURVE ( 'NONE', #1793, #1794, #7555, .T. ) ; +#4196 = EDGE_CURVE ( 'NONE', #1782, #1795, #7562, .T. ) ; +#4197 = EDGE_CURVE ( 'NONE', #1775, #1747, #7564, .T. ) ; +#4198 = EDGE_CURVE ( 'NONE', #1795, #1745, #7557, .T. ) ; +#4199 = EDGE_CURVE ( 'NONE', #1778, #1746, #7567, .T. ) ; +#4200 = EDGE_CURVE ( 'NONE', #1771, #1749, #7569, .T. ) ; +#4201 = EDGE_CURVE ( 'NONE', #1746, #1747, #7559, .T. ) ; +#4202 = EDGE_CURVE ( 'NONE', #1774, #1748, #7573, .T. ) ; +#4203 = EDGE_CURVE ( 'NONE', #1545, #1823, #7575, .T. ) ; +#4204 = EDGE_CURVE ( 'NONE', #1638, #1639, #7561, .T. ) ; +#4205 = EDGE_CURVE ( 'NONE', #1806, #1712, #7579, .T. ) ; +#4206 = EDGE_CURVE ( 'NONE', #1809, #1811, #7584, .T. ) ; +#4207 = EDGE_CURVE ( 'NONE', #1811, #1808, #7578, .T. ) ; +#4208 = EDGE_CURVE ( 'NONE', #1815, #1816, #7583, .T. ) ; +#4209 = EDGE_CURVE ( 'NONE', #1812, #1704, #7582, .T. ) ; +#4210 = EDGE_CURVE ( 'NONE', #1810, #1809, #7581, .T. ) ; +#4211 = EDGE_CURVE ( 'NONE', #1810, #1714, #7590, .T. ) ; +#4212 = EDGE_CURVE ( 'NONE', #1690, #1691, #7586, .T. ) ; +#4213 = EDGE_CURVE ( 'NONE', #1646, #1755, #7594, .T. ) ; +#4214 = EDGE_CURVE ( 'NONE', #1649, #1754, #7588, .T. ) ; +#4215 = EDGE_CURVE ( 'NONE', #1752, #1753, #7598, .T. ) ; +#4216 = EDGE_CURVE ( 'NONE', #1750, #1751, #7600, .T. ) ; +#4217 = EDGE_CURVE ( 'NONE', #1687, #1688, #7602, .T. ) ; +#4218 = EDGE_CURVE ( 'NONE', #1686, #1687, #7604, .T. ) ; +#4219 = EDGE_CURVE ( 'NONE', #1634, #1761, #7606, .T. ) ; +#4220 = EDGE_CURVE ( 'NONE', #1685, #1686, #7611, .T. ) ; +#4221 = EDGE_CURVE ( 'NONE', #1767, #1751, #7597, .T. ) ; +#4222 = EDGE_CURVE ( 'NONE', #1770, #1750, #7610, .T. ) ; +#4223 = EDGE_CURVE ( 'NONE', #1638, #1759, #7614, .T. ) ; +#4224 = EDGE_CURVE ( 'NONE', #1645, #1756, #7609, .T. ) ; +#4225 = EDGE_CURVE ( 'NONE', #1641, #1758, #7618, .T. ) ; +#4226 = EDGE_CURVE ( 'NONE', #1633, #1762, #7622, .T. ) ; +#4227 = EDGE_CURVE ( 'NONE', #1754, #1755, #7613, .T. ) ; +#4228 = EDGE_CURVE ( 'NONE', #1642, #1757, #7623, .T. ) ; +#4229 = EDGE_CURVE ( 'NONE', #1688, #1689, #7621, .T. ) ; +#4230 = EDGE_CURVE ( 'NONE', #1766, #1685, #7627, .T. ) ; +#4231 = EDGE_CURVE ( 'NONE', #1765, #1766, #7631, .T. ) ; +#4232 = EDGE_CURVE ( 'NONE', #1764, #1765, #7620, .T. ) ; +#4233 = EDGE_CURVE ( 'NONE', #1760, #1761, #7632, .T. ) ; +#4234 = EDGE_CURVE ( 'NONE', #1762, #1763, #7634, .T. ) ; +#4235 = EDGE_CURVE ( 'NONE', #1653, #1752, #7636, .T. ) ; +#4236 = EDGE_CURVE ( 'NONE', #1758, #1759, #7638, .T. ) ; +#4237 = EDGE_CURVE ( 'NONE', #1756, #1757, #7640, .T. ) ; +#4238 = EDGE_CURVE ( 'NONE', #1637, #1760, #7642, .T. ) ; +#4239 = EDGE_CURVE ( 'NONE', #1763, #1764, #7645, .T. ) ; +#4240 = EDGE_CURVE ( 'NONE', #1650, #1753, #7626, .T. ) ; +#4241 = EDGE_CURVE ( 'NONE', #1689, #1690, #7644, .T. ) ; +#4242 = EDGE_CURVE ( 'NONE', #1701, #1817, #7648, .T. ) ; +#4243 = EDGE_CURVE ( 'NONE', #1816, #1602, #7330, .T. ) ; +#4244 = EDGE_CURVE ( 'NONE', #1804, #1605, #7651, .T. ) ; +#4245 = EDGE_CURVE ( 'NONE', #1604, #1602, #7650, .T. ) ; +#4246 = EDGE_CURVE ( 'NONE', #1818, #1602, #7629, .T. ) ; +#4247 = EDGE_CURVE ( 'NONE', #1818, #1815, #7658, .T. ) ; +#4248 = EDGE_CURVE ( 'NONE', #1608, #1607, #7331, .T. ) ; +#4249 = EDGE_CURVE ( 'NONE', #1603, #1604, #7656, .T. ) ; +#4250 = EDGE_CURVE ( 'NONE', #1603, #1818, #7659, .T. ) ; +#4251 = EDGE_CURVE ( 'NONE', #1604, #1605, #7332, .T. ) ; +#4252 = EDGE_CURVE ( 'NONE', #1612, #1613, #7662, .T. ) ; +#4253 = EDGE_CURVE ( 'NONE', #1802, #1603, #7664, .T. ) ; +#4254 = EDGE_CURVE ( 'NONE', #1610, #1612, #7333, .T. ) ; +#4255 = EDGE_CURVE ( 'NONE', #1606, #1607, #7661, .T. ) ; +#4256 = EDGE_CURVE ( 'NONE', #1606, #1805, #7668, .T. ) ; +#4257 = EDGE_CURVE ( 'NONE', #1807, #1608, #7655, .T. ) ; +#4258 = EDGE_CURVE ( 'NONE', #1610, #1607, #7667, .T. ) ; +#4259 = EDGE_CURVE ( 'NONE', #1609, #1610, #7666, .T. ) ; +#4260 = EDGE_CURVE ( 'NONE', #1609, #1606, #7672, .T. ) ; +#4261 = EDGE_CURVE ( 'NONE', #1616, #1617, #7674, .T. ) ; +#4262 = EDGE_CURVE ( 'NONE', #1611, #1612, #7665, .T. ) ; +#4263 = EDGE_CURVE ( 'NONE', #1611, #1609, #7681, .T. ) ; +#4264 = EDGE_CURVE ( 'NONE', #1625, #1624, #7677, .T. ) ; +#4265 = EDGE_CURVE ( 'NONE', #1613, #1796, #7680, .T. ) ; +#4266 = EDGE_CURVE ( 'NONE', #1796, #1611, #7679, .T. ) ; +#4267 = EDGE_CURVE ( 'NONE', #1621, #1620, #7686, .T. ) ; +#4268 = EDGE_CURVE ( 'NONE', #1615, #1616, #7678, .T. ) ; +#4269 = EDGE_CURVE ( 'NONE', #1614, #1615, #7690, .T. ) ; +#4270 = EDGE_CURVE ( 'NONE', #1614, #1617, #7692, .T. ) ; +#4271 = EDGE_CURVE ( 'NONE', #1578, #1579, #7683, .T. ) ; +#4272 = EDGE_CURVE ( 'NONE', #1620, #1618, #7685, .T. ) ; +#4273 = EDGE_CURVE ( 'NONE', #1618, #1619, #7698, .T. ) ; +#4274 = EDGE_CURVE ( 'NONE', #1619, #1621, #7689, .T. ) ; +#4275 = EDGE_CURVE ( 'NONE', #1607, #1580, #7695, .T. ) ; +#4276 = EDGE_CURVE ( 'NONE', #1622, #1624, #7704, .T. ) ; +#4277 = EDGE_CURVE ( 'NONE', #1622, #1623, #7697, .T. ) ; +#4278 = EDGE_CURVE ( 'NONE', #1623, #1625, #7701, .T. ) ; +#4279 = EDGE_CURVE ( 'NONE', #1582, #1602, #7703, .T. ) ; +#4280 = EDGE_CURVE ( 'NONE', #1577, #1578, #7707, .T. ) ; +#4281 = EDGE_CURVE ( 'NONE', #1576, #1577, #7713, .T. ) ; +#4282 = EDGE_CURVE ( 'NONE', #1579, #1576, #7715, .T. ) ; +#4283 = EDGE_CURVE ( 'NONE', #1584, #1804, #7717, .T. ) ; +#4284 = EDGE_CURVE ( 'NONE', #1581, #1582, #7721, .T. ) ; +#4285 = EDGE_CURVE ( 'NONE', #1580, #1608, #7723, .T. ) ; +#4286 = EDGE_CURVE ( 'NONE', #1593, #1594, #7712, .T. ) ; +#4287 = EDGE_CURVE ( 'NONE', #1581, #1817, #7722, .T. ) ; +#4288 = EDGE_CURVE ( 'NONE', #1584, #1585, #7726, .T. ) ; +#4289 = EDGE_CURVE ( 'NONE', #1585, #1583, #7719, .T. ) ; +#4290 = EDGE_CURVE ( 'NONE', #1605, #1583, #7732, .T. ) ; +#4291 = EDGE_CURVE ( 'NONE', #1592, #1593, #7725, .T. ) ; +#4292 = EDGE_CURVE ( 'NONE', #1591, #1590, #7733, .T. ) ; +#4293 = EDGE_CURVE ( 'NONE', #1807, #1587, #7735, .T. ) ; +#4294 = EDGE_CURVE ( 'NONE', #1586, #1587, #7737, .T. ) ; +#4295 = EDGE_CURVE ( 'NONE', #1580, #1586, #7739, .T. ) ; +#4296 = EDGE_CURVE ( 'NONE', #1589, #1590, #7276, .T. ) ; +#4297 = EDGE_CURVE ( 'NONE', #1588, #1589, #7742, .T. ) ; +#4298 = EDGE_CURVE ( 'NONE', #1591, #1592, #7746, .T. ) ; +#4299 = EDGE_CURVE ( 'NONE', #1594, #1595, #7729, .T. ) ; +#4300 = EDGE_CURVE ( 'NONE', #1595, #1588, #7748, .T. ) ; +#4301 = EDGE_CURVE ( 'NONE', #1598, #1599, #7730, .T. ) ; +#4302 = EDGE_CURVE ( 'NONE', #1600, #1601, #7747, .T. ) ; +#4303 = EDGE_CURVE ( 'NONE', #1601, #1534, #7753, .T. ) ; +#4304 = EDGE_CURVE ( 'NONE', #1597, #1598, #7755, .T. ) ; +#4305 = EDGE_CURVE ( 'NONE', #1596, #1597, #7750, .T. ) ; +#4306 = EDGE_CURVE ( 'NONE', #1599, #1600, #7757, .T. ) ; +#4307 = EDGE_CURVE ( 'NONE', #1534, #1819, #7751, .T. ) ; +#4308 = EDGE_CURVE ( 'NONE', #1819, #1820, #7759, .T. ) ; +#4309 = EDGE_CURVE ( 'NONE', #1820, #1821, #7744, .T. ) ; +#4310 = EDGE_CURVE ( 'NONE', #1821, #1822, #7760, .T. ) ; +#4311 = EDGE_CURVE ( 'NONE', #1822, #1823, #7762, .T. ) ; +#4312 = EDGE_CURVE ( 'NONE', #1823, #1824, #7766, .T. ) ; +#4313 = EDGE_CURVE ( 'NONE', #1824, #1825, #7767, .T. ) ; +#4314 = EDGE_CURVE ( 'NONE', #1826, #1825, #7758, .T. ) ; +#4315 = EDGE_CURVE ( 'NONE', #1826, #1827, #7771, .T. ) ; +#4316 = EDGE_CURVE ( 'NONE', #1827, #1828, #7773, .T. ) ; +#4317 = EDGE_CURVE ( 'NONE', #1828, #1829, #7769, .T. ) ; +#4318 = EDGE_CURVE ( 'NONE', #1829, #1830, #7775, .T. ) ; +#4319 = EDGE_CURVE ( 'NONE', #1830, #1831, #7768, .T. ) ; +#4320 = EDGE_CURVE ( 'NONE', #1831, #1832, #7778, .T. ) ; +#4321 = EDGE_CURVE ( 'NONE', #1832, #1833, #7764, .T. ) ; +#4322 = EDGE_CURVE ( 'NONE', #1833, #1834, #7781, .T. ) ; +#4323 = EDGE_CURVE ( 'NONE', #1834, #1835, #7783, .T. ) ; +#4324 = EDGE_CURVE ( 'NONE', #1835, #1836, #7776, .T. ) ; +#4325 = EDGE_CURVE ( 'NONE', #1836, #1837, #7784, .T. ) ; +#4326 = EDGE_CURVE ( 'NONE', #1838, #1837, #7786, .T. ) ; +#4327 = EDGE_CURVE ( 'NONE', #1838, #1596, #7782, .T. ) ; +#4328 = EDGE_CURVE ( 'NONE', #1842, #1841, #7789, .T. ) ; +#4329 = EDGE_CURVE ( 'NONE', #1843, #1844, #7779, .T. ) ; +#4330 = EDGE_CURVE ( 'NONE', #1845, #1844, #7777, .T. ) ; +#4331 = EDGE_CURVE ( 'NONE', #1840, #1841, #7798, .T. ) ; +#4332 = EDGE_CURVE ( 'NONE', #1839, #1840, #7794, .T. ) ; +#4333 = EDGE_CURVE ( 'NONE', #1842, #1843, #7799, .T. ) ; +#4334 = EDGE_CURVE ( 'NONE', #1846, #1845, #7802, .T. ) ; +#4335 = EDGE_CURVE ( 'NONE', #1846, #1847, #7803, .T. ) ; +#4336 = EDGE_CURVE ( 'NONE', #1848, #1847, #7801, .T. ) ; +#4337 = EDGE_CURVE ( 'NONE', #1848, #1849, #7807, .T. ) ; +#4338 = EDGE_CURVE ( 'NONE', #1849, #1538, #7796, .T. ) ; +#4339 = EDGE_CURVE ( 'NONE', #1538, #1539, #7808, .T. ) ; +#4340 = EDGE_CURVE ( 'NONE', #1540, #1539, #7805, .T. ) ; +#4341 = EDGE_CURVE ( 'NONE', #1540, #1541, #7811, .T. ) ; +#4342 = EDGE_CURVE ( 'NONE', #1541, #1542, #7813, .T. ) ; +#4343 = EDGE_CURVE ( 'NONE', #1542, #1543, #7809, .T. ) ; +#4344 = EDGE_CURVE ( 'NONE', #1543, #1544, #7815, .T. ) ; +#4345 = EDGE_CURVE ( 'NONE', #1545, #1544, #7817, .T. ) ; +#4346 = EDGE_CURVE ( 'NONE', #1546, #1545, #7816, .T. ) ; +#4347 = EDGE_CURVE ( 'NONE', #1547, #1546, #7820, .T. ) ; +#4348 = EDGE_CURVE ( 'NONE', #1548, #1547, #7819, .T. ) ; +#4349 = EDGE_CURVE ( 'NONE', #1548, #1549, #7823, .T. ) ; +#4350 = EDGE_CURVE ( 'NONE', #1550, #1549, #7812, .T. ) ; +#4351 = EDGE_CURVE ( 'NONE', #1550, #1839, #7827, .T. ) ; +#4352 = EDGE_CURVE ( 'NONE', #1617, #1620, #7821, .T. ) ; +#4353 = EDGE_CURVE ( 'NONE', #1542, #1826, #7828, .T. ) ; +#4354 = EDGE_CURVE ( 'NONE', #1830, #1538, #7830, .T. ) ; +#4355 = EDGE_CURVE ( 'NONE', #1825, #1543, #7826, .T. ) ; +#4356 = EDGE_CURVE ( 'NONE', #1675, #1551, #7834, .T. ) ; +#4357 = EDGE_CURVE ( 'NONE', #1849, #1831, #7836, .T. ) ; +#4358 = EDGE_CURVE ( 'NONE', #1554, #1555, #7840, .T. ) ; +#4359 = EDGE_CURVE ( 'NONE', #1618, #1614, #7839, .T. ) ; +#4360 = EDGE_CURVE ( 'NONE', #1554, #1553, #7841, .T. ) ; +#4361 = EDGE_CURVE ( 'NONE', #1854, #1552, #7833, .T. ) ; +#4362 = EDGE_CURVE ( 'NONE', #1552, #1553, #7847, .T. ) ; +#4363 = EDGE_CURVE ( 'NONE', #1555, #1556, #7825, .T. ) ; +#4364 = EDGE_CURVE ( 'NONE', #1556, #1557, #7848, .T. ) ; +#4365 = EDGE_CURVE ( 'NONE', #1557, #1558, #7850, .T. ) ; +#4366 = EDGE_CURVE ( 'NONE', #1558, #1559, #7854, .T. ) ; +#4367 = EDGE_CURVE ( 'NONE', #1559, #1560, #7844, .T. ) ; +#4368 = EDGE_CURVE ( 'NONE', #1560, #1561, #7855, .T. ) ; +#4369 = EDGE_CURVE ( 'NONE', #1561, #1562, #7845, .T. ) ; +#4370 = EDGE_CURVE ( 'NONE', #1562, #1551, #7856, .T. ) ; +#4371 = EDGE_CURVE ( 'NONE', #1733, #1567, #7858, .T. ) ; +#4372 = EDGE_CURVE ( 'NONE', #1683, #1822, #7860, .T. ) ; +#4373 = EDGE_CURVE ( 'NONE', #1836, #1678, #7861, .T. ) ; +#4374 = EDGE_CURVE ( 'NONE', #1821, #1684, #7862, .T. ) ; +#4375 = EDGE_CURVE ( 'NONE', #1569, #1570, #7864, .T. ) ; +#4376 = EDGE_CURVE ( 'NONE', #1837, #1677, #7865, .T. ) ; +#4377 = EDGE_CURVE ( 'NONE', #1546, #1663, #7867, .T. ) ; +#4378 = EDGE_CURVE ( 'NONE', #1564, #1565, #7866, .T. ) ; +#4379 = EDGE_CURVE ( 'NONE', #1664, #1545, #7870, .T. ) ; +#4380 = EDGE_CURVE ( 'NONE', #1844, #1670, #7872, .T. ) ; +#4381 = EDGE_CURVE ( 'NONE', #1845, #1669, #7874, .T. ) ; +#4382 = EDGE_CURVE ( 'NONE', #1565, #1566, #7873, .T. ) ; +#4383 = EDGE_CURVE ( 'NONE', #1563, #1564, #7875, .T. ) ; +#4384 = EDGE_CURVE ( 'NONE', #1566, #1563, #7877, .T. ) ; +#4385 = EDGE_CURVE ( 'NONE', #1568, #1569, #7879, .T. ) ; +#4386 = EDGE_CURVE ( 'NONE', #1568, #1567, #7881, .T. ) ; +#4387 = EDGE_CURVE ( 'NONE', #1570, #1571, #7863, .T. ) ; +#4388 = EDGE_CURVE ( 'NONE', #1571, #1572, #7887, .T. ) ; +#4389 = EDGE_CURVE ( 'NONE', #1572, #1573, #7871, .T. ) ; +#4390 = EDGE_CURVE ( 'NONE', #1574, #1573, #7888, .T. ) ; +#4391 = EDGE_CURVE ( 'NONE', #1574, #1575, #7886, .T. ) ; +#4392 = EDGE_CURVE ( 'NONE', #1575, #1881, #7894, .T. ) ; +#4393 = EDGE_CURVE ( 'NONE', #1882, #1881, #7885, .T. ) ; +#4394 = EDGE_CURVE ( 'NONE', #1882, #1883, #7897, .T. ) ; +#4395 = EDGE_CURVE ( 'NONE', #1883, #1658, #7891, .T. ) ; +#4396 = EDGE_CURVE ( 'NONE', #1596, #1885, #7900, .T. ) ; +#4397 = EDGE_CURVE ( 'NONE', #1841, #1597, #7892, .T. ) ; +#4398 = EDGE_CURVE ( 'NONE', #1886, #1887, #7901, .T. ) ; +#4399 = EDGE_CURVE ( 'NONE', #1884, #1886, #7903, .T. ) ; +#4400 = EDGE_CURVE ( 'NONE', #1884, #1885, #7905, .T. ) ; +#4401 = EDGE_CURVE ( 'NONE', #1887, #1842, #7908, .T. ) ; +#4402 = EDGE_CURVE ( 'NONE', #1892, #1893, #7895, .T. ) ; +#4403 = EDGE_CURVE ( 'NONE', #1893, #1556, #7912, .T. ) ; +#4404 = EDGE_CURVE ( 'NONE', #1890, #1891, #7911, .T. ) ; +#4405 = EDGE_CURVE ( 'NONE', #1888, #1890, #7910, .T. ) ; +#4406 = EDGE_CURVE ( 'NONE', #1888, #1889, #7914, .T. ) ; +#4407 = EDGE_CURVE ( 'NONE', #1889, #1891, #7909, .T. ) ; +#4408 = EDGE_CURVE ( 'NONE', #1895, #1894, #7898, .T. ) ; +#4409 = EDGE_CURVE ( 'NONE', #1898, #1897, #7920, .T. ) ; +#4410 = EDGE_CURVE ( 'NONE', #1557, #1892, #7921, .T. ) ; +#4411 = EDGE_CURVE ( 'NONE', #1573, #1894, #7923, .T. ) ; +#4412 = EDGE_CURVE ( 'NONE', #1895, #1574, #7924, .T. ) ; +#4413 = EDGE_CURVE ( 'NONE', #1899, #1898, #7918, .T. ) ; +#4414 = EDGE_CURVE ( 'NONE', #1897, #1896, #7925, .T. ) ; +#4415 = EDGE_CURVE ( 'NONE', #1896, #1843, #7927, .T. ) ; +#4416 = EDGE_CURVE ( 'NONE', #1567, #1899, #7928, .T. ) ; +#4417 = EDGE_CURVE ( 'NONE', #1901, #1902, #7930, .T. ) ; +#4418 = EDGE_CURVE ( 'NONE', #1551, #1900, #7932, .T. ) ; +#4419 = EDGE_CURVE ( 'NONE', #1903, #1902, #7919, .T. ) ; +#4420 = EDGE_CURVE ( 'NONE', #1901, #1900, #7933, .T. ) ; +#4421 = EDGE_CURVE ( 'NONE', #1903, #1838, #7937, .T. ) ; +#4422 = EDGE_CURVE ( 'NONE', #1908, #1909, #7939, .T. ) ; +#4423 = EDGE_CURVE ( 'NONE', #1908, #1907, #7941, .T. ) ; +#4424 = EDGE_CURVE ( 'NONE', #1900, #1906, #7940, .T. ) ; +#4425 = EDGE_CURVE ( 'NONE', #1904, #1593, #7943, .T. ) ; +#4426 = EDGE_CURVE ( 'NONE', #1904, #1905, #7936, .T. ) ; +#4427 = EDGE_CURVE ( 'NONE', #1592, #1901, #7942, .T. ) ; +#4428 = EDGE_CURVE ( 'NONE', #1907, #1906, #7946, .T. ) ; +#4429 = EDGE_CURVE ( 'NONE', #1910, #1909, #7938, .T. ) ; +#4430 = EDGE_CURVE ( 'NONE', #1910, #1911, #7952, .T. ) ; +#4431 = EDGE_CURVE ( 'NONE', #1912, #1911, #7949, .T. ) ; +#4432 = EDGE_CURVE ( 'NONE', #1912, #1913, #7954, .T. ) ; +#4433 = EDGE_CURVE ( 'NONE', #1914, #1913, #7950, .T. ) ; +#4434 = EDGE_CURVE ( 'NONE', #1914, #1915, #7957, .T. ) ; +#4435 = EDGE_CURVE ( 'NONE', #1915, #1916, #7959, .T. ) ; +#4436 = EDGE_CURVE ( 'NONE', #1916, #1917, #7955, .T. ) ; +#4437 = EDGE_CURVE ( 'NONE', #1917, #1918, #7961, .T. ) ; +#4438 = EDGE_CURVE ( 'NONE', #1919, #1918, #7963, .T. ) ; +#4439 = EDGE_CURVE ( 'NONE', #1892, #1919, #7962, .T. ) ; +#4440 = EDGE_CURVE ( 'NONE', #1922, #1905, #7965, .T. ) ; +#4441 = EDGE_CURVE ( 'NONE', #1920, #1893, #7958, .T. ) ; +#4442 = EDGE_CURVE ( 'NONE', #1890, #1921, #7968, .T. ) ; +#4443 = EDGE_CURVE ( 'NONE', #1891, #1920, #7969, .T. ) ; +#4444 = EDGE_CURVE ( 'NONE', #1902, #1591, #7964, .T. ) ; +#4445 = EDGE_CURVE ( 'NONE', #1922, #1921, #7970, .T. ) ; +#4446 = EDGE_CURVE ( 'NONE', #1585, #1924, #7974, .T. ) ; +#4447 = EDGE_CURVE ( 'NONE', #1590, #1925, #7976, .T. ) ; +#4448 = EDGE_CURVE ( 'NONE', #1923, #1884, #7978, .T. ) ; +#4449 = EDGE_CURVE ( 'NONE', #1923, #1924, #7972, .T. ) ; +#4450 = EDGE_CURVE ( 'NONE', #1885, #1903, #7977, .T. ) ; +#4451 = EDGE_CURVE ( 'NONE', #1926, #1586, #7983, .T. ) ; +#4452 = EDGE_CURVE ( 'NONE', #1926, #1925, #7973, .T. ) ; +#4453 = EDGE_CURVE ( 'NONE', #1927, #1610, #7982, .T. ) ; +#4454 = EDGE_CURVE ( 'NONE', #1604, #1583, #7986, .T. ) ; +#4455 = EDGE_CURVE ( 'NONE', #1582, #1927, #7988, .T. ) ; +#4456 = EDGE_CURVE ( 'NONE', #1928, #1929, #7990, .T. ) ; +#4457 = EDGE_CURVE ( 'NONE', #1576, #1622, #7980, .T. ) ; +#4458 = EDGE_CURVE ( 'NONE', #1930, #1928, #7994, .T. ) ; +#4459 = EDGE_CURVE ( 'NONE', #1624, #1579, #7981, .T. ) ; +#4460 = EDGE_CURVE ( 'NONE', #1929, #1931, #7998, .T. ) ; +#4461 = EDGE_CURVE ( 'NONE', #1931, #1930, #7985, .T. ) ; +#4462 = EDGE_CURVE ( 'NONE', #1934, #1935, #7993, .T. ) ; +#4463 = EDGE_CURVE ( 'NONE', #1887, #1896, #7997, .T. ) ; +#4464 = EDGE_CURVE ( 'NONE', #1933, #1934, #8005, .T. ) ; +#4465 = EDGE_CURVE ( 'NONE', #1936, #1937, #8007, .T. ) ; +#4466 = EDGE_CURVE ( 'NONE', #1906, #1562, #8010, .T. ) ; +#4467 = EDGE_CURVE ( 'NONE', #1937, #1938, #8004, .T. ) ; +#4468 = EDGE_CURVE ( 'NONE', #1932, #1933, #8011, .T. ) ; +#4469 = EDGE_CURVE ( 'NONE', #1935, #1932, #8013, .T. ) ; +#4470 = EDGE_CURVE ( 'NONE', #1939, #1936, #8015, .T. ) ; +#4471 = EDGE_CURVE ( 'NONE', #1938, #1939, #8017, .T. ) ; +#4472 = EDGE_CURVE ( 'NONE', #1941, #1584, #8021, .T. ) ; +#4473 = EDGE_CURVE ( 'NONE', #1886, #1940, #8023, .T. ) ; +#4474 = EDGE_CURVE ( 'NONE', #1587, #1942, #8025, .T. ) ; +#4475 = EDGE_CURVE ( 'NONE', #1940, #1941, #8019, .T. ) ; +#4476 = EDGE_CURVE ( 'NONE', #1811, #1813, #8026, .T. ) ; +#4477 = EDGE_CURVE ( 'NONE', #1946, #1947, #8028, .T. ) ; +#4478 = EDGE_CURVE ( 'NONE', #1943, #1589, #8031, .T. ) ; +#4479 = EDGE_CURVE ( 'NONE', #1942, #1943, #8009, .T. ) ; +#4480 = EDGE_CURVE ( 'NONE', #1588, #1897, #8032, .T. ) ; +#4481 = EDGE_CURVE ( 'NONE', #1594, #1944, #8034, .T. ) ; +#4482 = EDGE_CURVE ( 'NONE', #1945, #1944, #8020, .T. ) ; +#4483 = EDGE_CURVE ( 'NONE', #1595, #1898, #8035, .T. ) ; +#4484 = EDGE_CURVE ( 'NONE', #1945, #1946, #8038, .T. ) ; +#4485 = EDGE_CURVE ( 'NONE', #1947, #1888, #8040, .T. ) ; +#4486 = EDGE_CURVE ( 'NONE', #1894, #1948, #8041, .T. ) ; +#4487 = EDGE_CURVE ( 'NONE', #1889, #1895, #8043, .T. ) ; +#4488 = EDGE_CURVE ( 'NONE', #1950, #1951, #8044, .T. ) ; +#4489 = EDGE_CURVE ( 'NONE', #1950, #1949, #8037, .T. ) ; +#4490 = EDGE_CURVE ( 'NONE', #1948, #1949, #8048, .T. ) ; +#4491 = EDGE_CURVE ( 'NONE', #1951, #1952, #8050, .T. ) ; +#4492 = EDGE_CURVE ( 'NONE', #1952, #1953, #8046, .T. ) ; +#4493 = EDGE_CURVE ( 'NONE', #1953, #1954, #8052, .T. ) ; +#4494 = EDGE_CURVE ( 'NONE', #1955, #1954, #8045, .T. ) ; +#4495 = EDGE_CURVE ( 'NONE', #1955, #1956, #8055, .T. ) ; +#4496 = EDGE_CURVE ( 'NONE', #1956, #1957, #8039, .T. ) ; +#4497 = EDGE_CURVE ( 'NONE', #1957, #1958, #8058, .T. ) ; +#4498 = EDGE_CURVE ( 'NONE', #1958, #1959, #8060, .T. ) ; +#4499 = EDGE_CURVE ( 'NONE', #1959, #1899, #8053, .T. ) ; +#4500 = EDGE_CURVE ( 'NONE', #1959, #1568, #8063, .T. ) ; +#4501 = EDGE_CURVE ( 'NONE', #1561, #1569, #8056, .T. ) ; +#4502 = EDGE_CURVE ( 'NONE', #1553, #1882, #8064, .T. ) ; +#4503 = EDGE_CURVE ( 'NONE', #1555, #1575, #8066, .T. ) ; +#4504 = EDGE_CURVE ( 'NONE', #1895, #1920, #8068, .T. ) ; +#4505 = EDGE_CURVE ( 'NONE', #1572, #1558, #8070, .T. ) ; +#4506 = EDGE_CURVE ( 'NONE', #1881, #1554, #8072, .T. ) ; +#4507 = EDGE_CURVE ( 'NONE', #1959, #1907, #8074, .T. ) ; +#4508 = EDGE_CURVE ( 'NONE', #1559, #1571, #8076, .T. ) ; +#4509 = EDGE_CURVE ( 'NONE', #1958, #1908, #8062, .T. ) ; +#4510 = EDGE_CURVE ( 'NONE', #1948, #1918, #8080, .T. ) ; +#4511 = EDGE_CURVE ( 'NONE', #1894, #1919, #8054, .T. ) ; +#4512 = EDGE_CURVE ( 'NONE', #1956, #1910, #8084, .T. ) ; +#4513 = EDGE_CURVE ( 'NONE', #1916, #1950, #8086, .T. ) ; +#4514 = EDGE_CURVE ( 'NONE', #1570, #1560, #8088, .T. ) ; +#4515 = EDGE_CURVE ( 'NONE', #1954, #1912, #8090, .T. ) ; +#4516 = EDGE_CURVE ( 'NONE', #1949, #1917, #8092, .T. ) ; +#4517 = EDGE_CURVE ( 'NONE', #1946, #1922, #8094, .T. ) ; +#4518 = EDGE_CURVE ( 'NONE', #1909, #1957, #8096, .T. ) ; +#4519 = EDGE_CURVE ( 'NONE', #1944, #1904, #8098, .T. ) ; +#4520 = EDGE_CURVE ( 'NONE', #1911, #1955, #8100, .T. ) ; +#4521 = EDGE_CURVE ( 'NONE', #1534, #1548, #8102, .T. ) ; +#4522 = EDGE_CURVE ( 'NONE', #1921, #1947, #8104, .T. ) ; +#4523 = EDGE_CURVE ( 'NONE', #1913, #1953, #8106, .T. ) ; +#4524 = EDGE_CURVE ( 'NONE', #1905, #1945, #8108, .T. ) ; +#4525 = EDGE_CURVE ( 'NONE', #1952, #1914, #8110, .T. ) ; +#4526 = EDGE_CURVE ( 'NONE', #1662, #1850, #8112, .T. ) ; +#4527 = EDGE_CURVE ( 'NONE', #1546, #1820, #8114, .T. ) ; +#4528 = EDGE_CURVE ( 'NONE', #1915, #1951, #8116, .T. ) ; +#4529 = EDGE_CURVE ( 'NONE', #1547, #1819, #8061, .T. ) ; +#4530 = EDGE_CURVE ( 'NONE', #1846, #1834, #8120, .T. ) ; +#4531 = EDGE_CURVE ( 'NONE', #1660, #1852, #8079, .T. ) ; +#4532 = EDGE_CURVE ( 'NONE', #1544, #1824, #8083, .T. ) ; +#4533 = EDGE_CURVE ( 'NONE', #1851, #1661, #8125, .T. ) ; +#4534 = EDGE_CURVE ( 'NONE', #1800, #1799, #8129, .T. ) ; +#4535 = EDGE_CURVE ( 'NONE', #1799, #1798, #8128, .T. ) ; +#4536 = EDGE_CURVE ( 'NONE', #1798, #1797, #8132, .T. ) ; +#4537 = EDGE_CURVE ( 'NONE', #1797, #1796, #8131, .T. ) ; +#4538 = EDGE_CURVE ( 'NONE', #1714, #1713, #8133, .T. ) ; +#4539 = EDGE_CURVE ( 'NONE', #1710, #1711, #8135, .T. ) ; +#4540 = EDGE_CURVE ( 'NONE', #1712, #1710, #8130, .T. ) ; +#4541 = EDGE_CURVE ( 'NONE', #1796, #1715, #8139, .T. ) ; +#4542 = EDGE_CURVE ( 'NONE', #1715, #1714, #8141, .T. ) ; +#4543 = EDGE_CURVE ( 'NONE', #1712, #1713, #8145, .T. ) ; +#4544 = EDGE_CURVE ( 'NONE', #1700, #1709, #8144, .T. ) ; +#4545 = EDGE_CURVE ( 'NONE', #1709, #1708, #8149, .T. ) ; +#4546 = EDGE_CURVE ( 'NONE', #1708, #1707, #8148, .T. ) ; +#4547 = EDGE_CURVE ( 'NONE', #1707, #1706, #8147, .T. ) ; +#4548 = EDGE_CURVE ( 'NONE', #1706, #1705, #8146, .T. ) ; +#4549 = EDGE_CURVE ( 'NONE', #1705, #1801, #8154, .T. ) ; +#4550 = EDGE_CURVE ( 'NONE', #1817, #1816, #8158, .T. ) ; +#4551 = EDGE_CURVE ( 'NONE', #1668, #1679, #8151, .T. ) ; +#4552 = EDGE_CURVE ( 'NONE', #1682, #1665, #8159, .T. ) ; +#4553 = EDGE_CURVE ( 'NONE', #1600, #1550, #8161, .T. ) ; +#4554 = EDGE_CURVE ( 'NONE', #1666, #1681, #8163, .T. ) ; +#4555 = EDGE_CURVE ( 'NONE', #1832, #1848, #8157, .T. ) ; +#4556 = EDGE_CURVE ( 'NONE', #1680, #1667, #8167, .T. ) ; +#4557 = EDGE_CURVE ( 'NONE', #1598, #1840, #8169, .T. ) ; +#4558 = EDGE_CURVE ( 'NONE', #1847, #1833, #8153, .T. ) ; +#4559 = EDGE_CURVE ( 'NONE', #1960, #1961, #8173, .T. ) ; +#4560 = EDGE_CURVE ( 'NONE', #1549, #1601, #8175, .T. ) ; +#4561 = EDGE_CURVE ( 'NONE', #1539, #1829, #8177, .T. ) ; +#4562 = EDGE_CURVE ( 'NONE', #1839, #1599, #8179, .T. ) ; +#4563 = EDGE_CURVE ( 'NONE', #1828, #1540, #8181, .T. ) ; +#4564 = EDGE_CURVE ( 'NONE', #1961, #1929, #8183, .T. ) ; +#4565 = EDGE_CURVE ( 'NONE', #1853, #1659, #8185, .T. ) ; +#4566 = EDGE_CURVE ( 'NONE', #1963, #1962, #8156, .T. ) ; +#4567 = EDGE_CURVE ( 'NONE', #1541, #1827, #8166, .T. ) ; +#4568 = EDGE_CURVE ( 'NONE', #1962, #1928, #8172, .T. ) ; +#4569 = EDGE_CURVE ( 'NONE', #1931, #1960, #8193, .T. ) ; +#4570 = EDGE_CURVE ( 'NONE', #1930, #1963, #8195, .T. ) ; +#4571 = EDGE_CURVE ( 'NONE', #1964, #1671, #8197, .T. ) ; +#4572 = EDGE_CURVE ( 'NONE', #1965, #1964, #8188, .T. ) ; +#4573 = EDGE_CURVE ( 'NONE', #1672, #1965, #8190, .T. ) ; +#4574 = EDGE_CURVE ( 'NONE', #1924, #1941, #8192, .T. ) ; +#4575 = EDGE_CURVE ( 'NONE', #1932, #1972, #8205, .T. ) ; +#4576 = EDGE_CURVE ( 'NONE', #1967, #1674, #8200, .T. ) ; +#4577 = EDGE_CURVE ( 'NONE', #1966, #1967, #8202, .T. ) ; +#4578 = EDGE_CURVE ( 'NONE', #1673, #1966, #8211, .T. ) ; +#4579 = EDGE_CURVE ( 'NONE', #1883, #1552, #8213, .T. ) ; +#4580 = EDGE_CURVE ( 'NONE', #1925, #1943, #8215, .T. ) ; +#4581 = EDGE_CURVE ( 'NONE', #1942, #1926, #8217, .T. ) ; +#4582 = EDGE_CURVE ( 'NONE', #1969, #1702, #8219, .T. ) ; +#4583 = EDGE_CURVE ( 'NONE', #1940, #1923, #8221, .T. ) ; +#4584 = EDGE_CURVE ( 'NONE', #1968, #1810, #8223, .T. ) ; +#4585 = EDGE_CURVE ( 'NONE', #1970, #1613, #8225, .T. ) ; +#4586 = EDGE_CURVE ( 'NONE', #1968, #1715, #8227, .T. ) ; +#4587 = EDGE_CURVE ( 'NONE', #1814, #1969, #8229, .T. ) ; +#4588 = EDGE_CURVE ( 'NONE', #1972, #1971, #8231, .T. ) ; +#4589 = EDGE_CURVE ( 'NONE', #1969, #1581, #8233, .T. ) ; +#4590 = EDGE_CURVE ( 'NONE', #1927, #1970, #8237, .T. ) ; +#4591 = EDGE_CURVE ( 'NONE', #1577, #1623, #8208, .T. ) ; +#4592 = EDGE_CURVE ( 'NONE', #1970, #1968, #8238, .T. ) ; +#4593 = EDGE_CURVE ( 'NONE', #1976, #1975, #8240, .T. ) ; +#4594 = EDGE_CURVE ( 'NONE', #1578, #1625, #8236, .T. ) ; +#4595 = EDGE_CURVE ( 'NONE', #1974, #1973, #8244, .T. ) ; +#4596 = EDGE_CURVE ( 'NONE', #1971, #1933, #8210, .T. ) ; +#4597 = EDGE_CURVE ( 'NONE', #1973, #1934, #8248, .T. ) ; +#4598 = EDGE_CURVE ( 'NONE', #1935, #1974, #8235, .T. ) ; +#4599 = EDGE_CURVE ( 'NONE', #1972, #1974, #8243, .T. ) ; +#4600 = EDGE_CURVE ( 'NONE', #1971, #1973, #8254, .T. ) ; +#4601 = EDGE_CURVE ( 'NONE', #1937, #1976, #8256, .T. ) ; +#4602 = EDGE_CURVE ( 'NONE', #1976, #1978, #8258, .T. ) ; +#4603 = EDGE_CURVE ( 'NONE', #1983, #1984, #8247, .T. ) ; +#4604 = EDGE_CURVE ( 'NONE', #1938, #1975, #8251, .T. ) ; +#4605 = EDGE_CURVE ( 'NONE', #1978, #1977, #8253, .T. ) ; +#4606 = EDGE_CURVE ( 'NONE', #1978, #1936, #8266, .T. ) ; +#4607 = EDGE_CURVE ( 'NONE', #1975, #1977, #8261, .T. ) ; +#4608 = EDGE_CURVE ( 'NONE', #1962, #1961, #8270, .T. ) ; +#4609 = EDGE_CURVE ( 'NONE', #1963, #1960, #8272, .T. ) ; +#4610 = EDGE_CURVE ( 'NONE', #1977, #1939, #8274, .T. ) ; +#4611 = EDGE_CURVE ( 'NONE', #1980, #1979, #8276, .T. ) ; +#4612 = EDGE_CURVE ( 'NONE', #1616, #1621, #8263, .T. ) ; +#4613 = EDGE_CURVE ( 'NONE', #1565, #1980, #8280, .T. ) ; +#4614 = EDGE_CURVE ( 'NONE', #1615, #1619, #8282, .T. ) ; +#4615 = EDGE_CURVE ( 'NONE', #1982, #1981, #8284, .T. ) ; +#4616 = EDGE_CURVE ( 'NONE', #1979, #1566, #8265, .T. ) ; +#4617 = EDGE_CURVE ( 'NONE', #1981, #1563, #8288, .T. ) ; +#4618 = EDGE_CURVE ( 'NONE', #1564, #1982, #8269, .T. ) ; +#4619 = EDGE_CURVE ( 'NONE', #1980, #1982, #8279, .T. ) ; +#4620 = EDGE_CURVE ( 'NONE', #1979, #1981, #8294, .T. ) ; +#4621 = EDGE_CURVE ( 'NONE', #1689, #1710, #8296, .T. ) ; +#4622 = EDGE_CURVE ( 'NONE', #1965, #1966, #8298, .T. ) ; +#4623 = EDGE_CURVE ( 'NONE', #1984, #1730, #8300, .T. ) ; +#4624 = EDGE_CURVE ( 'NONE', #1964, #1967, #8287, .T. ) ; +#4625 = EDGE_CURVE ( 'NONE', #1985, #1986, #8304, .T. ) ; +#4626 = EDGE_CURVE ( 'NONE', #1731, #1983, #8291, .T. ) ; +#4627 = EDGE_CURVE ( 'NONE', #1728, #1985, #8293, .T. ) ; +#4628 = EDGE_CURVE ( 'NONE', #1986, #1729, #8310, .T. ) ; +#4629 = EDGE_CURVE ( 'NONE', #1984, #1986, #8312, .T. ) ; +#4630 = EDGE_CURVE ( 'NONE', #1983, #1985, #8314, .T. ) ; +#4631 = EDGE_CURVE ( 'NONE', #1766, #1700, #8316, .T. ) ; +#4632 = EDGE_CURVE ( 'NONE', #1688, #1706, #8318, .T. ) ; +#4633 = EDGE_CURVE ( 'NONE', #1765, #1699, #8320, .T. ) ; +#4634 = EDGE_CURVE ( 'NONE', #1876, #1764, #8303, .T. ) ; +#4635 = EDGE_CURVE ( 'NONE', #1875, #1695, #8307, .T. ) ; +#4636 = EDGE_CURVE ( 'NONE', #1694, #1797, #8326, .T. ) ; +#4637 = EDGE_CURVE ( 'NONE', #1874, #1696, #8328, .T. ) ; +#4638 = EDGE_CURVE ( 'NONE', #1873, #1697, #8309, .T. ) ; +#4639 = EDGE_CURVE ( 'NONE', #1877, #1763, #8323, .T. ) ; +#4640 = EDGE_CURVE ( 'NONE', #1870, #1762, #8325, .T. ) ; +#4641 = EDGE_CURVE ( 'NONE', #1686, #1708, #9519, .T. ) ; +#4642 = EDGE_CURVE ( 'NONE', #1690, #1711, #9526, .T. ) ; +#4643 = EDGE_CURVE ( 'NONE', #1685, #1709, #9521, .T. ) ; +#4644 = EDGE_CURVE ( 'NONE', #1687, #1707, #9530, .T. ) ; +#4645 = EDGE_CURVE ( 'NONE', #1721, #1720, #9533, .T. ) ; +#4646 = EDGE_CURVE ( 'NONE', #1692, #1799, #9532, .T. ) ; +#4647 = EDGE_CURVE ( 'NONE', #1691, #1800, #9534, .T. ) ; +#4648 = EDGE_CURVE ( 'NONE', #1693, #1798, #9536, .T. ) ; +#4649 = EDGE_CURVE ( 'NONE', #1989, #1988, #9538, .T. ) ; +#4650 = EDGE_CURVE ( 'NONE', #1987, #1988, #9529, .T. ) ; +#4651 = EDGE_CURVE ( 'NONE', #1722, #1723, #9543, .T. ) ; +#4652 = EDGE_CURVE ( 'NONE', #1718, #1719, #9545, .T. ) ; +#4653 = EDGE_CURVE ( 'NONE', #1988, #1991, #9544, .T. ) ; +#4654 = EDGE_CURVE ( 'NONE', #1636, #1987, #9548, .T. ) ; +#4655 = EDGE_CURVE ( 'NONE', #1717, #1716, #9550, .T. ) ; +#4656 = EDGE_CURVE ( 'NONE', #1760, #1989, #9549, .T. ) ; +#4657 = EDGE_CURVE ( 'NONE', #1992, #1991, #9546, .T. ) ; +#4658 = EDGE_CURVE ( 'NONE', #1993, #1994, #9553, .T. ) ; +#4659 = EDGE_CURVE ( 'NONE', #1990, #1635, #9557, .T. ) ; +#4660 = EDGE_CURVE ( 'NONE', #1990, #1991, #9547, .T. ) ; +#4661 = EDGE_CURVE ( 'NONE', #1761, #1992, #9556, .T. ) ; +#4662 = EDGE_CURVE ( 'NONE', #1758, #1995, #9560, .T. ) ; +#4663 = EDGE_CURVE ( 'NONE', #1989, #1992, #9552, .T. ) ; +#4664 = EDGE_CURVE ( 'NONE', #1987, #1990, #9564, .T. ) ; +#4665 = EDGE_CURVE ( 'NONE', #1640, #1993, #9568, .T. ) ; +#4666 = EDGE_CURVE ( 'NONE', #1995, #1994, #9559, .T. ) ; +#4667 = EDGE_CURVE ( 'NONE', #1997, #1994, #9567, .T. ) ; +#4668 = EDGE_CURVE ( 'NONE', #1998, #1997, #9563, .T. ) ; +#4669 = EDGE_CURVE ( 'NONE', #1999, #2000, #9573, .T. ) ; +#4670 = EDGE_CURVE ( 'NONE', #1996, #1639, #9577, .T. ) ; +#4671 = EDGE_CURVE ( 'NONE', #1996, #1997, #9570, .T. ) ; +#4672 = EDGE_CURVE ( 'NONE', #1759, #1998, #9578, .T. ) ; +#4673 = EDGE_CURVE ( 'NONE', #1756, #2001, #9580, .T. ) ; +#4674 = EDGE_CURVE ( 'NONE', #1998, #1995, #9576, .T. ) ; +#4675 = EDGE_CURVE ( 'NONE', #1993, #1996, #9584, .T. ) ; +#4676 = EDGE_CURVE ( 'NONE', #1644, #1999, #9588, .T. ) ; +#4677 = EDGE_CURVE ( 'NONE', #2001, #2000, #9575, .T. ) ; +#4678 = EDGE_CURVE ( 'NONE', #2003, #2000, #9587, .T. ) ; +#4679 = EDGE_CURVE ( 'NONE', #2004, #2003, #9583, .T. ) ; +#4680 = EDGE_CURVE ( 'NONE', #2005, #2006, #9593, .T. ) ; +#4681 = EDGE_CURVE ( 'NONE', #2002, #1643, #9597, .T. ) ; +#4682 = EDGE_CURVE ( 'NONE', #2002, #2003, #9590, .T. ) ; +#4683 = EDGE_CURVE ( 'NONE', #1757, #2004, #9598, .T. ) ; +#4684 = EDGE_CURVE ( 'NONE', #1754, #2007, #9600, .T. ) ; +#4685 = EDGE_CURVE ( 'NONE', #2004, #2001, #9596, .T. ) ; +#4686 = EDGE_CURVE ( 'NONE', #1999, #2002, #9604, .T. ) ; +#4687 = EDGE_CURVE ( 'NONE', #1648, #2005, #9608, .T. ) ; +#4688 = EDGE_CURVE ( 'NONE', #2007, #2006, #9595, .T. ) ; +#4689 = EDGE_CURVE ( 'NONE', #2009, #2006, #9607, .T. ) ; +#4690 = EDGE_CURVE ( 'NONE', #2010, #2009, #9603, .T. ) ; +#4691 = EDGE_CURVE ( 'NONE', #2011, #2012, #9613, .T. ) ; +#4692 = EDGE_CURVE ( 'NONE', #2008, #1647, #9617, .T. ) ; +#4693 = EDGE_CURVE ( 'NONE', #2008, #2009, #9610, .T. ) ; +#4694 = EDGE_CURVE ( 'NONE', #1755, #2010, #9618, .T. ) ; +#4695 = EDGE_CURVE ( 'NONE', #1752, #2013, #9620, .T. ) ; +#4696 = EDGE_CURVE ( 'NONE', #2010, #2007, #9616, .T. ) ; +#4697 = EDGE_CURVE ( 'NONE', #2005, #2008, #9624, .T. ) ; +#4698 = EDGE_CURVE ( 'NONE', #1652, #2011, #9628, .T. ) ; +#4699 = EDGE_CURVE ( 'NONE', #2013, #2012, #9615, .T. ) ; +#4700 = EDGE_CURVE ( 'NONE', #2015, #2012, #9627, .T. ) ; +#4701 = EDGE_CURVE ( 'NONE', #2016, #2015, #9623, .T. ) ; +#4702 = EDGE_CURVE ( 'NONE', #2017, #2018, #9633, .T. ) ; +#4703 = EDGE_CURVE ( 'NONE', #2014, #1651, #9637, .T. ) ; +#4704 = EDGE_CURVE ( 'NONE', #2014, #2015, #9630, .T. ) ; +#4705 = EDGE_CURVE ( 'NONE', #1753, #2016, #9638, .T. ) ; +#4706 = EDGE_CURVE ( 'NONE', #1750, #2019, #9640, .T. ) ; +#4707 = EDGE_CURVE ( 'NONE', #2016, #2013, #9636, .T. ) ; +#4708 = EDGE_CURVE ( 'NONE', #2011, #2014, #9644, .T. ) ; +#4709 = EDGE_CURVE ( 'NONE', #1769, #2017, #9648, .T. ) ; +#4710 = EDGE_CURVE ( 'NONE', #2019, #2018, #9635, .T. ) ; +#4711 = EDGE_CURVE ( 'NONE', #2021, #2018, #9647, .T. ) ; +#4712 = EDGE_CURVE ( 'NONE', #2022, #2021, #9643, .T. ) ; +#4713 = EDGE_CURVE ( 'NONE', #2023, #2024, #9653, .T. ) ; +#4714 = EDGE_CURVE ( 'NONE', #2020, #1768, #9657, .T. ) ; +#4715 = EDGE_CURVE ( 'NONE', #2020, #2021, #9650, .T. ) ; +#4716 = EDGE_CURVE ( 'NONE', #1751, #2022, #9658, .T. ) ; +#4717 = EDGE_CURVE ( 'NONE', #1748, #2025, #9660, .T. ) ; +#4718 = EDGE_CURVE ( 'NONE', #2022, #2019, #9656, .T. ) ; +#4719 = EDGE_CURVE ( 'NONE', #2017, #2020, #9664, .T. ) ; +#4720 = EDGE_CURVE ( 'NONE', #1773, #2023, #9668, .T. ) ; +#4721 = EDGE_CURVE ( 'NONE', #2025, #2024, #9655, .T. ) ; +#4722 = EDGE_CURVE ( 'NONE', #2024, #2027, #9667, .T. ) ; +#4723 = EDGE_CURVE ( 'NONE', #2028, #2027, #9671, .T. ) ; +#4724 = EDGE_CURVE ( 'NONE', #2029, #2030, #9673, .T. ) ; +#4725 = EDGE_CURVE ( 'NONE', #2026, #1772, #9677, .T. ) ; +#4726 = EDGE_CURVE ( 'NONE', #2026, #2027, #9666, .T. ) ; +#4727 = EDGE_CURVE ( 'NONE', #1749, #2028, #9676, .T. ) ; +#4728 = EDGE_CURVE ( 'NONE', #1746, #2031, #9680, .T. ) ; +#4729 = EDGE_CURVE ( 'NONE', #2025, #2028, #9670, .T. ) ; +#4730 = EDGE_CURVE ( 'NONE', #2023, #2026, #9684, .T. ) ; +#4731 = EDGE_CURVE ( 'NONE', #1777, #2029, #9688, .T. ) ; +#4732 = EDGE_CURVE ( 'NONE', #2031, #2030, #9679, .T. ) ; +#4733 = EDGE_CURVE ( 'NONE', #2030, #2033, #9687, .T. ) ; +#4734 = EDGE_CURVE ( 'NONE', #2034, #2033, #9691, .T. ) ; +#4735 = EDGE_CURVE ( 'NONE', #2035, #2036, #9693, .T. ) ; +#4736 = EDGE_CURVE ( 'NONE', #2032, #1776, #9697, .T. ) ; +#4737 = EDGE_CURVE ( 'NONE', #2032, #2033, #9686, .T. ) ; +#4738 = EDGE_CURVE ( 'NONE', #1747, #2034, #9696, .T. ) ; +#4739 = EDGE_CURVE ( 'NONE', #1795, #2037, #9700, .T. ) ; +#4740 = EDGE_CURVE ( 'NONE', #2031, #2034, #9690, .T. ) ; +#4741 = EDGE_CURVE ( 'NONE', #2029, #2032, #9704, .T. ) ; +#4742 = EDGE_CURVE ( 'NONE', #1781, #2035, #9708, .T. ) ; +#4743 = EDGE_CURVE ( 'NONE', #2037, #2036, #9699, .T. ) ; +#4744 = EDGE_CURVE ( 'NONE', #2036, #2039, #9707, .T. ) ; +#4745 = EDGE_CURVE ( 'NONE', #2040, #2039, #9711, .T. ) ; +#4746 = EDGE_CURVE ( 'NONE', #2041, #2042, #9713, .T. ) ; +#4747 = EDGE_CURVE ( 'NONE', #2038, #1780, #9717, .T. ) ; +#4748 = EDGE_CURVE ( 'NONE', #2038, #2039, #9706, .T. ) ; +#4749 = EDGE_CURVE ( 'NONE', #1745, #2040, #9716, .T. ) ; +#4750 = EDGE_CURVE ( 'NONE', #1793, #2043, #9720, .T. ) ; +#4751 = EDGE_CURVE ( 'NONE', #2037, #2040, #9710, .T. ) ; +#4752 = EDGE_CURVE ( 'NONE', #2035, #2038, #9724, .T. ) ; +#4753 = EDGE_CURVE ( 'NONE', #1785, #2041, #9728, .T. ) ; +#4754 = EDGE_CURVE ( 'NONE', #2043, #2042, #9719, .T. ) ; +#4755 = EDGE_CURVE ( 'NONE', #2042, #2045, #9727, .T. ) ; +#4756 = EDGE_CURVE ( 'NONE', #2046, #2045, #9731, .T. ) ; +#4757 = EDGE_CURVE ( 'NONE', #2049, #2048, #9733, .T. ) ; +#4758 = EDGE_CURVE ( 'NONE', #2044, #1784, #9737, .T. ) ; +#4759 = EDGE_CURVE ( 'NONE', #2044, #2045, #9726, .T. ) ; +#4760 = EDGE_CURVE ( 'NONE', #1794, #2046, #9736, .T. ) ; +#4761 = EDGE_CURVE ( 'NONE', #1791, #2052, #9739, .T. ) ; +#4762 = EDGE_CURVE ( 'NONE', #2043, #2046, #9730, .T. ) ; +#4763 = EDGE_CURVE ( 'NONE', #2041, #2044, #9743, .T. ) ; +#4764 = EDGE_CURVE ( 'NONE', #1789, #2050, #9747, .T. ) ; +#4765 = EDGE_CURVE ( 'NONE', #2047, #1788, #9749, .T. ) ; +#4766 = EDGE_CURVE ( 'NONE', #2047, #2048, #9742, .T. ) ; +#4767 = EDGE_CURVE ( 'NONE', #1792, #2049, #9748, .T. ) ; +#4768 = EDGE_CURVE ( 'NONE', #2050, #2051, #9752, .T. ) ; +#4769 = EDGE_CURVE ( 'NONE', #2052, #2051, #9754, .T. ) ; +#4770 = EDGE_CURVE ( 'NONE', #2051, #2048, #9745, .T. ) ; +#4771 = EDGE_CURVE ( 'NONE', #1698, #2058, #9758, .T. ) ; +#4772 = ADVANCED_FACE ( 'NONE', ( #9757 ), #9751, .T. ) ; +#4773 = ADVANCED_FACE ( 'NONE', ( #9761 ), #9762, .T. ) ; +#4774 = ADVANCED_FACE ( 'NONE', ( #9767 ), #9768, .F. ) ; +#4775 = ADVANCED_FACE ( 'NONE', ( #9774 ), #9215, .F. ) ; +#4776 = ADVANCED_FACE ( 'NONE', ( #9781 ), #9223, .F. ) ; +#4777 = ADVANCED_FACE ( 'NONE', ( #9788 ), #9234, .F. ) ; +#4778 = ADVANCED_FACE ( 'NONE', ( #9794 ), #9782, .T. ) ; +#4779 = ADVANCED_FACE ( 'NONE', ( #9800 ), #9775, .T. ) ; +#4780 = ADVANCED_FACE ( 'NONE', ( #9806 ), #9268, .F. ) ; +#4781 = ADVANCED_FACE ( 'NONE', ( #9813 ), #9276, .T. ) ; +#4782 = ADVANCED_FACE ( 'NONE', ( #9820 ), #9287, .F. ) ; +#4783 = ADVANCED_FACE ( 'NONE', ( #9826 ), #9298, .F. ) ; +#4784 = ADVANCED_FACE ( 'NONE', ( #9832 ), #9309, .F. ) ; +#4785 = ADVANCED_FACE ( 'NONE', ( #9838 ), #9320, .F. ) ; +#4786 = ADVANCED_FACE ( 'NONE', ( #9844 ), #9331, .T. ) ; +#4787 = ADVANCED_FACE ( 'NONE', ( #9850 ), #9342, .F. ) ; +#4788 = ADVANCED_FACE ( 'NONE', ( #9856 ), #9353, .F. ) ; +#4789 = ADVANCED_FACE ( 'NONE', ( #9862 ), #9364, .F. ) ; +#4790 = ADVANCED_FACE ( 'NONE', ( #9868 ), #9375, .T. ) ; +#4791 = ADVANCED_FACE ( 'NONE', ( #9874 ), #9386, .T. ) ; +#4792 = ADVANCED_FACE ( 'NONE', ( #9880 ), #9397, .T. ) ; +#4793 = ADVANCED_FACE ( 'NONE', ( #9886 ), #9408, .T. ) ; +#4794 = ADVANCED_FACE ( 'NONE', ( #9892 ), #9419, .F. ) ; +#4795 = ADVANCED_FACE ( 'NONE', ( #9898 ), #9430, .T. ) ; +#4796 = ADVANCED_FACE ( 'NONE', ( #9904 ), #9441, .T. ) ; +#4797 = ADVANCED_FACE ( 'NONE', ( #10487 ), #9452, .T. ) ; +#4798 = ADVANCED_FACE ( 'NONE', ( #10488 ), #9463, .T. ) ; +#4799 = ADVANCED_FACE ( 'NONE', ( #10493, #9807 ), #9478, .F. ) ; +#4800 = ADVANCED_FACE ( 'NONE', ( #10500 ), #10501, .T. ) ; +#4801 = ADVANCED_FACE ( 'NONE', ( #10506 ), #10499, .T. ) ; +#4802 = ADVANCED_FACE ( 'NONE', ( #10512 ), #10513, .F. ) ; +#4803 = ADVANCED_FACE ( 'NONE', ( #10519 ), #10520, .F. ) ; +#4804 = ADVANCED_FACE ( 'NONE', ( #10526, #10534 ), #10744, .F. ) ; +#4805 = ADVANCED_FACE ( 'NONE', ( #10535 ), #10751, .F. ) ; +#4806 = ADVANCED_FACE ( 'NONE', ( #10541 ), #10762, .F. ) ; +#4807 = ADVANCED_FACE ( 'NONE', ( #10547 ), #10536, .T. ) ; +#4808 = ADVANCED_FACE ( 'NONE', ( #10553 ), #10533, .F. ) ; +#4809 = ADVANCED_FACE ( 'NONE', ( #10559, #10567 ), #10797, .F. ) ; +#4810 = ADVANCED_FACE ( 'NONE', ( #10568 ), #10804, .F. ) ; +#4811 = ADVANCED_FACE ( 'NONE', ( #10574, #10569, #10582 ), #10818, .T. ) ; +#4812 = ADVANCED_FACE ( 'NONE', ( #10583 ), #10584, .T. ) ; +#4813 = ADVANCED_FACE ( 'NONE', ( #10588 ), #10839, .T. ) ; +#4814 = ADVANCED_FACE ( 'NONE', ( #10594 ), #10847, .F. ) ; +#4815 = ADVANCED_FACE ( 'NONE', ( #10601 ), #10858, .F. ) ; +#4816 = ADVANCED_FACE ( 'NONE', ( #10607 ), #10869, .F. ) ; +#4817 = ADVANCED_FACE ( 'NONE', ( #10613 ), #10880, .F. ) ; +#4818 = ADVANCED_FACE ( 'NONE', ( #10619 ), #10891, .T. ) ; +#4819 = ADVANCED_FACE ( 'NONE', ( #10625 ), #10902, .F. ) ; +#4820 = ADVANCED_FACE ( 'NONE', ( #10631 ), #10913, .F. ) ; +#4821 = ADVANCED_FACE ( 'NONE', ( #10637 ), #10924, .F. ) ; +#4822 = ADVANCED_FACE ( 'NONE', ( #10643 ), #10935, .T. ) ; +#4823 = ADVANCED_FACE ( 'NONE', ( #10649 ), #10946, .T. ) ; +#4824 = ADVANCED_FACE ( 'NONE', ( #10655 ), #10957, .T. ) ; +#4825 = ADVANCED_FACE ( 'NONE', ( #10661 ), #10968, .F. ) ; +#4826 = ADVANCED_FACE ( 'NONE', ( #10667 ), #10979, .T. ) ; +#4827 = ADVANCED_FACE ( 'NONE', ( #10673 ), #10990, .T. ) ; +#4828 = ADVANCED_FACE ( 'NONE', ( #10679 ), #11001, .T. ) ; +#4829 = ADVANCED_FACE ( 'NONE', ( #10685 ), #11012, .T. ) ; +#4830 = ADVANCED_FACE ( 'NONE', ( #10691 ), #11023, .F. ) ; +#4831 = ADVANCED_FACE ( 'NONE', ( #10697 ), #10581, .T. ) ; +#4832 = ADVANCED_FACE ( 'NONE', ( #10703 ), #10595, .T. ) ; +#4833 = ADVANCED_FACE ( 'NONE', ( #10709 ), #11057, .F. ) ; +#4834 = ADVANCED_FACE ( 'NONE', ( #10716 ), #10717, .T. ) ; +#4835 = ADVANCED_FACE ( 'NONE', ( #10723 ), #10710, .T. ) ; +#4836 = ADVANCED_FACE ( 'NONE', ( #10729 ), #11088, .F. ) ; +#4837 = ADVANCED_FACE ( 'NONE', ( #12206 ), #12207, .T. ) ; +#4838 = ADVANCED_FACE ( 'NONE', ( #12219 ), #11109, .F. ) ; +#4839 = ADVANCED_FACE ( 'NONE', ( #12220 ), #12221, .F. ) ; +#4840 = ADVANCED_FACE ( 'NONE', ( #12227 ), #11130, .F. ) ; +#4841 = ADVANCED_FACE ( 'NONE', ( #12233 ), #11138, .F. ) ; +#4842 = ADVANCED_FACE ( 'NONE', ( #12240 ), #11149, .F. ) ; +#4843 = ADVANCED_FACE ( 'NONE', ( #12246 ), #11160, .F. ) ; +#4844 = ADVANCED_FACE ( 'NONE', ( #12252 ), #12213, .F. ) ; +#4845 = ADVANCED_FACE ( 'NONE', ( #12258 ), #11184, .F. ) ; +#4846 = ADVANCED_FACE ( 'NONE', ( #12264 ), #12265, .T. ) ; +#4847 = ADVANCED_FACE ( 'NONE', ( #12271 ), #12234, .T. ) ; +#4848 = ADVANCED_FACE ( 'NONE', ( #12277 ), #11215, .T. ) ; +#4849 = ADVANCED_FACE ( 'NONE', ( #12284 ), #12285, .T. ) ; +#4850 = ADVANCED_FACE ( 'NONE', ( #12291 ), #11236, .F. ) ; +#4851 = ADVANCED_FACE ( 'NONE', ( #12297 ), #12278, .T. ) ; +#4852 = ADVANCED_FACE ( 'NONE', ( #12298 ), #12310, .T. ) ; +#4853 = ADVANCED_FACE ( 'NONE', ( #12304 ), #11267, .F. ) ; +#4854 = ADVANCED_FACE ( 'NONE', ( #12316 ), #11275, .T. ) ; +#4855 = ADVANCED_FACE ( 'NONE', ( #12323 ), #11286, .F. ) ; +#4856 = ADVANCED_FACE ( 'NONE', ( #12329 ), #11297, .F. ) ; +#4857 = ADVANCED_FACE ( 'NONE', ( #12335 ), #11308, .T. ) ; +#4858 = ADVANCED_FACE ( 'NONE', ( #12341 ), #11319, .F. ) ; +#4859 = ADVANCED_FACE ( 'NONE', ( #12347 ), #11330, .T. ) ; +#4860 = ADVANCED_FACE ( 'NONE', ( #12353 ), #11341, .T. ) ; +#4861 = ADVANCED_FACE ( 'NONE', ( #12359 ), #11352, .F. ) ; +#4862 = ADVANCED_FACE ( 'NONE', ( #12365 ), #11363, .T. ) ; +#4863 = ADVANCED_FACE ( 'NONE', ( #12371 ), #11374, .F. ) ; +#4864 = ADVANCED_FACE ( 'NONE', ( #12377 ), #11385, .T. ) ; +#4865 = ADVANCED_FACE ( 'NONE', ( #12383 ), #11396, .T. ) ; +#4866 = ADVANCED_FACE ( 'NONE', ( #12389 ), #11407, .F. ) ; +#4867 = ADVANCED_FACE ( 'NONE', ( #12395 ), #11418, .T. ) ; +#4868 = ADVANCED_FACE ( 'NONE', ( #12401 ), #11429, .F. ) ; +#4869 = ADVANCED_FACE ( 'NONE', ( #12407 ), #11440, .T. ) ; +#4870 = ADVANCED_FACE ( 'NONE', ( #12413 ), #11451, .T. ) ; +#4871 = ADVANCED_FACE ( 'NONE', ( #12419 ), #11462, .F. ) ; +#4872 = ADVANCED_FACE ( 'NONE', ( #12425 ), #11473, .T. ) ; +#4873 = ADVANCED_FACE ( 'NONE', ( #12431 ), #11484, .F. ) ; +#4874 = ADVANCED_FACE ( 'NONE', ( #12437 ), #11495, .T. ) ; +#4875 = ADVANCED_FACE ( 'NONE', ( #12443 ), #11506, .T. ) ; +#4876 = ADVANCED_FACE ( 'NONE', ( #12457 ), #11517, .F. ) ; +#4877 = ADVANCED_FACE ( 'NONE', ( #12458 ), #11528, .T. ) ; +#4878 = ADVANCED_FACE ( 'NONE', ( #12463 ), #11539, .F. ) ; +#4879 = ADVANCED_FACE ( 'NONE', ( #12475 ), #11550, .T. ) ; +#4880 = ADVANCED_FACE ( 'NONE', ( #12476 ), #11561, .T. ) ; +#4881 = ADVANCED_FACE ( 'NONE', ( #12482 ), #11572, .F. ) ; +#4882 = ADVANCED_FACE ( 'NONE', ( #12488 ), #11583, .T. ) ; +#4883 = ADVANCED_FACE ( 'NONE', ( #12494 ), #11594, .F. ) ; +#4884 = ADVANCED_FACE ( 'NONE', ( #12500 ), #11605, .T. ) ; +#4885 = ADVANCED_FACE ( 'NONE', ( #12506 ), #11616, .F. ) ; +#4886 = ADVANCED_FACE ( 'NONE', ( #12512 ), #11627, .F. ) ; +#4887 = ADVANCED_FACE ( 'NONE', ( #12518 ), #11638, .T. ) ; +#4888 = ADVANCED_FACE ( 'NONE', ( #12524 ), #11649, .F. ) ; +#4889 = ADVANCED_FACE ( 'NONE', ( #12530 ), #11660, .T. ) ; +#4890 = ADVANCED_FACE ( 'NONE', ( #12536 ), #11671, .F. ) ; +#4891 = ADVANCED_FACE ( 'NONE', ( #12542 ), #11682, .F. ) ; +#4892 = ADVANCED_FACE ( 'NONE', ( #12548 ), #11693, .T. ) ; +#4893 = ADVANCED_FACE ( 'NONE', ( #12554 ), #11704, .F. ) ; +#4894 = ADVANCED_FACE ( 'NONE', ( #12560 ), #11715, .T. ) ; +#4895 = ADVANCED_FACE ( 'NONE', ( #12566 ), #11726, .F. ) ; +#4896 = ADVANCED_FACE ( 'NONE', ( #12572 ), #11737, .F. ) ; +#4897 = ADVANCED_FACE ( 'NONE', ( #12578 ), #11748, .T. ) ; +#4898 = ADVANCED_FACE ( 'NONE', ( #12584 ), #11759, .F. ) ; +#4899 = ADVANCED_FACE ( 'NONE', ( #12590 ), #11770, .T. ) ; +#4900 = ADVANCED_FACE ( 'NONE', ( #12596 ), #11781, .F. ) ; +#4901 = ADVANCED_FACE ( 'NONE', ( #12602 ), #11792, .F. ) ; +#4902 = ADVANCED_FACE ( 'NONE', ( #12608 ), #11803, .T. ) ; +#4903 = ADVANCED_FACE ( 'NONE', ( #12614 ), #11814, .T. ) ; +#4904 = ADVANCED_FACE ( 'NONE', ( #12620 ), #11825, .F. ) ; +#4905 = ADVANCED_FACE ( 'NONE', ( #12626, #12451 ), #11839, .F. ) ; +#4906 = ADVANCED_FACE ( 'NONE', ( #12633, #12632 ), #11851, .T. ) ; +#4907 = ADVANCED_FACE ( 'NONE', ( #12640, #12639, #12648 ), #11861, .F. ) ; +#4908 = ADVANCED_FACE ( 'NONE', ( #12649 ), #12647, .T. ) ; +#4909 = ADVANCED_FACE ( 'NONE', ( #12650 ), #12661, .T. ) ; +#4910 = ADVANCED_FACE ( 'NONE', ( #12654 ), #12660, .F. ) ; +#4911 = ADVANCED_FACE ( 'NONE', ( #12667 ), #11902, .F. ) ; +#4912 = ADVANCED_FACE ( 'NONE', ( #12674 ), #11910, .F. ) ; +#4913 = ADVANCED_FACE ( 'NONE', ( #12681 ), #11921, .F. ) ; +#4914 = ADVANCED_FACE ( 'NONE', ( #12687 ), #11932, .F. ) ; +#4915 = ADVANCED_FACE ( 'NONE', ( #12693 ), #11943, .F. ) ; +#4916 = ADVANCED_FACE ( 'NONE', ( #12767 ), #12761, .T. ) ; +#4917 = ADVANCED_FACE ( 'NONE', ( #12773 ), #12768, .T. ) ; +#4918 = ADVANCED_FACE ( 'NONE', ( #12774 ), #11977, .F. ) ; +#4919 = ADVANCED_FACE ( 'NONE', ( #12780 ), #11985, .F. ) ; +#4920 = ADVANCED_FACE ( 'NONE', ( #12787 ), #11996, .F. ) ; +#4921 = ADVANCED_FACE ( 'NONE', ( #12793 ), #12007, .F. ) ; +#4922 = ADVANCED_FACE ( 'NONE', ( #12799 ), #12018, .F. ) ; +#4923 = ADVANCED_FACE ( 'NONE', ( #12805 ), #12029, .F. ) ; +#4924 = ADVANCED_FACE ( 'NONE', ( #12811 ), #12040, .F. ) ; +#4925 = ADVANCED_FACE ( 'NONE', ( #12817 ), #12051, .T. ) ; +#4926 = ADVANCED_FACE ( 'NONE', ( #12823 ), #12062, .T. ) ; +#4927 = ADVANCED_FACE ( 'NONE', ( #12829 ), #12073, .F. ) ; +#4928 = ADVANCED_FACE ( 'NONE', ( #12835 ), #12084, .T. ) ; +#4929 = ADVANCED_FACE ( 'NONE', ( #12841 ), #12095, .F. ) ; +#4930 = ADVANCED_FACE ( 'NONE', ( #12847 ), #12106, .T. ) ; +#4931 = ADVANCED_FACE ( 'NONE', ( #12853 ), #12781, .T. ) ; +#4932 = ADVANCED_FACE ( 'NONE', ( #12859 ), #12775, .T. ) ; +#4933 = ADVANCED_FACE ( 'NONE', ( #12865 ), #12866, .T. ) ; +#4934 = ADVANCED_FACE ( 'NONE', ( #12872 ), #12873, .T. ) ; +#4935 = ADVANCED_FACE ( 'NONE', ( #12879 ), #12880, .T. ) ; +#4936 = ADVANCED_FACE ( 'NONE', ( #12886 ), #12887, .T. ) ; +#4937 = ADVANCED_FACE ( 'NONE', ( #12893 ), #12894, .T. ) ; +#4938 = ADVANCED_FACE ( 'NONE', ( #12900 ), #12901, .T. ) ; +#4939 = ADVANCED_FACE ( 'NONE', ( #12907 ), #12908, .T. ) ; +#4940 = ADVANCED_FACE ( 'NONE', ( #12914 ), #12915, .T. ) ; +#4941 = ADVANCED_FACE ( 'NONE', ( #12921 ), #12922, .T. ) ; +#4942 = ADVANCED_FACE ( 'NONE', ( #12928 ), #12929, .T. ) ; +#4943 = ADVANCED_FACE ( 'NONE', ( #12935 ), #130, .F. ) ; +#4944 = ADVANCED_FACE ( 'NONE', ( #12942, #12936 ), #143, .F. ) ; +#4945 = ADVANCED_FACE ( 'NONE', ( #12950 ), #150, .F. ) ; +#4946 = ADVANCED_FACE ( 'NONE', ( #12956 ), #12949, .T. ) ; +#4947 = ADVANCED_FACE ( 'NONE', ( #12962 ), #174, .F. ) ; +#4948 = ADVANCED_FACE ( 'NONE', ( #12968 ), #182, .F. ) ; +#4949 = ADVANCED_FACE ( 'NONE', ( #12975 ), #12951, .T. ) ; +#4950 = ADVANCED_FACE ( 'NONE', ( #12981 ), #206, .T. ) ; +#4951 = ADVANCED_FACE ( 'NONE', ( #12987 ), #214, .T. ) ; +#4952 = ADVANCED_FACE ( 'NONE', ( #12994 ), #225, .T. ) ; +#4953 = ADVANCED_FACE ( 'NONE', ( #13000 ), #236, .T. ) ; +#4954 = ADVANCED_FACE ( 'NONE', ( #13006 ), #247, .T. ) ; +#4955 = EDGE_LOOP ( 'NONE', ( #6322, #6323, #6324, #6325 ) ) ; +#4956 = EDGE_LOOP ( 'NONE', ( #6346, #6347, #6348, #6349 ) ) ; +#4957 = EDGE_LOOP ( 'NONE', ( #6342, #6343, #6344, #6345 ) ) ; +#4958 = EDGE_LOOP ( 'NONE', ( #6330, #6331, #6332, #6333 ) ) ; +#4959 = EDGE_LOOP ( 'NONE', ( #6334, #6335, #6336, #6337 ) ) ; +#4960 = EDGE_LOOP ( 'NONE', ( #6408, #6409, #6410, #6411 ) ) ; +#4961 = EDGE_LOOP ( 'NONE', ( #6428, #6429, #6430, #6431 ) ) ; +#4962 = EDGE_LOOP ( 'NONE', ( #6358, #6359, #6360, #6361, #6362, #6363, #6364, #6365, #6366, #6367, #6368, #6369, #6370, #6371, #6372, #6373, #6374, #6375, #6376, #6377, #6378, #6379, #6380, #6381, #6382, #6383 ) ) ; +#4963 = EDGE_LOOP ( 'NONE', ( #6354, #6355, #6356, #6357 ) ) ; +#4964 = EDGE_LOOP ( 'NONE', ( #6388, #6389, #6390, #6391 ) ) ; +#4965 = EDGE_LOOP ( 'NONE', ( #6400, #6401, #6402, #6403 ) ) ; +#4966 = EDGE_LOOP ( 'NONE', ( #6384, #6385, #6386, #6387 ) ) ; +#4967 = EDGE_LOOP ( 'NONE', ( #6448, #6449, #6450, #6451 ) ) ; +#4968 = EDGE_LOOP ( 'NONE', ( #6392, #6393, #6394, #6395 ) ) ; +#4969 = EDGE_LOOP ( 'NONE', ( #6396, #6397, #6398, #6399 ) ) ; +#4970 = EDGE_LOOP ( 'NONE', ( #6412, #6413, #6414, #6415 ) ) ; +#4971 = EDGE_LOOP ( 'NONE', ( #6404, #6405, #6406, #6407 ) ) ; +#4972 = EDGE_LOOP ( 'NONE', ( #6432, #6433, #6434, #6435 ) ) ; +#4973 = EDGE_LOOP ( 'NONE', ( #6416, #6417, #6418, #6419 ) ) ; +#4974 = EDGE_LOOP ( 'NONE', ( #6420, #6421, #6422, #6423 ) ) ; +#4975 = EDGE_LOOP ( 'NONE', ( #6424, #6425, #6426, #6427 ) ) ; +#4976 = EDGE_LOOP ( 'NONE', ( #6720, #6721, #6722, #6723 ) ) ; +#4977 = EDGE_LOOP ( 'NONE', ( #6452, #6453 ) ) ; +#4978 = EDGE_LOOP ( 'NONE', ( #6436, #6437, #6438, #6439 ) ) ; +#4979 = EDGE_LOOP ( 'NONE', ( #6440, #6441, #6442, #6443 ) ) ; +#4980 = EDGE_LOOP ( 'NONE', ( #6444, #6445, #6446, #6447 ) ) ; +#4981 = EDGE_LOOP ( 'NONE', ( #6454, #6455, #6456, #6457 ) ) ; +#4982 = EDGE_LOOP ( 'NONE', ( #6460, #6461, #6462, #6463 ) ) ; +#4983 = EDGE_LOOP ( 'NONE', ( #6458, #6459 ) ) ; +#4984 = EDGE_LOOP ( 'NONE', ( #6480, #6481, #6482, #6483 ) ) ; +#4985 = EDGE_LOOP ( 'NONE', ( #6464, #6465, #6466, #6467 ) ) ; +#4986 = EDGE_LOOP ( 'NONE', ( #6468, #6469, #6470, #6471, #6472, #6473 ) ) ; +#4987 = EDGE_LOOP ( 'NONE', ( #6474, #6475, #6476, #6477, #6478, #6479 ) ) ; +#4988 = EDGE_LOOP ( 'NONE', ( #6488, #6489, #6490, #6491 ) ) ; +#4989 = EDGE_LOOP ( 'NONE', ( #6484, #6485, #6486, #6487 ) ) ; +#4990 = EDGE_LOOP ( 'NONE', ( #6504, #6505, #6506, #6507 ) ) ; +#4991 = EDGE_LOOP ( 'NONE', ( #6492, #6493, #6494, #6495, #6496, #6497 ) ) ; +#4992 = EDGE_LOOP ( 'NONE', ( #6512, #6513, #6514, #6515 ) ) ; +#4993 = EDGE_LOOP ( 'NONE', ( #6498, #6499, #6500, #6501, #6502, #6503 ) ) ; +#4994 = EDGE_LOOP ( 'NONE', ( #6508, #6509, #6510, #6511 ) ) ; +#4995 = EDGE_LOOP ( 'NONE', ( #6528, #6529, #6530, #6531 ) ) ; +#4996 = EDGE_LOOP ( 'NONE', ( #6516, #6517, #6518, #6519, #6520, #6521 ) ) ; +#4997 = EDGE_LOOP ( 'NONE', ( #6536, #6537, #6538, #6539 ) ) ; +#4998 = EDGE_LOOP ( 'NONE', ( #6522, #6523, #6524, #6525, #6526, #6527 ) ) ; +#4999 = EDGE_LOOP ( 'NONE', ( #6532, #6533, #6534, #6535 ) ) ; +#5000 = EDGE_LOOP ( 'NONE', ( #6552, #6553, #6554, #6555 ) ) ; +#5001 = EDGE_LOOP ( 'NONE', ( #6540, #6541, #6542, #6543, #6544, #6545 ) ) ; +#5002 = EDGE_LOOP ( 'NONE', ( #6560, #6561, #6562, #6563 ) ) ; +#5003 = EDGE_LOOP ( 'NONE', ( #6546, #6547, #6548, #6549, #6550, #6551 ) ) ; +#5004 = EDGE_LOOP ( 'NONE', ( #6556, #6557, #6558, #6559 ) ) ; +#5005 = EDGE_LOOP ( 'NONE', ( #6576, #6577, #6578, #6579 ) ) ; +#5006 = EDGE_LOOP ( 'NONE', ( #6564, #6565, #6566, #6567, #6568, #6569 ) ) ; +#5007 = EDGE_LOOP ( 'NONE', ( #6584, #6585, #6586, #6587 ) ) ; +#5008 = EDGE_LOOP ( 'NONE', ( #6570, #6571, #6572, #6573, #6574, #6575 ) ) ; +#5009 = EDGE_LOOP ( 'NONE', ( #6580, #6581, #6582, #6583 ) ) ; +#5010 = EDGE_LOOP ( 'NONE', ( #6600, #6601, #6602, #6603 ) ) ; +#5011 = EDGE_LOOP ( 'NONE', ( #6588, #6589, #6590, #6591, #6592, #6593 ) ) ; +#5012 = EDGE_LOOP ( 'NONE', ( #6608, #6609, #6610, #6611 ) ) ; +#5013 = EDGE_LOOP ( 'NONE', ( #6594, #6595, #6596, #6597, #6598, #6599 ) ) ; +#5014 = EDGE_LOOP ( 'NONE', ( #6604, #6605, #6606, #6607 ) ) ; +#5015 = EDGE_LOOP ( 'NONE', ( #6624, #6625, #6626, #6627 ) ) ; +#5016 = EDGE_LOOP ( 'NONE', ( #6612, #6613, #6614, #6615, #6616, #6617 ) ) ; +#5017 = EDGE_LOOP ( 'NONE', ( #6632, #6633, #6634, #6635 ) ) ; +#5018 = EDGE_LOOP ( 'NONE', ( #6618, #6619, #6620, #6621, #6622, #6623 ) ) ; +#5019 = EDGE_LOOP ( 'NONE', ( #6628, #6629, #6630, #6631 ) ) ; +#5020 = EDGE_LOOP ( 'NONE', ( #6648, #6649, #6650, #6651 ) ) ; +#5021 = EDGE_LOOP ( 'NONE', ( #6636, #6637, #6638, #6639, #6640, #6641 ) ) ; +#5022 = EDGE_LOOP ( 'NONE', ( #6656, #6657, #6658, #6659 ) ) ; +#5023 = EDGE_LOOP ( 'NONE', ( #6642, #6643, #6644, #6645, #6646, #6647 ) ) ; +#5024 = EDGE_LOOP ( 'NONE', ( #6652, #6653, #6654, #6655 ) ) ; +#5025 = EDGE_LOOP ( 'NONE', ( #6672, #6673, #6674, #6675 ) ) ; +#5026 = EDGE_LOOP ( 'NONE', ( #6660, #6661, #6662, #6663, #6664, #6665 ) ) ; +#5027 = EDGE_LOOP ( 'NONE', ( #6680, #6681, #6682, #6683 ) ) ; +#5028 = EDGE_LOOP ( 'NONE', ( #6666, #6667, #6668, #6669, #6670, #6671 ) ) ; +#5029 = EDGE_LOOP ( 'NONE', ( #6676, #6677, #6678, #6679 ) ) ; +#5030 = EDGE_LOOP ( 'NONE', ( #6696, #6697, #6698, #6699 ) ) ; +#5031 = EDGE_LOOP ( 'NONE', ( #6684, #6685, #6686, #6687, #6688, #6689 ) ) ; +#5032 = EDGE_LOOP ( 'NONE', ( #6704, #6705, #6706, #6707 ) ) ; +#5033 = EDGE_LOOP ( 'NONE', ( #6690, #6691, #6692, #6693, #6694, #6695 ) ) ; +#5034 = EDGE_LOOP ( 'NONE', ( #6700, #6701, #6702, #6703 ) ) ; +#5035 = EDGE_LOOP ( 'NONE', ( #6744, #6745, #6746, #6747 ) ) ; +#5036 = EDGE_LOOP ( 'NONE', ( #6708, #6709, #6710, #6711, #6712, #6713 ) ) ; +#5037 = EDGE_LOOP ( 'NONE', ( #6714, #6715, #6716, #6717, #6718, #6719 ) ) ; +#5038 = EDGE_LOOP ( 'NONE', ( #6728, #6729, #6730, #6731 ) ) ; +#5039 = EDGE_LOOP ( 'NONE', ( #6724, #6725, #6726, #6727 ) ) ; +#5040 = EDGE_LOOP ( 'NONE', ( #6760, #6761, #6762, #6763 ) ) ; +#5041 = EDGE_LOOP ( 'NONE', ( #6732, #6733, #6734, #6735, #6736, #6737 ) ) ; +#5042 = EDGE_LOOP ( 'NONE', ( #6738, #6739, #6740, #6741, #6742, #6743 ) ) ; +#5043 = EDGE_LOOP ( 'NONE', ( #6752, #6753, #6754, #6755 ) ) ; +#5044 = EDGE_LOOP ( 'NONE', ( #6748, #6749, #6750, #6751 ) ) ; +#5045 = EDGE_LOOP ( 'NONE', ( #6764, #6765, #6766, #6767 ) ) ; +#5046 = EDGE_LOOP ( 'NONE', ( #6756, #6757, #6758, #6759 ) ) ; +#5047 = EDGE_LOOP ( 'NONE', ( #6772, #6773, #6774, #6775 ) ) ; +#5048 = EDGE_LOOP ( 'NONE', ( #6768, #6769, #6770, #6771 ) ) ; +#5049 = EDGE_LOOP ( 'NONE', ( #6776, #6777, #6778, #6779 ) ) ; +#5050 = EDGE_LOOP ( 'NONE', ( #6780, #6781, #6782, #6783 ) ) ; +#5051 = EDGE_LOOP ( 'NONE', ( #6784, #6785, #6786, #6787 ) ) ; +#5052 = EDGE_LOOP ( 'NONE', ( #6788, #6789, #6790, #6791 ) ) ; +#5053 = EDGE_LOOP ( 'NONE', ( #6792, #6793, #6794, #6795 ) ) ; +#5054 = EDGE_LOOP ( 'NONE', ( #6796, #6797, #6798, #6799 ) ) ; +#5055 = EDGE_LOOP ( 'NONE', ( #6800, #6801, #6802, #6803 ) ) ; +#5056 = EDGE_LOOP ( 'NONE', ( #6804, #6805, #6806, #6807 ) ) ; +#5057 = EDGE_LOOP ( 'NONE', ( #6816, #6817, #6818, #6819 ) ) ; +#5058 = EDGE_LOOP ( 'NONE', ( #6808, #6809, #6810, #6811 ) ) ; +#5059 = EDGE_LOOP ( 'NONE', ( #6812, #6813, #6814, #6815 ) ) ; +#5060 = EDGE_LOOP ( 'NONE', ( #6824, #6825, #6826, #6827 ) ) ; +#5061 = EDGE_LOOP ( 'NONE', ( #6820, #6821, #6822, #6823 ) ) ; +#5062 = EDGE_LOOP ( 'NONE', ( #6828, #6829, #6830, #6831 ) ) ; +#5063 = EDGE_LOOP ( 'NONE', ( #6832, #6833, #6834, #6835 ) ) ; +#5064 = EDGE_LOOP ( 'NONE', ( #6836, #6837, #6838, #6839 ) ) ; +#5065 = ORIENTED_EDGE ( 'NONE', *, *, #4343, .T. ) ; +#5066 = ORIENTED_EDGE ( 'NONE', *, *, #4344, .T. ) ; +#5067 = ORIENTED_EDGE ( 'NONE', *, *, #4345, .F. ) ; +#5068 = ORIENTED_EDGE ( 'NONE', *, *, #4346, .F. ) ; +#5069 = ORIENTED_EDGE ( 'NONE', *, *, #4347, .F. ) ; +#5070 = ORIENTED_EDGE ( 'NONE', *, *, #4348, .F. ) ; +#5071 = ORIENTED_EDGE ( 'NONE', *, *, #4349, .T. ) ; +#5072 = ORIENTED_EDGE ( 'NONE', *, *, #4350, .F. ) ; +#5073 = ORIENTED_EDGE ( 'NONE', *, *, #4351, .T. ) ; +#5074 = ORIENTED_EDGE ( 'NONE', *, *, #4343, .F. ) ; +#5075 = ORIENTED_EDGE ( 'NONE', *, *, #4353, .T. ) ; +#5076 = ORIENTED_EDGE ( 'NONE', *, *, #4314, .T. ) ; +#5077 = ORIENTED_EDGE ( 'NONE', *, *, #4355, .T. ) ; +#5078 = ORIENTED_EDGE ( 'NONE', *, *, #4319, .F. ) ; +#5079 = ORIENTED_EDGE ( 'NONE', *, *, #4354, .T. ) ; +#5080 = ORIENTED_EDGE ( 'NONE', *, *, #4338, .F. ) ; +#5081 = ORIENTED_EDGE ( 'NONE', *, *, #4357, .T. ) ; +#5082 = ORIENTED_EDGE ( 'NONE', *, *, #4270, .T. ) ; +#5083 = ORIENTED_EDGE ( 'NONE', *, *, #4352, .T. ) ; +#5084 = ORIENTED_EDGE ( 'NONE', *, *, #4272, .T. ) ; +#5085 = ORIENTED_EDGE ( 'NONE', *, *, #4359, .T. ) ; +#5086 = ORIENTED_EDGE ( 'NONE', *, *, #4356, .F. ) ; +#5087 = ORIENTED_EDGE ( 'NONE', *, *, #5744, .T. ) ; +#5088 = ORIENTED_EDGE ( 'NONE', *, *, #5775, .T. ) ; +#5089 = ORIENTED_EDGE ( 'NONE', *, *, #5774, .T. ) ; +#5090 = ORIENTED_EDGE ( 'NONE', *, *, #5773, .T. ) ; +#5091 = ORIENTED_EDGE ( 'NONE', *, *, #5772, .T. ) ; +#5092 = ORIENTED_EDGE ( 'NONE', *, *, #5771, .T. ) ; +#5093 = ORIENTED_EDGE ( 'NONE', *, *, #5770, .T. ) ; +#5094 = ORIENTED_EDGE ( 'NONE', *, *, #5769, .T. ) ; +#5095 = ORIENTED_EDGE ( 'NONE', *, *, #5768, .T. ) ; +#5096 = ORIENTED_EDGE ( 'NONE', *, *, #5767, .T. ) ; +#5097 = ORIENTED_EDGE ( 'NONE', *, *, #5766, .T. ) ; +#5098 = ORIENTED_EDGE ( 'NONE', *, *, #5765, .T. ) ; +#5099 = ORIENTED_EDGE ( 'NONE', *, *, #5764, .T. ) ; +#5100 = ORIENTED_EDGE ( 'NONE', *, *, #5763, .T. ) ; +#5101 = ORIENTED_EDGE ( 'NONE', *, *, #5762, .T. ) ; +#5102 = ORIENTED_EDGE ( 'NONE', *, *, #5761, .T. ) ; +#5103 = ORIENTED_EDGE ( 'NONE', *, *, #5760, .T. ) ; +#5104 = ORIENTED_EDGE ( 'NONE', *, *, #4361, .T. ) ; +#5105 = ORIENTED_EDGE ( 'NONE', *, *, #4362, .T. ) ; +#5106 = ORIENTED_EDGE ( 'NONE', *, *, #4360, .F. ) ; +#5107 = ORIENTED_EDGE ( 'NONE', *, *, #4358, .T. ) ; +#5108 = ORIENTED_EDGE ( 'NONE', *, *, #4363, .T. ) ; +#5109 = ORIENTED_EDGE ( 'NONE', *, *, #4364, .T. ) ; +#5110 = ORIENTED_EDGE ( 'NONE', *, *, #4365, .T. ) ; +#5111 = ORIENTED_EDGE ( 'NONE', *, *, #4366, .T. ) ; +#5112 = ORIENTED_EDGE ( 'NONE', *, *, #4367, .T. ) ; +#5113 = ORIENTED_EDGE ( 'NONE', *, *, #4368, .T. ) ; +#5114 = ORIENTED_EDGE ( 'NONE', *, *, #4369, .T. ) ; +#5115 = ORIENTED_EDGE ( 'NONE', *, *, #4370, .T. ) ; +#5116 = ORIENTED_EDGE ( 'NONE', *, *, #5754, .F. ) ; +#5117 = ORIENTED_EDGE ( 'NONE', *, *, #4372, .T. ) ; +#5118 = ORIENTED_EDGE ( 'NONE', *, *, #4310, .F. ) ; +#5119 = ORIENTED_EDGE ( 'NONE', *, *, #4374, .T. ) ; +#5120 = ORIENTED_EDGE ( 'NONE', *, *, #4325, .F. ) ; +#5121 = ORIENTED_EDGE ( 'NONE', *, *, #4373, .T. ) ; +#5122 = ORIENTED_EDGE ( 'NONE', *, *, #5749, .F. ) ; +#5123 = ORIENTED_EDGE ( 'NONE', *, *, #4376, .F. ) ; +#5124 = ORIENTED_EDGE ( 'NONE', *, *, #4379, .F. ) ; +#5125 = ORIENTED_EDGE ( 'NONE', *, *, #5734, .F. ) ; +#5126 = ORIENTED_EDGE ( 'NONE', *, *, #4377, .F. ) ; +#5127 = ORIENTED_EDGE ( 'NONE', *, *, #4346, .T. ) ; +#5128 = ORIENTED_EDGE ( 'NONE', *, *, #4381, .F. ) ; +#5129 = ORIENTED_EDGE ( 'NONE', *, *, #4330, .T. ) ; +#5130 = ORIENTED_EDGE ( 'NONE', *, *, #4380, .T. ) ; +#5131 = ORIENTED_EDGE ( 'NONE', *, *, #5740, .F. ) ; +#5132 = ORIENTED_EDGE ( 'NONE', *, *, #4383, .T. ) ; +#5133 = ORIENTED_EDGE ( 'NONE', *, *, #4378, .T. ) ; +#5134 = ORIENTED_EDGE ( 'NONE', *, *, #4382, .T. ) ; +#5135 = ORIENTED_EDGE ( 'NONE', *, *, #4384, .T. ) ; +#5136 = ORIENTED_EDGE ( 'NONE', *, *, #5731, .T. ) ; +#5137 = ORIENTED_EDGE ( 'NONE', *, *, #5704, .T. ) ; +#5138 = ORIENTED_EDGE ( 'NONE', *, *, #4371, .T. ) ; +#5139 = ORIENTED_EDGE ( 'NONE', *, *, #4386, .F. ) ; +#5140 = ORIENTED_EDGE ( 'NONE', *, *, #4385, .T. ) ; +#5141 = ORIENTED_EDGE ( 'NONE', *, *, #4375, .T. ) ; +#5142 = ORIENTED_EDGE ( 'NONE', *, *, #4387, .T. ) ; +#5143 = ORIENTED_EDGE ( 'NONE', *, *, #4388, .T. ) ; +#5144 = ORIENTED_EDGE ( 'NONE', *, *, #4389, .T. ) ; +#5145 = ORIENTED_EDGE ( 'NONE', *, *, #4390, .F. ) ; +#5146 = ORIENTED_EDGE ( 'NONE', *, *, #4391, .T. ) ; +#5147 = ORIENTED_EDGE ( 'NONE', *, *, #4392, .T. ) ; +#5148 = ORIENTED_EDGE ( 'NONE', *, *, #4393, .F. ) ; +#5149 = ORIENTED_EDGE ( 'NONE', *, *, #4394, .T. ) ; +#5150 = ORIENTED_EDGE ( 'NONE', *, *, #4395, .T. ) ; +#5151 = ORIENTED_EDGE ( 'NONE', *, *, #5707, .T. ) ; +#5152 = ORIENTED_EDGE ( 'NONE', *, *, #5705, .T. ) ; +#5153 = ORIENTED_EDGE ( 'NONE', *, *, #5703, .T. ) ; +#5154 = ORIENTED_EDGE ( 'NONE', *, *, #5710, .T. ) ; +#5155 = ORIENTED_EDGE ( 'NONE', *, *, #5706, .T. ) ; +#5156 = ORIENTED_EDGE ( 'NONE', *, *, #5715, .T. ) ; +#5157 = ORIENTED_EDGE ( 'NONE', *, *, #5716, .T. ) ; +#5158 = ORIENTED_EDGE ( 'NONE', *, *, #5717, .T. ) ; +#5159 = ORIENTED_EDGE ( 'NONE', *, *, #5725, .T. ) ; +#5160 = ORIENTED_EDGE ( 'NONE', *, *, #5724, .T. ) ; +#5161 = ORIENTED_EDGE ( 'NONE', *, *, #5723, .T. ) ; +#5162 = ORIENTED_EDGE ( 'NONE', *, *, #5726, .T. ) ; +#5163 = ORIENTED_EDGE ( 'NONE', *, *, #5727, .T. ) ; +#5164 = ORIENTED_EDGE ( 'NONE', *, *, #5729, .T. ) ; +#5165 = ORIENTED_EDGE ( 'NONE', *, *, #5728, .T. ) ; +#5166 = ORIENTED_EDGE ( 'NONE', *, *, #4400, .F. ) ; +#5167 = ORIENTED_EDGE ( 'NONE', *, *, #4399, .T. ) ; +#5168 = ORIENTED_EDGE ( 'NONE', *, *, #4398, .T. ) ; +#5169 = ORIENTED_EDGE ( 'NONE', *, *, #4401, .T. ) ; +#5170 = ORIENTED_EDGE ( 'NONE', *, *, #4328, .T. ) ; +#5171 = ORIENTED_EDGE ( 'NONE', *, *, #4397, .T. ) ; +#5172 = ORIENTED_EDGE ( 'NONE', *, *, #4305, .F. ) ; +#5173 = ORIENTED_EDGE ( 'NONE', *, *, #4396, .T. ) ; +#5174 = ORIENTED_EDGE ( 'NONE', *, *, #4406, .F. ) ; +#5175 = ORIENTED_EDGE ( 'NONE', *, *, #4405, .T. ) ; +#5176 = ORIENTED_EDGE ( 'NONE', *, *, #4404, .T. ) ; +#5177 = ORIENTED_EDGE ( 'NONE', *, *, #4407, .F. ) ; +#5178 = ORIENTED_EDGE ( 'NONE', *, *, #4410, .F. ) ; +#5179 = ORIENTED_EDGE ( 'NONE', *, *, #4364, .F. ) ; +#5180 = ORIENTED_EDGE ( 'NONE', *, *, #4403, .F. ) ; +#5181 = ORIENTED_EDGE ( 'NONE', *, *, #4402, .F. ) ; +#5182 = ORIENTED_EDGE ( 'NONE', *, *, #4390, .T. ) ; +#5183 = ORIENTED_EDGE ( 'NONE', *, *, #4411, .T. ) ; +#5184 = ORIENTED_EDGE ( 'NONE', *, *, #4408, .F. ) ; +#5185 = ORIENTED_EDGE ( 'NONE', *, *, #4412, .T. ) ; +#5186 = ORIENTED_EDGE ( 'NONE', *, *, #5741, .F. ) ; +#5187 = ORIENTED_EDGE ( 'NONE', *, *, #4380, .F. ) ; +#5188 = ORIENTED_EDGE ( 'NONE', *, *, #4329, .F. ) ; +#5189 = ORIENTED_EDGE ( 'NONE', *, *, #4415, .F. ) ; +#5190 = ORIENTED_EDGE ( 'NONE', *, *, #4414, .F. ) ; +#5191 = ORIENTED_EDGE ( 'NONE', *, *, #4409, .F. ) ; +#5192 = ORIENTED_EDGE ( 'NONE', *, *, #4413, .F. ) ; +#5193 = ORIENTED_EDGE ( 'NONE', *, *, #4416, .F. ) ; +#5194 = ORIENTED_EDGE ( 'NONE', *, *, #4371, .F. ) ; +#5195 = ORIENTED_EDGE ( 'NONE', *, *, #5743, .T. ) ; +#5196 = ORIENTED_EDGE ( 'NONE', *, *, #4356, .T. ) ; +#5197 = ORIENTED_EDGE ( 'NONE', *, *, #4418, .T. ) ; +#5198 = ORIENTED_EDGE ( 'NONE', *, *, #4420, .F. ) ; +#5199 = ORIENTED_EDGE ( 'NONE', *, *, #4417, .T. ) ; +#5200 = ORIENTED_EDGE ( 'NONE', *, *, #4419, .F. ) ; +#5201 = ORIENTED_EDGE ( 'NONE', *, *, #4421, .T. ) ; +#5202 = ORIENTED_EDGE ( 'NONE', *, *, #4326, .T. ) ; +#5203 = ORIENTED_EDGE ( 'NONE', *, *, #4376, .T. ) ; +#5204 = ORIENTED_EDGE ( 'NONE', *, *, #4426, .F. ) ; +#5205 = ORIENTED_EDGE ( 'NONE', *, *, #4425, .T. ) ; +#5206 = ORIENTED_EDGE ( 'NONE', *, *, #4291, .F. ) ; +#5207 = ORIENTED_EDGE ( 'NONE', *, *, #4427, .T. ) ; +#5208 = ORIENTED_EDGE ( 'NONE', *, *, #4420, .T. ) ; +#5209 = ORIENTED_EDGE ( 'NONE', *, *, #4424, .T. ) ; +#5210 = ORIENTED_EDGE ( 'NONE', *, *, #4428, .F. ) ; +#5211 = ORIENTED_EDGE ( 'NONE', *, *, #4423, .F. ) ; +#5212 = ORIENTED_EDGE ( 'NONE', *, *, #4422, .T. ) ; +#5213 = ORIENTED_EDGE ( 'NONE', *, *, #4429, .F. ) ; +#5214 = ORIENTED_EDGE ( 'NONE', *, *, #4430, .T. ) ; +#5215 = ORIENTED_EDGE ( 'NONE', *, *, #4431, .F. ) ; +#5216 = ORIENTED_EDGE ( 'NONE', *, *, #4432, .T. ) ; +#5217 = ORIENTED_EDGE ( 'NONE', *, *, #4433, .F. ) ; +#5218 = ORIENTED_EDGE ( 'NONE', *, *, #4434, .T. ) ; +#5219 = ORIENTED_EDGE ( 'NONE', *, *, #4435, .T. ) ; +#5220 = ORIENTED_EDGE ( 'NONE', *, *, #4436, .T. ) ; +#5221 = ORIENTED_EDGE ( 'NONE', *, *, #4437, .T. ) ; +#5222 = ORIENTED_EDGE ( 'NONE', *, *, #4438, .F. ) ; +#5223 = ORIENTED_EDGE ( 'NONE', *, *, #4439, .F. ) ; +#5224 = ORIENTED_EDGE ( 'NONE', *, *, #4402, .T. ) ; +#5225 = ORIENTED_EDGE ( 'NONE', *, *, #4441, .F. ) ; +#5226 = ORIENTED_EDGE ( 'NONE', *, *, #4443, .F. ) ; +#5227 = ORIENTED_EDGE ( 'NONE', *, *, #4404, .F. ) ; +#5228 = ORIENTED_EDGE ( 'NONE', *, *, #4442, .T. ) ; +#5229 = ORIENTED_EDGE ( 'NONE', *, *, #4445, .F. ) ; +#5230 = ORIENTED_EDGE ( 'NONE', *, *, #4440, .T. ) ; +#5231 = ORIENTED_EDGE ( 'NONE', *, *, #4449, .F. ) ; +#5232 = ORIENTED_EDGE ( 'NONE', *, *, #4448, .T. ) ; +#5233 = ORIENTED_EDGE ( 'NONE', *, *, #4400, .T. ) ; +#5234 = ORIENTED_EDGE ( 'NONE', *, *, #4450, .T. ) ; +#5235 = ORIENTED_EDGE ( 'NONE', *, *, #4419, .T. ) ; +#5236 = ORIENTED_EDGE ( 'NONE', *, *, #4444, .T. ) ; +#5237 = ORIENTED_EDGE ( 'NONE', *, *, #4292, .T. ) ; +#5238 = ORIENTED_EDGE ( 'NONE', *, *, #4447, .T. ) ; +#5239 = ORIENTED_EDGE ( 'NONE', *, *, #4452, .F. ) ; +#5240 = ORIENTED_EDGE ( 'NONE', *, *, #4451, .T. ) ; +#5241 = ORIENTED_EDGE ( 'NONE', *, *, #4295, .F. ) ; +#5242 = ORIENTED_EDGE ( 'NONE', *, *, #4275, .F. ) ; +#5243 = ORIENTED_EDGE ( 'NONE', *, *, #4258, .F. ) ; +#5244 = ORIENTED_EDGE ( 'NONE', *, *, #4453, .F. ) ; +#5245 = ORIENTED_EDGE ( 'NONE', *, *, #4455, .F. ) ; +#5246 = ORIENTED_EDGE ( 'NONE', *, *, #4279, .T. ) ; +#5247 = ORIENTED_EDGE ( 'NONE', *, *, #4245, .F. ) ; +#5248 = ORIENTED_EDGE ( 'NONE', *, *, #4454, .T. ) ; +#5249 = ORIENTED_EDGE ( 'NONE', *, *, #4289, .F. ) ; +#5250 = ORIENTED_EDGE ( 'NONE', *, *, #4446, .T. ) ; +#5251 = ORIENTED_EDGE ( 'NONE', *, *, #4457, .F. ) ; +#5252 = ORIENTED_EDGE ( 'NONE', *, *, #4282, .F. ) ; +#5253 = ORIENTED_EDGE ( 'NONE', *, *, #4459, .F. ) ; +#5254 = ORIENTED_EDGE ( 'NONE', *, *, #4276, .F. ) ; +#5255 = ORIENTED_EDGE ( 'NONE', *, *, #4456, .F. ) ; +#5256 = ORIENTED_EDGE ( 'NONE', *, *, #4458, .F. ) ; +#5257 = ORIENTED_EDGE ( 'NONE', *, *, #4461, .F. ) ; +#5258 = ORIENTED_EDGE ( 'NONE', *, *, #4460, .F. ) ; +#5259 = ORIENTED_EDGE ( 'NONE', *, *, #4466, .F. ) ; +#5260 = ORIENTED_EDGE ( 'NONE', *, *, #4424, .F. ) ; +#5261 = ORIENTED_EDGE ( 'NONE', *, *, #4418, .F. ) ; +#5262 = ORIENTED_EDGE ( 'NONE', *, *, #4370, .F. ) ; +#5263 = ORIENTED_EDGE ( 'NONE', *, *, #4417, .F. ) ; +#5264 = ORIENTED_EDGE ( 'NONE', *, *, #4427, .F. ) ; +#5265 = ORIENTED_EDGE ( 'NONE', *, *, #4298, .F. ) ; +#5266 = ORIENTED_EDGE ( 'NONE', *, *, #4444, .F. ) ; +#5267 = ORIENTED_EDGE ( 'NONE', *, *, #4396, .F. ) ; +#5268 = ORIENTED_EDGE ( 'NONE', *, *, #4327, .F. ) ; +#5269 = ORIENTED_EDGE ( 'NONE', *, *, #4421, .F. ) ; +#5270 = ORIENTED_EDGE ( 'NONE', *, *, #4450, .F. ) ; +#5271 = ORIENTED_EDGE ( 'NONE', *, *, #4415, .T. ) ; +#5272 = ORIENTED_EDGE ( 'NONE', *, *, #4333, .F. ) ; +#5273 = ORIENTED_EDGE ( 'NONE', *, *, #4401, .F. ) ; +#5274 = ORIENTED_EDGE ( 'NONE', *, *, #4463, .T. ) ; +#5275 = ORIENTED_EDGE ( 'NONE', *, *, #4468, .T. ) ; +#5276 = ORIENTED_EDGE ( 'NONE', *, *, #4464, .T. ) ; +#5277 = ORIENTED_EDGE ( 'NONE', *, *, #4462, .T. ) ; +#5278 = ORIENTED_EDGE ( 'NONE', *, *, #4469, .T. ) ; +#5279 = ORIENTED_EDGE ( 'NONE', *, *, #4465, .T. ) ; +#5280 = ORIENTED_EDGE ( 'NONE', *, *, #4467, .T. ) ; +#5281 = ORIENTED_EDGE ( 'NONE', *, *, #4471, .T. ) ; +#5282 = ORIENTED_EDGE ( 'NONE', *, *, #4470, .T. ) ; +#5283 = ORIENTED_EDGE ( 'NONE', *, *, #4398, .F. ) ; +#5284 = ORIENTED_EDGE ( 'NONE', *, *, #4473, .T. ) ; +#5285 = ORIENTED_EDGE ( 'NONE', *, *, #4475, .T. ) ; +#5286 = ORIENTED_EDGE ( 'NONE', *, *, #4472, .T. ) ; +#5287 = ORIENTED_EDGE ( 'NONE', *, *, #4283, .T. ) ; +#5288 = ORIENTED_EDGE ( 'NONE', *, *, #5683, .T. ) ; +#5289 = ORIENTED_EDGE ( 'NONE', *, *, #5685, .F. ) ; +#5290 = ORIENTED_EDGE ( 'NONE', *, *, #4476, .F. ) ; +#5291 = ORIENTED_EDGE ( 'NONE', *, *, #4207, .T. ) ; +#5292 = ORIENTED_EDGE ( 'NONE', *, *, #5684, .T. ) ; +#5293 = ORIENTED_EDGE ( 'NONE', *, *, #4293, .T. ) ; +#5294 = ORIENTED_EDGE ( 'NONE', *, *, #4474, .T. ) ; +#5295 = ORIENTED_EDGE ( 'NONE', *, *, #4479, .T. ) ; +#5296 = ORIENTED_EDGE ( 'NONE', *, *, #4478, .T. ) ; +#5297 = ORIENTED_EDGE ( 'NONE', *, *, #4297, .F. ) ; +#5298 = ORIENTED_EDGE ( 'NONE', *, *, #4480, .T. ) ; +#5299 = ORIENTED_EDGE ( 'NONE', *, *, #4414, .T. ) ; +#5300 = ORIENTED_EDGE ( 'NONE', *, *, #4463, .F. ) ; +#5301 = ORIENTED_EDGE ( 'NONE', *, *, #4409, .T. ) ; +#5302 = ORIENTED_EDGE ( 'NONE', *, *, #4480, .F. ) ; +#5303 = ORIENTED_EDGE ( 'NONE', *, *, #4300, .F. ) ; +#5304 = ORIENTED_EDGE ( 'NONE', *, *, #4483, .T. ) ; +#5305 = ORIENTED_EDGE ( 'NONE', *, *, #4299, .F. ) ; +#5306 = ORIENTED_EDGE ( 'NONE', *, *, #4481, .T. ) ; +#5307 = ORIENTED_EDGE ( 'NONE', *, *, #4482, .F. ) ; +#5308 = ORIENTED_EDGE ( 'NONE', *, *, #4484, .T. ) ; +#5309 = ORIENTED_EDGE ( 'NONE', *, *, #4477, .T. ) ; +#5310 = ORIENTED_EDGE ( 'NONE', *, *, #4485, .T. ) ; +#5311 = ORIENTED_EDGE ( 'NONE', *, *, #4406, .T. ) ; +#5312 = ORIENTED_EDGE ( 'NONE', *, *, #4487, .T. ) ; +#5313 = ORIENTED_EDGE ( 'NONE', *, *, #4408, .T. ) ; +#5314 = ORIENTED_EDGE ( 'NONE', *, *, #4486, .T. ) ; +#5315 = ORIENTED_EDGE ( 'NONE', *, *, #4490, .T. ) ; +#5316 = ORIENTED_EDGE ( 'NONE', *, *, #4489, .F. ) ; +#5317 = ORIENTED_EDGE ( 'NONE', *, *, #4488, .T. ) ; +#5318 = ORIENTED_EDGE ( 'NONE', *, *, #4491, .T. ) ; +#5319 = ORIENTED_EDGE ( 'NONE', *, *, #4492, .T. ) ; +#5320 = ORIENTED_EDGE ( 'NONE', *, *, #4493, .T. ) ; +#5321 = ORIENTED_EDGE ( 'NONE', *, *, #4494, .F. ) ; +#5322 = ORIENTED_EDGE ( 'NONE', *, *, #4495, .T. ) ; +#5323 = ORIENTED_EDGE ( 'NONE', *, *, #4496, .T. ) ; +#5324 = ORIENTED_EDGE ( 'NONE', *, *, #4497, .T. ) ; +#5325 = ORIENTED_EDGE ( 'NONE', *, *, #4498, .T. ) ; +#5326 = ORIENTED_EDGE ( 'NONE', *, *, #4499, .T. ) ; +#5327 = ORIENTED_EDGE ( 'NONE', *, *, #4413, .T. ) ; +#5328 = ORIENTED_EDGE ( 'NONE', *, *, #4483, .F. ) ; +#5329 = ORIENTED_EDGE ( 'NONE', *, *, #4499, .F. ) ; +#5330 = ORIENTED_EDGE ( 'NONE', *, *, #4500, .T. ) ; +#5331 = ORIENTED_EDGE ( 'NONE', *, *, #4386, .T. ) ; +#5332 = ORIENTED_EDGE ( 'NONE', *, *, #4416, .T. ) ; +#5333 = ORIENTED_EDGE ( 'NONE', *, *, #4407, .T. ) ; +#5334 = ORIENTED_EDGE ( 'NONE', *, *, #4443, .T. ) ; +#5335 = ORIENTED_EDGE ( 'NONE', *, *, #4504, .F. ) ; +#5336 = ORIENTED_EDGE ( 'NONE', *, *, #4487, .F. ) ; +#5337 = ORIENTED_EDGE ( 'NONE', *, *, #4363, .F. ) ; +#5338 = ORIENTED_EDGE ( 'NONE', *, *, #4503, .T. ) ; +#5339 = ORIENTED_EDGE ( 'NONE', *, *, #4391, .F. ) ; +#5340 = ORIENTED_EDGE ( 'NONE', *, *, #4412, .F. ) ; +#5341 = ORIENTED_EDGE ( 'NONE', *, *, #4504, .T. ) ; +#5342 = ORIENTED_EDGE ( 'NONE', *, *, #4441, .T. ) ; +#5343 = ORIENTED_EDGE ( 'NONE', *, *, #4403, .T. ) ; +#5344 = ORIENTED_EDGE ( 'NONE', *, *, #4360, .T. ) ; +#5345 = ORIENTED_EDGE ( 'NONE', *, *, #4502, .T. ) ; +#5346 = ORIENTED_EDGE ( 'NONE', *, *, #4393, .T. ) ; +#5347 = ORIENTED_EDGE ( 'NONE', *, *, #4506, .T. ) ; +#5348 = ORIENTED_EDGE ( 'NONE', *, *, #4509, .T. ) ; +#5349 = ORIENTED_EDGE ( 'NONE', *, *, #4423, .T. ) ; +#5350 = ORIENTED_EDGE ( 'NONE', *, *, #4507, .F. ) ; +#5351 = ORIENTED_EDGE ( 'NONE', *, *, #4498, .F. ) ; +#5352 = ORIENTED_EDGE ( 'NONE', *, *, #4511, .T. ) ; +#5353 = ORIENTED_EDGE ( 'NONE', *, *, #4438, .T. ) ; +#5354 = ORIENTED_EDGE ( 'NONE', *, *, #4510, .F. ) ; +#5355 = ORIENTED_EDGE ( 'NONE', *, *, #4486, .F. ) ; +#5356 = ORIENTED_EDGE ( 'NONE', *, *, #4389, .F. ) ; +#5357 = ORIENTED_EDGE ( 'NONE', *, *, #4505, .T. ) ; +#5358 = ORIENTED_EDGE ( 'NONE', *, *, #4365, .F. ) ; +#5359 = ORIENTED_EDGE ( 'NONE', *, *, #4410, .T. ) ; +#5360 = ORIENTED_EDGE ( 'NONE', *, *, #4439, .T. ) ; +#5361 = ORIENTED_EDGE ( 'NONE', *, *, #4511, .F. ) ; +#5362 = ORIENTED_EDGE ( 'NONE', *, *, #4411, .F. ) ; +#5363 = ORIENTED_EDGE ( 'NONE', *, *, #4369, .F. ) ; +#5364 = ORIENTED_EDGE ( 'NONE', *, *, #4501, .T. ) ; +#5365 = ORIENTED_EDGE ( 'NONE', *, *, #4385, .F. ) ; +#5366 = ORIENTED_EDGE ( 'NONE', *, *, #4500, .F. ) ; +#5367 = ORIENTED_EDGE ( 'NONE', *, *, #4507, .T. ) ; +#5368 = ORIENTED_EDGE ( 'NONE', *, *, #4428, .T. ) ; +#5369 = ORIENTED_EDGE ( 'NONE', *, *, #4466, .T. ) ; +#5370 = ORIENTED_EDGE ( 'NONE', *, *, #4367, .F. ) ; +#5371 = ORIENTED_EDGE ( 'NONE', *, *, #4508, .T. ) ; +#5372 = ORIENTED_EDGE ( 'NONE', *, *, #4387, .F. ) ; +#5373 = ORIENTED_EDGE ( 'NONE', *, *, #4514, .T. ) ; +#5374 = ORIENTED_EDGE ( 'NONE', *, *, #4436, .F. ) ; +#5375 = ORIENTED_EDGE ( 'NONE', *, *, #4513, .T. ) ; +#5376 = ORIENTED_EDGE ( 'NONE', *, *, #4489, .T. ) ; +#5377 = ORIENTED_EDGE ( 'NONE', *, *, #4516, .T. ) ; +#5378 = ORIENTED_EDGE ( 'NONE', *, *, #4496, .F. ) ; +#5379 = ORIENTED_EDGE ( 'NONE', *, *, #4512, .T. ) ; +#5380 = ORIENTED_EDGE ( 'NONE', *, *, #4429, .T. ) ; +#5381 = ORIENTED_EDGE ( 'NONE', *, *, #4518, .T. ) ; +#5382 = ORIENTED_EDGE ( 'NONE', *, *, #4494, .T. ) ; +#5383 = ORIENTED_EDGE ( 'NONE', *, *, #4515, .T. ) ; +#5384 = ORIENTED_EDGE ( 'NONE', *, *, #4431, .T. ) ; +#5385 = ORIENTED_EDGE ( 'NONE', *, *, #4520, .T. ) ; +#5386 = ORIENTED_EDGE ( 'NONE', *, *, #4477, .F. ) ; +#5387 = ORIENTED_EDGE ( 'NONE', *, *, #4517, .T. ) ; +#5388 = ORIENTED_EDGE ( 'NONE', *, *, #4445, .T. ) ; +#5389 = ORIENTED_EDGE ( 'NONE', *, *, #4522, .T. ) ; +#5390 = ORIENTED_EDGE ( 'NONE', *, *, #4482, .T. ) ; +#5391 = ORIENTED_EDGE ( 'NONE', *, *, #4519, .T. ) ; +#5392 = ORIENTED_EDGE ( 'NONE', *, *, #4426, .T. ) ; +#5393 = ORIENTED_EDGE ( 'NONE', *, *, #4524, .T. ) ; +#5394 = ORIENTED_EDGE ( 'NONE', *, *, #4490, .F. ) ; +#5395 = ORIENTED_EDGE ( 'NONE', *, *, #4510, .T. ) ; +#5396 = ORIENTED_EDGE ( 'NONE', *, *, #4437, .F. ) ; +#5397 = ORIENTED_EDGE ( 'NONE', *, *, #4516, .F. ) ; +#5398 = ORIENTED_EDGE ( 'NONE', *, *, #4422, .F. ) ; +#5399 = ORIENTED_EDGE ( 'NONE', *, *, #4509, .F. ) ; +#5400 = ORIENTED_EDGE ( 'NONE', *, *, #4497, .F. ) ; +#5401 = ORIENTED_EDGE ( 'NONE', *, *, #4518, .F. ) ; +#5402 = ORIENTED_EDGE ( 'NONE', *, *, #4442, .F. ) ; +#5403 = ORIENTED_EDGE ( 'NONE', *, *, #4405, .F. ) ; +#5404 = ORIENTED_EDGE ( 'NONE', *, *, #4485, .F. ) ; +#5405 = ORIENTED_EDGE ( 'NONE', *, *, #4522, .F. ) ; +#5406 = ORIENTED_EDGE ( 'NONE', *, *, #4492, .F. ) ; +#5407 = ORIENTED_EDGE ( 'NONE', *, *, #4525, .T. ) ; +#5408 = ORIENTED_EDGE ( 'NONE', *, *, #4433, .T. ) ; +#5409 = ORIENTED_EDGE ( 'NONE', *, *, #4523, .T. ) ; +#5410 = ORIENTED_EDGE ( 'NONE', *, *, #4481, .F. ) ; +#5411 = ORIENTED_EDGE ( 'NONE', *, *, #4286, .F. ) ; +#5412 = ORIENTED_EDGE ( 'NONE', *, *, #4425, .F. ) ; +#5413 = ORIENTED_EDGE ( 'NONE', *, *, #4519, .F. ) ; +#5414 = ORIENTED_EDGE ( 'NONE', *, *, #4440, .F. ) ; +#5415 = ORIENTED_EDGE ( 'NONE', *, *, #4517, .F. ) ; +#5416 = ORIENTED_EDGE ( 'NONE', *, *, #4484, .F. ) ; +#5417 = ORIENTED_EDGE ( 'NONE', *, *, #4524, .F. ) ; +#5418 = ORIENTED_EDGE ( 'NONE', *, *, #4525, .F. ) ; +#5419 = ORIENTED_EDGE ( 'NONE', *, *, #4491, .F. ) ; +#5420 = ORIENTED_EDGE ( 'NONE', *, *, #4528, .F. ) ; +#5421 = ORIENTED_EDGE ( 'NONE', *, *, #4434, .F. ) ; +#5422 = ORIENTED_EDGE ( 'NONE', *, *, #4488, .F. ) ; +#5423 = ORIENTED_EDGE ( 'NONE', *, *, #4513, .F. ) ; +#5424 = ORIENTED_EDGE ( 'NONE', *, *, #4435, .F. ) ; +#5425 = ORIENTED_EDGE ( 'NONE', *, *, #4528, .T. ) ; +#5426 = ORIENTED_EDGE ( 'NONE', *, *, #4493, .F. ) ; +#5427 = ORIENTED_EDGE ( 'NONE', *, *, #4523, .F. ) ; +#5428 = ORIENTED_EDGE ( 'NONE', *, *, #4432, .F. ) ; +#5429 = ORIENTED_EDGE ( 'NONE', *, *, #4515, .F. ) ; +#5430 = ORIENTED_EDGE ( 'NONE', *, *, #4430, .F. ) ; +#5431 = ORIENTED_EDGE ( 'NONE', *, *, #4512, .F. ) ; +#5432 = ORIENTED_EDGE ( 'NONE', *, *, #4495, .F. ) ; +#5433 = ORIENTED_EDGE ( 'NONE', *, *, #4520, .F. ) ; +#5434 = ORIENTED_EDGE ( 'NONE', *, *, #4392, .F. ) ; +#5435 = ORIENTED_EDGE ( 'NONE', *, *, #4503, .F. ) ; +#5436 = ORIENTED_EDGE ( 'NONE', *, *, #4358, .F. ) ; +#5437 = ORIENTED_EDGE ( 'NONE', *, *, #4506, .F. ) ; +#5438 = ORIENTED_EDGE ( 'NONE', *, *, #4366, .F. ) ; +#5439 = ORIENTED_EDGE ( 'NONE', *, *, #4505, .F. ) ; +#5440 = ORIENTED_EDGE ( 'NONE', *, *, #4388, .F. ) ; +#5441 = ORIENTED_EDGE ( 'NONE', *, *, #4508, .F. ) ; +#5442 = ORIENTED_EDGE ( 'NONE', *, *, #4375, .F. ) ; +#5443 = ORIENTED_EDGE ( 'NONE', *, *, #4501, .F. ) ; +#5444 = ORIENTED_EDGE ( 'NONE', *, *, #4368, .F. ) ; +#5445 = ORIENTED_EDGE ( 'NONE', *, *, #4514, .F. ) ; +#5446 = ORIENTED_EDGE ( 'NONE', *, *, #4307, .F. ) ; +#5447 = ORIENTED_EDGE ( 'NONE', *, *, #4521, .T. ) ; +#5448 = ORIENTED_EDGE ( 'NONE', *, *, #4348, .T. ) ; +#5449 = ORIENTED_EDGE ( 'NONE', *, *, #4529, .T. ) ; +#5450 = ORIENTED_EDGE ( 'NONE', *, *, #4347, .T. ) ; +#5451 = ORIENTED_EDGE ( 'NONE', *, *, #4527, .T. ) ; +#5452 = ORIENTED_EDGE ( 'NONE', *, *, #4308, .F. ) ; +#5453 = ORIENTED_EDGE ( 'NONE', *, *, #4529, .F. ) ; +#5454 = ORIENTED_EDGE ( 'NONE', *, *, #5713, .T. ) ; +#5455 = ORIENTED_EDGE ( 'NONE', *, *, #4526, .T. ) ; +#5456 = ORIENTED_EDGE ( 'NONE', *, *, #5755, .T. ) ; +#5457 = ORIENTED_EDGE ( 'NONE', *, *, #4374, .F. ) ; +#5458 = ORIENTED_EDGE ( 'NONE', *, *, #4309, .F. ) ; +#5459 = ORIENTED_EDGE ( 'NONE', *, *, #4527, .F. ) ; +#5460 = ORIENTED_EDGE ( 'NONE', *, *, #4377, .T. ) ; +#5461 = ORIENTED_EDGE ( 'NONE', *, *, #5711, .T. ) ; +#5462 = ORIENTED_EDGE ( 'NONE', *, *, #4531, .T. ) ; +#5463 = ORIENTED_EDGE ( 'NONE', *, *, #5757, .T. ) ; +#5464 = ORIENTED_EDGE ( 'NONE', *, *, #4533, .T. ) ; +#5465 = ORIENTED_EDGE ( 'NONE', *, *, #4345, .T. ) ; +#5466 = ORIENTED_EDGE ( 'NONE', *, *, #4532, .T. ) ; +#5467 = ORIENTED_EDGE ( 'NONE', *, *, #4312, .F. ) ; +#5468 = ORIENTED_EDGE ( 'NONE', *, *, #4203, .F. ) ; +#5469 = ORIENTED_EDGE ( 'NONE', *, *, #4334, .T. ) ; +#5470 = ORIENTED_EDGE ( 'NONE', *, *, #5680, .T. ) ; +#5471 = ORIENTED_EDGE ( 'NONE', *, *, #4323, .F. ) ; +#5472 = ORIENTED_EDGE ( 'NONE', *, *, #4530, .F. ) ; +#5473 = ORIENTED_EDGE ( 'NONE', *, *, #5753, .T. ) ; +#5474 = ORIENTED_EDGE ( 'NONE', *, *, #4552, .T. ) ; +#5475 = ORIENTED_EDGE ( 'NONE', *, *, #5735, .T. ) ; +#5476 = ORIENTED_EDGE ( 'NONE', *, *, #4379, .T. ) ; +#5477 = ORIENTED_EDGE ( 'NONE', *, *, #4203, .T. ) ; +#5478 = ORIENTED_EDGE ( 'NONE', *, *, #4311, .F. ) ; +#5479 = ORIENTED_EDGE ( 'NONE', *, *, #4372, .F. ) ; +#5480 = ORIENTED_EDGE ( 'NONE', *, *, #5739, .T. ) ; +#5481 = ORIENTED_EDGE ( 'NONE', *, *, #4551, .T. ) ; +#5482 = ORIENTED_EDGE ( 'NONE', *, *, #5748, .T. ) ; +#5483 = ORIENTED_EDGE ( 'NONE', *, *, #4373, .F. ) ; +#5484 = ORIENTED_EDGE ( 'NONE', *, *, #4324, .F. ) ; +#5485 = ORIENTED_EDGE ( 'NONE', *, *, #5680, .F. ) ; +#5486 = ORIENTED_EDGE ( 'NONE', *, *, #4381, .T. ) ; +#5487 = ORIENTED_EDGE ( 'NONE', *, *, #5737, .F. ) ; +#5488 = ORIENTED_EDGE ( 'NONE', *, *, #4554, .T. ) ; +#5489 = ORIENTED_EDGE ( 'NONE', *, *, #5751, .F. ) ; +#5490 = ORIENTED_EDGE ( 'NONE', *, *, #4556, .T. ) ; +#5491 = ORIENTED_EDGE ( 'NONE', *, *, #4321, .F. ) ; +#5492 = ORIENTED_EDGE ( 'NONE', *, *, #4555, .T. ) ; +#5493 = ORIENTED_EDGE ( 'NONE', *, *, #4336, .T. ) ; +#5494 = ORIENTED_EDGE ( 'NONE', *, *, #4558, .T. ) ; +#5495 = ORIENTED_EDGE ( 'NONE', *, *, #4302, .F. ) ; +#5496 = ORIENTED_EDGE ( 'NONE', *, *, #4553, .T. ) ; +#5497 = ORIENTED_EDGE ( 'NONE', *, *, #4350, .T. ) ; +#5498 = ORIENTED_EDGE ( 'NONE', *, *, #4560, .T. ) ; +#5499 = ORIENTED_EDGE ( 'NONE', *, *, #4301, .F. ) ; +#5500 = ORIENTED_EDGE ( 'NONE', *, *, #4557, .T. ) ; +#5501 = ORIENTED_EDGE ( 'NONE', *, *, #4332, .F. ) ; +#5502 = ORIENTED_EDGE ( 'NONE', *, *, #4562, .T. ) ; +#5503 = ORIENTED_EDGE ( 'NONE', *, *, #4344, .F. ) ; +#5504 = ORIENTED_EDGE ( 'NONE', *, *, #4355, .F. ) ; +#5505 = ORIENTED_EDGE ( 'NONE', *, *, #4313, .F. ) ; +#5506 = ORIENTED_EDGE ( 'NONE', *, *, #4532, .F. ) ; +#5507 = ORIENTED_EDGE ( 'NONE', *, *, #4322, .F. ) ; +#5508 = ORIENTED_EDGE ( 'NONE', *, *, #4558, .F. ) ; +#5509 = ORIENTED_EDGE ( 'NONE', *, *, #4335, .F. ) ; +#5510 = ORIENTED_EDGE ( 'NONE', *, *, #4530, .T. ) ; +#5511 = ORIENTED_EDGE ( 'NONE', *, *, #4303, .F. ) ; +#5512 = ORIENTED_EDGE ( 'NONE', *, *, #4560, .F. ) ; +#5513 = ORIENTED_EDGE ( 'NONE', *, *, #4349, .F. ) ; +#5514 = ORIENTED_EDGE ( 'NONE', *, *, #4521, .F. ) ; +#5515 = ORIENTED_EDGE ( 'NONE', *, *, #4317, .F. ) ; +#5516 = ORIENTED_EDGE ( 'NONE', *, *, #4563, .T. ) ; +#5517 = ORIENTED_EDGE ( 'NONE', *, *, #4340, .T. ) ; +#5518 = ORIENTED_EDGE ( 'NONE', *, *, #4561, .T. ) ; +#5519 = ORIENTED_EDGE ( 'NONE', *, *, #4331, .F. ) ; +#5520 = ORIENTED_EDGE ( 'NONE', *, *, #4557, .F. ) ; +#5521 = ORIENTED_EDGE ( 'NONE', *, *, #4304, .F. ) ; +#5522 = ORIENTED_EDGE ( 'NONE', *, *, #4397, .F. ) ; +#5523 = ORIENTED_EDGE ( 'NONE', *, *, #4306, .F. ) ; +#5524 = ORIENTED_EDGE ( 'NONE', *, *, #4562, .F. ) ; +#5525 = ORIENTED_EDGE ( 'NONE', *, *, #4351, .F. ) ; +#5526 = ORIENTED_EDGE ( 'NONE', *, *, #4553, .F. ) ; +#5527 = ORIENTED_EDGE ( 'NONE', *, *, #4567, .F. ) ; +#5528 = ORIENTED_EDGE ( 'NONE', *, *, #4341, .F. ) ; +#5529 = ORIENTED_EDGE ( 'NONE', *, *, #4563, .F. ) ; +#5530 = ORIENTED_EDGE ( 'NONE', *, *, #4316, .F. ) ; +#5531 = ORIENTED_EDGE ( 'NONE', *, *, #4342, .F. ) ; +#5532 = ORIENTED_EDGE ( 'NONE', *, *, #4567, .T. ) ; +#5533 = ORIENTED_EDGE ( 'NONE', *, *, #4315, .F. ) ; +#5534 = ORIENTED_EDGE ( 'NONE', *, *, #4353, .F. ) ; +#5535 = ORIENTED_EDGE ( 'NONE', *, *, #4339, .F. ) ; +#5536 = ORIENTED_EDGE ( 'NONE', *, *, #4354, .F. ) ; +#5537 = ORIENTED_EDGE ( 'NONE', *, *, #4318, .F. ) ; +#5538 = ORIENTED_EDGE ( 'NONE', *, *, #4561, .F. ) ; +#5539 = ORIENTED_EDGE ( 'NONE', *, *, #4320, .F. ) ; +#5540 = ORIENTED_EDGE ( 'NONE', *, *, #4357, .F. ) ; +#5541 = ORIENTED_EDGE ( 'NONE', *, *, #4337, .F. ) ; +#5542 = ORIENTED_EDGE ( 'NONE', *, *, #4555, .F. ) ; +#5543 = ORIENTED_EDGE ( 'NONE', *, *, #5732, .F. ) ; +#5544 = ORIENTED_EDGE ( 'NONE', *, *, #4533, .F. ) ; +#5545 = ORIENTED_EDGE ( 'NONE', *, *, #5756, .F. ) ; +#5546 = ORIENTED_EDGE ( 'NONE', *, *, #4526, .F. ) ; +#5547 = ORIENTED_EDGE ( 'NONE', *, *, #5752, .F. ) ; +#5548 = ORIENTED_EDGE ( 'NONE', *, *, #4554, .F. ) ; +#5549 = ORIENTED_EDGE ( 'NONE', *, *, #5736, .F. ) ; +#5550 = ORIENTED_EDGE ( 'NONE', *, *, #4552, .F. ) ; +#5551 = ORIENTED_EDGE ( 'NONE', *, *, #5738, .F. ) ; +#5552 = ORIENTED_EDGE ( 'NONE', *, *, #4556, .F. ) ; +#5553 = ORIENTED_EDGE ( 'NONE', *, *, #5750, .F. ) ; +#5554 = ORIENTED_EDGE ( 'NONE', *, *, #4551, .F. ) ; +#5555 = ORIENTED_EDGE ( 'NONE', *, *, #4559, .T. ) ; +#5556 = ORIENTED_EDGE ( 'NONE', *, *, #4564, .T. ) ; +#5557 = ORIENTED_EDGE ( 'NONE', *, *, #4460, .T. ) ; +#5558 = ORIENTED_EDGE ( 'NONE', *, *, #4569, .T. ) ; +#5559 = ORIENTED_EDGE ( 'NONE', *, *, #4458, .T. ) ; +#5560 = ORIENTED_EDGE ( 'NONE', *, *, #4568, .F. ) ; +#5561 = ORIENTED_EDGE ( 'NONE', *, *, #4566, .F. ) ; +#5562 = ORIENTED_EDGE ( 'NONE', *, *, #4570, .F. ) ; +#5563 = ORIENTED_EDGE ( 'NONE', *, *, #5746, .F. ) ; +#5564 = ORIENTED_EDGE ( 'NONE', *, *, #4571, .F. ) ; +#5565 = ORIENTED_EDGE ( 'NONE', *, *, #4572, .F. ) ; +#5566 = ORIENTED_EDGE ( 'NONE', *, *, #4573, .F. ) ; +#5567 = ORIENTED_EDGE ( 'NONE', *, *, #4577, .T. ) ; +#5568 = ORIENTED_EDGE ( 'NONE', *, *, #4576, .T. ) ; +#5569 = ORIENTED_EDGE ( 'NONE', *, *, #5742, .F. ) ; +#5570 = ORIENTED_EDGE ( 'NONE', *, *, #4578, .T. ) ; +#5571 = ORIENTED_EDGE ( 'NONE', *, *, #5759, .F. ) ; +#5572 = ORIENTED_EDGE ( 'NONE', *, *, #4565, .T. ) ; +#5573 = ORIENTED_EDGE ( 'NONE', *, *, #5722, .F. ) ; +#5574 = ORIENTED_EDGE ( 'NONE', *, *, #4395, .F. ) ; +#5575 = ORIENTED_EDGE ( 'NONE', *, *, #4579, .T. ) ; +#5576 = ORIENTED_EDGE ( 'NONE', *, *, #4361, .F. ) ; +#5577 = ORIENTED_EDGE ( 'NONE', *, *, #4452, .T. ) ; +#5578 = ORIENTED_EDGE ( 'NONE', *, *, #4580, .T. ) ; +#5579 = ORIENTED_EDGE ( 'NONE', *, *, #4479, .F. ) ; +#5580 = ORIENTED_EDGE ( 'NONE', *, *, #4581, .T. ) ; +#5581 = ORIENTED_EDGE ( 'NONE', *, *, #4449, .T. ) ; +#5582 = ORIENTED_EDGE ( 'NONE', *, *, #4574, .T. ) ; +#5583 = ORIENTED_EDGE ( 'NONE', *, *, #4475, .F. ) ; +#5584 = ORIENTED_EDGE ( 'NONE', *, *, #4583, .T. ) ; +#5585 = ORIENTED_EDGE ( 'NONE', *, *, #5758, .F. ) ; +#5586 = ORIENTED_EDGE ( 'NONE', *, *, #4531, .F. ) ; +#5587 = ORIENTED_EDGE ( 'NONE', *, *, #5714, .F. ) ; +#5588 = ORIENTED_EDGE ( 'NONE', *, *, #4565, .F. ) ; +#5589 = ORIENTED_EDGE ( 'NONE', *, *, #4394, .F. ) ; +#5590 = ORIENTED_EDGE ( 'NONE', *, *, #4502, .F. ) ; +#5591 = ORIENTED_EDGE ( 'NONE', *, *, #4362, .F. ) ; +#5592 = ORIENTED_EDGE ( 'NONE', *, *, #4579, .F. ) ; +#5593 = ORIENTED_EDGE ( 'NONE', *, *, #4472, .F. ) ; +#5594 = ORIENTED_EDGE ( 'NONE', *, *, #4574, .F. ) ; +#5595 = ORIENTED_EDGE ( 'NONE', *, *, #4446, .F. ) ; +#5596 = ORIENTED_EDGE ( 'NONE', *, *, #4288, .F. ) ; +#5597 = ORIENTED_EDGE ( 'NONE', *, *, #4473, .F. ) ; +#5598 = ORIENTED_EDGE ( 'NONE', *, *, #4399, .F. ) ; +#5599 = ORIENTED_EDGE ( 'NONE', *, *, #4448, .F. ) ; +#5600 = ORIENTED_EDGE ( 'NONE', *, *, #4583, .F. ) ; +#5601 = ORIENTED_EDGE ( 'NONE', *, *, #4478, .F. ) ; +#5602 = ORIENTED_EDGE ( 'NONE', *, *, #4580, .F. ) ; +#5603 = ORIENTED_EDGE ( 'NONE', *, *, #4447, .F. ) ; +#5604 = ORIENTED_EDGE ( 'NONE', *, *, #4296, .F. ) ; +#5605 = EDGE_LOOP ( 'NONE', ( #6350, #6351, #6352, #6353 ) ) ; +#5606 = ORIENTED_EDGE ( 'NONE', *, *, #4474, .F. ) ; +#5607 = ORIENTED_EDGE ( 'NONE', *, *, #4294, .F. ) ; +#5608 = ORIENTED_EDGE ( 'NONE', *, *, #4451, .F. ) ; +#5609 = ORIENTED_EDGE ( 'NONE', *, *, #4581, .F. ) ; +#5610 = ORIENTED_EDGE ( 'NONE', *, *, #4586, .T. ) ; +#5611 = ORIENTED_EDGE ( 'NONE', *, *, #4542, .T. ) ; +#5612 = ORIENTED_EDGE ( 'NONE', *, *, #4211, .F. ) ; +#5613 = ORIENTED_EDGE ( 'NONE', *, *, #4584, .F. ) ; +#5614 = ORIENTED_EDGE ( 'NONE', *, *, #5681, .T. ) ; +#5615 = ORIENTED_EDGE ( 'NONE', *, *, #5668, .T. ) ; +#5616 = ORIENTED_EDGE ( 'NONE', *, *, #4582, .F. ) ; +#5617 = ORIENTED_EDGE ( 'NONE', *, *, #4587, .F. ) ; +#5618 = ORIENTED_EDGE ( 'NONE', *, *, #4582, .T. ) ; +#5619 = ORIENTED_EDGE ( 'NONE', *, *, #5677, .T. ) ; +#5620 = ORIENTED_EDGE ( 'NONE', *, *, #4242, .T. ) ; +#5621 = ORIENTED_EDGE ( 'NONE', *, *, #4287, .F. ) ; +#5622 = ORIENTED_EDGE ( 'NONE', *, *, #4589, .F. ) ; +#5623 = ORIENTED_EDGE ( 'NONE', *, *, #4454, .F. ) ; +#5624 = ORIENTED_EDGE ( 'NONE', *, *, #4251, .T. ) ; +#5625 = ORIENTED_EDGE ( 'NONE', *, *, #4290, .T. ) ; +#5626 = ORIENTED_EDGE ( 'NONE', *, *, #4453, .T. ) ; +#5627 = ORIENTED_EDGE ( 'NONE', *, *, #4254, .T. ) ; +#5628 = ORIENTED_EDGE ( 'NONE', *, *, #4252, .T. ) ; +#5629 = ORIENTED_EDGE ( 'NONE', *, *, #4585, .F. ) ; +#5630 = ORIENTED_EDGE ( 'NONE', *, *, #4590, .F. ) ; +#5631 = ORIENTED_EDGE ( 'NONE', *, *, #4585, .T. ) ; +#5632 = ORIENTED_EDGE ( 'NONE', *, *, #4265, .T. ) ; +#5633 = ORIENTED_EDGE ( 'NONE', *, *, #4541, .T. ) ; +#5634 = ORIENTED_EDGE ( 'NONE', *, *, #4586, .F. ) ; +#5635 = ORIENTED_EDGE ( 'NONE', *, *, #4592, .F. ) ; +#5636 = EDGE_CURVE ( 'NONE', #2055, #2054, #668, .T. ) ; +#5637 = EDGE_CURVE ( 'NONE', #2052, #2049, #669, .T. ) ; +#5638 = EDGE_CURVE ( 'NONE', #2050, #2047, #671, .T. ) ; +#5639 = EDGE_CURVE ( 'NONE', #1630, #2056, #673, .T. ) ; +#5640 = EDGE_CURVE ( 'NONE', #2053, #1629, #675, .T. ) ; +#5641 = EDGE_CURVE ( 'NONE', #2053, #2054, #12988, .T. ) ; +#5642 = EDGE_CURVE ( 'NONE', #1790, #2055, #674, .T. ) ; +#5643 = EDGE_CURVE ( 'NONE', #2056, #2057, #678, .T. ) ; +#5644 = EDGE_CURVE ( 'NONE', #2058, #2057, #680, .T. ) ; +#5645 = EDGE_CURVE ( 'NONE', #2054, #2057, #661, .T. ) ; +#5646 = EDGE_CURVE ( 'NONE', #2060, #1880, #684, .T. ) ; +#5647 = EDGE_CURVE ( 'NONE', #2065, #2066, #667, .T. ) ; +#5648 = EDGE_CURVE ( 'NONE', #2055, #2058, #677, .T. ) ; +#5649 = EDGE_CURVE ( 'NONE', #2056, #2053, #690, .T. ) ; +#5650 = EDGE_CURVE ( 'NONE', #2062, #2061, #692, .T. ) ; +#5651 = EDGE_CURVE ( 'NONE', #2059, #2060, #683, .T. ) ; +#5652 = EDGE_CURVE ( 'NONE', #2059, #1878, #696, .T. ) ; +#5653 = EDGE_CURVE ( 'NONE', #2060, #2062, #687, .T. ) ; +#5654 = EDGE_CURVE ( 'NONE', #2061, #2059, #689, .T. ) ; +#5655 = EDGE_CURVE ( 'NONE', #2061, #1879, #702, .T. ) ; +#5656 = EDGE_CURVE ( 'NONE', #2062, #1626, #704, .T. ) ; +#5657 = EDGE_CURVE ( 'NONE', #2063, #2061, #706, .T. ) ; +#5658 = EDGE_CURVE ( 'NONE', #2064, #2065, #695, .T. ) ; +#5659 = EDGE_CURVE ( 'NONE', #2063, #2064, #710, .T. ) ; +#5660 = EDGE_CURVE ( 'NONE', #2066, #2063, #712, .T. ) ; +#5661 = EDGE_CURVE ( 'NONE', #2064, #2062, #714, .T. ) ; +#5662 = EDGE_CURVE ( 'NONE', #2059, #2066, #699, .T. ) ; +#5663 = EDGE_CURVE ( 'NONE', #2060, #2065, #701, .T. ) ; +#5664 = EDGE_CURVE ( 'NONE', #1704, #1705, #722, .T. ) ; +#5665 = EDGE_CURVE ( 'NONE', #1704, #1703, #721, .T. ) ; +#5666 = EDGE_CURVE ( 'NONE', #1698, #1790, #723, .T. ) ; +#5667 = EDGE_CURVE ( 'NONE', #1691, #1692, #726, .T. ) ; +#5668 = EDGE_CURVE ( 'NONE', #1703, #1702, #720, .T. ) ; +#5669 = EDGE_CURVE ( 'NONE', #1692, #1693, #725, .T. ) ; +#5670 = EDGE_CURVE ( 'NONE', #1697, #1632, #730, .T. ) ; +#5671 = EDGE_CURVE ( 'NONE', #1695, #1696, #732, .T. ) ; +#5672 = EDGE_CURVE ( 'NONE', #1699, #1700, #734, .T. ) ; +#5673 = EDGE_CURVE ( 'NONE', #1694, #1695, #728, .T. ) ; +#5674 = EDGE_CURVE ( 'NONE', #1693, #1694, #736, .T. ) ; +#5675 = EDGE_CURVE ( 'NONE', #1696, #1697, #709, .T. ) ; +#5676 = EDGE_CURVE ( 'NONE', #1701, #1699, #737, .T. ) ; +#5677 = EDGE_CURVE ( 'NONE', #1702, #1701, #739, .T. ) ; +#5678 = EDGE_CURVE ( 'NONE', #1631, #1698, #741, .T. ) ; +#5679 = EDGE_CURVE ( 'NONE', #1815, #1701, #743, .T. ) ; +#5680 = EDGE_CURVE ( 'NONE', #1845, #1835, #745, .T. ) ; +#5681 = EDGE_CURVE ( 'NONE', #1814, #1703, #747, .T. ) ; +#5682 = EDGE_CURVE ( 'NONE', #1711, #1800, #749, .T. ) ; +#5683 = EDGE_CURVE ( 'NONE', #1804, #1803, #751, .T. ) ; +#5684 = EDGE_CURVE ( 'NONE', #1808, #1807, #753, .T. ) ; +#5685 = EDGE_CURVE ( 'NONE', #1813, #1803, #755, .T. ) ; +#5686 = EDGE_CURVE ( 'NONE', #1803, #1801, #760, .T. ) ; +#5687 = EDGE_CURVE ( 'NONE', #1801, #1802, #735, .T. ) ; +#5688 = EDGE_CURVE ( 'NONE', #1802, #1804, #761, .T. ) ; +#5689 = EDGE_CURVE ( 'NONE', #1809, #1713, #763, .T. ) ; +#5690 = EDGE_CURVE ( 'NONE', #1805, #1807, #759, .T. ) ; +#5691 = EDGE_CURVE ( 'NONE', #1805, #1806, #758, .T. ) ; +#5692 = EDGE_CURVE ( 'NONE', #1806, #1808, #769, .T. ) ; +#5693 = EDGE_CURVE ( 'NONE', #1813, #1812, #771, .T. ) ; +#5694 = EDGE_CURVE ( 'NONE', #1724, #1725, #772, .T. ) ; +#5695 = EDGE_CURVE ( 'NONE', #1720, #1721, #774, .T. ) ; +#5696 = EDGE_CURVE ( 'NONE', #1720, #1727, #773, .T. ) ; +#5697 = EDGE_CURVE ( 'NONE', #1721, #1726, #775, .T. ) ; +#5698 = EDGE_CURVE ( 'NONE', #1717, #1719, #779, .T. ) ; +#5699 = EDGE_CURVE ( 'NONE', #1731, #1728, #778, .T. ) ; +#5700 = EDGE_CURVE ( 'NONE', #1730, #1731, #757, .T. ) ; +#5701 = EDGE_CURVE ( 'NONE', #1721, #1723, #784, .T. ) ; +#5702 = EDGE_CURVE ( 'NONE', #1729, #1730, #783, .T. ) ; +#5703 = EDGE_CURVE ( 'NONE', #1656, #1655, #785, .T. ) ; +#5704 = EDGE_CURVE ( 'NONE', #1732, #1733, #787, .T. ) ; +#5705 = EDGE_CURVE ( 'NONE', #1657, #1656, #791, .T. ) ; +#5706 = EDGE_CURVE ( 'NONE', #1654, #1744, #782, .T. ) ; +#5707 = EDGE_CURVE ( 'NONE', #1658, #1657, #792, .T. ) ; +#5708 = EDGE_CURVE ( 'NONE', #1719, #1718, #796, .T. ) ; +#5709 = EDGE_CURVE ( 'NONE', #1728, #1729, #777, .T. ) ; +#5710 = EDGE_CURVE ( 'NONE', #1655, #1654, #798, .T. ) ; +#5711 = EDGE_CURVE ( 'NONE', #1661, #1660, #789, .T. ) ; +#5712 = EDGE_CURVE ( 'NONE', #1716, #1718, #801, .T. ) ; +#5713 = EDGE_CURVE ( 'NONE', #1663, #1662, #800, .T. ) ; +#5714 = EDGE_CURVE ( 'NONE', #1659, #1660, #803, .T. ) ; +#5715 = EDGE_CURVE ( 'NONE', #1744, #1743, #804, .T. ) ; +#5716 = EDGE_CURVE ( 'NONE', #1743, #1742, #802, .T. ) ; +#5717 = EDGE_CURVE ( 'NONE', #1742, #1741, #807, .T. ) ; +#5718 = EDGE_CURVE ( 'NONE', #1720, #1722, #809, .T. ) ; +#5719 = EDGE_CURVE ( 'NONE', #1718, #1724, #808, .T. ) ; +#5720 = EDGE_CURVE ( 'NONE', #1723, #1722, #812, .T. ) ; +#5721 = EDGE_CURVE ( 'NONE', #1716, #1717, #814, .T. ) ; +#5722 = EDGE_CURVE ( 'NONE', #1658, #1659, #806, .T. ) ; +#5723 = EDGE_CURVE ( 'NONE', #1739, #1738, #815, .T. ) ; +#5724 = EDGE_CURVE ( 'NONE', #1740, #1739, #818, .T. ) ; +#5725 = EDGE_CURVE ( 'NONE', #1741, #1740, #810, .T. ) ; +#5726 = EDGE_CURVE ( 'NONE', #1738, #1737, #820, .T. ) ; +#5727 = EDGE_CURVE ( 'NONE', #1737, #1736, #805, .T. ) ; +#5728 = EDGE_CURVE ( 'NONE', #1735, #1734, #821, .T. ) ; +#5729 = EDGE_CURVE ( 'NONE', #1736, #1735, #824, .T. ) ; +#5730 = EDGE_CURVE ( 'NONE', #1726, #1727, #826, .T. ) ; +#5731 = EDGE_CURVE ( 'NONE', #1734, #1732, #828, .T. ) ; +#5732 = EDGE_CURVE ( 'NONE', #1661, #1662, #830, .T. ) ; +#5733 = EDGE_CURVE ( 'NONE', #1719, #1725, #819, .T. ) ; +#5734 = EDGE_CURVE ( 'NONE', #1663, #1664, #831, .T. ) ; +#5735 = EDGE_CURVE ( 'NONE', #1665, #1664, #833, .T. ) ; +#5736 = EDGE_CURVE ( 'NONE', #1665, #1666, #836, .T. ) ; +#5737 = EDGE_CURVE ( 'NONE', #1666, #1667, #823, .T. ) ; +#5738 = EDGE_CURVE ( 'NONE', #1667, #1668, #839, .T. ) ; +#5739 = EDGE_CURVE ( 'NONE', #1669, #1668, #825, .T. ) ; +#5740 = EDGE_CURVE ( 'NONE', #1669, #1670, #840, .T. ) ; +#5741 = EDGE_CURVE ( 'NONE', #1670, #1733, #842, .T. ) ; +#5742 = EDGE_CURVE ( 'NONE', #1673, #1674, #844, .T. ) ; +#5743 = EDGE_CURVE ( 'NONE', #1677, #1675, #838, .T. ) ; +#5744 = EDGE_CURVE ( 'NONE', #1675, #1676, #848, .T. ) ; +#5745 = EDGE_CURVE ( 'NONE', #1672, #1673, #850, .T. ) ; +#5746 = EDGE_CURVE ( 'NONE', #1671, #1672, #852, .T. ) ; +#5747 = EDGE_CURVE ( 'NONE', #1674, #1671, #854, .T. ) ; +#5748 = EDGE_CURVE ( 'NONE', #1679, #1678, #856, .T. ) ; +#5749 = EDGE_CURVE ( 'NONE', #1677, #1678, #858, .T. ) ; +#5750 = EDGE_CURVE ( 'NONE', #1679, #1680, #863, .T. ) ; +#5751 = EDGE_CURVE ( 'NONE', #1680, #1681, #847, .T. ) ; +#5752 = EDGE_CURVE ( 'NONE', #1681, #1682, #866, .T. ) ; +#5753 = EDGE_CURVE ( 'NONE', #1683, #1682, #861, .T. ) ; +#5754 = EDGE_CURVE ( 'NONE', #1683, #1684, #867, .T. ) ; +#5755 = EDGE_CURVE ( 'NONE', #1850, #1684, #869, .T. ) ; +#5756 = EDGE_CURVE ( 'NONE', #1850, #1851, #873, .T. ) ; +#5757 = EDGE_CURVE ( 'NONE', #1852, #1851, #860, .T. ) ; +#5758 = EDGE_CURVE ( 'NONE', #1852, #1853, #876, .T. ) ; +#5759 = EDGE_CURVE ( 'NONE', #1853, #1854, #864, .T. ) ; +#5760 = EDGE_CURVE ( 'NONE', #1855, #1854, #877, .T. ) ; +#5761 = EDGE_CURVE ( 'NONE', #1856, #1855, #881, .T. ) ; +#5762 = EDGE_CURVE ( 'NONE', #1857, #1856, #871, .T. ) ; +#5763 = EDGE_CURVE ( 'NONE', #1858, #1857, #884, .T. ) ; +#5764 = EDGE_CURVE ( 'NONE', #1859, #1858, #874, .T. ) ; +#5765 = EDGE_CURVE ( 'NONE', #1860, #1859, #887, .T. ) ; +#5766 = EDGE_CURVE ( 'NONE', #1861, #1860, #879, .T. ) ; +#5767 = EDGE_CURVE ( 'NONE', #1862, #1861, #890, .T. ) ; +#5768 = EDGE_CURVE ( 'NONE', #1863, #1862, #882, .T. ) ; +#5769 = EDGE_CURVE ( 'NONE', #1864, #1863, #893, .T. ) ; +#5770 = EDGE_CURVE ( 'NONE', #1865, #1864, #885, .T. ) ; +#5771 = EDGE_CURVE ( 'NONE', #1866, #1865, #895, .T. ) ; +#5772 = EDGE_CURVE ( 'NONE', #1867, #1866, #888, .T. ) ; +#5773 = EDGE_CURVE ( 'NONE', #1868, #1867, #898, .T. ) ; +#5774 = EDGE_CURVE ( 'NONE', #1869, #1868, #891, .T. ) ; +#5775 = EDGE_CURVE ( 'NONE', #1676, #1869, #901, .T. ) ; +#5776 = EDGE_CURVE ( 'NONE', #1872, #1873, #902, .T. ) ; +#5777 = EDGE_CURVE ( 'NONE', #1874, #1875, #904, .T. ) ; +#5778 = EDGE_CURVE ( 'NONE', #1875, #1876, #897, .T. ) ; +#5779 = EDGE_CURVE ( 'NONE', #1871, #1872, #905, .T. ) ; +#5780 = EDGE_CURVE ( 'NONE', #1870, #1871, #908, .T. ) ; +#5781 = EDGE_CURVE ( 'NONE', #1873, #1874, #900, .T. ) ; +#5782 = EDGE_CURVE ( 'NONE', #1876, #1877, #910, .T. ) ; +#5783 = EDGE_CURVE ( 'NONE', #1877, #1870, #896, .T. ) ; +#5784 = EDGE_CURVE ( 'NONE', #1880, #1878, #911, .T. ) ; +#5785 = EDGE_CURVE ( 'NONE', #1878, #1879, #913, .T. ) ; +#5786 = EDGE_CURVE ( 'NONE', #1879, #1626, #915, .T. ) ; +#5787 = EDGE_CURVE ( 'NONE', #1626, #1880, #917, .T. ) ; +#5788 = EDGE_CURVE ( 'NONE', #1631, #1630, #919, .T. ) ; +#5789 = EDGE_CURVE ( 'NONE', #1627, #1629, #921, .T. ) ; +#5790 = EDGE_CURVE ( 'NONE', #1630, #1629, #923, .T. ) ; +#5791 = EDGE_CURVE ( 'NONE', #1627, #1628, #909, .T. ) ; +#5792 = EDGE_CURVE ( 'NONE', #1727, #1726, #928, .T. ) ; +#5793 = EDGE_CURVE ( 'NONE', #1725, #1724, #930, .T. ) ; +#5794 = EDGE_CURVE ( 'NONE', #1632, #1631, #926, .T. ) ; +#5795 = EDGE_CURVE ( 'NONE', #1872, #1632, #931, .T. ) ; +#5796 = EDGE_CURVE ( 'NONE', #1634, #1635, #929, .T. ) ; +#5797 = EDGE_CURVE ( 'NONE', #1871, #1633, #935, .T. ) ; +#5798 = EDGE_CURVE ( 'NONE', #1635, #1636, #937, .T. ) ; +#5799 = EDGE_CURVE ( 'NONE', #1634, #1633, #939, .T. ) ; +#5800 = EDGE_CURVE ( 'NONE', #1637, #1636, #941, .T. ) ; +#5801 = EDGE_CURVE ( 'NONE', #1638, #1637, #943, .T. ) ; +#5802 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6947 ) ) ; +#5803 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6935 ), #6936 ) ; +#5804 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6947 ), #6948 ) ; +#5805 = AXIS2_PLACEMENT_3D ( 'NONE', #2671, #2691, #2692 ) ; +#5806 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6935 ) ) ; +#5807 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6978 ) ) ; +#5808 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6941 ) ) ; +#5809 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6941 ), #6942 ) ; +#5810 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7017 ) ) ; +#5811 = AXIS2_PLACEMENT_3D ( 'NONE', #2689, #2702, #2703 ) ; +#5812 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6953 ), #6954 ) ; +#5813 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6953 ) ) ; +#5814 = AXIS2_PLACEMENT_3D ( 'NONE', #2693, #2713, #2714 ) ; +#5815 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6959 ), #6960 ) ; +#5816 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6959 ) ) ; +#5817 = AXIS2_PLACEMENT_3D ( 'NONE', #2704, #2724, #2725 ) ; +#5818 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6965 ), #6966 ) ; +#5819 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6965 ) ) ; +#5820 = AXIS2_PLACEMENT_3D ( 'NONE', #2715, #2735, #2736 ) ; +#5821 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6971 ), #6972 ) ; +#5822 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6971 ) ) ; +#5823 = AXIS2_PLACEMENT_3D ( 'NONE', #2726, #2746, #2747 ) ; +#5824 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6985 ) ) ; +#5825 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6978 ), #6979 ) ; +#5826 = AXIS2_PLACEMENT_3D ( 'NONE', #2756, #2745, #2737 ) ; +#5827 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7004 ) ) ; +#5828 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6985 ), #6986 ) ; +#5829 = AXIS2_PLACEMENT_3D ( 'NONE', #2766, #2758, #2757 ) ; +#5830 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6991 ), #6992 ) ; +#5831 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6991 ) ) ; +#5832 = AXIS2_PLACEMENT_3D ( 'NONE', #2767, #2771, #2772 ) ; +#5833 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #6997 ), #6998 ) ; +#5834 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #6997 ) ) ; +#5835 = AXIS2_PLACEMENT_3D ( 'NONE', #2782, #2783, #2784 ) ; +#5836 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7037 ) ) ; +#5837 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7004 ), #7005 ) ; +#5838 = AXIS2_PLACEMENT_3D ( 'NONE', #2793, #2781, #2773 ) ; +#5839 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7010 ), #7011 ) ; +#5840 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7010 ) ) ; +#5841 = AXIS2_PLACEMENT_3D ( 'NONE', #2794, #2804, #2805 ) ; +#5842 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7024 ) ) ; +#5843 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7017 ), #7018 ) ; +#5844 = AXIS2_PLACEMENT_3D ( 'NONE', #2814, #2803, #2785 ) ; +#5845 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7064 ) ) ; +#5846 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7024 ), #7025 ) ; +#5847 = AXIS2_PLACEMENT_3D ( 'NONE', #2824, #2816, #2815 ) ; +#5848 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7030 ), #7031 ) ; +#5849 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7030 ) ) ; +#5850 = AXIS2_PLACEMENT_3D ( 'NONE', #2825, #2835, #2836 ) ; +#5851 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7042 ) ) ; +#5852 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7037 ), #7038 ) ; +#5853 = AXIS2_PLACEMENT_3D ( 'NONE', #2845, #2834, #2806 ) ; +#5854 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7052 ) ) ; +#5855 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7042 ), #7043 ) ; +#5856 = AXIS2_PLACEMENT_3D ( 'NONE', #2855, #2847, #2846 ) ; +#5857 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7077 ) ) ; +#5858 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7052 ), #7053 ) ; +#5859 = AXIS2_PLACEMENT_3D ( 'NONE', #2865, #2857, #2856 ) ; +#5860 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7057 ), #7058 ) ; +#5861 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7057 ) ) ; +#5862 = AXIS2_PLACEMENT_3D ( 'NONE', #2868, #2876, #2877 ) ; +#5863 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7192 ) ) ; +#5864 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7064 ), #7065 ) ; +#5865 = AXIS2_PLACEMENT_3D ( 'NONE', #2886, #2875, #2867 ) ; +#5866 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7070 ), #7071 ) ; +#5867 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7070 ) ) ; +#5868 = AXIS2_PLACEMENT_3D ( 'NONE', #2887, #2897, #2898 ) ; +#5869 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7084 ) ) ; +#5870 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7077 ), #7078 ) ; +#5871 = AXIS2_PLACEMENT_3D ( 'NONE', #2907, #2896, #2878 ) ; +#5872 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7103 ) ) ; +#5873 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7084 ), #7085 ) ; +#5874 = AXIS2_PLACEMENT_3D ( 'NONE', #2917, #2909, #2908 ) ; +#5875 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7090 ), #7091 ) ; +#5876 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7090 ) ) ; +#5877 = AXIS2_PLACEMENT_3D ( 'NONE', #2918, #2928, #2929 ) ; +#5878 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7096 ), #7097 ) ; +#5879 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7096 ) ) ; +#5880 = AXIS2_PLACEMENT_3D ( 'NONE', #2899, #2939, #2940 ) ; +#5881 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7110 ) ) ; +#5882 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7103 ), #7104 ) ; +#5883 = AXIS2_PLACEMENT_3D ( 'NONE', #2949, #2938, #2930 ) ; +#5884 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7165 ) ) ; +#5885 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7110 ), #7111 ) ; +#5886 = AXIS2_PLACEMENT_3D ( 'NONE', #2959, #2951, #2950 ) ; +#5887 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7116 ), #7117 ) ; +#5888 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7116 ) ) ; +#5889 = AXIS2_PLACEMENT_3D ( 'NONE', #2960, #2970, #2971 ) ; +#5890 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7122 ), #7123 ) ; +#5891 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7122 ) ) ; +#5892 = AXIS2_PLACEMENT_3D ( 'NONE', #2941, #2981, #2982 ) ; +#5893 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7128 ), #7129 ) ; +#5894 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7128 ) ) ; +#5895 = AXIS2_PLACEMENT_3D ( 'NONE', #2972, #2992, #2993 ) ; +#5896 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7134 ), #7135 ) ; +#5897 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7134 ) ) ; +#5898 = AXIS2_PLACEMENT_3D ( 'NONE', #2983, #3003, #3004 ) ; +#5899 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7140 ), #7141 ) ; +#5900 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7140 ) ) ; +#5901 = AXIS2_PLACEMENT_3D ( 'NONE', #2994, #3014, #3015 ) ; +#5902 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7146 ), #7147 ) ; +#5903 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7146 ) ) ; +#5904 = AXIS2_PLACEMENT_3D ( 'NONE', #3005, #3025, #3026 ) ; +#5905 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7152 ), #7153 ) ; +#5906 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7152 ) ) ; +#5907 = AXIS2_PLACEMENT_3D ( 'NONE', #3016, #3036, #3037 ) ; +#5908 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7158 ), #7159 ) ; +#5909 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7158 ) ) ; +#5910 = AXIS2_PLACEMENT_3D ( 'NONE', #3027, #3047, #3048 ) ; +#5911 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7172 ) ) ; +#5912 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7165 ), #7166 ) ; +#5913 = AXIS2_PLACEMENT_3D ( 'NONE', #3057, #3046, #3038 ) ; +#5914 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7179 ) ) ; +#5915 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7172 ), #7173 ) ; +#5916 = AXIS2_PLACEMENT_3D ( 'NONE', #3067, #3059, #3058 ) ; +#5917 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7261 ) ) ; +#5918 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7179 ), #7180 ) ; +#5919 = AXIS2_PLACEMENT_3D ( 'NONE', #3077, #3069, #3068 ) ; +#5920 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7185 ), #7186 ) ; +#5921 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7185 ) ) ; +#5922 = AXIS2_PLACEMENT_3D ( 'NONE', #3078, #3088, #3089 ) ; +#5923 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7199 ) ) ; +#5924 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7192 ), #7193 ) ; +#5925 = AXIS2_PLACEMENT_3D ( 'NONE', #3098, #3087, #3049 ) ; +#5926 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7206 ) ) ; +#5927 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7199 ), #7200 ) ; +#5928 = AXIS2_PLACEMENT_3D ( 'NONE', #3108, #3100, #3099 ) ; +#5929 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7213 ) ) ; +#5930 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7206 ), #7207 ) ; +#5931 = AXIS2_PLACEMENT_3D ( 'NONE', #3118, #3110, #3109 ) ; +#5932 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7220 ) ) ; +#5933 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7213 ), #7214 ) ; +#5934 = AXIS2_PLACEMENT_3D ( 'NONE', #3128, #3120, #3119 ) ; +#5935 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7227 ) ) ; +#5936 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7220 ), #7221 ) ; +#5937 = AXIS2_PLACEMENT_3D ( 'NONE', #3138, #3130, #3129 ) ; +#5938 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7234 ) ) ; +#5939 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7227 ), #7228 ) ; +#5940 = AXIS2_PLACEMENT_3D ( 'NONE', #3148, #3140, #3139 ) ; +#5941 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7241 ) ) ; +#5942 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7234 ), #7235 ) ; +#5943 = AXIS2_PLACEMENT_3D ( 'NONE', #3158, #3150, #3149 ) ; +#5944 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7248 ) ) ; +#5945 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7241 ), #7242 ) ; +#5946 = AXIS2_PLACEMENT_3D ( 'NONE', #3168, #3160, #3159 ) ; +#5947 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7432 ) ) ; +#5948 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7248 ), #7249 ) ; +#5949 = AXIS2_PLACEMENT_3D ( 'NONE', #3178, #3170, #3169 ) ; +#5950 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7254 ), #7255 ) ; +#5951 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7254 ) ) ; +#5952 = AXIS2_PLACEMENT_3D ( 'NONE', #3179, #3189, #3190 ) ; +#5953 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7280 ) ) ; +#5954 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7261 ), #7262 ) ; +#5955 = AXIS2_PLACEMENT_3D ( 'NONE', #3199, #3188, #3090 ) ; +#5956 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7267 ), #7268 ) ; +#5957 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7267 ) ) ; +#5958 = AXIS2_PLACEMENT_3D ( 'NONE', #3200, #3210, #3211 ) ; +#5959 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7273 ), #7274 ) ; +#5960 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7273 ) ) ; +#5961 = AXIS2_PLACEMENT_3D ( 'NONE', #3191, #3221, #3222 ) ; +#5962 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7287 ) ) ; +#5963 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7280 ), #7281 ) ; +#5964 = AXIS2_PLACEMENT_3D ( 'NONE', #3231, #3220, #3212 ) ; +#5965 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7405 ) ) ; +#5966 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7287 ), #7288 ) ; +#5967 = AXIS2_PLACEMENT_3D ( 'NONE', #3241, #3233, #3232 ) ; +#5968 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7293 ), #7294 ) ; +#5969 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7293 ) ) ; +#5970 = AXIS2_PLACEMENT_3D ( 'NONE', #3242, #3252, #3253 ) ; +#5971 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7373 ), #7374 ) ; +#5972 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7373 ) ) ; +#5973 = AXIS2_PLACEMENT_3D ( 'NONE', #3223, #3263, #3264 ) ; +#5974 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7379 ), #7380 ) ; +#5975 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7379 ) ) ; +#5976 = AXIS2_PLACEMENT_3D ( 'NONE', #3254, #3274, #3275 ) ; +#5977 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7385 ), #7386 ) ; +#5978 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7385 ) ) ; +#5979 = AXIS2_PLACEMENT_3D ( 'NONE', #3265, #3285, #3286 ) ; +#5980 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7391 ), #7392 ) ; +#5981 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7391 ) ) ; +#5982 = AXIS2_PLACEMENT_3D ( 'NONE', #3276, #3296, #3297 ) ; +#5983 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7398 ), #7399 ) ; +#5984 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7398 ) ) ; +#5985 = AXIS2_PLACEMENT_3D ( 'NONE', #3287, #3307, #3308 ) ; +#5986 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7412 ) ) ; +#5987 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7405 ), #7406 ) ; +#5988 = AXIS2_PLACEMENT_3D ( 'NONE', #3317, #3306, #3298 ) ; +#5989 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7419 ) ) ; +#5990 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7412 ), #7413 ) ; +#5991 = AXIS2_PLACEMENT_3D ( 'NONE', #3327, #3319, #3318 ) ; +#5992 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7537 ) ) ; +#5993 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7419 ), #7420 ) ; +#5994 = AXIS2_PLACEMENT_3D ( 'NONE', #3337, #3329, #3328 ) ; +#5995 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7425 ), #7426 ) ; +#5996 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7425 ) ) ; +#5997 = AXIS2_PLACEMENT_3D ( 'NONE', #3338, #3348, #3349 ) ; +#5998 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7439 ) ) ; +#5999 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7432 ), #7433 ) ; +#6000 = AXIS2_PLACEMENT_3D ( 'NONE', #3358, #3347, #3309 ) ; +#6001 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7446 ) ) ; +#6002 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7439 ), #7440 ) ; +#6003 = AXIS2_PLACEMENT_3D ( 'NONE', #3368, #3360, #3359 ) ; +#6004 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7453 ) ) ; +#6005 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7446 ), #7447 ) ; +#6006 = AXIS2_PLACEMENT_3D ( 'NONE', #3378, #3370, #3369 ) ; +#6007 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7460 ) ) ; +#6008 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7453 ), #7454 ) ; +#6009 = AXIS2_PLACEMENT_3D ( 'NONE', #3388, #3380, #3379 ) ; +#6010 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7467 ) ) ; +#6011 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7460 ), #7461 ) ; +#6012 = AXIS2_PLACEMENT_3D ( 'NONE', #3398, #3390, #3389 ) ; +#6013 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7474 ) ) ; +#6014 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7467 ), #7468 ) ; +#6015 = AXIS2_PLACEMENT_3D ( 'NONE', #3408, #3400, #3399 ) ; +#6016 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7481 ) ) ; +#6017 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7474 ), #7475 ) ; +#6018 = AXIS2_PLACEMENT_3D ( 'NONE', #3418, #3410, #3409 ) ; +#6019 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7488 ) ) ; +#6020 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7481 ), #7482 ) ; +#6021 = AXIS2_PLACEMENT_3D ( 'NONE', #3428, #3420, #3419 ) ; +#6022 = AXIS2_PLACEMENT_3D ( 'NONE', #3611, #3612, #3613 ) ; +#6023 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7488 ), #7489 ) ; +#6024 = AXIS2_PLACEMENT_3D ( 'NONE', #3438, #3430, #3429 ) ; +#6025 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7494 ), #7495 ) ; +#6026 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7494 ) ) ; +#6027 = AXIS2_PLACEMENT_3D ( 'NONE', #3439, #3449, #3450 ) ; +#6028 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7500 ), #7501 ) ; +#6029 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7500 ) ) ; +#6030 = AXIS2_PLACEMENT_3D ( 'NONE', #3350, #3460, #3461 ) ; +#6031 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7506 ), #7507 ) ; +#6032 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7506 ) ) ; +#6033 = AXIS2_PLACEMENT_3D ( 'NONE', #3451, #3471, #3472 ) ; +#6034 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7512 ), #7513 ) ; +#6035 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7512 ) ) ; +#6036 = AXIS2_PLACEMENT_3D ( 'NONE', #3462, #3482, #3483 ) ; +#6037 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7518 ), #7519 ) ; +#6038 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7518 ) ) ; +#6039 = AXIS2_PLACEMENT_3D ( 'NONE', #3473, #3493, #3494 ) ; +#6040 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7524 ), #7525 ) ; +#6041 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7524 ) ) ; +#6042 = AXIS2_PLACEMENT_3D ( 'NONE', #3484, #3504, #3505 ) ; +#6043 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7530 ), #7531 ) ; +#6044 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7530 ) ) ; +#6045 = AXIS2_PLACEMENT_3D ( 'NONE', #3495, #3515, #3516 ) ; +#6046 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #7544 ) ) ; +#6047 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7537 ), #7538 ) ; +#6048 = AXIS2_PLACEMENT_3D ( 'NONE', #3524, #3514, #3506 ) ; +#6049 = AXIS2_PLACEMENT_3D ( 'NONE', #3582, #3583, #3584 ) ; +#6050 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #7544 ), #7545 ) ; +#6051 = AXIS2_PLACEMENT_3D ( 'NONE', #3534, #3526, #3525 ) ; +#6052 = AXIS2_PLACEMENT_3D ( 'NONE', #3686, #3688, #3689 ) ; +#6053 = AXIS2_PLACEMENT_3D ( 'NONE', #3632, #3637, #3638 ) ; +#6054 = AXIS2_PLACEMENT_3D ( 'NONE', #3544, #3536, #3535 ) ; +#6055 = AXIS2_PLACEMENT_3D ( 'NONE', #3621, #3625, #3626 ) ; +#6056 = AXIS2_PLACEMENT_3D ( 'NONE', #3758, #3759, #3760 ) ; +#6057 = AXIS2_PLACEMENT_3D ( 'NONE', #3629, #3653, #3654 ) ; +#6058 = AXIS2_PLACEMENT_3D ( 'NONE', #3733, #3735, #3736 ) ; +#6059 = AXIS2_PLACEMENT_3D ( 'NONE', #3712, #3731, #3732 ) ; +#6060 = AXIS2_PLACEMENT_3D ( 'NONE', #3773, #3774, #3775 ) ; +#6061 = AXIS2_PLACEMENT_3D ( 'NONE', #3807, #3820, #3821 ) ; +#6062 = AXIS2_PLACEMENT_3D ( 'NONE', #3855, #3864, #3865 ) ; +#6063 = AXIS2_PLACEMENT_3D ( 'NONE', #3809, #3818, #3819 ) ; +#6064 = AXIS2_PLACEMENT_3D ( 'NONE', #3829, #3832, #3833 ) ; +#6065 = AXIS2_PLACEMENT_3D ( 'NONE', #3858, #3861, #3862 ) ; +#6066 = AXIS2_PLACEMENT_3D ( 'NONE', #3826, #3849, #3850 ) ; +#6067 = AXIS2_PLACEMENT_3D ( 'NONE', #3831, #3853, #3854 ) ; +#6068 = AXIS2_PLACEMENT_3D ( 'NONE', #3889, #3891, #3892 ) ; +#6069 = AXIS2_PLACEMENT_3D ( 'NONE', #3873, #3884, #3885 ) ; +#6070 = AXIS2_PLACEMENT_3D ( 'NONE', #3860, #3869, #3870 ) ; +#6071 = AXIS2_PLACEMENT_3D ( 'NONE', #3863, #3874, #3875 ) ; +#6072 = AXIS2_PLACEMENT_3D ( 'NONE', #3868, #3882, #3883 ) ; +#6073 = AXIS2_PLACEMENT_3D ( 'NONE', #3886, #3894, #3895 ) ; +#6074 = AXIS2_PLACEMENT_3D ( 'NONE', #3925, #3934, #3935 ) ; +#6075 = AXIS2_PLACEMENT_3D ( 'NONE', #3903, #3911, #3912 ) ; +#6076 = AXIS2_PLACEMENT_3D ( 'NONE', #3890, #3899, #3900 ) ; +#6077 = AXIS2_PLACEMENT_3D ( 'NONE', #3893, #3904, #3905 ) ; +#6078 = AXIS2_PLACEMENT_3D ( 'NONE', #3898, #3909, #3910 ) ; +#6079 = AXIS2_PLACEMENT_3D ( 'NONE', #3927, #3928, #3929 ) ; +#6080 = AXIS2_PLACEMENT_3D ( 'NONE', #3936, #3937, #3938 ) ; +#6081 = AXIS2_PLACEMENT_3D ( 'NONE', #3942, #3943, #3944 ) ; +#6082 = AXIS2_PLACEMENT_3D ( 'NONE', #3949, #3957, #3958 ) ; +#6083 = AXIS2_PLACEMENT_3D ( 'NONE', #3952, #3954, #3955 ) ; +#6084 = AXIS2_PLACEMENT_3D ( 'NONE', #3939, #3947, #3948 ) ; +#6085 = AXIS2_PLACEMENT_3D ( 'NONE', #3972, #3973, #3974 ) ; +#6086 = AXIS2_PLACEMENT_3D ( 'NONE', #3956, #3964, #3965 ) ; +#6087 = AXIS2_PLACEMENT_3D ( 'NONE', #3953, #3962, #3963 ) ; +#6088 = AXIS2_PLACEMENT_3D ( 'NONE', #3968, #3969, #3970 ) ; +#6089 = AXIS2_PLACEMENT_3D ( 'NONE', #3988, #3994, #3995 ) ; +#6090 = AXIS2_PLACEMENT_3D ( 'NONE', #3978, #3979, #3980 ) ; +#6091 = AXIS2_PLACEMENT_3D ( 'NONE', #4031, #4033, #4034 ) ; +#6092 = AXIS2_PLACEMENT_3D ( 'NONE', #4025, #4026, #4027 ) ; +#6093 = AXIS2_PLACEMENT_3D ( 'NONE', #4001, #4004, #4005 ) ; +#6094 = AXIS2_PLACEMENT_3D ( 'NONE', #3998, #4012, #4013 ) ; +#6095 = AXIS2_PLACEMENT_3D ( 'NONE', #4003, #4016, #4017 ) ; +#6096 = AXIS2_PLACEMENT_3D ( 'NONE', #4028, #4029, #4030 ) ; +#6097 = AXIS2_PLACEMENT_3D ( 'NONE', #4043, #4050, #4051 ) ; +#6098 = AXIS2_PLACEMENT_3D ( 'NONE', #4022, #4036, #4037 ) ; +#6099 = AXIS2_PLACEMENT_3D ( 'NONE', #4032, #4039, #4040 ) ; +#6100 = AXIS2_PLACEMENT_3D ( 'NONE', #4035, #4041, #4042 ) ; +#6101 = AXIS2_PLACEMENT_3D ( 'NONE', #4045, #4047, #4048 ) ; +#6102 = AXIS2_PLACEMENT_3D ( 'NONE', #8335, #8336, #8337 ) ; +#6103 = AXIS2_PLACEMENT_3D ( 'NONE', #4046, #4053, #4054 ) ; +#6104 = AXIS2_PLACEMENT_3D ( 'NONE', #8370, #8371, #8372 ) ; +#6105 = AXIS2_PLACEMENT_3D ( 'NONE', #8350, #8365, #8366 ) ; +#6106 = AXIS2_PLACEMENT_3D ( 'NONE', #8343, #8346, #8347 ) ; +#6107 = AXIS2_PLACEMENT_3D ( 'NONE', #8340, #8351, #8352 ) ; +#6108 = AXIS2_PLACEMENT_3D ( 'NONE', #8345, #8355, #8356 ) ; +#6109 = AXIS2_PLACEMENT_3D ( 'NONE', #8389, #8391, #8392 ) ; +#6110 = AXIS2_PLACEMENT_3D ( 'NONE', #8386, #8387, #8388 ) ; +#6111 = AXIS2_PLACEMENT_3D ( 'NONE', #8382, #8384, #8385 ) ; +#6112 = AXIS2_PLACEMENT_3D ( 'NONE', #8402, #8404, #8405 ) ; +#6113 = AXIS2_PLACEMENT_3D ( 'NONE', #8380, #8393, #8394 ) ; +#6114 = AXIS2_PLACEMENT_3D ( 'NONE', #8390, #8400, #8401 ) ; +#6115 = AXIS2_PLACEMENT_3D ( 'NONE', #8441, #8442, #8443 ) ; +#6116 = AXIS2_PLACEMENT_3D ( 'NONE', #8399, #8407, #8408 ) ; +#6117 = AXIS2_PLACEMENT_3D ( 'NONE', #8403, #8410, #8411 ) ; +#6118 = AXIS2_PLACEMENT_3D ( 'NONE', #8406, #8417, #8418 ) ; +#6119 = AXIS2_PLACEMENT_3D ( 'NONE', #8409, #8420, #8421 ) ; +#6120 = AXIS2_PLACEMENT_3D ( 'NONE', #8416, #8423, #8424 ) ; +#6121 = AXIS2_PLACEMENT_3D ( 'NONE', #8436, #8437, #8438 ) ; +#6122 = AXIS2_PLACEMENT_3D ( 'NONE', #8425, #8427, #8428 ) ; +#6123 = AXIS2_PLACEMENT_3D ( 'NONE', #8444, #8452, #8453 ) ; +#6124 = AXIS2_PLACEMENT_3D ( 'NONE', #8447, #8449, #8450 ) ; +#6125 = AXIS2_PLACEMENT_3D ( 'NONE', #8464, #8471, #8472 ) ; +#6126 = AXIS2_PLACEMENT_3D ( 'NONE', #8451, #8460, #8461 ) ; +#6127 = AXIS2_PLACEMENT_3D ( 'NONE', #8448, #8457, #8458 ) ; +#6128 = AXIS2_PLACEMENT_3D ( 'NONE', #8478, #8480, #8481 ) ; +#6129 = AXIS2_PLACEMENT_3D ( 'NONE', #8462, #8465, #8466 ) ; +#6130 = AXIS2_PLACEMENT_3D ( 'NONE', #8459, #8469, #8470 ) ; +#6131 = AXIS2_PLACEMENT_3D ( 'NONE', #8523, #8540, #8541 ) ; +#6132 = AXIS2_PLACEMENT_3D ( 'NONE', #8475, #8483, #8484 ) ; +#6133 = AXIS2_PLACEMENT_3D ( 'NONE', #8479, #8485, #8486 ) ; +#6134 = AXIS2_PLACEMENT_3D ( 'NONE', #8490, #8492, #8493 ) ; +#6135 = AXIS2_PLACEMENT_3D ( 'NONE', #8581, #8583, #8584 ) ; +#6136 = AXIS2_PLACEMENT_3D ( 'NONE', #8522, #8524, #8525 ) ; +#6137 = AXIS2_PLACEMENT_3D ( 'NONE', #8519, #8537, #8538 ) ; +#6138 = AXIS2_PLACEMENT_3D ( 'NONE', #8536, #8543, #8544 ) ; +#6139 = AXIS2_PLACEMENT_3D ( 'NONE', #8542, #8559, #8560 ) ; +#6140 = AXIS2_PLACEMENT_3D ( 'NONE', #8539, #8552, #8553 ) ; +#6141 = AXIS2_PLACEMENT_3D ( 'NONE', #8551, #8566, #8567 ) ; +#6142 = AXIS2_PLACEMENT_3D ( 'NONE', #8558, #8569, #8570 ) ; +#6143 = AXIS2_PLACEMENT_3D ( 'NONE', #8565, #8572, #8573 ) ; +#6144 = AXIS2_PLACEMENT_3D ( 'NONE', #8568, #8574, #8575 ) ; +#6145 = AXIS2_PLACEMENT_3D ( 'NONE', #8571, #8576, #8577 ) ; +#6146 = AXIS2_PLACEMENT_3D ( 'NONE', #8578, #8586, #8587 ) ; +#6147 = AXIS2_PLACEMENT_3D ( 'NONE', #8673, #8677, #8678 ) ; +#6148 = AXIS2_PLACEMENT_3D ( 'NONE', #8595, #8604, #8605 ) ; +#6149 = AXIS2_PLACEMENT_3D ( 'NONE', #8582, #8591, #8592 ) ; +#6150 = AXIS2_PLACEMENT_3D ( 'NONE', #8585, #8596, #8597 ) ; +#6151 = AXIS2_PLACEMENT_3D ( 'NONE', #8590, #8601, #8602 ) ; +#6152 = AXIS2_PLACEMENT_3D ( 'NONE', #8681, #8682, #8683 ) ; +#6153 = AXIS2_PLACEMENT_3D ( 'NONE', #8600, #8608, #8609 ) ; +#6154 = AXIS2_PLACEMENT_3D ( 'NONE', #8701, #8702, #8703 ) ; +#6155 = AXIS2_PLACEMENT_3D ( 'NONE', #8918, #8919, #8920 ) ; +#6156 = AXIS2_PLACEMENT_3D ( 'NONE', #8696, #8697, #8698 ) ; +#6157 = AXIS2_PLACEMENT_3D ( 'NONE', #8916, #8929, #8930 ) ; +#6158 = AXIS2_PLACEMENT_3D ( 'NONE', #8709, #8713, #8714 ) ; +#6159 = AXIS2_PLACEMENT_3D ( 'NONE', #8768, #8794, #8795 ) ; +#6160 = AXIS2_PLACEMENT_3D ( 'NONE', #8901, #8905, #8906 ) ; +#6161 = AXIS2_PLACEMENT_3D ( 'NONE', #8921, #8922, #8923 ) ; +#6162 = AXIS2_PLACEMENT_3D ( 'NONE', #8971, #8975, #8976 ) ; +#6163 = AXIS2_PLACEMENT_3D ( 'NONE', #8924, #8927, #8928 ) ; +#6164 = AXIS2_PLACEMENT_3D ( 'NONE', #8934, #8938, #8939 ) ; +#6165 = AXIS2_PLACEMENT_3D ( 'NONE', #8995, #8999, #9000 ) ; +#6166 = AXIS2_PLACEMENT_3D ( 'NONE', #8947, #8951, #8952 ) ; +#6167 = AXIS2_PLACEMENT_3D ( 'NONE', #8958, #8962, #8963 ) ; +#6168 = AXIS2_PLACEMENT_3D ( 'NONE', #9019, #9023, #9024 ) ; +#6169 = AXIS2_PLACEMENT_3D ( 'NONE', #8982, #8986, #8987 ) ; +#6170 = AXIS2_PLACEMENT_3D ( 'NONE', #9043, #9047, #9048 ) ; +#6171 = AXIS2_PLACEMENT_3D ( 'NONE', #9006, #9010, #9011 ) ; +#6172 = AXIS2_PLACEMENT_3D ( 'NONE', #9067, #9071, #9072 ) ; +#6173 = AXIS2_PLACEMENT_3D ( 'NONE', #9030, #9034, #9035 ) ; +#6174 = AXIS2_PLACEMENT_3D ( 'NONE', #9076, #9082, #9083 ) ; +#6175 = AXIS2_PLACEMENT_3D ( 'NONE', #9054, #9058, #9059 ) ; +#6176 = AXIS2_PLACEMENT_3D ( 'NONE', #9100, #9106, #9107 ) ; +#6177 = AXIS2_PLACEMENT_3D ( 'NONE', #9124, #9130, #9131 ) ; +#6178 = AXIS2_PLACEMENT_3D ( 'NONE', #9091, #9095, #9096 ) ; +#6179 = AXIS2_PLACEMENT_3D ( 'NONE', #9148, #9154, #9155 ) ; +#6180 = AXIS2_PLACEMENT_3D ( 'NONE', #9115, #9119, #9120 ) ; +#6181 = AXIS2_PLACEMENT_3D ( 'NONE', #9160, #9170, #9171 ) ; +#6182 = AXIS2_PLACEMENT_3D ( 'NONE', #9139, #9143, #9144 ) ; +#6183 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9765 ) ) ; +#6184 = AXIS2_PLACEMENT_3D ( 'NONE', #9163, #9168, #9169 ) ; +#6185 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9772 ) ) ; +#6186 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9765 ), #9766 ) ; +#6187 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9811 ) ) ; +#6188 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9779 ) ) ; +#6189 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9772 ), #9773 ) ; +#6190 = AXIS2_PLACEMENT_3D ( 'NONE', #9192, #9181, #9178 ) ; +#6191 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9786 ) ) ; +#6192 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9779 ), #9780 ) ; +#6193 = AXIS2_PLACEMENT_3D ( 'NONE', #9203, #9195, #9194 ) ; +#6194 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9786 ), #9787 ) ; +#6195 = AXIS2_PLACEMENT_3D ( 'NONE', #9213, #9205, #9204 ) ; +#6196 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9792 ), #9793 ) ; +#6197 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9792 ) ) ; +#6198 = AXIS2_PLACEMENT_3D ( 'NONE', #9214, #9224, #9225 ) ; +#6199 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9798 ), #9799 ) ; +#6200 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9798 ) ) ; +#6201 = AXIS2_PLACEMENT_3D ( 'NONE', #9193, #9235, #9236 ) ; +#6202 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9804 ), #9805 ) ; +#6203 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9804 ) ) ; +#6204 = AXIS2_PLACEMENT_3D ( 'NONE', #9226, #9246, #9247 ) ; +#6205 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9818 ) ) ; +#6206 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9811 ), #9812 ) ; +#6207 = AXIS2_PLACEMENT_3D ( 'NONE', #9256, #9245, #9237 ) ; +#6208 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9818 ), #9819 ) ; +#6209 = AXIS2_PLACEMENT_3D ( 'NONE', #9266, #9258, #9257 ) ; +#6210 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9824 ), #9825 ) ; +#6211 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9824 ) ) ; +#6212 = AXIS2_PLACEMENT_3D ( 'NONE', #9267, #9277, #9278 ) ; +#6213 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9830 ), #9831 ) ; +#6214 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9830 ) ) ; +#6215 = AXIS2_PLACEMENT_3D ( 'NONE', #9248, #9288, #9289 ) ; +#6216 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9836 ), #9837 ) ; +#6217 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9836 ) ) ; +#6218 = ORIENTED_EDGE ( 'NONE', *, *, #4584, .T. ) ; +#6219 = ORIENTED_EDGE ( 'NONE', *, *, #4210, .T. ) ; +#6220 = ORIENTED_EDGE ( 'NONE', *, *, #4206, .T. ) ; +#6221 = ORIENTED_EDGE ( 'NONE', *, *, #4476, .T. ) ; +#6222 = ORIENTED_EDGE ( 'NONE', *, *, #5693, .T. ) ; +#6223 = ORIENTED_EDGE ( 'NONE', *, *, #4066, .T. ) ; +#6224 = ORIENTED_EDGE ( 'NONE', *, *, #4587, .T. ) ; +#6225 = ORIENTED_EDGE ( 'NONE', *, *, #4589, .T. ) ; +#6226 = ORIENTED_EDGE ( 'NONE', *, *, #4284, .T. ) ; +#6227 = ORIENTED_EDGE ( 'NONE', *, *, #4455, .T. ) ; +#6228 = ORIENTED_EDGE ( 'NONE', *, *, #4590, .T. ) ; +#6229 = ORIENTED_EDGE ( 'NONE', *, *, #4592, .T. ) ; +#6230 = ORIENTED_EDGE ( 'NONE', *, *, #4277, .T. ) ; +#6231 = ORIENTED_EDGE ( 'NONE', *, *, #4591, .F. ) ; +#6232 = ORIENTED_EDGE ( 'NONE', *, *, #4281, .F. ) ; +#6233 = ORIENTED_EDGE ( 'NONE', *, *, #4457, .T. ) ; +#6234 = ORIENTED_EDGE ( 'NONE', *, *, #4264, .T. ) ; +#6235 = ORIENTED_EDGE ( 'NONE', *, *, #4459, .T. ) ; +#6236 = ORIENTED_EDGE ( 'NONE', *, *, #4271, .F. ) ; +#6237 = ORIENTED_EDGE ( 'NONE', *, *, #4594, .T. ) ; +#6238 = ORIENTED_EDGE ( 'NONE', *, *, #4278, .T. ) ; +#6239 = ORIENTED_EDGE ( 'NONE', *, *, #4594, .F. ) ; +#6240 = ORIENTED_EDGE ( 'NONE', *, *, #4280, .F. ) ; +#6241 = ORIENTED_EDGE ( 'NONE', *, *, #4591, .T. ) ; +#6242 = ORIENTED_EDGE ( 'NONE', *, *, #4596, .T. ) ; +#6243 = ORIENTED_EDGE ( 'NONE', *, *, #4468, .F. ) ; +#6244 = ORIENTED_EDGE ( 'NONE', *, *, #4575, .T. ) ; +#6245 = ORIENTED_EDGE ( 'NONE', *, *, #4588, .T. ) ; +#6246 = ORIENTED_EDGE ( 'NONE', *, *, #4462, .F. ) ; +#6247 = ORIENTED_EDGE ( 'NONE', *, *, #4597, .F. ) ; +#6248 = ORIENTED_EDGE ( 'NONE', *, *, #4595, .F. ) ; +#6249 = ORIENTED_EDGE ( 'NONE', *, *, #4598, .F. ) ; +#6250 = ORIENTED_EDGE ( 'NONE', *, *, #4598, .T. ) ; +#6251 = ORIENTED_EDGE ( 'NONE', *, *, #4599, .F. ) ; +#6252 = ORIENTED_EDGE ( 'NONE', *, *, #4575, .F. ) ; +#6253 = ORIENTED_EDGE ( 'NONE', *, *, #4469, .F. ) ; +#6254 = ORIENTED_EDGE ( 'NONE', *, *, #4595, .T. ) ; +#6255 = ORIENTED_EDGE ( 'NONE', *, *, #4600, .F. ) ; +#6256 = ORIENTED_EDGE ( 'NONE', *, *, #4588, .F. ) ; +#6257 = ORIENTED_EDGE ( 'NONE', *, *, #4599, .T. ) ; +#6258 = ORIENTED_EDGE ( 'NONE', *, *, #4597, .T. ) ; +#6259 = ORIENTED_EDGE ( 'NONE', *, *, #4464, .F. ) ; +#6260 = ORIENTED_EDGE ( 'NONE', *, *, #4596, .F. ) ; +#6261 = ORIENTED_EDGE ( 'NONE', *, *, #4600, .T. ) ; +#6262 = ORIENTED_EDGE ( 'NONE', *, *, #4604, .F. ) ; +#6263 = ORIENTED_EDGE ( 'NONE', *, *, #4467, .F. ) ; +#6264 = ORIENTED_EDGE ( 'NONE', *, *, #4601, .T. ) ; +#6265 = ORIENTED_EDGE ( 'NONE', *, *, #4593, .T. ) ; +#6266 = ORIENTED_EDGE ( 'NONE', *, *, #4607, .F. ) ; +#6267 = ORIENTED_EDGE ( 'NONE', *, *, #4593, .F. ) ; +#6268 = ORIENTED_EDGE ( 'NONE', *, *, #4602, .T. ) ; +#6269 = ORIENTED_EDGE ( 'NONE', *, *, #4605, .T. ) ; +#6270 = ORIENTED_EDGE ( 'NONE', *, *, #4610, .F. ) ; +#6271 = ORIENTED_EDGE ( 'NONE', *, *, #4605, .F. ) ; +#6272 = ORIENTED_EDGE ( 'NONE', *, *, #4606, .T. ) ; +#6273 = ORIENTED_EDGE ( 'NONE', *, *, #4470, .F. ) ; +#6274 = ORIENTED_EDGE ( 'NONE', *, *, #4471, .F. ) ; +#6275 = ORIENTED_EDGE ( 'NONE', *, *, #4604, .T. ) ; +#6276 = ORIENTED_EDGE ( 'NONE', *, *, #4607, .T. ) ; +#6277 = ORIENTED_EDGE ( 'NONE', *, *, #4610, .T. ) ; +#6278 = ORIENTED_EDGE ( 'NONE', *, *, #4601, .F. ) ; +#6279 = ORIENTED_EDGE ( 'NONE', *, *, #4465, .F. ) ; +#6280 = ORIENTED_EDGE ( 'NONE', *, *, #4606, .F. ) ; +#6281 = ORIENTED_EDGE ( 'NONE', *, *, #4602, .F. ) ; +#6282 = ORIENTED_EDGE ( 'NONE', *, *, #4564, .F. ) ; +#6283 = ORIENTED_EDGE ( 'NONE', *, *, #4608, .F. ) ; +#6284 = ORIENTED_EDGE ( 'NONE', *, *, #4568, .T. ) ; +#6285 = ORIENTED_EDGE ( 'NONE', *, *, #4456, .T. ) ; +#6286 = ORIENTED_EDGE ( 'NONE', *, *, #4559, .F. ) ; +#6287 = ORIENTED_EDGE ( 'NONE', *, *, #4609, .F. ) ; +#6288 = ORIENTED_EDGE ( 'NONE', *, *, #4566, .T. ) ; +#6289 = ORIENTED_EDGE ( 'NONE', *, *, #4608, .T. ) ; +#6290 = ORIENTED_EDGE ( 'NONE', *, *, #4569, .F. ) ; +#6291 = ORIENTED_EDGE ( 'NONE', *, *, #4461, .T. ) ; +#6292 = ORIENTED_EDGE ( 'NONE', *, *, #4570, .T. ) ; +#6293 = ORIENTED_EDGE ( 'NONE', *, *, #4609, .T. ) ; +#6294 = ORIENTED_EDGE ( 'NONE', *, *, #4267, .T. ) ; +#6295 = ORIENTED_EDGE ( 'NONE', *, *, #4352, .F. ) ; +#6296 = ORIENTED_EDGE ( 'NONE', *, *, #4261, .F. ) ; +#6297 = ORIENTED_EDGE ( 'NONE', *, *, #4612, .T. ) ; +#6298 = ORIENTED_EDGE ( 'NONE', *, *, #4274, .T. ) ; +#6299 = ORIENTED_EDGE ( 'NONE', *, *, #4612, .F. ) ; +#6300 = ORIENTED_EDGE ( 'NONE', *, *, #4268, .F. ) ; +#6301 = ORIENTED_EDGE ( 'NONE', *, *, #4614, .T. ) ; +#6302 = ORIENTED_EDGE ( 'NONE', *, *, #4273, .T. ) ; +#6303 = ORIENTED_EDGE ( 'NONE', *, *, #4614, .F. ) ; +#6304 = ORIENTED_EDGE ( 'NONE', *, *, #4269, .F. ) ; +#6305 = ORIENTED_EDGE ( 'NONE', *, *, #4359, .F. ) ; +#6306 = ORIENTED_EDGE ( 'NONE', *, *, #4616, .T. ) ; +#6307 = ORIENTED_EDGE ( 'NONE', *, *, #4382, .F. ) ; +#6308 = ORIENTED_EDGE ( 'NONE', *, *, #4613, .T. ) ; +#6309 = ORIENTED_EDGE ( 'NONE', *, *, #4611, .T. ) ; +#6310 = ORIENTED_EDGE ( 'NONE', *, *, #4383, .F. ) ; +#6311 = ORIENTED_EDGE ( 'NONE', *, *, #4617, .F. ) ; +#6312 = ORIENTED_EDGE ( 'NONE', *, *, #4615, .F. ) ; +#6313 = ORIENTED_EDGE ( 'NONE', *, *, #4618, .F. ) ; +#6314 = ORIENTED_EDGE ( 'NONE', *, *, #4618, .T. ) ; +#6315 = ORIENTED_EDGE ( 'NONE', *, *, #4619, .F. ) ; +#6316 = ORIENTED_EDGE ( 'NONE', *, *, #4613, .F. ) ; +#6317 = ORIENTED_EDGE ( 'NONE', *, *, #4378, .F. ) ; +#6318 = ORIENTED_EDGE ( 'NONE', *, *, #4615, .T. ) ; +#6319 = ORIENTED_EDGE ( 'NONE', *, *, #4620, .F. ) ; +#6320 = ORIENTED_EDGE ( 'NONE', *, *, #4611, .F. ) ; +#6321 = ORIENTED_EDGE ( 'NONE', *, *, #4619, .T. ) ; +#6322 = ORIENTED_EDGE ( 'NONE', *, *, #4617, .T. ) ; +#6323 = ORIENTED_EDGE ( 'NONE', *, *, #4384, .F. ) ; +#6324 = ORIENTED_EDGE ( 'NONE', *, *, #4616, .F. ) ; +#6325 = ORIENTED_EDGE ( 'NONE', *, *, #4620, .T. ) ; +#6326 = ORIENTED_EDGE ( 'NONE', *, *, #4578, .F. ) ; +#6327 = ORIENTED_EDGE ( 'NONE', *, *, #5745, .F. ) ; +#6328 = ORIENTED_EDGE ( 'NONE', *, *, #4573, .T. ) ; +#6329 = ORIENTED_EDGE ( 'NONE', *, *, #4622, .T. ) ; +#6330 = ORIENTED_EDGE ( 'NONE', *, *, #4577, .F. ) ; +#6331 = ORIENTED_EDGE ( 'NONE', *, *, #4622, .F. ) ; +#6332 = ORIENTED_EDGE ( 'NONE', *, *, #4572, .T. ) ; +#6333 = ORIENTED_EDGE ( 'NONE', *, *, #4624, .T. ) ; +#6334 = ORIENTED_EDGE ( 'NONE', *, *, #4576, .F. ) ; +#6335 = ORIENTED_EDGE ( 'NONE', *, *, #4624, .F. ) ; +#6336 = ORIENTED_EDGE ( 'NONE', *, *, #4571, .T. ) ; +#6337 = ORIENTED_EDGE ( 'NONE', *, *, #5747, .F. ) ; +#6338 = ORIENTED_EDGE ( 'NONE', *, *, #4626, .F. ) ; +#6339 = ORIENTED_EDGE ( 'NONE', *, *, #5700, .F. ) ; +#6340 = ORIENTED_EDGE ( 'NONE', *, *, #4623, .F. ) ; +#6341 = ORIENTED_EDGE ( 'NONE', *, *, #4603, .F. ) ; +#6342 = ORIENTED_EDGE ( 'NONE', *, *, #5709, .F. ) ; +#6343 = ORIENTED_EDGE ( 'NONE', *, *, #4627, .T. ) ; +#6344 = ORIENTED_EDGE ( 'NONE', *, *, #4625, .T. ) ; +#6345 = ORIENTED_EDGE ( 'NONE', *, *, #4628, .T. ) ; +#6346 = ORIENTED_EDGE ( 'NONE', *, *, #4628, .F. ) ; +#6347 = ORIENTED_EDGE ( 'NONE', *, *, #4629, .F. ) ; +#6348 = ORIENTED_EDGE ( 'NONE', *, *, #4623, .T. ) ; +#6349 = ORIENTED_EDGE ( 'NONE', *, *, #5702, .F. ) ; +#6350 = ORIENTED_EDGE ( 'NONE', *, *, #4625, .F. ) ; +#6351 = ORIENTED_EDGE ( 'NONE', *, *, #4630, .F. ) ; +#6352 = ORIENTED_EDGE ( 'NONE', *, *, #4603, .T. ) ; +#6353 = ORIENTED_EDGE ( 'NONE', *, *, #4629, .T. ) ; +#6354 = ORIENTED_EDGE ( 'NONE', *, *, #4627, .F. ) ; +#6355 = ORIENTED_EDGE ( 'NONE', *, *, #5699, .F. ) ; +#6356 = ORIENTED_EDGE ( 'NONE', *, *, #4626, .T. ) ; +#6357 = ORIENTED_EDGE ( 'NONE', *, *, #4630, .T. ) ; +#6358 = ORIENTED_EDGE ( 'NONE', *, *, #4540, .T. ) ; +#6359 = ORIENTED_EDGE ( 'NONE', *, *, #4621, .F. ) ; +#6360 = ORIENTED_EDGE ( 'NONE', *, *, #4229, .F. ) ; +#6361 = ORIENTED_EDGE ( 'NONE', *, *, #4632, .T. ) ; +#6362 = ORIENTED_EDGE ( 'NONE', *, *, #4548, .T. ) ; +#6363 = ORIENTED_EDGE ( 'NONE', *, *, #4549, .T. ) ; +#6364 = ORIENTED_EDGE ( 'NONE', *, *, #5687, .T. ) ; +#6365 = ORIENTED_EDGE ( 'NONE', *, *, #4253, .T. ) ; +#6366 = ORIENTED_EDGE ( 'NONE', *, *, #4250, .T. ) ; +#6367 = ORIENTED_EDGE ( 'NONE', *, *, #4247, .T. ) ; +#6368 = ORIENTED_EDGE ( 'NONE', *, *, #5679, .T. ) ; +#6369 = ORIENTED_EDGE ( 'NONE', *, *, #5676, .T. ) ; +#6370 = ORIENTED_EDGE ( 'NONE', *, *, #4633, .F. ) ; +#6371 = ORIENTED_EDGE ( 'NONE', *, *, #4232, .F. ) ; +#6372 = ORIENTED_EDGE ( 'NONE', *, *, #4634, .F. ) ; +#6373 = ORIENTED_EDGE ( 'NONE', *, *, #5778, .F. ) ; +#6374 = ORIENTED_EDGE ( 'NONE', *, *, #4635, .T. ) ; +#6375 = ORIENTED_EDGE ( 'NONE', *, *, #5673, .F. ) ; +#6376 = ORIENTED_EDGE ( 'NONE', *, *, #4636, .T. ) ; +#6377 = ORIENTED_EDGE ( 'NONE', *, *, #4537, .T. ) ; +#6378 = ORIENTED_EDGE ( 'NONE', *, *, #4266, .T. ) ; +#6379 = ORIENTED_EDGE ( 'NONE', *, *, #4263, .T. ) ; +#6380 = ORIENTED_EDGE ( 'NONE', *, *, #4260, .T. ) ; +#6381 = ORIENTED_EDGE ( 'NONE', *, *, #4256, .T. ) ; +#6382 = ORIENTED_EDGE ( 'NONE', *, *, #5691, .T. ) ; +#6383 = ORIENTED_EDGE ( 'NONE', *, *, #4205, .T. ) ; +#6384 = ORIENTED_EDGE ( 'NONE', *, *, #4640, .T. ) ; +#6385 = ORIENTED_EDGE ( 'NONE', *, *, #4226, .F. ) ; +#6386 = ORIENTED_EDGE ( 'NONE', *, *, #5797, .F. ) ; +#6387 = ORIENTED_EDGE ( 'NONE', *, *, #5780, .F. ) ; +#6388 = ORIENTED_EDGE ( 'NONE', *, *, #5795, .T. ) ; +#6389 = ORIENTED_EDGE ( 'NONE', *, *, #5670, .F. ) ; +#6390 = ORIENTED_EDGE ( 'NONE', *, *, #4638, .F. ) ; +#6391 = ORIENTED_EDGE ( 'NONE', *, *, #5776, .F. ) ; +#6392 = ORIENTED_EDGE ( 'NONE', *, *, #4638, .T. ) ; +#6393 = ORIENTED_EDGE ( 'NONE', *, *, #5675, .F. ) ; +#6394 = ORIENTED_EDGE ( 'NONE', *, *, #4637, .F. ) ; +#6395 = ORIENTED_EDGE ( 'NONE', *, *, #5781, .F. ) ; +#6396 = ORIENTED_EDGE ( 'NONE', *, *, #4637, .T. ) ; +#6397 = ORIENTED_EDGE ( 'NONE', *, *, #5671, .F. ) ; +#6398 = ORIENTED_EDGE ( 'NONE', *, *, #4635, .F. ) ; +#6399 = ORIENTED_EDGE ( 'NONE', *, *, #5777, .F. ) ; +#6400 = ORIENTED_EDGE ( 'NONE', *, *, #4634, .T. ) ; +#6401 = ORIENTED_EDGE ( 'NONE', *, *, #4239, .F. ) ; +#6402 = ORIENTED_EDGE ( 'NONE', *, *, #4639, .F. ) ; +#6403 = ORIENTED_EDGE ( 'NONE', *, *, #5782, .F. ) ; +#6404 = ORIENTED_EDGE ( 'NONE', *, *, #4639, .T. ) ; +#6405 = ORIENTED_EDGE ( 'NONE', *, *, #4234, .F. ) ; +#6406 = ORIENTED_EDGE ( 'NONE', *, *, #4640, .F. ) ; +#6407 = ORIENTED_EDGE ( 'NONE', *, *, #5783, .F. ) ; +#6408 = ORIENTED_EDGE ( 'NONE', *, *, #5672, .T. ) ; +#6409 = ORIENTED_EDGE ( 'NONE', *, *, #4631, .F. ) ; +#6410 = ORIENTED_EDGE ( 'NONE', *, *, #4231, .F. ) ; +#6411 = ORIENTED_EDGE ( 'NONE', *, *, #4633, .T. ) ; +#6412 = ORIENTED_EDGE ( 'NONE', *, *, #4544, .T. ) ; +#6413 = ORIENTED_EDGE ( 'NONE', *, *, #4643, .F. ) ; +#6414 = ORIENTED_EDGE ( 'NONE', *, *, #4230, .F. ) ; +#6415 = ORIENTED_EDGE ( 'NONE', *, *, #4631, .T. ) ; +#6416 = ORIENTED_EDGE ( 'NONE', *, *, #4545, .T. ) ; +#6417 = ORIENTED_EDGE ( 'NONE', *, *, #4641, .F. ) ; +#6418 = ORIENTED_EDGE ( 'NONE', *, *, #4220, .F. ) ; +#6419 = ORIENTED_EDGE ( 'NONE', *, *, #4643, .T. ) ; +#6420 = ORIENTED_EDGE ( 'NONE', *, *, #4546, .T. ) ; +#6421 = ORIENTED_EDGE ( 'NONE', *, *, #4644, .F. ) ; +#6422 = ORIENTED_EDGE ( 'NONE', *, *, #4218, .F. ) ; +#6423 = ORIENTED_EDGE ( 'NONE', *, *, #4641, .T. ) ; +#6424 = ORIENTED_EDGE ( 'NONE', *, *, #4547, .T. ) ; +#6425 = ORIENTED_EDGE ( 'NONE', *, *, #4632, .F. ) ; +#6426 = ORIENTED_EDGE ( 'NONE', *, *, #4217, .F. ) ; +#6427 = ORIENTED_EDGE ( 'NONE', *, *, #4644, .T. ) ; +#6428 = ORIENTED_EDGE ( 'NONE', *, *, #4539, .T. ) ; +#6429 = ORIENTED_EDGE ( 'NONE', *, *, #4642, .F. ) ; +#6430 = ORIENTED_EDGE ( 'NONE', *, *, #4241, .F. ) ; +#6431 = ORIENTED_EDGE ( 'NONE', *, *, #4621, .T. ) ; +#6432 = ORIENTED_EDGE ( 'NONE', *, *, #5682, .T. ) ; +#6433 = ORIENTED_EDGE ( 'NONE', *, *, #4647, .F. ) ; +#6434 = ORIENTED_EDGE ( 'NONE', *, *, #4212, .F. ) ; +#6435 = ORIENTED_EDGE ( 'NONE', *, *, #4642, .T. ) ; +#6436 = ORIENTED_EDGE ( 'NONE', *, *, #4534, .T. ) ; +#6437 = ORIENTED_EDGE ( 'NONE', *, *, #4646, .F. ) ; +#6438 = ORIENTED_EDGE ( 'NONE', *, *, #5667, .F. ) ; +#6439 = ORIENTED_EDGE ( 'NONE', *, *, #4647, .T. ) ; +#6440 = ORIENTED_EDGE ( 'NONE', *, *, #4535, .T. ) ; +#6441 = ORIENTED_EDGE ( 'NONE', *, *, #4648, .F. ) ; +#6442 = ORIENTED_EDGE ( 'NONE', *, *, #5669, .F. ) ; +#6443 = ORIENTED_EDGE ( 'NONE', *, *, #4646, .T. ) ; +#6444 = ORIENTED_EDGE ( 'NONE', *, *, #4536, .T. ) ; +#6445 = ORIENTED_EDGE ( 'NONE', *, *, #4636, .F. ) ; +#6446 = ORIENTED_EDGE ( 'NONE', *, *, #5674, .F. ) ; +#6447 = ORIENTED_EDGE ( 'NONE', *, *, #4648, .T. ) ; +#6448 = ORIENTED_EDGE ( 'NONE', *, *, #5697, .F. ) ; +#6449 = ORIENTED_EDGE ( 'NONE', *, *, #4645, .T. ) ; +#6450 = ORIENTED_EDGE ( 'NONE', *, *, #5696, .T. ) ; +#6451 = ORIENTED_EDGE ( 'NONE', *, *, #5792, .T. ) ; +#6452 = ORIENTED_EDGE ( 'NONE', *, *, #5720, .T. ) ; +#6453 = ORIENTED_EDGE ( 'NONE', *, *, #4651, .T. ) ; +#6454 = ORIENTED_EDGE ( 'NONE', *, *, #5719, .F. ) ; +#6455 = ORIENTED_EDGE ( 'NONE', *, *, #4652, .T. ) ; +#6456 = ORIENTED_EDGE ( 'NONE', *, *, #5733, .T. ) ; +#6457 = ORIENTED_EDGE ( 'NONE', *, *, #5793, .T. ) ; +#6458 = ORIENTED_EDGE ( 'NONE', *, *, #4655, .T. ) ; +#6459 = ORIENTED_EDGE ( 'NONE', *, *, #5721, .T. ) ; +#6460 = ORIENTED_EDGE ( 'NONE', *, *, #5718, .F. ) ; +#6461 = ORIENTED_EDGE ( 'NONE', *, *, #4645, .F. ) ; +#6462 = ORIENTED_EDGE ( 'NONE', *, *, #5701, .T. ) ; +#6463 = ORIENTED_EDGE ( 'NONE', *, *, #4651, .F. ) ; +#6464 = ORIENTED_EDGE ( 'NONE', *, *, #5712, .F. ) ; +#6465 = ORIENTED_EDGE ( 'NONE', *, *, #4655, .F. ) ; +#6466 = ORIENTED_EDGE ( 'NONE', *, *, #5698, .T. ) ; +#6467 = ORIENTED_EDGE ( 'NONE', *, *, #4652, .F. ) ; +#6468 = ORIENTED_EDGE ( 'NONE', *, *, #5800, .T. ) ; +#6469 = ORIENTED_EDGE ( 'NONE', *, *, #4654, .T. ) ; +#6470 = ORIENTED_EDGE ( 'NONE', *, *, #4650, .T. ) ; +#6471 = ORIENTED_EDGE ( 'NONE', *, *, #4649, .F. ) ; +#6472 = ORIENTED_EDGE ( 'NONE', *, *, #4656, .F. ) ; +#6473 = ORIENTED_EDGE ( 'NONE', *, *, #4238, .F. ) ; +#6474 = ORIENTED_EDGE ( 'NONE', *, *, #4660, .F. ) ; +#6475 = ORIENTED_EDGE ( 'NONE', *, *, #4659, .T. ) ; +#6476 = ORIENTED_EDGE ( 'NONE', *, *, #5796, .F. ) ; +#6477 = ORIENTED_EDGE ( 'NONE', *, *, #4219, .T. ) ; +#6478 = ORIENTED_EDGE ( 'NONE', *, *, #4661, .T. ) ; +#6479 = ORIENTED_EDGE ( 'NONE', *, *, #4657, .T. ) ; +#6480 = ORIENTED_EDGE ( 'NONE', *, *, #4649, .T. ) ; +#6481 = ORIENTED_EDGE ( 'NONE', *, *, #4653, .T. ) ; +#6482 = ORIENTED_EDGE ( 'NONE', *, *, #4657, .F. ) ; +#6483 = ORIENTED_EDGE ( 'NONE', *, *, #4663, .F. ) ; +#6484 = ORIENTED_EDGE ( 'NONE', *, *, #4233, .F. ) ; +#6485 = ORIENTED_EDGE ( 'NONE', *, *, #4656, .T. ) ; +#6486 = ORIENTED_EDGE ( 'NONE', *, *, #4663, .T. ) ; +#6487 = ORIENTED_EDGE ( 'NONE', *, *, #4661, .F. ) ; +#6488 = ORIENTED_EDGE ( 'NONE', *, *, #4650, .F. ) ; +#6489 = ORIENTED_EDGE ( 'NONE', *, *, #4664, .T. ) ; +#6490 = ORIENTED_EDGE ( 'NONE', *, *, #4660, .T. ) ; +#6491 = ORIENTED_EDGE ( 'NONE', *, *, #4653, .F. ) ; +#6492 = ORIENTED_EDGE ( 'NONE', *, *, #4067, .T. ) ; +#6493 = ORIENTED_EDGE ( 'NONE', *, *, #4665, .T. ) ; +#6494 = ORIENTED_EDGE ( 'NONE', *, *, #4658, .T. ) ; +#6495 = ORIENTED_EDGE ( 'NONE', *, *, #4666, .F. ) ; +#6496 = ORIENTED_EDGE ( 'NONE', *, *, #4662, .F. ) ; +#6497 = ORIENTED_EDGE ( 'NONE', *, *, #4225, .F. ) ; +#6498 = ORIENTED_EDGE ( 'NONE', *, *, #4671, .F. ) ; +#6499 = ORIENTED_EDGE ( 'NONE', *, *, #4670, .T. ) ; +#6500 = ORIENTED_EDGE ( 'NONE', *, *, #4204, .F. ) ; +#6501 = ORIENTED_EDGE ( 'NONE', *, *, #4223, .T. ) ; +#6502 = ORIENTED_EDGE ( 'NONE', *, *, #4672, .T. ) ; +#6503 = ORIENTED_EDGE ( 'NONE', *, *, #4668, .T. ) ; +#6504 = ORIENTED_EDGE ( 'NONE', *, *, #4666, .T. ) ; +#6505 = ORIENTED_EDGE ( 'NONE', *, *, #4667, .F. ) ; +#6506 = ORIENTED_EDGE ( 'NONE', *, *, #4668, .F. ) ; +#6507 = ORIENTED_EDGE ( 'NONE', *, *, #4674, .T. ) ; +#6508 = ORIENTED_EDGE ( 'NONE', *, *, #4236, .F. ) ; +#6509 = ORIENTED_EDGE ( 'NONE', *, *, #4662, .T. ) ; +#6510 = ORIENTED_EDGE ( 'NONE', *, *, #4674, .F. ) ; +#6511 = ORIENTED_EDGE ( 'NONE', *, *, #4672, .F. ) ; +#6512 = ORIENTED_EDGE ( 'NONE', *, *, #4658, .F. ) ; +#6513 = ORIENTED_EDGE ( 'NONE', *, *, #4675, .T. ) ; +#6514 = ORIENTED_EDGE ( 'NONE', *, *, #4671, .T. ) ; +#6515 = ORIENTED_EDGE ( 'NONE', *, *, #4667, .T. ) ; +#6516 = ORIENTED_EDGE ( 'NONE', *, *, #4068, .T. ) ; +#6517 = ORIENTED_EDGE ( 'NONE', *, *, #4676, .T. ) ; +#6518 = ORIENTED_EDGE ( 'NONE', *, *, #4669, .T. ) ; +#6519 = ORIENTED_EDGE ( 'NONE', *, *, #4677, .F. ) ; +#6520 = ORIENTED_EDGE ( 'NONE', *, *, #4673, .F. ) ; +#6521 = ORIENTED_EDGE ( 'NONE', *, *, #4224, .F. ) ; +#6522 = ORIENTED_EDGE ( 'NONE', *, *, #4682, .F. ) ; +#6523 = ORIENTED_EDGE ( 'NONE', *, *, #4681, .T. ) ; +#6524 = ORIENTED_EDGE ( 'NONE', *, *, #4072, .F. ) ; +#6525 = ORIENTED_EDGE ( 'NONE', *, *, #4228, .T. ) ; +#6526 = ORIENTED_EDGE ( 'NONE', *, *, #4683, .T. ) ; +#6527 = ORIENTED_EDGE ( 'NONE', *, *, #4679, .T. ) ; +#6528 = ORIENTED_EDGE ( 'NONE', *, *, #4677, .T. ) ; +#6529 = ORIENTED_EDGE ( 'NONE', *, *, #4678, .F. ) ; +#6530 = ORIENTED_EDGE ( 'NONE', *, *, #4679, .F. ) ; +#6531 = ORIENTED_EDGE ( 'NONE', *, *, #4685, .T. ) ; +#6532 = ORIENTED_EDGE ( 'NONE', *, *, #4237, .F. ) ; +#6533 = ORIENTED_EDGE ( 'NONE', *, *, #4673, .T. ) ; +#6534 = ORIENTED_EDGE ( 'NONE', *, *, #4685, .F. ) ; +#6535 = ORIENTED_EDGE ( 'NONE', *, *, #4683, .F. ) ; +#6536 = ORIENTED_EDGE ( 'NONE', *, *, #4669, .F. ) ; +#6537 = ORIENTED_EDGE ( 'NONE', *, *, #4686, .T. ) ; +#6538 = ORIENTED_EDGE ( 'NONE', *, *, #4682, .T. ) ; +#6539 = ORIENTED_EDGE ( 'NONE', *, *, #4678, .T. ) ; +#6540 = ORIENTED_EDGE ( 'NONE', *, *, #4076, .T. ) ; +#6541 = ORIENTED_EDGE ( 'NONE', *, *, #4687, .T. ) ; +#6542 = ORIENTED_EDGE ( 'NONE', *, *, #4680, .T. ) ; +#6543 = ORIENTED_EDGE ( 'NONE', *, *, #4688, .F. ) ; +#6544 = ORIENTED_EDGE ( 'NONE', *, *, #4684, .F. ) ; +#6545 = ORIENTED_EDGE ( 'NONE', *, *, #4214, .F. ) ; +#6546 = ORIENTED_EDGE ( 'NONE', *, *, #4693, .F. ) ; +#6547 = ORIENTED_EDGE ( 'NONE', *, *, #4692, .T. ) ; +#6548 = ORIENTED_EDGE ( 'NONE', *, *, #4074, .F. ) ; +#6549 = ORIENTED_EDGE ( 'NONE', *, *, #4213, .T. ) ; +#6550 = ORIENTED_EDGE ( 'NONE', *, *, #4694, .T. ) ; +#6551 = ORIENTED_EDGE ( 'NONE', *, *, #4690, .T. ) ; +#6552 = ORIENTED_EDGE ( 'NONE', *, *, #4688, .T. ) ; +#6553 = ORIENTED_EDGE ( 'NONE', *, *, #4689, .F. ) ; +#6554 = ORIENTED_EDGE ( 'NONE', *, *, #4690, .F. ) ; +#6555 = ORIENTED_EDGE ( 'NONE', *, *, #4696, .T. ) ; +#6556 = ORIENTED_EDGE ( 'NONE', *, *, #4227, .F. ) ; +#6557 = ORIENTED_EDGE ( 'NONE', *, *, #4684, .T. ) ; +#6558 = ORIENTED_EDGE ( 'NONE', *, *, #4696, .F. ) ; +#6559 = ORIENTED_EDGE ( 'NONE', *, *, #4694, .F. ) ; +#6560 = ORIENTED_EDGE ( 'NONE', *, *, #4680, .F. ) ; +#6561 = ORIENTED_EDGE ( 'NONE', *, *, #4697, .T. ) ; +#6562 = ORIENTED_EDGE ( 'NONE', *, *, #4693, .T. ) ; +#6563 = ORIENTED_EDGE ( 'NONE', *, *, #4689, .T. ) ; +#6564 = ORIENTED_EDGE ( 'NONE', *, *, #4080, .T. ) ; +#6565 = ORIENTED_EDGE ( 'NONE', *, *, #4698, .T. ) ; +#6566 = ORIENTED_EDGE ( 'NONE', *, *, #4691, .T. ) ; +#6567 = ORIENTED_EDGE ( 'NONE', *, *, #4699, .F. ) ; +#6568 = ORIENTED_EDGE ( 'NONE', *, *, #4695, .F. ) ; +#6569 = ORIENTED_EDGE ( 'NONE', *, *, #4235, .F. ) ; +#6570 = ORIENTED_EDGE ( 'NONE', *, *, #4704, .F. ) ; +#6571 = ORIENTED_EDGE ( 'NONE', *, *, #4703, .T. ) ; +#6572 = ORIENTED_EDGE ( 'NONE', *, *, #4078, .F. ) ; +#6573 = ORIENTED_EDGE ( 'NONE', *, *, #4240, .T. ) ; +#6574 = ORIENTED_EDGE ( 'NONE', *, *, #4705, .T. ) ; +#6575 = ORIENTED_EDGE ( 'NONE', *, *, #4701, .T. ) ; +#6576 = ORIENTED_EDGE ( 'NONE', *, *, #4699, .T. ) ; +#6577 = ORIENTED_EDGE ( 'NONE', *, *, #4700, .F. ) ; +#6578 = ORIENTED_EDGE ( 'NONE', *, *, #4701, .F. ) ; +#6579 = ORIENTED_EDGE ( 'NONE', *, *, #4707, .T. ) ; +#6580 = ORIENTED_EDGE ( 'NONE', *, *, #4215, .F. ) ; +#6581 = ORIENTED_EDGE ( 'NONE', *, *, #4695, .T. ) ; +#6582 = ORIENTED_EDGE ( 'NONE', *, *, #4707, .F. ) ; +#6583 = ORIENTED_EDGE ( 'NONE', *, *, #4705, .F. ) ; +#6584 = ORIENTED_EDGE ( 'NONE', *, *, #4691, .F. ) ; +#6585 = ORIENTED_EDGE ( 'NONE', *, *, #4708, .T. ) ; +#6586 = ORIENTED_EDGE ( 'NONE', *, *, #4704, .T. ) ; +#6587 = ORIENTED_EDGE ( 'NONE', *, *, #4700, .T. ) ; +#6588 = ORIENTED_EDGE ( 'NONE', *, *, #4084, .T. ) ; +#6589 = ORIENTED_EDGE ( 'NONE', *, *, #4709, .T. ) ; +#6590 = ORIENTED_EDGE ( 'NONE', *, *, #4702, .T. ) ; +#6591 = ORIENTED_EDGE ( 'NONE', *, *, #4710, .F. ) ; +#6592 = ORIENTED_EDGE ( 'NONE', *, *, #4706, .F. ) ; +#6593 = ORIENTED_EDGE ( 'NONE', *, *, #4222, .F. ) ; +#6594 = ORIENTED_EDGE ( 'NONE', *, *, #4715, .F. ) ; +#6595 = ORIENTED_EDGE ( 'NONE', *, *, #4714, .T. ) ; +#6596 = ORIENTED_EDGE ( 'NONE', *, *, #4082, .F. ) ; +#6597 = ORIENTED_EDGE ( 'NONE', *, *, #4221, .T. ) ; +#6598 = ORIENTED_EDGE ( 'NONE', *, *, #4716, .T. ) ; +#6599 = ORIENTED_EDGE ( 'NONE', *, *, #4712, .T. ) ; +#6600 = ORIENTED_EDGE ( 'NONE', *, *, #4710, .T. ) ; +#6601 = ORIENTED_EDGE ( 'NONE', *, *, #4711, .F. ) ; +#6602 = ORIENTED_EDGE ( 'NONE', *, *, #4712, .F. ) ; +#6603 = ORIENTED_EDGE ( 'NONE', *, *, #4718, .T. ) ; +#6604 = ORIENTED_EDGE ( 'NONE', *, *, #4216, .F. ) ; +#6605 = ORIENTED_EDGE ( 'NONE', *, *, #4706, .T. ) ; +#6606 = ORIENTED_EDGE ( 'NONE', *, *, #4718, .F. ) ; +#6607 = ORIENTED_EDGE ( 'NONE', *, *, #4716, .F. ) ; +#6608 = ORIENTED_EDGE ( 'NONE', *, *, #4702, .F. ) ; +#6609 = ORIENTED_EDGE ( 'NONE', *, *, #4719, .T. ) ; +#6610 = ORIENTED_EDGE ( 'NONE', *, *, #4715, .T. ) ; +#6611 = ORIENTED_EDGE ( 'NONE', *, *, #4711, .T. ) ; +#6612 = ORIENTED_EDGE ( 'NONE', *, *, #4088, .T. ) ; +#6613 = ORIENTED_EDGE ( 'NONE', *, *, #4720, .T. ) ; +#6614 = ORIENTED_EDGE ( 'NONE', *, *, #4713, .T. ) ; +#6615 = ORIENTED_EDGE ( 'NONE', *, *, #4721, .F. ) ; +#6616 = ORIENTED_EDGE ( 'NONE', *, *, #4717, .F. ) ; +#6617 = ORIENTED_EDGE ( 'NONE', *, *, #4202, .F. ) ; +#6618 = ORIENTED_EDGE ( 'NONE', *, *, #4726, .F. ) ; +#6619 = ORIENTED_EDGE ( 'NONE', *, *, #4725, .T. ) ; +#6620 = ORIENTED_EDGE ( 'NONE', *, *, #4086, .F. ) ; +#6621 = ORIENTED_EDGE ( 'NONE', *, *, #4200, .T. ) ; +#6622 = ORIENTED_EDGE ( 'NONE', *, *, #4727, .T. ) ; +#6623 = ORIENTED_EDGE ( 'NONE', *, *, #4723, .T. ) ; +#6624 = ORIENTED_EDGE ( 'NONE', *, *, #4721, .T. ) ; +#6625 = ORIENTED_EDGE ( 'NONE', *, *, #4722, .T. ) ; +#6626 = ORIENTED_EDGE ( 'NONE', *, *, #4723, .F. ) ; +#6627 = ORIENTED_EDGE ( 'NONE', *, *, #4729, .F. ) ; +#6628 = ORIENTED_EDGE ( 'NONE', *, *, #4062, .F. ) ; +#6629 = ORIENTED_EDGE ( 'NONE', *, *, #4717, .T. ) ; +#6630 = ORIENTED_EDGE ( 'NONE', *, *, #4729, .T. ) ; +#6631 = ORIENTED_EDGE ( 'NONE', *, *, #4727, .F. ) ; +#6632 = ORIENTED_EDGE ( 'NONE', *, *, #4713, .F. ) ; +#6633 = ORIENTED_EDGE ( 'NONE', *, *, #4730, .T. ) ; +#6634 = ORIENTED_EDGE ( 'NONE', *, *, #4726, .T. ) ; +#6635 = ORIENTED_EDGE ( 'NONE', *, *, #4722, .F. ) ; +#6636 = ORIENTED_EDGE ( 'NONE', *, *, #4092, .T. ) ; +#6637 = ORIENTED_EDGE ( 'NONE', *, *, #4731, .T. ) ; +#6638 = ORIENTED_EDGE ( 'NONE', *, *, #4724, .T. ) ; +#6639 = ORIENTED_EDGE ( 'NONE', *, *, #4732, .F. ) ; +#6640 = ORIENTED_EDGE ( 'NONE', *, *, #4728, .F. ) ; +#6641 = ORIENTED_EDGE ( 'NONE', *, *, #4199, .F. ) ; +#6642 = ORIENTED_EDGE ( 'NONE', *, *, #4737, .F. ) ; +#6643 = ORIENTED_EDGE ( 'NONE', *, *, #4736, .T. ) ; +#6644 = ORIENTED_EDGE ( 'NONE', *, *, #4090, .F. ) ; +#6645 = ORIENTED_EDGE ( 'NONE', *, *, #4197, .T. ) ; +#6646 = ORIENTED_EDGE ( 'NONE', *, *, #4738, .T. ) ; +#6647 = ORIENTED_EDGE ( 'NONE', *, *, #4734, .T. ) ; +#6648 = ORIENTED_EDGE ( 'NONE', *, *, #4732, .T. ) ; +#6649 = ORIENTED_EDGE ( 'NONE', *, *, #4733, .T. ) ; +#6650 = ORIENTED_EDGE ( 'NONE', *, *, #4734, .F. ) ; +#6651 = ORIENTED_EDGE ( 'NONE', *, *, #4740, .F. ) ; +#6652 = ORIENTED_EDGE ( 'NONE', *, *, #4201, .F. ) ; +#6653 = ORIENTED_EDGE ( 'NONE', *, *, #4728, .T. ) ; +#6654 = ORIENTED_EDGE ( 'NONE', *, *, #4740, .T. ) ; +#6655 = ORIENTED_EDGE ( 'NONE', *, *, #4738, .F. ) ; +#6656 = ORIENTED_EDGE ( 'NONE', *, *, #4724, .F. ) ; +#6657 = ORIENTED_EDGE ( 'NONE', *, *, #4741, .T. ) ; +#6658 = ORIENTED_EDGE ( 'NONE', *, *, #4737, .T. ) ; +#6659 = ORIENTED_EDGE ( 'NONE', *, *, #4733, .F. ) ; +#6660 = ORIENTED_EDGE ( 'NONE', *, *, #4096, .T. ) ; +#6661 = ORIENTED_EDGE ( 'NONE', *, *, #4742, .T. ) ; +#6662 = ORIENTED_EDGE ( 'NONE', *, *, #4735, .T. ) ; +#6663 = ORIENTED_EDGE ( 'NONE', *, *, #4743, .F. ) ; +#6664 = ORIENTED_EDGE ( 'NONE', *, *, #4739, .F. ) ; +#6665 = ORIENTED_EDGE ( 'NONE', *, *, #4196, .F. ) ; +#6666 = ORIENTED_EDGE ( 'NONE', *, *, #4748, .F. ) ; +#6667 = ORIENTED_EDGE ( 'NONE', *, *, #4747, .T. ) ; +#6668 = ORIENTED_EDGE ( 'NONE', *, *, #4094, .F. ) ; +#6669 = ORIENTED_EDGE ( 'NONE', *, *, #4194, .T. ) ; +#6670 = ORIENTED_EDGE ( 'NONE', *, *, #4749, .T. ) ; +#6671 = ORIENTED_EDGE ( 'NONE', *, *, #4745, .T. ) ; +#6672 = ORIENTED_EDGE ( 'NONE', *, *, #4743, .T. ) ; +#6673 = ORIENTED_EDGE ( 'NONE', *, *, #4744, .T. ) ; +#6674 = ORIENTED_EDGE ( 'NONE', *, *, #4745, .F. ) ; +#6675 = ORIENTED_EDGE ( 'NONE', *, *, #4751, .F. ) ; +#6676 = ORIENTED_EDGE ( 'NONE', *, *, #4198, .F. ) ; +#6677 = ORIENTED_EDGE ( 'NONE', *, *, #4739, .T. ) ; +#6678 = ORIENTED_EDGE ( 'NONE', *, *, #4751, .T. ) ; +#6679 = ORIENTED_EDGE ( 'NONE', *, *, #4749, .F. ) ; +#6680 = ORIENTED_EDGE ( 'NONE', *, *, #4735, .F. ) ; +#6681 = ORIENTED_EDGE ( 'NONE', *, *, #4752, .T. ) ; +#6682 = ORIENTED_EDGE ( 'NONE', *, *, #4748, .T. ) ; +#6683 = ORIENTED_EDGE ( 'NONE', *, *, #4744, .F. ) ; +#6684 = ORIENTED_EDGE ( 'NONE', *, *, #4100, .T. ) ; +#6685 = ORIENTED_EDGE ( 'NONE', *, *, #4753, .T. ) ; +#6686 = ORIENTED_EDGE ( 'NONE', *, *, #4746, .T. ) ; +#6687 = ORIENTED_EDGE ( 'NONE', *, *, #4754, .F. ) ; +#6688 = ORIENTED_EDGE ( 'NONE', *, *, #4750, .F. ) ; +#6689 = ORIENTED_EDGE ( 'NONE', *, *, #4190, .F. ) ; +#6690 = ORIENTED_EDGE ( 'NONE', *, *, #4759, .F. ) ; +#6691 = ORIENTED_EDGE ( 'NONE', *, *, #4758, .T. ) ; +#6692 = ORIENTED_EDGE ( 'NONE', *, *, #4098, .F. ) ; +#6693 = ORIENTED_EDGE ( 'NONE', *, *, #4193, .T. ) ; +#6694 = ORIENTED_EDGE ( 'NONE', *, *, #4760, .T. ) ; +#6695 = ORIENTED_EDGE ( 'NONE', *, *, #4756, .T. ) ; +#6696 = ORIENTED_EDGE ( 'NONE', *, *, #4754, .T. ) ; +#6697 = ORIENTED_EDGE ( 'NONE', *, *, #4755, .T. ) ; +#6698 = ORIENTED_EDGE ( 'NONE', *, *, #4756, .F. ) ; +#6699 = ORIENTED_EDGE ( 'NONE', *, *, #4762, .F. ) ; +#6700 = ORIENTED_EDGE ( 'NONE', *, *, #4195, .F. ) ; +#6701 = ORIENTED_EDGE ( 'NONE', *, *, #4750, .T. ) ; +#6702 = ORIENTED_EDGE ( 'NONE', *, *, #4762, .T. ) ; +#6703 = ORIENTED_EDGE ( 'NONE', *, *, #4760, .F. ) ; +#6704 = ORIENTED_EDGE ( 'NONE', *, *, #4746, .F. ) ; +#6705 = ORIENTED_EDGE ( 'NONE', *, *, #4763, .T. ) ; +#6706 = ORIENTED_EDGE ( 'NONE', *, *, #4759, .T. ) ; +#6707 = ORIENTED_EDGE ( 'NONE', *, *, #4755, .F. ) ; +#6708 = ORIENTED_EDGE ( 'NONE', *, *, #4766, .F. ) ; +#6709 = ORIENTED_EDGE ( 'NONE', *, *, #4765, .T. ) ; +#6710 = ORIENTED_EDGE ( 'NONE', *, *, #4102, .F. ) ; +#6711 = ORIENTED_EDGE ( 'NONE', *, *, #4191, .T. ) ; +#6712 = ORIENTED_EDGE ( 'NONE', *, *, #4767, .T. ) ; +#6713 = ORIENTED_EDGE ( 'NONE', *, *, #4757, .T. ) ; +#6714 = ORIENTED_EDGE ( 'NONE', *, *, #4104, .T. ) ; +#6715 = ORIENTED_EDGE ( 'NONE', *, *, #4764, .T. ) ; +#6716 = ORIENTED_EDGE ( 'NONE', *, *, #4768, .T. ) ; +#6717 = ORIENTED_EDGE ( 'NONE', *, *, #4769, .F. ) ; +#6718 = ORIENTED_EDGE ( 'NONE', *, *, #4761, .F. ) ; +#6719 = ORIENTED_EDGE ( 'NONE', *, *, #4188, .F. ) ; +#6720 = ORIENTED_EDGE ( 'NONE', *, *, #4769, .T. ) ; +#6721 = ORIENTED_EDGE ( 'NONE', *, *, #4770, .T. ) ; +#6722 = ORIENTED_EDGE ( 'NONE', *, *, #4757, .F. ) ; +#6723 = ORIENTED_EDGE ( 'NONE', *, *, #5637, .F. ) ; +#6724 = ORIENTED_EDGE ( 'NONE', *, *, #4189, .F. ) ; +#6725 = ORIENTED_EDGE ( 'NONE', *, *, #4761, .T. ) ; +#6726 = ORIENTED_EDGE ( 'NONE', *, *, #5637, .T. ) ; +#6727 = ORIENTED_EDGE ( 'NONE', *, *, #4767, .F. ) ; +#6728 = ORIENTED_EDGE ( 'NONE', *, *, #4768, .F. ) ; +#6729 = ORIENTED_EDGE ( 'NONE', *, *, #5638, .T. ) ; +#6730 = ORIENTED_EDGE ( 'NONE', *, *, #4766, .T. ) ; +#6731 = ORIENTED_EDGE ( 'NONE', *, *, #4770, .F. ) ; +#6732 = ORIENTED_EDGE ( 'NONE', *, *, #5641, .F. ) ; +#6733 = ORIENTED_EDGE ( 'NONE', *, *, #5640, .T. ) ; +#6734 = ORIENTED_EDGE ( 'NONE', *, *, #5789, .F. ) ; +#6735 = ORIENTED_EDGE ( 'NONE', *, *, #4192, .T. ) ; +#6736 = ORIENTED_EDGE ( 'NONE', *, *, #5642, .T. ) ; +#6737 = ORIENTED_EDGE ( 'NONE', *, *, #5636, .T. ) ; +#6738 = ORIENTED_EDGE ( 'NONE', *, *, #5788, .T. ) ; +#6739 = ORIENTED_EDGE ( 'NONE', *, *, #5639, .T. ) ; +#6740 = ORIENTED_EDGE ( 'NONE', *, *, #5643, .T. ) ; +#6741 = ORIENTED_EDGE ( 'NONE', *, *, #5644, .F. ) ; +#6742 = ORIENTED_EDGE ( 'NONE', *, *, #4771, .F. ) ; +#6743 = ORIENTED_EDGE ( 'NONE', *, *, #5678, .F. ) ; +#6744 = ORIENTED_EDGE ( 'NONE', *, *, #5644, .T. ) ; +#6745 = ORIENTED_EDGE ( 'NONE', *, *, #5645, .F. ) ; +#6746 = ORIENTED_EDGE ( 'NONE', *, *, #5636, .F. ) ; +#6747 = ORIENTED_EDGE ( 'NONE', *, *, #5648, .T. ) ; +#6748 = ORIENTED_EDGE ( 'NONE', *, *, #5666, .F. ) ; +#6749 = ORIENTED_EDGE ( 'NONE', *, *, #4771, .T. ) ; +#6750 = ORIENTED_EDGE ( 'NONE', *, *, #5648, .F. ) ; +#6751 = ORIENTED_EDGE ( 'NONE', *, *, #5642, .F. ) ; +#6752 = ORIENTED_EDGE ( 'NONE', *, *, #5643, .F. ) ; +#6753 = ORIENTED_EDGE ( 'NONE', *, *, #5649, .T. ) ; +#6754 = ORIENTED_EDGE ( 'NONE', *, *, #5641, .T. ) ; +#6755 = ORIENTED_EDGE ( 'NONE', *, *, #5645, .T. ) ; +#6756 = ORIENTED_EDGE ( 'NONE', *, *, #4670, .F. ) ; +#6757 = ORIENTED_EDGE ( 'NONE', *, *, #4675, .F. ) ; +#6758 = ORIENTED_EDGE ( 'NONE', *, *, #4665, .F. ) ; +#6759 = ORIENTED_EDGE ( 'NONE', *, *, #4073, .T. ) ; +#6760 = ORIENTED_EDGE ( 'NONE', *, *, #4654, .F. ) ; +#6761 = ORIENTED_EDGE ( 'NONE', *, *, #5798, .F. ) ; +#6762 = ORIENTED_EDGE ( 'NONE', *, *, #4659, .F. ) ; +#6763 = ORIENTED_EDGE ( 'NONE', *, *, #4664, .F. ) ; +#6764 = ORIENTED_EDGE ( 'NONE', *, *, #4681, .F. ) ; +#6765 = ORIENTED_EDGE ( 'NONE', *, *, #4686, .F. ) ; +#6766 = ORIENTED_EDGE ( 'NONE', *, *, #4676, .F. ) ; +#6767 = ORIENTED_EDGE ( 'NONE', *, *, #4070, .T. ) ; +#6768 = ORIENTED_EDGE ( 'NONE', *, *, #4692, .F. ) ; +#6769 = ORIENTED_EDGE ( 'NONE', *, *, #4697, .F. ) ; +#6770 = ORIENTED_EDGE ( 'NONE', *, *, #4687, .F. ) ; +#6771 = ORIENTED_EDGE ( 'NONE', *, *, #4075, .T. ) ; +#6772 = ORIENTED_EDGE ( 'NONE', *, *, #4703, .F. ) ; +#6773 = ORIENTED_EDGE ( 'NONE', *, *, #4708, .F. ) ; +#6774 = ORIENTED_EDGE ( 'NONE', *, *, #4698, .F. ) ; +#6775 = ORIENTED_EDGE ( 'NONE', *, *, #4079, .T. ) ; +#6776 = ORIENTED_EDGE ( 'NONE', *, *, #4714, .F. ) ; +#6777 = ORIENTED_EDGE ( 'NONE', *, *, #4719, .F. ) ; +#6778 = ORIENTED_EDGE ( 'NONE', *, *, #4709, .F. ) ; +#6779 = ORIENTED_EDGE ( 'NONE', *, *, #4083, .T. ) ; +#6780 = ORIENTED_EDGE ( 'NONE', *, *, #4720, .F. ) ; +#6781 = ORIENTED_EDGE ( 'NONE', *, *, #4087, .F. ) ; +#6782 = ORIENTED_EDGE ( 'NONE', *, *, #4725, .F. ) ; +#6783 = ORIENTED_EDGE ( 'NONE', *, *, #4730, .F. ) ; +#6784 = ORIENTED_EDGE ( 'NONE', *, *, #4731, .F. ) ; +#6785 = ORIENTED_EDGE ( 'NONE', *, *, #4091, .F. ) ; +#6786 = ORIENTED_EDGE ( 'NONE', *, *, #4736, .F. ) ; +#6787 = ORIENTED_EDGE ( 'NONE', *, *, #4741, .F. ) ; +#6788 = ORIENTED_EDGE ( 'NONE', *, *, #4742, .F. ) ; +#6789 = ORIENTED_EDGE ( 'NONE', *, *, #4095, .F. ) ; +#6790 = ORIENTED_EDGE ( 'NONE', *, *, #4747, .F. ) ; +#6791 = ORIENTED_EDGE ( 'NONE', *, *, #4752, .F. ) ; +#6792 = ORIENTED_EDGE ( 'NONE', *, *, #4753, .F. ) ; +#6793 = ORIENTED_EDGE ( 'NONE', *, *, #4099, .F. ) ; +#6794 = ORIENTED_EDGE ( 'NONE', *, *, #4758, .F. ) ; +#6795 = ORIENTED_EDGE ( 'NONE', *, *, #4763, .F. ) ; +#6796 = ORIENTED_EDGE ( 'NONE', *, *, #4764, .F. ) ; +#6797 = ORIENTED_EDGE ( 'NONE', *, *, #4103, .F. ) ; +#6798 = ORIENTED_EDGE ( 'NONE', *, *, #4765, .F. ) ; +#6799 = ORIENTED_EDGE ( 'NONE', *, *, #5638, .F. ) ; +#6800 = ORIENTED_EDGE ( 'NONE', *, *, #5640, .F. ) ; +#6801 = ORIENTED_EDGE ( 'NONE', *, *, #5649, .F. ) ; +#6802 = ORIENTED_EDGE ( 'NONE', *, *, #5639, .F. ) ; +#6803 = ORIENTED_EDGE ( 'NONE', *, *, #5790, .T. ) ; +#6804 = ORIENTED_EDGE ( 'NONE', *, *, #5652, .F. ) ; +#6805 = ORIENTED_EDGE ( 'NONE', *, *, #5651, .T. ) ; +#6806 = ORIENTED_EDGE ( 'NONE', *, *, #5646, .T. ) ; +#6807 = ORIENTED_EDGE ( 'NONE', *, *, #5784, .T. ) ; +#6808 = ORIENTED_EDGE ( 'NONE', *, *, #5655, .F. ) ; +#6809 = ORIENTED_EDGE ( 'NONE', *, *, #5654, .T. ) ; +#6810 = ORIENTED_EDGE ( 'NONE', *, *, #5652, .T. ) ; +#6811 = ORIENTED_EDGE ( 'NONE', *, *, #5785, .T. ) ; +#6812 = ORIENTED_EDGE ( 'NONE', *, *, #5656, .F. ) ; +#6813 = ORIENTED_EDGE ( 'NONE', *, *, #5650, .T. ) ; +#6814 = ORIENTED_EDGE ( 'NONE', *, *, #5655, .T. ) ; +#6815 = ORIENTED_EDGE ( 'NONE', *, *, #5786, .T. ) ; +#6816 = ORIENTED_EDGE ( 'NONE', *, *, #5646, .F. ) ; +#6817 = ORIENTED_EDGE ( 'NONE', *, *, #5653, .T. ) ; +#6818 = ORIENTED_EDGE ( 'NONE', *, *, #5656, .T. ) ; +#6819 = ORIENTED_EDGE ( 'NONE', *, *, #5787, .T. ) ; +#6820 = ORIENTED_EDGE ( 'NONE', *, *, #5659, .T. ) ; +#6821 = ORIENTED_EDGE ( 'NONE', *, *, #5658, .T. ) ; +#6822 = ORIENTED_EDGE ( 'NONE', *, *, #5647, .T. ) ; +#6823 = ORIENTED_EDGE ( 'NONE', *, *, #5660, .T. ) ; +#6824 = ORIENTED_EDGE ( 'NONE', *, *, #5662, .F. ) ; +#6825 = ORIENTED_EDGE ( 'NONE', *, *, #5654, .F. ) ; +#6826 = ORIENTED_EDGE ( 'NONE', *, *, #5657, .F. ) ; +#6827 = ORIENTED_EDGE ( 'NONE', *, *, #5660, .F. ) ; +#6828 = ORIENTED_EDGE ( 'NONE', *, *, #5657, .T. ) ; +#6829 = ORIENTED_EDGE ( 'NONE', *, *, #5650, .F. ) ; +#6830 = ORIENTED_EDGE ( 'NONE', *, *, #5661, .F. ) ; +#6831 = ORIENTED_EDGE ( 'NONE', *, *, #5659, .F. ) ; +#6832 = ORIENTED_EDGE ( 'NONE', *, *, #5662, .T. ) ; +#6833 = ORIENTED_EDGE ( 'NONE', *, *, #5647, .F. ) ; +#6834 = ORIENTED_EDGE ( 'NONE', *, *, #5663, .F. ) ; +#6835 = ORIENTED_EDGE ( 'NONE', *, *, #5651, .F. ) ; +#6836 = ORIENTED_EDGE ( 'NONE', *, *, #5661, .T. ) ; +#6837 = ORIENTED_EDGE ( 'NONE', *, *, #5653, .F. ) ; +#6838 = ORIENTED_EDGE ( 'NONE', *, *, #5663, .T. ) ; +#6839 = ORIENTED_EDGE ( 'NONE', *, *, #5658, .F. ) ; +#6840 = ORIENTED_EDGE ( 'NONE', *, *, #4084, .F. ) ; +#6841 = ORIENTED_EDGE ( 'NONE', *, *, #4083, .F. ) ; +#6842 = LINE ( 'NONE', #2605, #6856 ) ; +#6843 = COLOUR_RGB ( '',1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ; +#6844 = VECTOR ( 'NONE', #2601, 1000.000000000000000 ) ; +#6845 = LINE ( 'NONE', #2600, #6844 ) ; +#6846 = SURFACE_STYLE_FILL_AREA ( #2068 ) ; +#6847 = PRESENTATION_STYLE_ASSIGNMENT (( #2070 ) ) ; +#6848 = STYLED_ITEM ( 'NONE', ( #6847 ), #1516 ) ; +#6849 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1517 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2071, #2072, #2073 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6850 = LINE ( 'NONE', #2603, #6854 ) ; +#6851 = VECTOR ( 'NONE', #2604, 1000.000000000000000 ) ; +#6852 = LINE ( 'NONE', #2602, #6851 ) ; +#6853 = LINE ( 'NONE', #2607, #6858 ) ; +#6854 = VECTOR ( 'NONE', #2606, 1000.000000000000000 ) ; +#6855 = LINE ( 'NONE', #2609, #6862 ) ; +#6856 = VECTOR ( 'NONE', #2608, 1000.000000000000000 ) ; +#6857 = LINE ( 'NONE', #2613, #6866 ) ; +#6858 = VECTOR ( 'NONE', #2610, 1000.000000000000000 ) ; +#6859 = LINE ( 'NONE', #2617, #6868 ) ; +#6860 = LINE ( 'NONE', #2611, #6861 ) ; +#6861 = VECTOR ( 'NONE', #2612, 1000.000000000000000 ) ; +#6862 = VECTOR ( 'NONE', #2614, 1000.000000000000000 ) ; +#6863 = LINE ( 'NONE', #2619, #6872 ) ; +#6864 = LINE ( 'NONE', #2615, #6865 ) ; +#6865 = VECTOR ( 'NONE', #2616, 1000.000000000000000 ) ; +#6866 = VECTOR ( 'NONE', #2618, 1000.000000000000000 ) ; +#6867 = LINE ( 'NONE', #2623, #6874 ) ; +#6868 = VECTOR ( 'NONE', #2620, 1000.000000000000000 ) ; +#6869 = LINE ( 'NONE', #2625, #6880 ) ; +#6870 = LINE ( 'NONE', #2621, #6871 ) ; +#6871 = VECTOR ( 'NONE', #2622, 1000.000000000000000 ) ; +#6872 = VECTOR ( 'NONE', #2624, 1000.000000000000000 ) ; +#6873 = LINE ( 'NONE', #2631, #6882 ) ; +#6874 = VECTOR ( 'NONE', #2626, 1000.000000000000000 ) ; +#6875 = LINE ( 'NONE', #2633, #6888 ) ; +#6876 = LINE ( 'NONE', #2627, #6877 ) ; +#6877 = VECTOR ( 'NONE', #2628, 1000.000000000000000 ) ; +#6878 = LINE ( 'NONE', #2629, #6879 ) ; +#6879 = VECTOR ( 'NONE', #2630, 1000.000000000000000 ) ; +#6880 = VECTOR ( 'NONE', #2632, 1000.000000000000000 ) ; +#6881 = LINE ( 'NONE', #2639, #6890 ) ; +#6882 = VECTOR ( 'NONE', #2634, 1000.000000000000000 ) ; +#6883 = LINE ( 'NONE', #2641, #6898 ) ; +#6884 = LINE ( 'NONE', #2635, #6885 ) ; +#6885 = VECTOR ( 'NONE', #2636, 1000.000000000000000 ) ; +#6886 = LINE ( 'NONE', #2637, #6887 ) ; +#6887 = VECTOR ( 'NONE', #2638, 1000.000000000000000 ) ; +#6888 = VECTOR ( 'NONE', #2640, 1000.000000000000000 ) ; +#6889 = LINE ( 'NONE', #2649, #6906 ) ; +#6890 = VECTOR ( 'NONE', #2642, 1000.000000000000000 ) ; +#6891 = LINE ( 'NONE', #2657, #6914 ) ; +#6892 = LINE ( 'NONE', #2643, #6893 ) ; +#6893 = VECTOR ( 'NONE', #2644, 1000.000000000000000 ) ; +#6894 = LINE ( 'NONE', #2645, #6895 ) ; +#6895 = VECTOR ( 'NONE', #2646, 1000.000000000000000 ) ; +#6896 = LINE ( 'NONE', #2647, #6897 ) ; +#6897 = VECTOR ( 'NONE', #2648, 1000.000000000000000 ) ; +#6898 = VECTOR ( 'NONE', #2650, 1000.000000000000000 ) ; +#6899 = LINE ( 'NONE', #2665, #6922 ) ; +#6900 = LINE ( 'NONE', #2651, #6901 ) ; +#6901 = VECTOR ( 'NONE', #2652, 1000.000000000000000 ) ; +#6902 = LINE ( 'NONE', #2653, #6903 ) ; +#6903 = VECTOR ( 'NONE', #2654, 1000.000000000000000 ) ; +#6904 = LINE ( 'NONE', #2655, #6905 ) ; +#6905 = VECTOR ( 'NONE', #2656, 1000.000000000000000 ) ; +#6906 = VECTOR ( 'NONE', #2658, 1000.000000000000000 ) ; +#6907 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#6908 = LINE ( 'NONE', #2659, #6909 ) ; +#6909 = VECTOR ( 'NONE', #2660, 1000.000000000000000 ) ; +#6910 = LINE ( 'NONE', #2661, #6911 ) ; +#6911 = VECTOR ( 'NONE', #2662, 1000.000000000000000 ) ; +#6912 = LINE ( 'NONE', #2663, #6913 ) ; +#6913 = VECTOR ( 'NONE', #2664, 1000.000000000000000 ) ; +#6914 = VECTOR ( 'NONE', #2666, 1000.000000000000000 ) ; +#6915 = CYLINDRICAL_SURFACE ( 'NONE', #5826, 0.09999999999999993600 ) ; +#6916 = LINE ( 'NONE', #2667, #6917 ) ; +#6917 = VECTOR ( 'NONE', #2668, 1000.000000000000000 ) ; +#6918 = LINE ( 'NONE', #2669, #6919 ) ; +#6919 = VECTOR ( 'NONE', #2670, 1000.000000000000000 ) ; +#6920 = LINE ( 'NONE', #2672, #6921 ) ; +#6921 = VECTOR ( 'NONE', #2673, 1000.000000000000000 ) ; +#6922 = VECTOR ( 'NONE', #2675, 1000.000000000000000 ) ; +#6923 = FACE_OUTER_BOUND ( 'NONE', #1421, .T. ) ; +#6924 = LINE ( 'NONE', #2676, #6925 ) ; +#6925 = VECTOR ( 'NONE', #2677, 1000.000000000000000 ) ; +#6926 = LINE ( 'NONE', #2678, #6927 ) ; +#6927 = VECTOR ( 'NONE', #2679, 1000.000000000000000 ) ; +#6928 = LINE ( 'NONE', #2680, #6929 ) ; +#6929 = VECTOR ( 'NONE', #2681, 1000.000000000000000 ) ; +#6930 = SURFACE_STYLE_FILL_AREA ( #2695 ) ; +#6931 = FACE_OUTER_BOUND ( 'NONE', #1418, .T. ) ; +#6932 = CYLINDRICAL_SURFACE ( 'NONE', #5829, 0.09999999999999993600 ) ; +#6933 = SURFACE_STYLE_FILL_AREA ( #2683 ) ; +#6934 = PRESENTATION_STYLE_ASSIGNMENT (( #2685 ) ) ; +#6935 = STYLED_ITEM ( 'NONE', ( #6934 ), #4105 ) ; +#6936 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1518 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2686, #2687, #2688 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6937 = FACE_OUTER_BOUND ( 'NONE', #1413, .T. ) ; +#6938 = SURFACE_STYLE_FILL_AREA ( #2706 ) ; +#6939 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#6940 = PRESENTATION_STYLE_ASSIGNMENT (( #2697 ) ) ; +#6941 = STYLED_ITEM ( 'NONE', ( #6940 ), #4106 ) ; +#6942 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1519 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2698, #2699, #2700 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6943 = FACE_OUTER_BOUND ( 'NONE', #1425, .T. ) ; +#6944 = SURFACE_STYLE_FILL_AREA ( #2717 ) ; +#6945 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#6946 = PRESENTATION_STYLE_ASSIGNMENT (( #2708 ) ) ; +#6947 = STYLED_ITEM ( 'NONE', ( #6946 ), #4107 ) ; +#6948 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1520 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2709, #2710, #2711 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6949 = FACE_OUTER_BOUND ( 'NONE', #1437, .T. ) ; +#6950 = SURFACE_STYLE_FILL_AREA ( #2728 ) ; +#6951 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#6952 = PRESENTATION_STYLE_ASSIGNMENT (( #2719 ) ) ; +#6953 = STYLED_ITEM ( 'NONE', ( #6952 ), #4108 ) ; +#6954 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1521 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2720, #2721, #2722 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6955 = FACE_OUTER_BOUND ( 'NONE', #1409, .T. ) ; +#6956 = SURFACE_STYLE_FILL_AREA ( #2739 ) ; +#6957 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#6958 = PRESENTATION_STYLE_ASSIGNMENT (( #2730 ) ) ; +#6959 = STYLED_ITEM ( 'NONE', ( #6958 ), #4109 ) ; +#6960 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1522 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2731, #2732, #2733 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6961 = FACE_OUTER_BOUND ( 'NONE', #1415, .T. ) ; +#6962 = SURFACE_STYLE_FILL_AREA ( #2750 ) ; +#6963 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#6964 = PRESENTATION_STYLE_ASSIGNMENT (( #2741 ) ) ; +#6965 = STYLED_ITEM ( 'NONE', ( #6964 ), #4110 ) ; +#6966 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1523 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2742, #2743, #2744 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6967 = FACE_OUTER_BOUND ( 'NONE', #1411, .T. ) ; +#6968 = SURFACE_STYLE_FILL_AREA ( #2760 ) ; +#6969 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#6970 = PRESENTATION_STYLE_ASSIGNMENT (( #2752 ) ) ; +#6971 = STYLED_ITEM ( 'NONE', ( #6970 ), #4111 ) ; +#6972 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1524 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2753, #2754, #2755 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6973 = FACE_OUTER_BOUND ( 'NONE', #1410, .T. ) ; +#6974 = CYLINDRICAL_SURFACE ( 'NONE', #5838, 0.07000000000000018700 ) ; +#6975 = SURFACE_STYLE_FILL_AREA ( #13011 ) ; +#6976 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#6977 = PRESENTATION_STYLE_ASSIGNMENT (( #2762 ) ) ; +#6978 = STYLED_ITEM ( 'NONE', ( #6977 ), #4112 ) ; +#6979 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1525 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2763, #2764, #2765 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6980 = FACE_OUTER_BOUND ( 'NONE', #1416, .T. ) ; +#6981 = CYLINDRICAL_SURFACE ( 'NONE', #5847, 0.06999999999999975700 ) ; +#6982 = SURFACE_STYLE_FILL_AREA ( #2775 ) ; +#6983 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#6984 = PRESENTATION_STYLE_ASSIGNMENT (( #4060 ) ) ; +#6985 = STYLED_ITEM ( 'NONE', ( #6984 ), #4113 ) ; +#6986 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1526 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #4061, #4, #2769 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6987 = FACE_OUTER_BOUND ( 'NONE', #1412, .T. ) ; +#6988 = SURFACE_STYLE_FILL_AREA ( #2787 ) ; +#6989 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#6990 = PRESENTATION_STYLE_ASSIGNMENT (( #2777 ) ) ; +#6991 = STYLED_ITEM ( 'NONE', ( #6990 ), #4114 ) ; +#6992 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1527 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2778, #2779, #2780 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6993 = FACE_OUTER_BOUND ( 'NONE', #1419, .T. ) ; +#6994 = SURFACE_STYLE_FILL_AREA ( #2797 ) ; +#6995 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#6996 = PRESENTATION_STYLE_ASSIGNMENT (( #2789 ) ) ; +#6997 = STYLED_ITEM ( 'NONE', ( #6996 ), #4115 ) ; +#6998 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1528 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2790, #2791, #2792 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#6999 = FACE_OUTER_BOUND ( 'NONE', #1424, .T. ) ; +#7000 = CYLINDRICAL_SURFACE ( 'NONE', #5844, 0.07000000000000018700 ) ; +#7001 = SURFACE_STYLE_FILL_AREA ( #2808 ) ; +#7002 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7003 = PRESENTATION_STYLE_ASSIGNMENT (( #2799 ) ) ; +#7004 = STYLED_ITEM ( 'NONE', ( #7003 ), #4116 ) ; +#7005 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1529 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2800, #2801, #2802 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7006 = FACE_OUTER_BOUND ( 'NONE', #1428, .T. ) ; +#7007 = SURFACE_STYLE_FILL_AREA ( #2818 ) ; +#7008 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7009 = PRESENTATION_STYLE_ASSIGNMENT (( #2810 ) ) ; +#7010 = STYLED_ITEM ( 'NONE', ( #7009 ), #4117 ) ; +#7011 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1530 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2811, #2812, #2813 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7012 = FACE_OUTER_BOUND ( 'NONE', #1420, .T. ) ; +#7013 = CYLINDRICAL_SURFACE ( 'NONE', #5856, 1.000000000000000000 ) ; +#7014 = SURFACE_STYLE_FILL_AREA ( #2828 ) ; +#7015 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7016 = PRESENTATION_STYLE_ASSIGNMENT (( #2820 ) ) ; +#7017 = STYLED_ITEM ( 'NONE', ( #7016 ), #4118 ) ; +#7018 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1531 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2821, #2822, #2823 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7019 = FACE_OUTER_BOUND ( 'NONE', #1510, .T. ) ; +#7020 = CYLINDRICAL_SURFACE ( 'NONE', #5853, 1.000000000000000000 ) ; +#7021 = SURFACE_STYLE_FILL_AREA ( #2839 ) ; +#7022 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7023 = PRESENTATION_STYLE_ASSIGNMENT (( #2830 ) ) ; +#7024 = STYLED_ITEM ( 'NONE', ( #7023 ), #4119 ) ; +#7025 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1532 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2831, #2832, #2833 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7026 = FACE_OUTER_BOUND ( 'NONE', #1515, .T. ) ; +#7027 = SURFACE_STYLE_FILL_AREA ( #2849 ) ; +#7028 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7029 = PRESENTATION_STYLE_ASSIGNMENT (( #2841 ) ) ; +#7030 = STYLED_ITEM ( 'NONE', ( #7029 ), #4120 ) ; +#7031 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1002 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2842, #2843, #2844 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7032 = FACE_OUTER_BOUND ( 'NONE', #1511, .T. ) ; +#7033 = CYLINDRICAL_SURFACE ( 'NONE', #5859, 0.8000000000000003800 ) ; +#7034 = SURFACE_STYLE_FILL_AREA ( #2859 ) ; +#7035 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7036 = PRESENTATION_STYLE_ASSIGNMENT (( #2851 ) ) ; +#7037 = STYLED_ITEM ( 'NONE', ( #7036 ), #4121 ) ; +#7038 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1001 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2852, #2853, #2854 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7039 = FACE_BOUND ( 'NONE', #1485, .T. ) ; +#7040 = SURFACE_STYLE_FILL_AREA ( #2880 ) ; +#7041 = CYLINDRICAL_SURFACE ( 'NONE', #5865, 0.8000000000000003800 ) ; +#7042 = STYLED_ITEM ( 'NONE', ( #1450 ), #4122 ) ; +#7043 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1000 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2862, #2863, #2864 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7044 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7045 = FACE_BOUND ( 'NONE', #1508, .T. ) ; +#7046 = CYLINDRICAL_SURFACE ( 'NONE', #5874, 0.09999999999999982500 ) ; +#7047 = FACE_OUTER_BOUND ( 'NONE', #1507, .T. ) ; +#7048 = FACE_OUTER_BOUND ( 'NONE', #1489, .T. ) ; +#7049 = FACE_OUTER_BOUND ( 'NONE', #1486, .T. ) ; +#7050 = SURFACE_STYLE_FILL_AREA ( #2869 ) ; +#7051 = PRESENTATION_STYLE_ASSIGNMENT (( #2871 ) ) ; +#7052 = STYLED_ITEM ( 'NONE', ( #7051 ), #4123 ) ; +#7053 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #999 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2872, #2873, #2874 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7054 = SURFACE_STYLE_FILL_AREA ( #2890 ) ; +#7055 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7056 = PRESENTATION_STYLE_ASSIGNMENT (( #2882 ) ) ; +#7057 = STYLED_ITEM ( 'NONE', ( #7056 ), #4124 ) ; +#7058 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #998 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2883, #2884, #2885 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7059 = FACE_OUTER_BOUND ( 'NONE', #1483, .T. ) ; +#7060 = CYLINDRICAL_SURFACE ( 'NONE', #5871, 0.8000000000000003800 ) ; +#7061 = SURFACE_STYLE_FILL_AREA ( #2901 ) ; +#7062 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7063 = PRESENTATION_STYLE_ASSIGNMENT (( #2892 ) ) ; +#7064 = STYLED_ITEM ( 'NONE', ( #7063 ), #4125 ) ; +#7065 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #997 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2893, #2894, #2895 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7066 = FACE_OUTER_BOUND ( 'NONE', #1484, .T. ) ; +#7067 = SURFACE_STYLE_FILL_AREA ( #2911 ) ; +#7068 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7069 = PRESENTATION_STYLE_ASSIGNMENT (( #2903 ) ) ; +#7070 = STYLED_ITEM ( 'NONE', ( #7069 ), #4126 ) ; +#7071 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #996 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2904, #2905, #2906 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7072 = FACE_OUTER_BOUND ( 'NONE', #1502, .T. ) ; +#7073 = CYLINDRICAL_SURFACE ( 'NONE', #5883, 0.1000000000000000500 ) ; +#7074 = SURFACE_STYLE_FILL_AREA ( #2921 ) ; +#7075 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7076 = PRESENTATION_STYLE_ASSIGNMENT (( #2913 ) ) ; +#7077 = STYLED_ITEM ( 'NONE', ( #7076 ), #4127 ) ; +#7078 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #995 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2914, #2915, #2916 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7079 = FACE_OUTER_BOUND ( 'NONE', #1490, .T. ) ; +#7080 = CYLINDRICAL_SURFACE ( 'NONE', #5886, 0.1000000000000000300 ) ; +#7081 = SURFACE_STYLE_FILL_AREA ( #2932 ) ; +#7082 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7083 = PRESENTATION_STYLE_ASSIGNMENT (( #2923 ) ) ; +#7084 = STYLED_ITEM ( 'NONE', ( #7083 ), #4128 ) ; +#7085 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #994 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2924, #2925, #2926 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7086 = FACE_OUTER_BOUND ( 'NONE', #1479, .T. ) ; +#7087 = SURFACE_STYLE_FILL_AREA ( #2943 ) ; +#7088 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7089 = PRESENTATION_STYLE_ASSIGNMENT (( #2934 ) ) ; +#7090 = STYLED_ITEM ( 'NONE', ( #7089 ), #4129 ) ; +#7091 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #993 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2935, #2936, #2937 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7092 = FACE_OUTER_BOUND ( 'NONE', #1475, .T. ) ; +#7093 = SURFACE_STYLE_FILL_AREA ( #2953 ) ; +#7094 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7095 = PRESENTATION_STYLE_ASSIGNMENT (( #2945 ) ) ; +#7096 = STYLED_ITEM ( 'NONE', ( #7095 ), #4130 ) ; +#7097 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #992 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2946, #2947, #2948 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7098 = FACE_OUTER_BOUND ( 'NONE', #1488, .T. ) ; +#7099 = CYLINDRICAL_SURFACE ( 'NONE', #5913, 0.09999999999999999200 ) ; +#7100 = SURFACE_STYLE_FILL_AREA ( #2963 ) ; +#7101 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7102 = PRESENTATION_STYLE_ASSIGNMENT (( #2955 ) ) ; +#7103 = STYLED_ITEM ( 'NONE', ( #7102 ), #4131 ) ; +#7104 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #991 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2956, #2957, #2958 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7105 = FACE_OUTER_BOUND ( 'NONE', #1476, .T. ) ; +#7106 = CYLINDRICAL_SURFACE ( 'NONE', #5916, 0.1000000000000000500 ) ; +#7107 = SURFACE_STYLE_FILL_AREA ( #2974 ) ; +#7108 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7109 = PRESENTATION_STYLE_ASSIGNMENT (( #2965 ) ) ; +#7110 = STYLED_ITEM ( 'NONE', ( #7109 ), #4132 ) ; +#7111 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #990 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2966, #2967, #2968 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7112 = FACE_OUTER_BOUND ( 'NONE', #1501, .T. ) ; +#7113 = SURFACE_STYLE_FILL_AREA ( #2985 ) ; +#7114 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7115 = PRESENTATION_STYLE_ASSIGNMENT (( #2976 ) ) ; +#7116 = STYLED_ITEM ( 'NONE', ( #7115 ), #4133 ) ; +#7117 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #989 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2977, #2978, #2979 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7118 = FACE_OUTER_BOUND ( 'NONE', #1487, .T. ) ; +#7119 = SURFACE_STYLE_FILL_AREA ( #2996 ) ; +#7120 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7121 = PRESENTATION_STYLE_ASSIGNMENT (( #2987 ) ) ; +#7122 = STYLED_ITEM ( 'NONE', ( #7121 ), #4134 ) ; +#7123 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1014 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2988, #2989, #2990 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7124 = FACE_OUTER_BOUND ( 'NONE', #1499, .T. ) ; +#7125 = SURFACE_STYLE_FILL_AREA ( #3007 ) ; +#7126 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7127 = PRESENTATION_STYLE_ASSIGNMENT (( #2998 ) ) ; +#7128 = STYLED_ITEM ( 'NONE', ( #7127 ), #4135 ) ; +#7129 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1013 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #2999, #3000, #3001 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7130 = FACE_OUTER_BOUND ( 'NONE', #1498, .T. ) ; +#7131 = SURFACE_STYLE_FILL_AREA ( #3018 ) ; +#7132 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7133 = PRESENTATION_STYLE_ASSIGNMENT (( #3009 ) ) ; +#7134 = STYLED_ITEM ( 'NONE', ( #7133 ), #4136 ) ; +#7135 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1006 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3010, #3011, #3012 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7136 = FACE_OUTER_BOUND ( 'NONE', #1497, .T. ) ; +#7137 = SURFACE_STYLE_FILL_AREA ( #3029 ) ; +#7138 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7139 = PRESENTATION_STYLE_ASSIGNMENT (( #3020 ) ) ; +#7140 = STYLED_ITEM ( 'NONE', ( #7139 ), #4137 ) ; +#7141 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1005 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3021, #3022, #3023 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7142 = FACE_OUTER_BOUND ( 'NONE', #1494, .T. ) ; +#7143 = SURFACE_STYLE_FILL_AREA ( #3040 ) ; +#7144 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7145 = PRESENTATION_STYLE_ASSIGNMENT (( #3031 ) ) ; +#7146 = STYLED_ITEM ( 'NONE', ( #7145 ), #4138 ) ; +#7147 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1004 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3032, #3033, #3034 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7148 = FACE_OUTER_BOUND ( 'NONE', #1493, .T. ) ; +#7149 = SURFACE_STYLE_FILL_AREA ( #3051 ) ; +#7150 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7151 = PRESENTATION_STYLE_ASSIGNMENT (( #3042 ) ) ; +#7152 = STYLED_ITEM ( 'NONE', ( #7151 ), #4139 ) ; +#7153 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1003 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3043, #3044, #3045 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7154 = FACE_OUTER_BOUND ( 'NONE', #1500, .T. ) ; +#7155 = SURFACE_STYLE_FILL_AREA ( #3061 ) ; +#7156 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7157 = PRESENTATION_STYLE_ASSIGNMENT (( #3053 ) ) ; +#7158 = STYLED_ITEM ( 'NONE', ( #7157 ), #4140 ) ; +#7159 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1007 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3054, #3055, #3056 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7160 = FACE_OUTER_BOUND ( 'NONE', #1478, .T. ) ; +#7161 = CYLINDRICAL_SURFACE ( 'NONE', #5919, 0.1000000000000000500 ) ; +#7162 = SURFACE_STYLE_FILL_AREA ( #3071 ) ; +#7163 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7164 = PRESENTATION_STYLE_ASSIGNMENT (( #3063 ) ) ; +#7165 = STYLED_ITEM ( 'NONE', ( #7164 ), #4141 ) ; +#7166 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1012 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3064, #3065, #3066 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7167 = FACE_OUTER_BOUND ( 'NONE', #1477, .T. ) ; +#7168 = CYLINDRICAL_SURFACE ( 'NONE', #5928, 0.2000000000000000900 ) ; +#7169 = SURFACE_STYLE_FILL_AREA ( #3081 ) ; +#7170 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7171 = PRESENTATION_STYLE_ASSIGNMENT (( #3073 ) ) ; +#7172 = STYLED_ITEM ( 'NONE', ( #7171 ), #4142 ) ; +#7173 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1011 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3074, #3075, #3076 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7174 = FACE_OUTER_BOUND ( 'NONE', #1495, .T. ) ; +#7175 = CYLINDRICAL_SURFACE ( 'NONE', #5925, 0.2000000000000000900 ) ; +#7176 = SURFACE_STYLE_FILL_AREA ( #3092 ) ; +#7177 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7178 = PRESENTATION_STYLE_ASSIGNMENT (( #3083 ) ) ; +#7179 = STYLED_ITEM ( 'NONE', ( #7178 ), #4143 ) ; +#7180 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1010 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3084, #3085, #3086 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7181 = FACE_OUTER_BOUND ( 'NONE', #1496, .T. ) ; +#7182 = SURFACE_STYLE_FILL_AREA ( #3102 ) ; +#7183 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7184 = PRESENTATION_STYLE_ASSIGNMENT (( #3094 ) ) ; +#7185 = STYLED_ITEM ( 'NONE', ( #7184 ), #4144 ) ; +#7186 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1009 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3095, #3096, #3097 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7187 = FACE_OUTER_BOUND ( 'NONE', #1492, .T. ) ; +#7188 = CYLINDRICAL_SURFACE ( 'NONE', #5931, 0.1999999999999999800 ) ; +#7189 = SURFACE_STYLE_FILL_AREA ( #3112 ) ; +#7190 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7191 = PRESENTATION_STYLE_ASSIGNMENT (( #3104 ) ) ; +#7192 = STYLED_ITEM ( 'NONE', ( #7191 ), #4145 ) ; +#7193 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1008 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3105, #3106, #3107 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7194 = FACE_OUTER_BOUND ( 'NONE', #1469, .T. ) ; +#7195 = CYLINDRICAL_SURFACE ( 'NONE', #5934, 0.1999999999999999800 ) ; +#7196 = SURFACE_STYLE_FILL_AREA ( #3122 ) ; +#7197 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7198 = PRESENTATION_STYLE_ASSIGNMENT (( #3114 ) ) ; +#7199 = STYLED_ITEM ( 'NONE', ( #7198 ), #4146 ) ; +#7200 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #986 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3115, #3116, #3117 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7201 = FACE_OUTER_BOUND ( 'NONE', #1491, .T. ) ; +#7202 = CYLINDRICAL_SURFACE ( 'NONE', #5937, 0.1999999999999999800 ) ; +#7203 = SURFACE_STYLE_FILL_AREA ( #3132 ) ; +#7204 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7205 = PRESENTATION_STYLE_ASSIGNMENT (( #3124 ) ) ; +#7206 = STYLED_ITEM ( 'NONE', ( #7205 ), #4147 ) ; +#7207 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #988 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3125, #3126, #3127 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7208 = FACE_OUTER_BOUND ( 'NONE', #1464, .T. ) ; +#7209 = CYLINDRICAL_SURFACE ( 'NONE', #5940, 0.2000000000000000900 ) ; +#7210 = SURFACE_STYLE_FILL_AREA ( #3142 ) ; +#7211 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7212 = PRESENTATION_STYLE_ASSIGNMENT (( #3134 ) ) ; +#7213 = STYLED_ITEM ( 'NONE', ( #7212 ), #4148 ) ; +#7214 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #985 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3135, #3136, #3137 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7215 = FACE_OUTER_BOUND ( 'NONE', #1463, .T. ) ; +#7216 = CYLINDRICAL_SURFACE ( 'NONE', #5943, 0.2000000000000000900 ) ; +#7217 = SURFACE_STYLE_FILL_AREA ( #3152 ) ; +#7218 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7219 = PRESENTATION_STYLE_ASSIGNMENT (( #3144 ) ) ; +#7220 = STYLED_ITEM ( 'NONE', ( #7219 ), #4149 ) ; +#7221 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #987 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3145, #3146, #3147 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7222 = FACE_OUTER_BOUND ( 'NONE', #1470, .T. ) ; +#7223 = CYLINDRICAL_SURFACE ( 'NONE', #5946, 0.2000000000000000100 ) ; +#7224 = SURFACE_STYLE_FILL_AREA ( #3162 ) ; +#7225 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7226 = PRESENTATION_STYLE_ASSIGNMENT (( #3154 ) ) ; +#7227 = STYLED_ITEM ( 'NONE', ( #7226 ), #4150 ) ; +#7228 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #984 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3155, #3156, #3157 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7229 = FACE_OUTER_BOUND ( 'NONE', #1457, .T. ) ; +#7230 = CYLINDRICAL_SURFACE ( 'NONE', #5949, 0.2000000000000000900 ) ; +#7231 = SURFACE_STYLE_FILL_AREA ( #3172 ) ; +#7232 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7233 = PRESENTATION_STYLE_ASSIGNMENT (( #3164 ) ) ; +#7234 = STYLED_ITEM ( 'NONE', ( #7233 ), #4151 ) ; +#7235 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #983 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3165, #3166, #3167 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7236 = FACE_OUTER_BOUND ( 'NONE', #1455, .T. ) ; +#7237 = CYLINDRICAL_SURFACE ( 'NONE', #5964, 0.1000000000000000200 ) ; +#7238 = SURFACE_STYLE_FILL_AREA ( #3182 ) ; +#7239 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7240 = PRESENTATION_STYLE_ASSIGNMENT (( #3174 ) ) ; +#7241 = STYLED_ITEM ( 'NONE', ( #7240 ), #4152 ) ; +#7242 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #13014 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3175, #3176, #3177 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7243 = FACE_OUTER_BOUND ( 'NONE', #1459, .T. ) ; +#7244 = CYLINDRICAL_SURFACE ( 'NONE', #5955, 0.09999999999999982500 ) ; +#7245 = SURFACE_STYLE_FILL_AREA ( #3193 ) ; +#7246 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7247 = PRESENTATION_STYLE_ASSIGNMENT (( #3184 ) ) ; +#7248 = STYLED_ITEM ( 'NONE', ( #7247 ), #4153 ) ; +#7249 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7295 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3185, #3186, #3187 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7250 = FACE_OUTER_BOUND ( 'NONE', #1456, .T. ) ; +#7251 = SURFACE_STYLE_FILL_AREA ( #3203 ) ; +#7252 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7253 = PRESENTATION_STYLE_ASSIGNMENT (( #3195 ) ) ; +#7254 = STYLED_ITEM ( 'NONE', ( #7253 ), #4154 ) ; +#7255 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7296 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3196, #3197, #3198 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7256 = FACE_OUTER_BOUND ( 'NONE', #1458, .T. ) ; +#7257 = CYLINDRICAL_SURFACE ( 'NONE', #5967, 0.1000000000000000500 ) ; +#7258 = SURFACE_STYLE_FILL_AREA ( #3214 ) ; +#7259 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7260 = PRESENTATION_STYLE_ASSIGNMENT (( #3205 ) ) ; +#7261 = STYLED_ITEM ( 'NONE', ( #7260 ), #4155 ) ; +#7262 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7297 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3206, #3207, #3208 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7263 = FACE_OUTER_BOUND ( 'NONE', #1460, .T. ) ; +#7264 = SURFACE_STYLE_FILL_AREA ( #3225 ) ; +#7265 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7266 = PRESENTATION_STYLE_ASSIGNMENT (( #3216 ) ) ; +#7267 = STYLED_ITEM ( 'NONE', ( #7266 ), #4156 ) ; +#7268 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7298 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3217, #3218, #3219 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7269 = FACE_OUTER_BOUND ( 'NONE', #1402, .T. ) ; +#7270 = SURFACE_STYLE_FILL_AREA ( #3235 ) ; +#7271 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7272 = PRESENTATION_STYLE_ASSIGNMENT (( #3227 ) ) ; +#7273 = STYLED_ITEM ( 'NONE', ( #7272 ), #4157 ) ; +#7274 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7299 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3228, #3229, #3230 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7275 = FACE_OUTER_BOUND ( 'NONE', #1404, .T. ) ; +#7276 = LINE ( 'NONE', #3844, #7741 ) ; +#7277 = SURFACE_STYLE_FILL_AREA ( #3245 ) ; +#7278 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7279 = PRESENTATION_STYLE_ASSIGNMENT (( #3237 ) ) ; +#7280 = STYLED_ITEM ( 'NONE', ( #7279 ), #4158 ) ; +#7281 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7300 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3238, #3239, #3240 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7282 = FACE_OUTER_BOUND ( 'NONE', #1462, .T. ) ; +#7283 = SURFACE_STYLE_FILL_AREA ( #3289 ) ; +#7284 = SURFACE_STYLE_FILL_AREA ( #3256 ) ; +#7285 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7286 = PRESENTATION_STYLE_ASSIGNMENT (( #3247 ) ) ; +#7287 = STYLED_ITEM ( 'NONE', ( #7286 ), #4159 ) ; +#7288 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7301 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3248, #3249, #3250 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7289 = FACE_OUTER_BOUND ( 'NONE', #1403, .T. ) ; +#7290 = SURFACE_STYLE_FILL_AREA ( #3267 ) ; +#7291 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7292 = PRESENTATION_STYLE_ASSIGNMENT (( #3258 ) ) ; +#7293 = STYLED_ITEM ( 'NONE', ( #7292 ), #4160 ) ; +#7294 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7302 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3259, #3260, #3261 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7295 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3185, 'distance_accuracy_value', 'NONE'); +#7296 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3196, 'distance_accuracy_value', 'NONE'); +#7297 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3206, 'distance_accuracy_value', 'NONE'); +#7298 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3217, 'distance_accuracy_value', 'NONE'); +#7299 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3228, 'distance_accuracy_value', 'NONE'); +#7300 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3238, 'distance_accuracy_value', 'NONE'); +#7301 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3248, 'distance_accuracy_value', 'NONE'); +#7302 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3259, 'distance_accuracy_value', 'NONE'); +#7303 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3270, 'distance_accuracy_value', 'NONE'); +#7304 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3281, 'distance_accuracy_value', 'NONE'); +#7305 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3292, 'distance_accuracy_value', 'NONE'); +#7306 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3303, 'distance_accuracy_value', 'NONE'); +#7307 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3314, 'distance_accuracy_value', 'NONE'); +#7308 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3324, 'distance_accuracy_value', 'NONE'); +#7309 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3334, 'distance_accuracy_value', 'NONE'); +#7310 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3344, 'distance_accuracy_value', 'NONE'); +#7311 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3355, 'distance_accuracy_value', 'NONE'); +#7312 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3365, 'distance_accuracy_value', 'NONE'); +#7313 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3375, 'distance_accuracy_value', 'NONE'); +#7314 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3385, 'distance_accuracy_value', 'NONE'); +#7315 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3395, 'distance_accuracy_value', 'NONE'); +#7316 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3405, 'distance_accuracy_value', 'NONE'); +#7317 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3415, 'distance_accuracy_value', 'NONE'); +#7318 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3425, 'distance_accuracy_value', 'NONE'); +#7319 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3435, 'distance_accuracy_value', 'NONE'); +#7320 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3445, 'distance_accuracy_value', 'NONE'); +#7321 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3456, 'distance_accuracy_value', 'NONE'); +#7322 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3467, 'distance_accuracy_value', 'NONE'); +#7323 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3478, 'distance_accuracy_value', 'NONE'); +#7324 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3489, 'distance_accuracy_value', 'NONE'); +#7325 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3500, 'distance_accuracy_value', 'NONE'); +#7326 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3511, 'distance_accuracy_value', 'NONE'); +#7327 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3521, 'distance_accuracy_value', 'NONE'); +#7328 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3531, 'distance_accuracy_value', 'NONE'); +#7329 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3541, 'distance_accuracy_value', 'NONE'); +#7330 = B_SPLINE_CURVE_WITH_KNOTS ( 'NONE', 3, + ( #3658, #3662, #3663, #3664, #3665, #3666, #3667, #3668, #3669, #3670, #3671, #3672, #3673, #3674, #3675, #3676, #3677, #3678, #3679 ), + .UNSPECIFIED., .F., .F., + ( 4, 3, 3, 3, 3, 3, 4 ), + ( 9.890444923107484500E-007, 2.329590231816754800E-005, 4.680454246572665700E-005, 6.773754131612691100E-005, 8.867907821358230800E-005, 0.0001112424583453873100, 0.0001176271013041843100 ), + .UNSPECIFIED. ) ; +#7331 = B_SPLINE_CURVE_WITH_KNOTS ( 'NONE', 3, + ( #3687, #3690, #3691, #3692, #3693, #3694, #3695, #3696, #3697, #3698, #3699, #3700, #3701, #3702, #3703, #3704, #3705, #3706, #3707 ), + .UNSPECIFIED., .F., .F., + ( 4, 3, 3, 3, 3, 3, 4 ), + ( 0.0001575887044156645600, 0.0001682821142502273500, 0.0001889687312949127300, 0.0002108456415999100600, 0.0002342916939376415900, 0.0002580118284656912800, 0.0002752129790556480700 ), + .UNSPECIFIED. ) ; +#7332 = B_SPLINE_CURVE_WITH_KNOTS ( 'NONE', 3, + ( #3684, #3713, #3714, #3715, #3716, #3717, #3718, #3719, #3720, #3721, #3722, #3723, #3724, #3725, #3726, #3727, #3728, #3729, #3730 ), + .UNSPECIFIED., .F., .F., + ( 4, 3, 3, 3, 3, 3, 4 ), + ( 0.0001176271013041843100, 0.0001346887189994007000, 0.0001582164397108850000, 0.0001814723015415456000, 0.0002031717499606732400, 0.0002236905605819724100, 0.0002342972272407769600 ), + .UNSPECIFIED. ) ; +#7333 = B_SPLINE_CURVE_WITH_KNOTS ( 'NONE', 3, + ( #3734, #3738, #3739, #3740, #3741, #3742, #3743, #3744, #3745, #3746, #3747, #3748, #3749, #3750, #3751, #3752, #3753, #3754, #3755 ), + .UNSPECIFIED., .F., .F., + ( 4, 3, 3, 3, 3, 3, 4 ), + ( 0.0001176271013041870200, 0.0001346887189994011900, 0.0001582164397108856300, 0.0001814723015415466000, 0.0002031717499606744100, 0.0002236905605819740100, 0.0002342259959627597100 ), + .UNSPECIFIED. ) ; +#7334 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9189, 'distance_accuracy_value', 'NONE'); +#7335 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9200, 'distance_accuracy_value', 'NONE'); +#7336 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9210, 'distance_accuracy_value', 'NONE'); +#7337 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9220, 'distance_accuracy_value', 'NONE'); +#7338 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9231, 'distance_accuracy_value', 'NONE'); +#7339 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9242, 'distance_accuracy_value', 'NONE'); +#7340 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9253, 'distance_accuracy_value', 'NONE'); +#7341 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9263, 'distance_accuracy_value', 'NONE'); +#7342 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9273, 'distance_accuracy_value', 'NONE'); +#7343 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9284, 'distance_accuracy_value', 'NONE'); +#7344 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9295, 'distance_accuracy_value', 'NONE'); +#7345 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9306, 'distance_accuracy_value', 'NONE'); +#7346 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9317, 'distance_accuracy_value', 'NONE'); +#7347 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9328, 'distance_accuracy_value', 'NONE'); +#7348 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9339, 'distance_accuracy_value', 'NONE'); +#7349 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9350, 'distance_accuracy_value', 'NONE'); +#7350 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9361, 'distance_accuracy_value', 'NONE'); +#7351 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9372, 'distance_accuracy_value', 'NONE'); +#7352 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9383, 'distance_accuracy_value', 'NONE'); +#7353 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9394, 'distance_accuracy_value', 'NONE'); +#7354 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9405, 'distance_accuracy_value', 'NONE'); +#7355 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9416, 'distance_accuracy_value', 'NONE'); +#7356 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9427, 'distance_accuracy_value', 'NONE'); +#7357 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9438, 'distance_accuracy_value', 'NONE'); +#7358 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9449, 'distance_accuracy_value', 'NONE'); +#7359 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9460, 'distance_accuracy_value', 'NONE'); +#7360 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9470, 'distance_accuracy_value', 'NONE'); +#7361 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9482, 'distance_accuracy_value', 'NONE'); +#7362 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9493, 'distance_accuracy_value', 'NONE'); +#7363 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9503, 'distance_accuracy_value', 'NONE'); +#7364 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #9513, 'distance_accuracy_value', 'NONE'); +#7365 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10738, 'distance_accuracy_value', 'NONE'); +#7366 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10748, 'distance_accuracy_value', 'NONE'); +#7367 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10759, 'distance_accuracy_value', 'NONE'); +#7368 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10770, 'distance_accuracy_value', 'NONE'); +#7369 = CYLINDRICAL_SURFACE ( 'NONE', #5991, 0.1000000000000000500 ) ; +#7370 = SURFACE_STYLE_FILL_AREA ( #3278 ) ; +#7371 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7372 = PRESENTATION_STYLE_ASSIGNMENT (( #3269 ) ) ; +#7373 = STYLED_ITEM ( 'NONE', ( #7372 ), #4161 ) ; +#7374 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7303 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3270, #3271, #3272 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7375 = FACE_OUTER_BOUND ( 'NONE', #1406, .T. ) ; +#7376 = FACE_OUTER_BOUND ( 'NONE', #1408, .T. ) ; +#7377 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7378 = PRESENTATION_STYLE_ASSIGNMENT (( #3280 ) ) ; +#7379 = STYLED_ITEM ( 'NONE', ( #7378 ), #4162 ) ; +#7380 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7304 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3281, #3282, #3283 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7381 = FACE_OUTER_BOUND ( 'NONE', #1407, .T. ) ; +#7382 = SURFACE_STYLE_FILL_AREA ( #3300 ) ; +#7383 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7384 = PRESENTATION_STYLE_ASSIGNMENT (( #3291 ) ) ; +#7385 = STYLED_ITEM ( 'NONE', ( #7384 ), #4163 ) ; +#7386 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7305 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3292, #3293, #3294 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7387 = CYLINDRICAL_SURFACE ( 'NONE', #5988, 0.1000000000000000100 ) ; +#7388 = SURFACE_STYLE_FILL_AREA ( #3311 ) ; +#7389 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7390 = PRESENTATION_STYLE_ASSIGNMENT (( #3302 ) ) ; +#7391 = STYLED_ITEM ( 'NONE', ( #7390 ), #4164 ) ; +#7392 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7306 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3303, #3304, #3305 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7393 = FACE_OUTER_BOUND ( 'NONE', #974, .T. ) ; +#7394 = FACE_OUTER_BOUND ( 'NONE', #1461, .T. ) ; +#7395 = SURFACE_STYLE_FILL_AREA ( #3321 ) ; +#7396 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7397 = PRESENTATION_STYLE_ASSIGNMENT (( #3313 ) ) ; +#7398 = STYLED_ITEM ( 'NONE', ( #7397 ), #4165 ) ; +#7399 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7307 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3314, #3315, #3316 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7400 = FACE_OUTER_BOUND ( 'NONE', #1405, .T. ) ; +#7401 = CYLINDRICAL_SURFACE ( 'NONE', #5994, 0.09999999999999982500 ) ; +#7402 = SURFACE_STYLE_FILL_AREA ( #3331 ) ; +#7403 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7404 = PRESENTATION_STYLE_ASSIGNMENT (( #3323 ) ) ; +#7405 = STYLED_ITEM ( 'NONE', ( #7404 ), #4166 ) ; +#7406 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7308 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3324, #3325, #3326 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7407 = FACE_OUTER_BOUND ( 'NONE', #1401, .T. ) ; +#7408 = CYLINDRICAL_SURFACE ( 'NONE', #6003, 0.2000000000000000900 ) ; +#7409 = SURFACE_STYLE_FILL_AREA ( #3341 ) ; +#7410 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7411 = PRESENTATION_STYLE_ASSIGNMENT (( #3333 ) ) ; +#7412 = STYLED_ITEM ( 'NONE', ( #7411 ), #4167 ) ; +#7413 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7309 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3334, #3335, #3336 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7414 = FACE_OUTER_BOUND ( 'NONE', #972, .T. ) ; +#7415 = CYLINDRICAL_SURFACE ( 'NONE', #6000, 0.2000000000000000900 ) ; +#7416 = SURFACE_STYLE_FILL_AREA ( #3352 ) ; +#7417 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7418 = PRESENTATION_STYLE_ASSIGNMENT (( #3343 ) ) ; +#7419 = STYLED_ITEM ( 'NONE', ( #7418 ), #4168 ) ; +#7420 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7310 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3344, #3345, #3346 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7421 = FACE_OUTER_BOUND ( 'NONE', #981, .T. ) ; +#7422 = SURFACE_STYLE_FILL_AREA ( #3362 ) ; +#7423 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7424 = PRESENTATION_STYLE_ASSIGNMENT (( #3354 ) ) ; +#7425 = STYLED_ITEM ( 'NONE', ( #7424 ), #4169 ) ; +#7426 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7311 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3355, #3356, #3357 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7427 = FACE_OUTER_BOUND ( 'NONE', #975, .T. ) ; +#7428 = CYLINDRICAL_SURFACE ( 'NONE', #6006, 0.1999999999999999800 ) ; +#7429 = SURFACE_STYLE_FILL_AREA ( #3372 ) ; +#7430 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7431 = PRESENTATION_STYLE_ASSIGNMENT (( #3364 ) ) ; +#7432 = STYLED_ITEM ( 'NONE', ( #7431 ), #4170 ) ; +#7433 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7312 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3365, #3366, #3367 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7434 = FACE_OUTER_BOUND ( 'NONE', #968, .T. ) ; +#7435 = CYLINDRICAL_SURFACE ( 'NONE', #6009, 0.1999999999999999800 ) ; +#7436 = SURFACE_STYLE_FILL_AREA ( #3382 ) ; +#7437 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7438 = PRESENTATION_STYLE_ASSIGNMENT (( #3374 ) ) ; +#7439 = STYLED_ITEM ( 'NONE', ( #7438 ), #4171 ) ; +#7440 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7313 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3375, #3376, #3377 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7441 = FACE_OUTER_BOUND ( 'NONE', #982, .T. ) ; +#7442 = CYLINDRICAL_SURFACE ( 'NONE', #6012, 0.1999999999999999800 ) ; +#7443 = SURFACE_STYLE_FILL_AREA ( #3392 ) ; +#7444 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7445 = PRESENTATION_STYLE_ASSIGNMENT (( #3384 ) ) ; +#7446 = STYLED_ITEM ( 'NONE', ( #7445 ), #4172 ) ; +#7447 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7314 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3385, #3386, #3387 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7448 = FACE_OUTER_BOUND ( 'NONE', #971, .T. ) ; +#7449 = CYLINDRICAL_SURFACE ( 'NONE', #6015, 0.2000000000000000900 ) ; +#7450 = SURFACE_STYLE_FILL_AREA ( #3402 ) ; +#7451 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7452 = PRESENTATION_STYLE_ASSIGNMENT (( #3394 ) ) ; +#7453 = STYLED_ITEM ( 'NONE', ( #7452 ), #4173 ) ; +#7454 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7315 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3395, #3396, #3397 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7455 = FACE_OUTER_BOUND ( 'NONE', #951, .T. ) ; +#7456 = CYLINDRICAL_SURFACE ( 'NONE', #6018, 0.2000000000000000900 ) ; +#7457 = SURFACE_STYLE_FILL_AREA ( #3412 ) ; +#7458 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7459 = PRESENTATION_STYLE_ASSIGNMENT (( #3404 ) ) ; +#7460 = STYLED_ITEM ( 'NONE', ( #7459 ), #4174 ) ; +#7461 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7316 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3405, #3406, #3407 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7462 = FACE_OUTER_BOUND ( 'NONE', #969, .T. ) ; +#7463 = CYLINDRICAL_SURFACE ( 'NONE', #6021, 0.1999999999999999800 ) ; +#7464 = SURFACE_STYLE_FILL_AREA ( #3422 ) ; +#7465 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7466 = PRESENTATION_STYLE_ASSIGNMENT (( #3414 ) ) ; +#7467 = STYLED_ITEM ( 'NONE', ( #7466 ), #4175 ) ; +#7468 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7317 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3415, #3416, #3417 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7469 = FACE_OUTER_BOUND ( 'NONE', #967, .T. ) ; +#7470 = CYLINDRICAL_SURFACE ( 'NONE', #6024, 0.2000000000000000900 ) ; +#7471 = SURFACE_STYLE_FILL_AREA ( #3432 ) ; +#7472 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7473 = PRESENTATION_STYLE_ASSIGNMENT (( #3424 ) ) ; +#7474 = STYLED_ITEM ( 'NONE', ( #7473 ), #4176 ) ; +#7475 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7318 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3425, #3426, #3427 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7476 = FACE_OUTER_BOUND ( 'NONE', #970, .T. ) ; +#7477 = CYLINDRICAL_SURFACE ( 'NONE', #6051, 0.2000000000000000900 ) ; +#7478 = SURFACE_STYLE_FILL_AREA ( #3442 ) ; +#7479 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7480 = PRESENTATION_STYLE_ASSIGNMENT (( #3434 ) ) ; +#7481 = STYLED_ITEM ( 'NONE', ( #7480 ), #4177 ) ; +#7482 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7319 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3435, #3436, #3437 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7483 = FACE_OUTER_BOUND ( 'NONE', #978, .T. ) ; +#7484 = CYLINDRICAL_SURFACE ( 'NONE', #6048, 0.2000000000000000900 ) ; +#7485 = SURFACE_STYLE_FILL_AREA ( #3453 ) ; +#7486 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7487 = PRESENTATION_STYLE_ASSIGNMENT (( #3444 ) ) ; +#7488 = STYLED_ITEM ( 'NONE', ( #7487 ), #4178 ) ; +#7489 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7320 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3445, #3446, #3447 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7490 = FACE_OUTER_BOUND ( 'NONE', #979, .T. ) ; +#7491 = SURFACE_STYLE_FILL_AREA ( #3464 ) ; +#7492 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7493 = PRESENTATION_STYLE_ASSIGNMENT (( #3455 ) ) ; +#7494 = STYLED_ITEM ( 'NONE', ( #7493 ), #4179 ) ; +#7495 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7321 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3456, #3457, #3458 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7496 = FACE_OUTER_BOUND ( 'NONE', #973, .T. ) ; +#7497 = SURFACE_STYLE_FILL_AREA ( #3475 ) ; +#7498 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7499 = PRESENTATION_STYLE_ASSIGNMENT (( #3466 ) ) ; +#7500 = STYLED_ITEM ( 'NONE', ( #7499 ), #4180 ) ; +#7501 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7322 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3467, #3468, #3469 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7502 = FACE_OUTER_BOUND ( 'NONE', #977, .T. ) ; +#7503 = SURFACE_STYLE_FILL_AREA ( #3486 ) ; +#7504 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7505 = PRESENTATION_STYLE_ASSIGNMENT (( #3477 ) ) ; +#7506 = STYLED_ITEM ( 'NONE', ( #7505 ), #4181 ) ; +#7507 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7323 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3478, #3479, #3480 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7508 = FACE_OUTER_BOUND ( 'NONE', #980, .T. ) ; +#7509 = SURFACE_STYLE_FILL_AREA ( #3497 ) ; +#7510 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7511 = PRESENTATION_STYLE_ASSIGNMENT (( #3488 ) ) ; +#7512 = STYLED_ITEM ( 'NONE', ( #7511 ), #4182 ) ; +#7513 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7324 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3489, #3490, #3491 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7514 = FACE_OUTER_BOUND ( 'NONE', #976, .T. ) ; +#7515 = SURFACE_STYLE_FILL_AREA ( #3508 ) ; +#7516 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7517 = PRESENTATION_STYLE_ASSIGNMENT (( #3499 ) ) ; +#7518 = STYLED_ITEM ( 'NONE', ( #7517 ), #4183 ) ; +#7519 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7325 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3500, #3501, #3502 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7520 = FACE_OUTER_BOUND ( 'NONE', #964, .T. ) ; +#7521 = SURFACE_STYLE_FILL_AREA ( #3518 ) ; +#7522 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7523 = PRESENTATION_STYLE_ASSIGNMENT (( #3510 ) ) ; +#7524 = STYLED_ITEM ( 'NONE', ( #7523 ), #4184 ) ; +#7525 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7326 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3511, #3512, #3513 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7526 = FACE_OUTER_BOUND ( 'NONE', #965, .T. ) ; +#7527 = SURFACE_STYLE_FILL_AREA ( #3528 ) ; +#7528 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7529 = PRESENTATION_STYLE_ASSIGNMENT (( #3520 ) ) ; +#7530 = STYLED_ITEM ( 'NONE', ( #7529 ), #4185 ) ; +#7531 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7327 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3521, #3522, #3523 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7532 = FACE_OUTER_BOUND ( 'NONE', #966, .T. ) ; +#7533 = CYLINDRICAL_SURFACE ( 'NONE', #6054, 0.2000000000000000900 ) ; +#7534 = SURFACE_STYLE_FILL_AREA ( #3538 ) ; +#7535 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7536 = PRESENTATION_STYLE_ASSIGNMENT (( #3530 ) ) ; +#7537 = STYLED_ITEM ( 'NONE', ( #7536 ), #4186 ) ; +#7538 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7328 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3531, #3532, #3533 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7539 = LINE ( 'NONE', #3554, #7556 ) ; +#7540 = LINE ( 'NONE', #3547, #7554 ) ; +#7541 = LINE ( 'NONE', #3548, #7549 ) ; +#7542 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#7543 = PRESENTATION_STYLE_ASSIGNMENT (( #3540 ) ) ; +#7544 = STYLED_ITEM ( 'NONE', ( #7543 ), #4187 ) ; +#7545 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7329 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #3541, #3542, #3543 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#7546 = LINE ( 'NONE', #3556, #7558 ) ; +#7547 = LINE ( 'NONE', #3545, #7548 ) ; +#7548 = VECTOR ( 'NONE', #3546, 1000.000000000000000 ) ; +#7549 = VECTOR ( 'NONE', #3549, 1000.000000000000000 ) ; +#7550 = LINE ( 'NONE', #3550, #7551 ) ; +#7551 = VECTOR ( 'NONE', #3551, 1000.000000000000000 ) ; +#7552 = LINE ( 'NONE', #3552, #7553 ) ; +#7553 = VECTOR ( 'NONE', #3553, 1000.000000000000000 ) ; +#7554 = VECTOR ( 'NONE', #3555, 1000.000000000000000 ) ; +#7555 = LINE ( 'NONE', #3558, #7560 ) ; +#7556 = VECTOR ( 'NONE', #3557, 1000.000000000000000 ) ; +#7557 = LINE ( 'NONE', #3560, #7566 ) ; +#7558 = VECTOR ( 'NONE', #3559, 1000.000000000000000 ) ; +#7559 = LINE ( 'NONE', #3566, #7571 ) ; +#7560 = VECTOR ( 'NONE', #3561, 1000.000000000000000 ) ; +#7561 = LINE ( 'NONE', #3572, #7577 ) ; +#7562 = LINE ( 'NONE', #3562, #7563 ) ; +#7563 = VECTOR ( 'NONE', #3563, 1000.000000000000000 ) ; +#7564 = LINE ( 'NONE', #3564, #7565 ) ; +#7565 = VECTOR ( 'NONE', #3565, 1000.000000000000000 ) ; +#7566 = VECTOR ( 'NONE', #3567, 1000.000000000000000 ) ; +#7567 = LINE ( 'NONE', #3568, #7568 ) ; +#7568 = VECTOR ( 'NONE', #3569, 1000.000000000000000 ) ; +#7569 = LINE ( 'NONE', #3570, #7570 ) ; +#7570 = VECTOR ( 'NONE', #3571, 1000.000000000000000 ) ; +#7571 = VECTOR ( 'NONE', #3573, 1000.000000000000000 ) ; +#7572 = VECTOR ( 'NONE', #3586, 1000.000000000000000 ) ; +#7573 = LINE ( 'NONE', #3574, #7574 ) ; +#7574 = VECTOR ( 'NONE', #3575, 1000.000000000000000 ) ; +#7575 = LINE ( 'NONE', #3576, #7576 ) ; +#7576 = VECTOR ( 'NONE', #3577, 1000.000000000000000 ) ; +#7577 = VECTOR ( 'NONE', #3578, 1000.000000000000000 ) ; +#7578 = LINE ( 'NONE', #3585, #7572 ) ; +#7579 = LINE ( 'NONE', #3580, #7580 ) ; +#7580 = VECTOR ( 'NONE', #3581, 1000.000000000000000 ) ; +#7581 = LINE ( 'NONE', #3589, #7589 ) ; +#7582 = LINE ( 'NONE', #3587, #7587 ) ; +#7583 = LINE ( 'NONE', #3579, #7585 ) ; +#7584 = CIRCLE ( 'NONE', #6049, 0.09999999999999993600 ) ; +#7585 = VECTOR ( 'NONE', #3588, 1000.000000000000000 ) ; +#7586 = LINE ( 'NONE', #3591, #7592 ) ; +#7587 = VECTOR ( 'NONE', #3590, 1000.000000000000000 ) ; +#7588 = LINE ( 'NONE', #3595, #7596 ) ; +#7589 = VECTOR ( 'NONE', #3592, 1000.000000000000000 ) ; +#7590 = LINE ( 'NONE', #3593, #7591 ) ; +#7591 = VECTOR ( 'NONE', #3594, 1000.000000000000000 ) ; +#7592 = VECTOR ( 'NONE', #3596, 1000.000000000000000 ) ; +#7593 = VECTOR ( 'NONE', #3615, 1000.000000000000000 ) ; +#7594 = LINE ( 'NONE', #3597, #7595 ) ; +#7595 = VECTOR ( 'NONE', #3598, 1000.000000000000000 ) ; +#7596 = VECTOR ( 'NONE', #3599, 1000.000000000000000 ) ; +#7597 = LINE ( 'NONE', #3614, #7593 ) ; +#7598 = LINE ( 'NONE', #3600, #7599 ) ; +#7599 = VECTOR ( 'NONE', #3601, 1000.000000000000000 ) ; +#7600 = LINE ( 'NONE', #3602, #7601 ) ; +#7601 = VECTOR ( 'NONE', #3603, 1000.000000000000000 ) ; +#7602 = LINE ( 'NONE', #3604, #7603 ) ; +#7603 = VECTOR ( 'NONE', #3605, 1000.000000000000000 ) ; +#7604 = LINE ( 'NONE', #3606, #7605 ) ; +#7605 = VECTOR ( 'NONE', #3607, 1000.000000000000000 ) ; +#7606 = LINE ( 'NONE', #3609, #7607 ) ; +#7607 = VECTOR ( 'NONE', #3610, 1000.000000000000000 ) ; +#7608 = VECTOR ( 'NONE', #3628, 1000.000000000000000 ) ; +#7609 = LINE ( 'NONE', #3616, #7616 ) ; +#7610 = LINE ( 'NONE', #3608, #7612 ) ; +#7611 = CIRCLE ( 'NONE', #6022, 0.1000000000000002600 ) ; +#7612 = VECTOR ( 'NONE', #3617, 1000.000000000000000 ) ; +#7613 = LINE ( 'NONE', #3627, #7608 ) ; +#7614 = LINE ( 'NONE', #3619, #7615 ) ; +#7615 = VECTOR ( 'NONE', #3620, 1000.000000000000000 ) ; +#7616 = VECTOR ( 'NONE', #3622, 1000.000000000000000 ) ; +#7617 = VECTOR ( 'NONE', #3640, 1000.000000000000000 ) ; +#7618 = LINE ( 'NONE', #3623, #7619 ) ; +#7619 = VECTOR ( 'NONE', #3624, 1000.000000000000000 ) ; +#7620 = LINE ( 'NONE', #3639, #7617 ) ; +#7621 = LINE ( 'NONE', #3618, #7625 ) ; +#7622 = CIRCLE ( 'NONE', #6055, 0.8000000000000003800 ) ; +#7623 = LINE ( 'NONE', #3630, #7624 ) ; +#7624 = VECTOR ( 'NONE', #3631, 1000.000000000000000 ) ; +#7625 = VECTOR ( 'NONE', #3633, 1000.000000000000000 ) ; +#7626 = LINE ( 'NONE', #3655, #7630 ) ; +#7627 = LINE ( 'NONE', #3634, #7628 ) ; +#7628 = VECTOR ( 'NONE', #3635, 1000.000000000000000 ) ; +#7629 = LINE ( 'NONE', #3682, #7654 ) ; +#7630 = VECTOR ( 'NONE', #3656, 1000.000000000000000 ) ; +#7631 = CIRCLE ( 'NONE', #6053, 0.1000000000000002600 ) ; +#7632 = LINE ( 'NONE', #3641, #7633 ) ; +#7633 = VECTOR ( 'NONE', #3642, 1000.000000000000000 ) ; +#7634 = LINE ( 'NONE', #3643, #7635 ) ; +#7635 = VECTOR ( 'NONE', #3644, 1000.000000000000000 ) ; +#7636 = LINE ( 'NONE', #3645, #7637 ) ; +#7637 = VECTOR ( 'NONE', #3646, 1000.000000000000000 ) ; +#7638 = LINE ( 'NONE', #3647, #7639 ) ; +#7639 = VECTOR ( 'NONE', #3648, 1000.000000000000000 ) ; +#7640 = LINE ( 'NONE', #3649, #7641 ) ; +#7641 = VECTOR ( 'NONE', #3650, 1000.000000000000000 ) ; +#7642 = LINE ( 'NONE', #3651, #7643 ) ; +#7643 = VECTOR ( 'NONE', #3652, 1000.000000000000000 ) ; +#7644 = LINE ( 'NONE', #3636, #7646 ) ; +#7645 = CIRCLE ( 'NONE', #6057, 0.8000000000000003800 ) ; +#7646 = VECTOR ( 'NONE', #3657, 1000.000000000000000 ) ; +#7647 = VECTOR ( 'NONE', #3681, 1000.000000000000000 ) ; +#7648 = LINE ( 'NONE', #3659, #7649 ) ; +#7649 = VECTOR ( 'NONE', #3660, 1000.000000000000100 ) ; +#7650 = LINE ( 'NONE', #3661, #7652 ) ; +#7651 = LINE ( 'NONE', #3680, #7647 ) ; +#7652 = VECTOR ( 'NONE', #3683, 1000.000000000000000 ) ; +#7653 = VECTOR ( 'NONE', #3762, 1000.000000000000000 ) ; +#7654 = VECTOR ( 'NONE', #3685, 1000.000000000000000 ) ; +#7655 = LINE ( 'NONE', #3761, #7653 ) ; +#7656 = LINE ( 'NONE', #3708, #7657 ) ; +#7657 = VECTOR ( 'NONE', #3709, 1000.000000000000000 ) ; +#7658 = CIRCLE ( 'NONE', #6052, 0.07000000000000018700 ) ; +#7659 = LINE ( 'NONE', #3710, #7660 ) ; +#7660 = VECTOR ( 'NONE', #3711, 1000.000000000000000 ) ; +#7661 = LINE ( 'NONE', #3756, #7663 ) ; +#7662 = CIRCLE ( 'NONE', #6059, 0.09999999999999993600 ) ; +#7663 = VECTOR ( 'NONE', #3757, 1000.000000000000000 ) ; +#7664 = CIRCLE ( 'NONE', #6058, 0.07000000000000018700 ) ; +#7665 = LINE ( 'NONE', #3765, #7676 ) ; +#7666 = LINE ( 'NONE', #3763, #7670 ) ; +#7667 = LINE ( 'NONE', #3737, #7669 ) ; +#7668 = CIRCLE ( 'NONE', #6056, 0.06999999999999975700 ) ; +#7669 = VECTOR ( 'NONE', #3764, 1000.000000000000000 ) ; +#7670 = VECTOR ( 'NONE', #3766, 1000.000000000000000 ) ; +#7671 = VECTOR ( 'NONE', #3777, 1000.000000000000000 ) ; +#7672 = LINE ( 'NONE', #3767, #7673 ) ; +#7673 = VECTOR ( 'NONE', #3768, 1000.000000000000000 ) ; +#7674 = LINE ( 'NONE', #3769, #7675 ) ; +#7675 = VECTOR ( 'NONE', #3770, 1000.000000000000000 ) ; +#7676 = VECTOR ( 'NONE', #3772, 1000.000000000000000 ) ; +#7677 = LINE ( 'NONE', #3776, #7671 ) ; +#7678 = LINE ( 'NONE', #3780, #7688 ) ; +#7679 = LINE ( 'NONE', #3778, #7684 ) ; +#7680 = LINE ( 'NONE', #3771, #7682 ) ; +#7681 = CIRCLE ( 'NONE', #6060, 0.07000000000000018700 ) ; +#7682 = VECTOR ( 'NONE', #3779, 999.9999999999998900 ) ; +#7683 = LINE ( 'NONE', #3784, #7694 ) ; +#7684 = VECTOR ( 'NONE', #3781, 1000.000000000000000 ) ; +#7685 = LINE ( 'NONE', #3790, #7696 ) ; +#7686 = LINE ( 'NONE', #3782, #7687 ) ; +#7687 = VECTOR ( 'NONE', #3783, 1000.000000000000000 ) ; +#7688 = VECTOR ( 'NONE', #3785, 1000.000000000000000 ) ; +#7689 = LINE ( 'NONE', #3792, #7700 ) ; +#7690 = LINE ( 'NONE', #3786, #7691 ) ; +#7691 = VECTOR ( 'NONE', #3787, 1000.000000000000000 ) ; +#7692 = LINE ( 'NONE', #3788, #7693 ) ; +#7693 = VECTOR ( 'NONE', #3789, 1000.000000000000000 ) ; +#7694 = VECTOR ( 'NONE', #3791, 1000.000000000000000 ) ; +#7695 = LINE ( 'NONE', #3796, #7702 ) ; +#7696 = VECTOR ( 'NONE', #3793, 1000.000000000000000 ) ; +#7697 = LINE ( 'NONE', #3798, #7706 ) ; +#7698 = LINE ( 'NONE', #3794, #7699 ) ; +#7699 = VECTOR ( 'NONE', #3795, 1000.000000000000000 ) ; +#7700 = VECTOR ( 'NONE', #3797, 1000.000000000000000 ) ; +#7701 = LINE ( 'NONE', #3802, #7708 ) ; +#7702 = VECTOR ( 'NONE', #3799, 1000.000000000000000 ) ; +#7703 = LINE ( 'NONE', #3804, #7710 ) ; +#7704 = LINE ( 'NONE', #3800, #7705 ) ; +#7705 = VECTOR ( 'NONE', #3801, 1000.000000000000000 ) ; +#7706 = VECTOR ( 'NONE', #3803, 1000.000000000000000 ) ; +#7707 = LINE ( 'NONE', #3806, #7711 ) ; +#7708 = VECTOR ( 'NONE', #3805, 1000.000000000000000 ) ; +#7709 = VECTOR ( 'NONE', #3835, 1000.000000000000000 ) ; +#7710 = VECTOR ( 'NONE', #3808, 1000.000000000000000 ) ; +#7711 = VECTOR ( 'NONE', #3810, 1000.000000000000000 ) ; +#7712 = LINE ( 'NONE', #3822, #7720 ) ; +#7713 = LINE ( 'NONE', #3811, #7714 ) ; +#7714 = VECTOR ( 'NONE', #3812, 1000.000000000000000 ) ; +#7715 = LINE ( 'NONE', #3813, #7716 ) ; +#7716 = VECTOR ( 'NONE', #3814, 1000.000000000000000 ) ; +#7717 = LINE ( 'NONE', #3815, #7718 ) ; +#7718 = VECTOR ( 'NONE', #3816, 1000.000000000000000 ) ; +#7719 = LINE ( 'NONE', #3824, #7728 ) ; +#7720 = VECTOR ( 'NONE', #3823, 1000.000000000000000 ) ; +#7721 = CIRCLE ( 'NONE', #6063, 0.09999999999999993600 ) ; +#7722 = LINE ( 'NONE', #3817, #7724 ) ; +#7723 = CIRCLE ( 'NONE', #6061, 0.09999999999999993600 ) ; +#7724 = VECTOR ( 'NONE', #3825, 1000.000000000000000 ) ; +#7725 = LINE ( 'NONE', #3834, #7709 ) ; +#7726 = LINE ( 'NONE', #3827, #7727 ) ; +#7727 = VECTOR ( 'NONE', #3828, 1000.000000000000000 ) ; +#7728 = VECTOR ( 'NONE', #3830, 1000.000000000000000 ) ; +#7729 = LINE ( 'NONE', #3851, #7731 ) ; +#7730 = LINE ( 'NONE', #3856, #7745 ) ; +#7731 = VECTOR ( 'NONE', #3852, 1000.000000000000000 ) ; +#7732 = CIRCLE ( 'NONE', #6064, 0.09999999999999993600 ) ; +#7733 = LINE ( 'NONE', #3836, #7734 ) ; +#7734 = VECTOR ( 'NONE', #3837, 1000.000000000000000 ) ; +#7735 = LINE ( 'NONE', #3838, #7736 ) ; +#7736 = VECTOR ( 'NONE', #3839, 1000.000000000000000 ) ; +#7737 = LINE ( 'NONE', #3840, #7738 ) ; +#7738 = VECTOR ( 'NONE', #3841, 1000.000000000000000 ) ; +#7739 = LINE ( 'NONE', #3842, #7740 ) ; +#7740 = VECTOR ( 'NONE', #3843, 1000.000000000000000 ) ; +#7741 = VECTOR ( 'NONE', #3845, 1000.000000000000000 ) ; +#7742 = LINE ( 'NONE', #3846, #7743 ) ; +#7743 = VECTOR ( 'NONE', #3847, 1000.000000000000000 ) ; +#7744 = LINE ( 'NONE', #3876, #7756 ) ; +#7745 = VECTOR ( 'NONE', #3857, 1000.000000000000000 ) ; +#7746 = CIRCLE ( 'NONE', #6066, 1.000000000000000000 ) ; +#7747 = LINE ( 'NONE', #3848, #7749 ) ; +#7748 = CIRCLE ( 'NONE', #6067, 0.8000000000000003800 ) ; +#7749 = VECTOR ( 'NONE', #3859, 1000.000000000000000 ) ; +#7750 = LINE ( 'NONE', #3866, #7752 ) ; +#7751 = LINE ( 'NONE', #3871, #7754 ) ; +#7752 = VECTOR ( 'NONE', #3867, 1000.000000000000000 ) ; +#7753 = CIRCLE ( 'NONE', #6065, 0.09999999999999982500 ) ; +#7754 = VECTOR ( 'NONE', #3872, 1000.000000000000000 ) ; +#7755 = CIRCLE ( 'NONE', #6062, 0.2000000000000000900 ) ; +#7756 = VECTOR ( 'NONE', #3877, 1000.000000000000000 ) ; +#7757 = CIRCLE ( 'NONE', #6070, 0.2000000000000000900 ) ; +#7758 = LINE ( 'NONE', #3887, #7765 ) ; +#7759 = CIRCLE ( 'NONE', #6071, 0.09999999999999982500 ) ; +#7760 = LINE ( 'NONE', #3878, #7761 ) ; +#7761 = VECTOR ( 'NONE', #3879, 1000.000000000000000 ) ; +#7762 = LINE ( 'NONE', #3880, #7763 ) ; +#7763 = VECTOR ( 'NONE', #3881, 1000.000000000000000 ) ; +#7764 = LINE ( 'NONE', #3906, #7774 ) ; +#7765 = VECTOR ( 'NONE', #3888, 1000.000000000000000 ) ; +#7766 = CIRCLE ( 'NONE', #6072, 0.1000000000000000300 ) ; +#7767 = CIRCLE ( 'NONE', #6069, 0.1000000000000000100 ) ; +#7768 = LINE ( 'NONE', #3901, #7772 ) ; +#7769 = LINE ( 'NONE', #3896, #7770 ) ; +#7770 = VECTOR ( 'NONE', #3897, 1000.000000000000000 ) ; +#7771 = CIRCLE ( 'NONE', #6068, 0.2000000000000000400 ) ; +#7772 = VECTOR ( 'NONE', #3902, 1000.000000000000000 ) ; +#7773 = CIRCLE ( 'NONE', #6073, 0.2000000000000000400 ) ; +#7774 = VECTOR ( 'NONE', #3907, 1000.000000000000000 ) ; +#7775 = CIRCLE ( 'NONE', #6076, 0.1999999999999999800 ) ; +#7776 = LINE ( 'NONE', #3913, #7780 ) ; +#7777 = LINE ( 'NONE', #3923, #7793 ) ; +#7778 = CIRCLE ( 'NONE', #6077, 0.2000000000000000900 ) ; +#7779 = LINE ( 'NONE', #3919, #7791 ) ; +#7780 = VECTOR ( 'NONE', #3914, 1000.000000000000000 ) ; +#7781 = CIRCLE ( 'NONE', #6078, 0.1000000000000000500 ) ; +#7782 = LINE ( 'NONE', #3908, #7788 ) ; +#7783 = CIRCLE ( 'NONE', #6075, 0.1000000000000000500 ) ; +#7784 = LINE ( 'NONE', #3915, #7785 ) ; +#7785 = VECTOR ( 'NONE', #3916, 1000.000000000000000 ) ; +#7786 = LINE ( 'NONE', #3917, #7787 ) ; +#7787 = VECTOR ( 'NONE', #3918, 1000.000000000000000 ) ; +#7788 = VECTOR ( 'NONE', #3920, 1000.000000000000000 ) ; +#7789 = LINE ( 'NONE', #3921, #7790 ) ; +#7790 = VECTOR ( 'NONE', #3922, 1000.000000000000000 ) ; +#7791 = VECTOR ( 'NONE', #3924, 1000.000000000000000 ) ; +#7792 = VECTOR ( 'NONE', #3931, 1000.000000000000000 ) ; +#7793 = VECTOR ( 'NONE', #3926, 1000.000000000000000 ) ; +#7794 = LINE ( 'NONE', #3930, #7792 ) ; +#7795 = VECTOR ( 'NONE', #3941, 1000.000000000000000 ) ; +#7796 = LINE ( 'NONE', #3945, #7797 ) ; +#7797 = VECTOR ( 'NONE', #3946, 1000.000000000000000 ) ; +#7798 = CIRCLE ( 'NONE', #6079, 0.2000000000000000900 ) ; +#7799 = LINE ( 'NONE', #3932, #7800 ) ; +#7800 = VECTOR ( 'NONE', #3933, 1000.000000000000000 ) ; +#7801 = LINE ( 'NONE', #3940, #7795 ) ; +#7802 = CIRCLE ( 'NONE', #6074, 0.1000000000000000500 ) ; +#7803 = CIRCLE ( 'NONE', #6080, 0.1000000000000000500 ) ; +#7804 = VECTOR ( 'NONE', #3967, 1000.000000000000000 ) ; +#7805 = LINE ( 'NONE', #3950, #7806 ) ; +#7806 = VECTOR ( 'NONE', #3951, 1000.000000000000000 ) ; +#7807 = CIRCLE ( 'NONE', #6081, 0.2000000000000000900 ) ; +#7808 = CIRCLE ( 'NONE', #6084, 0.1999999999999999800 ) ; +#7809 = LINE ( 'NONE', #3959, #7810 ) ; +#7810 = VECTOR ( 'NONE', #3960, 1000.000000000000000 ) ; +#7811 = CIRCLE ( 'NONE', #6083, 0.1999999999999999800 ) ; +#7812 = LINE ( 'NONE', #3976, #7818 ) ; +#7813 = CIRCLE ( 'NONE', #6082, 0.1999999999999999800 ) ; +#7814 = VECTOR ( 'NONE', #3971, 1000.000000000000000 ) ; +#7815 = CIRCLE ( 'NONE', #6087, 0.09999999999999999200 ) ; +#7816 = LINE ( 'NONE', #3961, #7804 ) ; +#7817 = CIRCLE ( 'NONE', #6086, 0.1000000000000000200 ) ; +#7818 = VECTOR ( 'NONE', #3977, 1000.000000000000000 ) ; +#7819 = LINE ( 'NONE', #3966, #7814 ) ; +#7820 = CIRCLE ( 'NONE', #6088, 0.09999999999999982500 ) ; +#7821 = LINE ( 'NONE', #3981, #7822 ) ; +#7822 = VECTOR ( 'NONE', #3982, 1000.000000000000000 ) ; +#7823 = CIRCLE ( 'NONE', #6085, 0.09999999999999982500 ) ; +#7824 = VECTOR ( 'NONE', #3997, 1000.000000000000000 ) ; +#7825 = LINE ( 'NONE', #4006, #7838 ) ; +#7826 = LINE ( 'NONE', #3975, #7832 ) ; +#7827 = CIRCLE ( 'NONE', #6090, 0.2000000000000000900 ) ; +#7828 = LINE ( 'NONE', #3983, #7829 ) ; +#7829 = VECTOR ( 'NONE', #3984, 1000.000000000000000 ) ; +#7830 = LINE ( 'NONE', #3986, #7831 ) ; +#7831 = VECTOR ( 'NONE', #3987, 1000.000000000000000 ) ; +#7832 = VECTOR ( 'NONE', #3989, 1000.000000000000000 ) ; +#7833 = LINE ( 'NONE', #3996, #7843 ) ; +#7834 = LINE ( 'NONE', #3990, #7835 ) ; +#7835 = VECTOR ( 'NONE', #3991, 1000.000000000000000 ) ; +#7836 = LINE ( 'NONE', #3992, #7837 ) ; +#7837 = VECTOR ( 'NONE', #3993, 1000.000000000000000 ) ; +#7838 = VECTOR ( 'NONE', #4007, 1000.000000000000000 ) ; +#7839 = LINE ( 'NONE', #3985, #7824 ) ; +#7840 = CIRCLE ( 'NONE', #6089, 0.2000000000000000900 ) ; +#7841 = LINE ( 'NONE', #3999, #7842 ) ; +#7842 = VECTOR ( 'NONE', #4000, 1000.000000000000000 ) ; +#7843 = VECTOR ( 'NONE', #4002, 1000.000000000000000 ) ; +#7844 = LINE ( 'NONE', #4014, #7846 ) ; +#7845 = LINE ( 'NONE', #4018, #7853 ) ; +#7846 = VECTOR ( 'NONE', #4015, 1000.000000000000000 ) ; +#7847 = CIRCLE ( 'NONE', #6093, 0.2000000000000000900 ) ; +#7848 = LINE ( 'NONE', #4008, #7849 ) ; +#7849 = VECTOR ( 'NONE', #4009, 1000.000000000000000 ) ; +#7850 = LINE ( 'NONE', #4010, #7851 ) ; +#7851 = VECTOR ( 'NONE', #4011, 1000.000000000000000 ) ; +#7852 = VECTOR ( 'NONE', #4044, 1000.000000000000000 ) ; +#7853 = VECTOR ( 'NONE', #4019, 1000.000000000000000 ) ; +#7854 = CIRCLE ( 'NONE', #6094, 0.2000000000000000400 ) ; +#7855 = CIRCLE ( 'NONE', #6095, 0.2000000000000000900 ) ; +#7856 = LINE ( 'NONE', #4020, #7857 ) ; +#7857 = VECTOR ( 'NONE', #4021, 1000.000000000000000 ) ; +#7858 = LINE ( 'NONE', #4023, #7859 ) ; +#7859 = VECTOR ( 'NONE', #4024, 1000.000000000000000 ) ; +#7860 = CIRCLE ( 'NONE', #6092, 1.000000000000000000 ) ; +#7861 = CIRCLE ( 'NONE', #6096, 0.9999999999999995600 ) ; +#7862 = CIRCLE ( 'NONE', #6091, 1.000000000000000000 ) ; +#7863 = LINE ( 'NONE', #4055, #7883 ) ; +#7864 = CIRCLE ( 'NONE', #6098, 0.2000000000000000900 ) ; +#7865 = CIRCLE ( 'NONE', #6099, 1.000000000000000000 ) ; +#7866 = LINE ( 'NONE', #4038, #7852 ) ; +#7867 = CIRCLE ( 'NONE', #6100, 0.8000000000000003800 ) ; +#7868 = VECTOR ( 'NONE', #4056, 1000.000000000000000 ) ; +#7869 = VECTOR ( 'NONE', #8339, 1000.000000000000000 ) ; +#7870 = CIRCLE ( 'NONE', #6101, 0.8000000000000002700 ) ; +#7871 = LINE ( 'NONE', #8338, #7869 ) ; +#7872 = CIRCLE ( 'NONE', #6097, 0.8000000000000003800 ) ; +#7873 = LINE ( 'NONE', #4049, #7868 ) ; +#7874 = CIRCLE ( 'NONE', #6103, 0.8000000000000003800 ) ; +#7875 = LINE ( 'NONE', #4057, #7876 ) ; +#7876 = VECTOR ( 'NONE', #4058, 1000.000000000000000 ) ; +#7877 = LINE ( 'NONE', #2748, #7878 ) ; +#7878 = VECTOR ( 'NONE', #8329, 1000.000000000000000 ) ; +#7879 = LINE ( 'NONE', #8330, #7880 ) ; +#7880 = VECTOR ( 'NONE', #8331, 1000.000000000000000 ) ; +#7881 = LINE ( 'NONE', #8333, #7882 ) ; +#7882 = VECTOR ( 'NONE', #8334, 1000.000000000000000 ) ; +#7883 = VECTOR ( 'NONE', #4052, 1000.000000000000000 ) ; +#7884 = VECTOR ( 'NONE', #8349, 1000.000000000000000 ) ; +#7885 = LINE ( 'NONE', #8348, #7884 ) ; +#7886 = LINE ( 'NONE', #8332, #7890 ) ; +#7887 = CIRCLE ( 'NONE', #6102, 0.2000000000000000100 ) ; +#7888 = LINE ( 'NONE', #8341, #7889 ) ; +#7889 = VECTOR ( 'NONE', #8342, 1000.000000000000000 ) ; +#7890 = VECTOR ( 'NONE', #8344, 1000.000000000000000 ) ; +#7891 = LINE ( 'NONE', #8353, #7893 ) ; +#7892 = LINE ( 'NONE', #8357, #7896 ) ; +#7893 = VECTOR ( 'NONE', #8354, 1000.000000000000000 ) ; +#7894 = CIRCLE ( 'NONE', #6106, 0.2000000000000000900 ) ; +#7895 = LINE ( 'NONE', #8368, #7899 ) ; +#7896 = VECTOR ( 'NONE', #8358, 1000.000000000000000 ) ; +#7897 = CIRCLE ( 'NONE', #6107, 0.2000000000000000900 ) ; +#7898 = LINE ( 'NONE', #8379, #7917 ) ; +#7899 = VECTOR ( 'NONE', #8369, 1000.000000000000000 ) ; +#7900 = CIRCLE ( 'NONE', #6108, 1.000000000000000000 ) ; +#7901 = LINE ( 'NONE', #8359, #7902 ) ; +#7902 = VECTOR ( 'NONE', #8360, 1000.000000000000000 ) ; +#7903 = LINE ( 'NONE', #8361, #7904 ) ; +#7904 = VECTOR ( 'NONE', #8362, 1000.000000000000000 ) ; +#7905 = LINE ( 'NONE', #8363, #7906 ) ; +#7906 = VECTOR ( 'NONE', #8364, 1000.000000000000000 ) ; +#7907 = VECTOR ( 'NONE', #8374, 1000.000000000000000 ) ; +#7908 = CIRCLE ( 'NONE', #6105, 0.8000000000000003800 ) ; +#7909 = LINE ( 'NONE', #8375, #7916 ) ; +#7910 = LINE ( 'NONE', #8373, #7913 ) ; +#7911 = LINE ( 'NONE', #8367, #7907 ) ; +#7912 = CIRCLE ( 'NONE', #6104, 1.000000000000000000 ) ; +#7913 = VECTOR ( 'NONE', #8376, 1000.000000000000000 ) ; +#7914 = LINE ( 'NONE', #8377, #7915 ) ; +#7915 = VECTOR ( 'NONE', #8378, 1000.000000000000000 ) ; +#7916 = VECTOR ( 'NONE', #8381, 1000.000000000000000 ) ; +#7917 = VECTOR ( 'NONE', #8383, 1000.000000000000000 ) ; +#7918 = LINE ( 'NONE', #8395, #7922 ) ; +#7919 = LINE ( 'NONE', #8412, #7929 ) ; +#7920 = CIRCLE ( 'NONE', #6111, 0.8000000000000003800 ) ; +#7921 = CIRCLE ( 'NONE', #6110, 1.000000000000000000 ) ; +#7922 = VECTOR ( 'NONE', #8396, 1000.000000000000000 ) ; +#7923 = CIRCLE ( 'NONE', #6109, 0.8000000000000003800 ) ; +#7924 = CIRCLE ( 'NONE', #6113, 0.8000000000000003800 ) ; +#7925 = LINE ( 'NONE', #8397, #7926 ) ; +#7926 = VECTOR ( 'NONE', #8398, 1000.000000000000000 ) ; +#7927 = CIRCLE ( 'NONE', #6114, 0.8000000000000003800 ) ; +#7928 = CIRCLE ( 'NONE', #6112, 0.8000000000000003800 ) ; +#7929 = VECTOR ( 'NONE', #8413, 1000.000000000000000 ) ; +#7930 = CIRCLE ( 'NONE', #6116, 1.000000000000000000 ) ; +#7931 = VECTOR ( 'NONE', #8430, 1000.000000000000000 ) ; +#7932 = CIRCLE ( 'NONE', #6117, 1.000000000000000000 ) ; +#7933 = LINE ( 'NONE', #8414, #7934 ) ; +#7934 = VECTOR ( 'NONE', #8415, 1000.000000000000000 ) ; +#7935 = VECTOR ( 'NONE', #8426, 1000.000000000000000 ) ; +#7936 = LINE ( 'NONE', #8429, #7931 ) ; +#7937 = CIRCLE ( 'NONE', #6118, 1.000000000000000000 ) ; +#7938 = LINE ( 'NONE', #8431, #7948 ) ; +#7939 = CIRCLE ( 'NONE', #6119, 0.1000000000000000500 ) ; +#7940 = LINE ( 'NONE', #8419, #7935 ) ; +#7941 = CIRCLE ( 'NONE', #6120, 0.1000000000000000500 ) ; +#7942 = LINE ( 'NONE', #8422, #7944 ) ; +#7943 = CIRCLE ( 'NONE', #6122, 0.2000000000000000900 ) ; +#7944 = VECTOR ( 'NONE', #8432, 1000.000000000000000 ) ; +#7945 = VECTOR ( 'NONE', #8440, 1000.000000000000000 ) ; +#7946 = LINE ( 'NONE', #8433, #7947 ) ; +#7947 = VECTOR ( 'NONE', #8434, 1000.000000000000000 ) ; +#7948 = VECTOR ( 'NONE', #8435, 1000.000000000000000 ) ; +#7949 = LINE ( 'NONE', #8439, #7945 ) ; +#7950 = LINE ( 'NONE', #8445, #7951 ) ; +#7951 = VECTOR ( 'NONE', #8446, 1000.000000000000000 ) ; +#7952 = CIRCLE ( 'NONE', #6121, 0.2000000000000000900 ) ; +#7953 = VECTOR ( 'NONE', #8463, 1000.000000000000000 ) ; +#7954 = CIRCLE ( 'NONE', #6115, 0.1999999999999999800 ) ; +#7955 = LINE ( 'NONE', #8454, #7956 ) ; +#7956 = VECTOR ( 'NONE', #8455, 1000.000000000000000 ) ; +#7957 = CIRCLE ( 'NONE', #6124, 0.2000000000000000400 ) ; +#7958 = LINE ( 'NONE', #8467, #7960 ) ; +#7959 = CIRCLE ( 'NONE', #6123, 0.1999999999999999800 ) ; +#7960 = VECTOR ( 'NONE', #8468, 1000.000000000000000 ) ; +#7961 = CIRCLE ( 'NONE', #6127, 0.09999999999999999200 ) ; +#7962 = LINE ( 'NONE', #8456, #7953 ) ; +#7963 = CIRCLE ( 'NONE', #6126, 0.1000000000000000300 ) ; +#7964 = LINE ( 'NONE', #8473, #7967 ) ; +#7965 = CIRCLE ( 'NONE', #6129, 0.2000000000000000900 ) ; +#7966 = VECTOR ( 'NONE', #8495, 1000.000000000000000 ) ; +#7967 = VECTOR ( 'NONE', #8474, 1000.000000000000000 ) ; +#7968 = CIRCLE ( 'NONE', #6130, 0.09999999999999982500 ) ; +#7969 = CIRCLE ( 'NONE', #6125, 0.09999999999999982500 ) ; +#7970 = LINE ( 'NONE', #8476, #7971 ) ; +#7971 = VECTOR ( 'NONE', #8477, 1000.000000000000000 ) ; +#7972 = LINE ( 'NONE', #8488, #7975 ) ; +#7973 = LINE ( 'NONE', #8494, #7966 ) ; +#7974 = CIRCLE ( 'NONE', #6128, 0.2000000000000000900 ) ; +#7975 = VECTOR ( 'NONE', #8489, 1000.000000000000000 ) ; +#7976 = CIRCLE ( 'NONE', #6132, 0.2000000000000000900 ) ; +#7977 = LINE ( 'NONE', #8482, #7979 ) ; +#7978 = CIRCLE ( 'NONE', #6133, 0.2000000000000000900 ) ; +#7979 = VECTOR ( 'NONE', #8491, 1000.000000000000000 ) ; +#7980 = LINE ( 'NONE', #8496, #7992 ) ; +#7981 = LINE ( 'NONE', #8504, #7996 ) ; +#7982 = LINE ( 'NONE', #8487, #7984 ) ; +#7983 = CIRCLE ( 'NONE', #6134, 0.2000000000000000900 ) ; +#7984 = VECTOR ( 'NONE', #8497, 1000.000000000000000 ) ; +#7985 = LINE ( 'NONE', #8508, #8000 ) ; +#7986 = LINE ( 'NONE', #8498, #7987 ) ; +#7987 = VECTOR ( 'NONE', #8499, 1000.000000000000000 ) ; +#7988 = LINE ( 'NONE', #8500, #7989 ) ; +#7989 = VECTOR ( 'NONE', #8501, 1000.000000000000000 ) ; +#7990 = LINE ( 'NONE', #8502, #7991 ) ; +#7991 = VECTOR ( 'NONE', #8503, 1000.000000000000000 ) ; +#7992 = VECTOR ( 'NONE', #8505, 1000.000000000000000 ) ; +#7993 = LINE ( 'NONE', #8512, #8001 ) ; +#7994 = LINE ( 'NONE', #8506, #7995 ) ; +#7995 = VECTOR ( 'NONE', #8507, 1000.000000000000000 ) ; +#7996 = VECTOR ( 'NONE', #8509, 1000.000000000000000 ) ; +#7997 = LINE ( 'NONE', #8514, #8003 ) ; +#7998 = LINE ( 'NONE', #8510, #7999 ) ; +#7999 = VECTOR ( 'NONE', #8511, 1000.000000000000000 ) ; +#8000 = VECTOR ( 'NONE', #8513, 1000.000000000000000 ) ; +#8001 = VECTOR ( 'NONE', #8515, 1000.000000000000000 ) ; +#8002 = VECTOR ( 'NONE', #8527, 1000.000000000000000 ) ; +#8003 = VECTOR ( 'NONE', #8516, 1000.000000000000000 ) ; +#8004 = LINE ( 'NONE', #8526, #8002 ) ; +#8005 = LINE ( 'NONE', #8517, #8006 ) ; +#8006 = VECTOR ( 'NONE', #8518, 1000.000000000000000 ) ; +#8007 = LINE ( 'NONE', #8520, #8008 ) ; +#8008 = VECTOR ( 'NONE', #8521, 1000.000000000000000 ) ; +#8009 = LINE ( 'NONE', #8554, #8024 ) ; +#8010 = CIRCLE ( 'NONE', #6136, 1.000000000000000400 ) ; +#8011 = LINE ( 'NONE', #8528, #8012 ) ; +#8012 = VECTOR ( 'NONE', #8529, 1000.000000000000000 ) ; +#8013 = LINE ( 'NONE', #8530, #8014 ) ; +#8014 = VECTOR ( 'NONE', #8531, 1000.000000000000000 ) ; +#8015 = LINE ( 'NONE', #8532, #8016 ) ; +#8016 = VECTOR ( 'NONE', #8533, 1000.000000000000000 ) ; +#8017 = LINE ( 'NONE', #8534, #8018 ) ; +#8018 = VECTOR ( 'NONE', #8535, 1000.000000000000000 ) ; +#8019 = LINE ( 'NONE', #8545, #8022 ) ; +#8020 = LINE ( 'NONE', #8561, #8030 ) ; +#8021 = CIRCLE ( 'NONE', #6137, 0.2000000000000000900 ) ; +#8022 = VECTOR ( 'NONE', #8546, 1000.000000000000000 ) ; +#8023 = CIRCLE ( 'NONE', #6131, 0.2000000000000000900 ) ; +#8024 = VECTOR ( 'NONE', #8555, 1000.000000000000000 ) ; +#8025 = CIRCLE ( 'NONE', #6138, 0.2000000000000000900 ) ; +#8026 = LINE ( 'NONE', #8547, #8027 ) ; +#8027 = VECTOR ( 'NONE', #8548, 1000.000000000000000 ) ; +#8028 = LINE ( 'NONE', #8549, #8029 ) ; +#8029 = VECTOR ( 'NONE', #8550, 1000.000000000000000 ) ; +#8030 = VECTOR ( 'NONE', #8562, 1000.000000000000000 ) ; +#8031 = CIRCLE ( 'NONE', #6140, 0.2000000000000000900 ) ; +#8032 = LINE ( 'NONE', #8556, #8033 ) ; +#8033 = VECTOR ( 'NONE', #8557, 1000.000000000000000 ) ; +#8034 = CIRCLE ( 'NONE', #6139, 0.2000000000000000900 ) ; +#8035 = LINE ( 'NONE', #8563, #8036 ) ; +#8036 = VECTOR ( 'NONE', #8564, 1000.000000000000000 ) ; +#8037 = LINE ( 'NONE', #8579, #8042 ) ; +#8038 = CIRCLE ( 'NONE', #6141, 0.2000000000000000900 ) ; +#8039 = LINE ( 'NONE', #8598, #8051 ) ; +#8040 = CIRCLE ( 'NONE', #6142, 0.09999999999999982500 ) ; +#8041 = CIRCLE ( 'NONE', #6143, 0.1000000000000000300 ) ; +#8042 = VECTOR ( 'NONE', #8580, 1000.000000000000000 ) ; +#8043 = CIRCLE ( 'NONE', #6144, 0.09999999999999982500 ) ; +#8044 = CIRCLE ( 'NONE', #6145, 0.1999999999999999800 ) ; +#8045 = LINE ( 'NONE', #8593, #8049 ) ; +#8046 = LINE ( 'NONE', #8588, #8047 ) ; +#8047 = VECTOR ( 'NONE', #8589, 1000.000000000000000 ) ; +#8048 = CIRCLE ( 'NONE', #6135, 0.1000000000000000100 ) ; +#8049 = VECTOR ( 'NONE', #8594, 1000.000000000000000 ) ; +#8050 = CIRCLE ( 'NONE', #6146, 0.1999999999999999800 ) ; +#8051 = VECTOR ( 'NONE', #8599, 1000.000000000000000 ) ; +#8052 = CIRCLE ( 'NONE', #6149, 0.1999999999999999800 ) ; +#8053 = LINE ( 'NONE', #8606, #8057 ) ; +#8054 = LINE ( 'NONE', #8626, #8082 ) ; +#8055 = CIRCLE ( 'NONE', #6150, 0.2000000000000000900 ) ; +#8056 = LINE ( 'NONE', #8610, #8059 ) ; +#8057 = VECTOR ( 'NONE', #8607, 1000.000000000000000 ) ; +#8058 = CIRCLE ( 'NONE', #6151, 0.1000000000000000500 ) ; +#8059 = VECTOR ( 'NONE', #8611, 1000.000000000000000 ) ; +#8060 = CIRCLE ( 'NONE', #6148, 0.1000000000000000500 ) ; +#8061 = LINE ( 'NONE', #8630, #8118 ) ; +#8062 = LINE ( 'NONE', #8603, #8078 ) ; +#8063 = CIRCLE ( 'NONE', #6153, 0.8000000000000003800 ) ; +#8064 = LINE ( 'NONE', #8612, #8065 ) ; +#8065 = VECTOR ( 'NONE', #8613, 1000.000000000000000 ) ; +#8066 = LINE ( 'NONE', #8614, #8067 ) ; +#8067 = VECTOR ( 'NONE', #8615, 1000.000000000000000 ) ; +#8068 = LINE ( 'NONE', #8616, #8069 ) ; +#8069 = VECTOR ( 'NONE', #8617, 1000.000000000000000 ) ; +#8070 = LINE ( 'NONE', #8618, #8071 ) ; +#8071 = VECTOR ( 'NONE', #8619, 1000.000000000000000 ) ; +#8072 = LINE ( 'NONE', #8620, #8073 ) ; +#8073 = VECTOR ( 'NONE', #8621, 1000.000000000000000 ) ; +#8074 = LINE ( 'NONE', #8622, #8075 ) ; +#8075 = VECTOR ( 'NONE', #8623, 1000.000000000000000 ) ; +#8076 = LINE ( 'NONE', #8624, #8077 ) ; +#8077 = VECTOR ( 'NONE', #8625, 1000.000000000000000 ) ; +#8078 = VECTOR ( 'NONE', #8627, 1000.000000000000000 ) ; +#8079 = LINE ( 'NONE', #8666, #8122 ) ; +#8080 = LINE ( 'NONE', #8628, #8081 ) ; +#8081 = VECTOR ( 'NONE', #8629, 1000.000000000000000 ) ; +#8082 = VECTOR ( 'NONE', #8631, 1000.000000000000000 ) ; +#8083 = LINE ( 'NONE', #8670, #8124 ) ; +#8084 = LINE ( 'NONE', #8632, #8085 ) ; +#8085 = VECTOR ( 'NONE', #8633, 1000.000000000000000 ) ; +#8086 = LINE ( 'NONE', #8634, #8087 ) ; +#8087 = VECTOR ( 'NONE', #8635, 1000.000000000000000 ) ; +#8088 = LINE ( 'NONE', #8636, #8089 ) ; +#8089 = VECTOR ( 'NONE', #8637, 1000.000000000000000 ) ; +#8090 = LINE ( 'NONE', #8638, #8091 ) ; +#8091 = VECTOR ( 'NONE', #8639, 1000.000000000000000 ) ; +#8092 = LINE ( 'NONE', #8640, #8093 ) ; +#8093 = VECTOR ( 'NONE', #8641, 1000.000000000000000 ) ; +#8094 = LINE ( 'NONE', #8642, #8095 ) ; +#8095 = VECTOR ( 'NONE', #8643, 1000.000000000000000 ) ; +#8096 = LINE ( 'NONE', #8644, #8097 ) ; +#8097 = VECTOR ( 'NONE', #8645, 1000.000000000000000 ) ; +#8098 = LINE ( 'NONE', #8646, #8099 ) ; +#8099 = VECTOR ( 'NONE', #8647, 1000.000000000000000 ) ; +#8100 = LINE ( 'NONE', #8648, #8101 ) ; +#8101 = VECTOR ( 'NONE', #8649, 1000.000000000000000 ) ; +#8102 = LINE ( 'NONE', #8650, #8103 ) ; +#8103 = VECTOR ( 'NONE', #8651, 1000.000000000000000 ) ; +#8104 = LINE ( 'NONE', #8652, #8105 ) ; +#8105 = VECTOR ( 'NONE', #8653, 1000.000000000000000 ) ; +#8106 = LINE ( 'NONE', #8654, #8107 ) ; +#8107 = VECTOR ( 'NONE', #8655, 1000.000000000000000 ) ; +#8108 = LINE ( 'NONE', #8656, #8109 ) ; +#8109 = VECTOR ( 'NONE', #8657, 1000.000000000000000 ) ; +#8110 = LINE ( 'NONE', #8658, #8111 ) ; +#8111 = VECTOR ( 'NONE', #8659, 1000.000000000000000 ) ; +#8112 = LINE ( 'NONE', #8660, #8113 ) ; +#8113 = VECTOR ( 'NONE', #8661, 1000.000000000000000 ) ; +#8114 = LINE ( 'NONE', #8662, #8115 ) ; +#8115 = VECTOR ( 'NONE', #8663, 1000.000000000000000 ) ; +#8116 = LINE ( 'NONE', #8664, #8117 ) ; +#8117 = VECTOR ( 'NONE', #8665, 1000.000000000000000 ) ; +#8118 = VECTOR ( 'NONE', #8667, 1000.000000000000000 ) ; +#8119 = VECTOR ( 'NONE', #8685, 1000.000000000000000 ) ; +#8120 = LINE ( 'NONE', #8668, #8121 ) ; +#8121 = VECTOR ( 'NONE', #8669, 1000.000000000000000 ) ; +#8122 = VECTOR ( 'NONE', #8672, 1000.000000000000000 ) ; +#8123 = VECTOR ( 'NONE', #8680, 1000.000000000000000 ) ; +#8124 = VECTOR ( 'NONE', #8674, 1000.000000000000000 ) ; +#8125 = LINE ( 'NONE', #8675, #8126 ) ; +#8126 = VECTOR ( 'NONE', #8676, 1000.000000000000000 ) ; +#8127 = VECTOR ( 'NONE', #8705, 1000.000000000000000 ) ; +#8128 = LINE ( 'NONE', #8671, #8123 ) ; +#8129 = CIRCLE ( 'NONE', #6147, 0.1000000000000002600 ) ; +#8130 = LINE ( 'NONE', #8684, #8137 ) ; +#8131 = LINE ( 'NONE', #8679, #8119 ) ; +#8132 = CIRCLE ( 'NONE', #6152, 0.1000000000000002600 ) ; +#8133 = LINE ( 'NONE', #8686, #8134 ) ; +#8134 = VECTOR ( 'NONE', #8687, 1000.000000000000000 ) ; +#8135 = LINE ( 'NONE', #8688, #8136 ) ; +#8136 = VECTOR ( 'NONE', #8689, 1000.000000000000000 ) ; +#8137 = VECTOR ( 'NONE', #8690, 1000.000000000000000 ) ; +#8138 = VECTOR ( 'NONE', #8700, 1000.000000000000000 ) ; +#8139 = LINE ( 'NONE', #8691, #8140 ) ; +#8140 = VECTOR ( 'NONE', #8692, 999.9999999999998900 ) ; +#8141 = LINE ( 'NONE', #8694, #8142 ) ; +#8142 = VECTOR ( 'NONE', #8695, 1000.000000000000000 ) ; +#8143 = VECTOR ( 'NONE', #8716, 1000.000000000000000 ) ; +#8144 = LINE ( 'NONE', #8693, #8138 ) ; +#8145 = CIRCLE ( 'NONE', #6156, 0.09999999999999993600 ) ; +#8146 = LINE ( 'NONE', #8706, #8152 ) ; +#8147 = LINE ( 'NONE', #8704, #8150 ) ; +#8148 = LINE ( 'NONE', #8699, #8127 ) ; +#8149 = CIRCLE ( 'NONE', #6154, 0.1000000000000002600 ) ; +#8150 = VECTOR ( 'NONE', #8708, 1000.000000000000000 ) ; +#8151 = LINE ( 'NONE', #8715, #8143 ) ; +#8152 = VECTOR ( 'NONE', #8710, 1000.000000000000000 ) ; +#8153 = LINE ( 'NONE', #8723, #8171 ) ; +#8154 = LINE ( 'NONE', #8711, #8155 ) ; +#8155 = VECTOR ( 'NONE', #8712, 1000.000000000000000 ) ; +#8156 = LINE ( 'NONE', #8729, #8187 ) ; +#8157 = LINE ( 'NONE', #8707, #8165 ) ; +#8158 = CIRCLE ( 'NONE', #6158, 0.09999999999999993600 ) ; +#8159 = LINE ( 'NONE', #8717, #8160 ) ; +#8160 = VECTOR ( 'NONE', #8718, 1000.000000000000000 ) ; +#8161 = LINE ( 'NONE', #8719, #8162 ) ; +#8162 = VECTOR ( 'NONE', #8720, 1000.000000000000000 ) ; +#8163 = LINE ( 'NONE', #8721, #8164 ) ; +#8164 = VECTOR ( 'NONE', #8722, 1000.000000000000000 ) ; +#8165 = VECTOR ( 'NONE', #8724, 1000.000000000000000 ) ; +#8166 = LINE ( 'NONE', #8745, #8189 ) ; +#8167 = LINE ( 'NONE', #8725, #8168 ) ; +#8168 = VECTOR ( 'NONE', #8726, 1000.000000000000000 ) ; +#8169 = LINE ( 'NONE', #8727, #8170 ) ; +#8170 = VECTOR ( 'NONE', #8728, 1000.000000000000000 ) ; +#8171 = VECTOR ( 'NONE', #8730, 1000.000000000000000 ) ; +#8172 = LINE ( 'NONE', #8747, #8191 ) ; +#8173 = LINE ( 'NONE', #8731, #8174 ) ; +#8174 = VECTOR ( 'NONE', #8732, 1000.000000000000000 ) ; +#8175 = LINE ( 'NONE', #8733, #8176 ) ; +#8176 = VECTOR ( 'NONE', #8734, 1000.000000000000000 ) ; +#8177 = LINE ( 'NONE', #8735, #8178 ) ; +#8178 = VECTOR ( 'NONE', #8736, 1000.000000000000000 ) ; +#8179 = LINE ( 'NONE', #8737, #8180 ) ; +#8180 = VECTOR ( 'NONE', #8738, 1000.000000000000000 ) ; +#8181 = LINE ( 'NONE', #8739, #8182 ) ; +#8182 = VECTOR ( 'NONE', #8740, 1000.000000000000000 ) ; +#8183 = LINE ( 'NONE', #8741, #8184 ) ; +#8184 = VECTOR ( 'NONE', #8742, 1000.000000000000000 ) ; +#8185 = LINE ( 'NONE', #8743, #8186 ) ; +#8186 = VECTOR ( 'NONE', #8744, 1000.000000000000000 ) ; +#8187 = VECTOR ( 'NONE', #8746, 1000.000000000000000 ) ; +#8188 = LINE ( 'NONE', #8749, #8199 ) ; +#8189 = VECTOR ( 'NONE', #8748, 1000.000000000000000 ) ; +#8190 = LINE ( 'NONE', #8757, #8201 ) ; +#8191 = VECTOR ( 'NONE', #8750, 1000.000000000000000 ) ; +#8192 = LINE ( 'NONE', #8759, #8203 ) ; +#8193 = LINE ( 'NONE', #8751, #8194 ) ; +#8194 = VECTOR ( 'NONE', #8752, 1000.000000000000000 ) ; +#8195 = LINE ( 'NONE', #8753, #8196 ) ; +#8196 = VECTOR ( 'NONE', #8754, 1000.000000000000000 ) ; +#8197 = LINE ( 'NONE', #8755, #8198 ) ; +#8198 = VECTOR ( 'NONE', #8756, 1000.000000000000000 ) ; +#8199 = VECTOR ( 'NONE', #8758, 1000.000000000000000 ) ; +#8200 = LINE ( 'NONE', #8761, #8207 ) ; +#8201 = VECTOR ( 'NONE', #8760, 1000.000000000000000 ) ; +#8202 = LINE ( 'NONE', #8765, #8209 ) ; +#8203 = VECTOR ( 'NONE', #8762, 1000.000000000000000 ) ; +#8204 = VECTOR ( 'NONE', #8797, 1000.000000000000000 ) ; +#8205 = LINE ( 'NONE', #8763, #8206 ) ; +#8206 = VECTOR ( 'NONE', #8764, 1000.000000000000000 ) ; +#8207 = VECTOR ( 'NONE', #8767, 1000.000000000000000 ) ; +#8208 = LINE ( 'NONE', #8796, #8204 ) ; +#8209 = VECTOR ( 'NONE', #8769, 1000.000000000000000 ) ; +#8210 = LINE ( 'NONE', #8802, #8246 ) ; +#8211 = LINE ( 'NONE', #8770, #8212 ) ; +#8212 = VECTOR ( 'NONE', #8771, 1000.000000000000000 ) ; +#8213 = LINE ( 'NONE', #8772, #8214 ) ; +#8214 = VECTOR ( 'NONE', #8773, 1000.000000000000000 ) ; +#8215 = LINE ( 'NONE', #8774, #8216 ) ; +#8216 = VECTOR ( 'NONE', #8775, 1000.000000000000000 ) ; +#8217 = LINE ( 'NONE', #8776, #8218 ) ; +#8218 = VECTOR ( 'NONE', #8777, 1000.000000000000000 ) ; +#8219 = LINE ( 'NONE', #8778, #8220 ) ; +#8220 = VECTOR ( 'NONE', #8779, 1000.000000000000000 ) ; +#8221 = LINE ( 'NONE', #8780, #8222 ) ; +#8222 = VECTOR ( 'NONE', #8781, 1000.000000000000000 ) ; +#8223 = LINE ( 'NONE', #8782, #8224 ) ; +#8224 = VECTOR ( 'NONE', #8783, 1000.000000000000000 ) ; +#8225 = LINE ( 'NONE', #8784, #8226 ) ; +#8226 = VECTOR ( 'NONE', #8785, 1000.000000000000000 ) ; +#8227 = LINE ( 'NONE', #8786, #8228 ) ; +#8228 = VECTOR ( 'NONE', #8787, 1000.000000000000000 ) ; +#8229 = LINE ( 'NONE', #8788, #8230 ) ; +#8230 = VECTOR ( 'NONE', #8789, 1000.000000000000000 ) ; +#8231 = LINE ( 'NONE', #8790, #8232 ) ; +#8232 = VECTOR ( 'NONE', #8791, 1000.000000000000000 ) ; +#8233 = LINE ( 'NONE', #8792, #8234 ) ; +#8234 = VECTOR ( 'NONE', #8793, 1000.000000000000000 ) ; +#8235 = LINE ( 'NONE', #8806, #8250 ) ; +#8236 = LINE ( 'NONE', #8766, #8242 ) ; +#8237 = CIRCLE ( 'NONE', #6159, 0.09999999999999993600 ) ; +#8238 = LINE ( 'NONE', #8798, #8239 ) ; +#8239 = VECTOR ( 'NONE', #8799, 1000.000000000000000 ) ; +#8240 = LINE ( 'NONE', #8800, #8241 ) ; +#8241 = VECTOR ( 'NONE', #8801, 1000.000000000000000 ) ; +#8242 = VECTOR ( 'NONE', #8803, 1000.000000000000000 ) ; +#8243 = LINE ( 'NONE', #8810, #8252 ) ; +#8244 = LINE ( 'NONE', #8804, #8245 ) ; +#8245 = VECTOR ( 'NONE', #8805, 1000.000000000000000 ) ; +#8246 = VECTOR ( 'NONE', #8807, 1000.000000000000100 ) ; +#8247 = LINE ( 'NONE', #8812, #8260 ) ; +#8248 = LINE ( 'NONE', #8808, #8249 ) ; +#8249 = VECTOR ( 'NONE', #8809, 1000.000000000000100 ) ; +#8250 = VECTOR ( 'NONE', #8811, 1000.000000000000000 ) ; +#8251 = LINE ( 'NONE', #8820, #8262 ) ; +#8252 = VECTOR ( 'NONE', #8813, 1000.000000000000000 ) ; +#8253 = LINE ( 'NONE', #8822, #8264 ) ; +#8254 = LINE ( 'NONE', #8814, #8255 ) ; +#8255 = VECTOR ( 'NONE', #8815, 1000.000000000000000 ) ; +#8256 = LINE ( 'NONE', #8816, #8257 ) ; +#8257 = VECTOR ( 'NONE', #8817, 1000.000000000000000 ) ; +#8258 = LINE ( 'NONE', #8818, #8259 ) ; +#8259 = VECTOR ( 'NONE', #8819, 1000.000000000000000 ) ; +#8260 = VECTOR ( 'NONE', #8821, 1000.000000000000000 ) ; +#8261 = LINE ( 'NONE', #8824, #8268 ) ; +#8262 = VECTOR ( 'NONE', #8823, 1000.000000000000000 ) ; +#8263 = LINE ( 'NONE', #8828, #8278 ) ; +#8264 = VECTOR ( 'NONE', #8825, 1000.000000000000000 ) ; +#8265 = LINE ( 'NONE', #8838, #8286 ) ; +#8266 = LINE ( 'NONE', #8826, #8267 ) ; +#8267 = VECTOR ( 'NONE', #8827, 1000.000000000000100 ) ; +#8268 = VECTOR ( 'NONE', #8829, 1000.000000000000000 ) ; +#8269 = LINE ( 'NONE', #8846, #8290 ) ; +#8270 = LINE ( 'NONE', #8830, #8271 ) ; +#8271 = VECTOR ( 'NONE', #8831, 1000.000000000000000 ) ; +#8272 = LINE ( 'NONE', #8832, #8273 ) ; +#8273 = VECTOR ( 'NONE', #8833, 1000.000000000000000 ) ; +#8274 = LINE ( 'NONE', #8834, #8275 ) ; +#8275 = VECTOR ( 'NONE', #8835, 1000.000000000000100 ) ; +#8276 = LINE ( 'NONE', #8836, #8277 ) ; +#8277 = VECTOR ( 'NONE', #8837, 1000.000000000000000 ) ; +#8278 = VECTOR ( 'NONE', #8839, 1000.000000000000000 ) ; +#8279 = LINE ( 'NONE', #8850, #8292 ) ; +#8280 = LINE ( 'NONE', #8840, #8281 ) ; +#8281 = VECTOR ( 'NONE', #8841, 1000.000000000000000 ) ; +#8282 = LINE ( 'NONE', #8842, #8283 ) ; +#8283 = VECTOR ( 'NONE', #8843, 1000.000000000000000 ) ; +#8284 = LINE ( 'NONE', #8844, #8285 ) ; +#8285 = VECTOR ( 'NONE', #8845, 1000.000000000000000 ) ; +#8286 = VECTOR ( 'NONE', #8847, 1000.000000000000100 ) ; +#8287 = LINE ( 'NONE', #8852, #8302 ) ; +#8288 = LINE ( 'NONE', #8848, #8289 ) ; +#8289 = VECTOR ( 'NONE', #8849, 1000.000000000000100 ) ; +#8290 = VECTOR ( 'NONE', #8851, 1000.000000000000000 ) ; +#8291 = LINE ( 'NONE', #8862, #8306 ) ; +#8292 = VECTOR ( 'NONE', #8853, 1000.000000000000000 ) ; +#8293 = LINE ( 'NONE', #8866, #8308 ) ; +#8294 = LINE ( 'NONE', #8854, #8295 ) ; +#8295 = VECTOR ( 'NONE', #8855, 1000.000000000000000 ) ; +#8296 = LINE ( 'NONE', #8856, #8297 ) ; +#8297 = VECTOR ( 'NONE', #8857, 1000.000000000000000 ) ; +#8298 = LINE ( 'NONE', #8858, #8299 ) ; +#8299 = VECTOR ( 'NONE', #8859, 1000.000000000000000 ) ; +#8300 = LINE ( 'NONE', #8860, #8301 ) ; +#8301 = VECTOR ( 'NONE', #8861, 1000.000000000000100 ) ; +#8302 = VECTOR ( 'NONE', #8863, 1000.000000000000000 ) ; +#8303 = LINE ( 'NONE', #8868, #8322 ) ; +#8304 = LINE ( 'NONE', #8864, #8305 ) ; +#8305 = VECTOR ( 'NONE', #8865, 1000.000000000000000 ) ; +#8306 = VECTOR ( 'NONE', #8867, 1000.000000000000000 ) ; +#8307 = LINE ( 'NONE', #8882, #8324 ) ; +#8308 = VECTOR ( 'NONE', #8869, 1000.000000000000000 ) ; +#8309 = LINE ( 'NONE', #8884, #9518 ) ; +#8310 = LINE ( 'NONE', #8870, #8311 ) ; +#8311 = VECTOR ( 'NONE', #8871, 1000.000000000000100 ) ; +#8312 = LINE ( 'NONE', #8872, #8313 ) ; +#8313 = VECTOR ( 'NONE', #8873, 1000.000000000000000 ) ; +#8314 = LINE ( 'NONE', #8874, #8315 ) ; +#8315 = VECTOR ( 'NONE', #8875, 1000.000000000000000 ) ; +#8316 = LINE ( 'NONE', #8876, #8317 ) ; +#8317 = VECTOR ( 'NONE', #8877, 1000.000000000000000 ) ; +#8318 = LINE ( 'NONE', #8878, #8319 ) ; +#8319 = VECTOR ( 'NONE', #8879, 1000.000000000000000 ) ; +#8320 = LINE ( 'NONE', #8880, #8321 ) ; +#8321 = VECTOR ( 'NONE', #8881, 1000.000000000000000 ) ; +#8322 = VECTOR ( 'NONE', #8883, 1000.000000000000000 ) ; +#8323 = LINE ( 'NONE', #8890, #9520 ) ; +#8324 = VECTOR ( 'NONE', #8885, 1000.000000000000000 ) ; +#8325 = LINE ( 'NONE', #8892, #9522 ) ; +#8326 = LINE ( 'NONE', #8886, #8327 ) ; +#8327 = VECTOR ( 'NONE', #8887, 1000.000000000000000 ) ; +#8328 = LINE ( 'NONE', #8888, #9517 ) ; +#8329 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8330 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999993900, 0.2999999999999999900, 1.650000000000000400 ) ) ; +#8331 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8332 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -2.149999999999999900 ) ) ; +#8333 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999994300, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#8334 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8335 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.2999999999999999300, 0.2499999999999994700 ) ) ; +#8336 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8337 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8338 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999993900, 0.2999999999999999900, 0.05000000000000012800 ) ) ; +#8339 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8340 = CARTESIAN_POINT ( 'NONE', ( 1.769999999999999800, 0.2999999999999999300, -2.950000000000000200 ) ) ; +#8341 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999994300, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#8342 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8343 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.2999999999999999300, -2.350000000000000500 ) ) ; +#8344 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8345 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000600, 2.210000000000000000, -3.650000000000000400 ) ) ; +#8346 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8347 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8348 = CARTESIAN_POINT ( 'NONE', ( 1.969999999999999500, 0.2999999999999999900, -3.650000000000000800 ) ) ; +#8349 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8350 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999999700, 2.109999999999999900, -3.650000000000000400 ) ) ; +#8351 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8352 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8353 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -3.150000000000000400 ) ) ; +#8354 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8355 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8356 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8357 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, -0.7999999999999994900, -3.650000000000000400 ) ) ; +#8358 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8359 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -3.650000000000000400 ) ) ; +#8360 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8361 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999700, 3.210000000000000000, -3.650000000000000400 ) ) ; +#8362 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8363 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 3.210000000000000000, -3.650000000000000400 ) ) ; +#8364 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8365 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8366 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8367 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.200000000000001100, -3.549999999999999800 ) ) ; +#8368 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, 1.000000000000000000, -3.650000000000000400 ) ) ; +#8369 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8370 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999999300, 1.000000000000000000, -2.150000000000000800 ) ) ; +#8371 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8372 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8373 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.200000000000000800, -2.300000000000001200 ) ) ; +#8374 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.668003342285392500E-016, 1.000000000000000000 ) ) ; +#8375 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.200000000000000800, -2.250000000000000400 ) ) ; +#8376 = DIRECTION ( 'NONE', ( 1.000000000000000000, -1.981866134157704800E-032, -0.0000000000000000000 ) ) ; +#8377 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.200000000000001100, -3.650000000000000400 ) ) ; +#8378 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.668003342285392500E-016, 1.000000000000000000 ) ) ; +#8379 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000005900, -3.650000000000000400 ) ) ; +#8380 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999999700, 1.100000000000000300, -2.150000000000000800 ) ) ; +#8381 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8382 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999999700, 2.109999999999999900, 3.649999999999999500 ) ) ; +#8383 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8384 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8385 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8386 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999999800, 1.000000000000000000, 0.04999999999999969700 ) ) ; +#8387 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, -1.379948910513871700E-016, 1.000000000000000000 ) ) ; +#8388 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 1.355252715606880500E-016 ) ) ; +#8389 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999999700, 1.100000000000000300, 0.04999999999999969700 ) ) ; +#8390 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999999700, 2.109999999999999900, 3.649999999999999500 ) ) ; +#8391 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, -1.379948910513871700E-016, 1.000000000000000000 ) ) ; +#8392 = DIRECTION ( 'NONE', ( 1.626303258728255900E-015, 1.000000000000000000, 1.355252715606879800E-016 ) ) ; +#8393 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8394 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8395 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 2.109999999999994500, 3.649999999999999500 ) ) ; +#8396 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8397 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, 3.649999999999999500 ) ) ; +#8398 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8399 = CARTESIAN_POINT ( 'NONE', ( 3.470000000000000600, 2.210000000000000000, 3.649999999999999500 ) ) ; +#8400 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8401 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8402 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999999700, 1.100000000000000300, 3.649999999999999500 ) ) ; +#8403 = CARTESIAN_POINT ( 'NONE', ( 3.470000000000000200, 1.000000000000000000, 3.649999999999999500 ) ) ; +#8404 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8405 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8406 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000200, 2.210000000000000000, 3.649999999999999500 ) ) ; +#8407 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8408 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8409 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000001200, 1.549999999999999400 ) ) ; +#8410 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8411 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8412 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 3.210000000000000000, 3.649999999999999500 ) ) ; +#8413 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8414 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 3.210000000000000000, 3.649999999999999500 ) ) ; +#8415 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8416 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000001000, 1.549999999999999400 ) ) ; +#8417 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8418 = DIRECTION ( 'NONE', ( -1.000000000000000000, -1.734723475976807100E-015, 0.0000000000000000000 ) ) ; +#8419 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, 1.000000000000000000, -3.650000000000000400 ) ) ; +#8420 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8421 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8422 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 2.210000000000000000, 3.649999999999999500 ) ) ; +#8423 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8424 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8425 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, -0.7999999999999991600, -3.450000000000000200 ) ) ; +#8426 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8427 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8428 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8429 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.9999999999999991100, -2.400000000000001200 ) ) ; +#8430 = DIRECTION ( 'NONE', ( 6.938893903907232300E-016, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8431 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.9999999999999991100, 1.449999999999999300 ) ) ; +#8432 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8433 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000000300, 1.649999999999999200 ) ) ; +#8434 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -1.162062240432734000E-016 ) ) ; +#8435 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8436 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.7999999999999991600, 1.249999999999999100 ) ) ; +#8437 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8438 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8439 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.9999999999999991100, 1.449999999999999300 ) ) ; +#8440 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8441 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.7999999999999991600, 0.8499999999999990900 ) ) ; +#8442 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8443 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8444 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 0.2000000000000000100, 0.4499999999999992300 ) ) ; +#8445 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.9999999999999991100, 0.6499999999999991300 ) ) ; +#8446 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8447 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.1999999999999999800, 0.4499999999999990700 ) ) ; +#8448 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000001200, 0.1499999999999992500 ) ) ; +#8449 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8450 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8451 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000001000, 0.1499999999999992200 ) ) ; +#8452 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8453 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8454 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.9999999999999991100, 0.2499999999999992500 ) ) ; +#8455 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8456 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000000300, 0.04999999999999921200 ) ) ; +#8457 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8458 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8459 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, 1.100000000000001200, -2.300000000000001200 ) ) ; +#8460 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8461 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8462 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, -0.7999999999999991600, -2.600000000000001000 ) ) ; +#8463 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, 1.379948910513871700E-016 ) ) ; +#8464 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000000800, -2.250000000000000900 ) ) ; +#8465 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8466 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8467 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000000800, -2.150000000000000800 ) ) ; +#8468 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8469 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8470 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8471 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8472 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8473 = CARTESIAN_POINT ( 'NONE', ( 3.470000000000000200, 3.210000000000000000, -3.650000000000000400 ) ) ; +#8474 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8475 = CARTESIAN_POINT ( 'NONE', ( 2.700000000000000200, 3.210000000000000000, -3.450000000000000200 ) ) ; +#8476 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.9999999999999991100, -2.400000000000001200 ) ) ; +#8477 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8478 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 3.210000000000000000, -3.350000000000000500 ) ) ; +#8479 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999700, 3.210000000000000000, -3.450000000000000200 ) ) ; +#8480 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8481 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8482 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000200, 3.210000000000000000, 3.649999999999999500 ) ) ; +#8483 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#8484 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8485 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#8486 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8487 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626900900, 3.210000000000000000, -3.650000000000000400 ) ) ; +#8488 = CARTESIAN_POINT ( 'NONE', ( -2.499999999999999600, 3.210000000000000000, -3.150000000000000400 ) ) ; +#8489 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8490 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, 3.210000000000000000, -3.350000000000000500 ) ) ; +#8491 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8492 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8493 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8494 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, 3.210000000000000000, -3.650000000000000400 ) ) ; +#8495 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8496 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 3.210000000000000000, -1.350000000000000500 ) ) ; +#8497 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8498 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626883100, 3.210000000000000900, -3.650000000000000400 ) ) ; +#8499 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8500 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626900900, 3.210000000000000000, -3.650000000000000400 ) ) ; +#8501 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.524207500512249100E-016, -0.0000000000000000000 ) ) ; +#8502 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, 3.210000000000000000, -1.350000000000000300 ) ) ; +#8503 = DIRECTION ( 'NONE', ( 1.445602896647338500E-015, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8504 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 3.210000000000000000, -1.350000000000000500 ) ) ; +#8505 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8506 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, 3.210000000000000000, -1.350000000000000300 ) ) ; +#8507 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, 2.710505431213760600E-016 ) ) ; +#8508 = CARTESIAN_POINT ( 'NONE', ( 1.500000000000000200, 3.210000000000000000, -1.350000000000000500 ) ) ; +#8509 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8510 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000700, 3.210000000000000000, -1.650000000000000400 ) ) ; +#8511 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8512 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -1.650000000000000400 ) ) ; +#8513 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8514 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -3.650000000000000400 ) ) ; +#8515 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8516 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8517 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 2.910000000000000100, -3.650000000000000400 ) ) ; +#8518 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8519 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 2.910000000000000100, -3.350000000000000500 ) ) ; +#8520 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994800, 2.910000000000000100, -1.350000000000002300 ) ) ; +#8521 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 2.710505431213760600E-016 ) ) ; +#8522 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999999800, 1.000000000000000000, 1.649999999999999500 ) ) ; +#8523 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999700, 2.910000000000000100, -3.450000000000000200 ) ) ; +#8524 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 1.162062240432734000E-016, -1.000000000000000000 ) ) ; +#8525 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -2.168404344971007900E-016 ) ) ; +#8526 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000003400, 2.910000000000000100, -3.649999999999992400 ) ) ; +#8527 = DIRECTION ( 'NONE', ( 1.445602896647338500E-015, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8528 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -1.350000000000000500 ) ) ; +#8529 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8530 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 2.910000000000000100, -3.650000000000000400 ) ) ; +#8531 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8532 = CARTESIAN_POINT ( 'NONE', ( 1.500000000000000400, 2.910000000000000100, -3.650000000000000400 ) ) ; +#8533 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8534 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 2.910000000000000100, -1.650000000000000400 ) ) ; +#8535 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8536 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, 2.910000000000000100, -3.350000000000000500 ) ) ; +#8537 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#8538 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8539 = CARTESIAN_POINT ( 'NONE', ( 2.700000000000000200, 2.910000000000000100, -3.450000000000000200 ) ) ; +#8540 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8541 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8542 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.7999999999999991600, -3.450000000000000200 ) ) ; +#8543 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#8544 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8545 = CARTESIAN_POINT ( 'NONE', ( -2.499999999999999600, 2.910000000000000100, -3.650000000000000400 ) ) ; +#8546 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8547 = CARTESIAN_POINT ( 'NONE', ( -0.8343145750507621200, 2.910000000000000600, -3.650000000000000400 ) ) ; +#8548 = DIRECTION ( 'NONE', ( -1.000000000000000000, 5.198049775983057500E-016, -0.0000000000000000000 ) ) ; +#8549 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, -2.400000000000001200 ) ) ; +#8550 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8551 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.7999999999999991600, -2.600000000000001000 ) ) ; +#8552 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8553 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8554 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, 2.910000000000000100, -3.650000000000000400 ) ) ; +#8555 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8556 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999993900, 2.910000000000000100, -3.650000000000000400 ) ) ; +#8557 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8558 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000001200, -2.300000000000001200 ) ) ; +#8559 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8560 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8561 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, -2.400000000000001200 ) ) ; +#8562 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8563 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 2.109999999999994500, -3.650000000000000400 ) ) ; +#8564 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8565 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000001000, 0.1499999999999992500 ) ) ; +#8566 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8567 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8568 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000000800, -2.250000000000000900 ) ) ; +#8569 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8570 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8571 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 0.2000000000000000100, 0.4499999999999993400 ) ) ; +#8572 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8573 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8574 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8575 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8576 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8577 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8578 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.1999999999999999800, 0.4499999999999991200 ) ) ; +#8579 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, 0.2499999999999993600 ) ) ; +#8580 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8581 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000001200, 0.1499999999999993600 ) ) ; +#8582 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.7999999999999991600, 0.8499999999999990900 ) ) ; +#8583 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8584 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8585 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.7999999999999991600, 1.249999999999998900 ) ) ; +#8586 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8587 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8588 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.9999999999999991100, 0.6499999999999991300 ) ) ; +#8589 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8590 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000001200, 1.549999999999999200 ) ) ; +#8591 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8592 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8593 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, 1.449999999999999100 ) ) ; +#8594 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8595 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000001000, 1.549999999999999400 ) ) ; +#8596 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8597 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8598 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, 1.449999999999999100 ) ) ; +#8599 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8600 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999999700, 1.100000000000000300, 1.649999999999999500 ) ) ; +#8601 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8602 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8603 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.200000000000001100, 1.549999999999998900 ) ) ; +#8604 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8605 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8606 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 1.100000000000005900, -3.650000000000000400 ) ) ; +#8607 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8608 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 1.162062240432734000E-016, -1.000000000000000000 ) ) ; +#8609 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8610 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 0.2999999999999999300, 1.649999999999999200 ) ) ; +#8611 = DIRECTION ( 'NONE', ( 1.380723732208814000E-032, 1.000000000000000000, 1.162062240432734000E-016 ) ) ; +#8612 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 0.2999999999999999300, -2.950000000000000200 ) ) ; +#8613 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8614 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 1.100000000000000800, -2.150000000000000400 ) ) ; +#8615 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8616 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000000800, -2.150000000000000400 ) ) ; +#8617 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8618 = CARTESIAN_POINT ( 'NONE', ( 2.169999999999999900, 2.759897821027744200E-017, 0.04999999999999933000 ) ) ; +#8619 = DIRECTION ( 'NONE', ( -1.639609431997966900E-032, -1.000000000000000000, -1.379948910513871700E-016 ) ) ; +#8620 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000000800, -2.350000000000000500 ) ) ; +#8621 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8622 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000000300, 1.649999999999999500 ) ) ; +#8623 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8624 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 0.2999999999999999300, 0.2499999999999994700 ) ) ; +#8625 = DIRECTION ( 'NONE', ( 1.639609431997966900E-032, 1.000000000000000000, 1.379948910513871700E-016 ) ) ; +#8626 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.100000000000000300, 0.04999999999999949600 ) ) ; +#8627 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8628 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.200000000000001100, 0.1500000000000002200 ) ) ; +#8629 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8630 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000900, 1.200000000000000800, -2.250000000000000400 ) ) ; +#8631 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8632 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.7999999999999991600, 1.449999999999999300 ) ) ; +#8633 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, 7.228014483236678800E-016 ) ) ; +#8634 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, 0.2000000000000000900, 0.2499999999999993600 ) ) ; +#8635 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 3.614007241618339400E-016 ) ) ; +#8636 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 0.0000000000000000000, 1.449999999999999300 ) ) ; +#8637 = DIRECTION ( 'NONE', ( -1.380723732208814000E-032, -1.000000000000000000, -1.162062240432734000E-016 ) ) ; +#8638 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.9999999999999991100, 0.8499999999999990900 ) ) ; +#8639 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8640 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, 1.100000000000001200, 0.2499999999999992500 ) ) ; +#8641 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -3.614007241618339400E-016 ) ) ; +#8642 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.7999999999999991600, -2.400000000000001200 ) ) ; +#8643 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8644 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, 1.100000000000001200, 1.449999999999999100 ) ) ; +#8645 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -7.228014483236678800E-016 ) ) ; +#8646 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, -0.9999999999999991100, -3.450000000000000200 ) ) ; +#8647 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8648 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, 1.249999999999998900 ) ) ; +#8649 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -7.228014483236678800E-016 ) ) ; +#8650 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 1.200000000000001100, -2.300000000000000300 ) ) ; +#8651 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#8652 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, 1.100000000000001200, -2.400000000000001200 ) ) ; +#8653 = DIRECTION ( 'NONE', ( -1.000000000000000000, 1.981866134157704800E-032, 0.0000000000000000000 ) ) ; +#8654 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, -0.7999999999999991600, 0.6499999999999991300 ) ) ; +#8655 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8656 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999000, -0.9999999999999991100, -2.600000000000001000 ) ) ; +#8657 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8658 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999999800, -0.1999999999999999800, 0.6499999999999991300 ) ) ; +#8659 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8660 = CARTESIAN_POINT ( 'NONE', ( -2.170000000000000800, 0.0000000000000000000, -2.150000000000000400 ) ) ; +#8661 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8662 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000900, 1.100000000000000800, -2.150000000000000400 ) ) ; +#8663 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8664 = CARTESIAN_POINT ( 'NONE', ( 4.169999999999999900, 0.0000000000000000000, 0.4499999999999991200 ) ) ; +#8665 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8666 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000900, 0.0000000000000000000, -2.950000000000000200 ) ) ; +#8667 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8668 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.200000000000001100, 1.549999999999999600 ) ) ; +#8669 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8670 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.200000000000001100, 0.1500000000000006600 ) ) ; +#8671 = CARTESIAN_POINT ( 'NONE', ( 2.699999999999999300, 2.006284116830124600, -3.300000000000000300 ) ) ; +#8672 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8673 = CARTESIAN_POINT ( 'NONE', ( 2.599999999999999200, 2.006284116830123800, -3.300000000000000300 ) ) ; +#8674 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8675 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000900, 0.2999999999999999300, -2.350000000000000500 ) ) ; +#8676 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8677 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8678 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8679 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 2.900000000000000400, -3.300000000000000300 ) ) ; +#8680 = DIRECTION ( 'NONE', ( -1.092786167418507800E-015, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#8681 = CARTESIAN_POINT ( 'NONE', ( 2.799999999999998500, 2.800000000000000300, -3.300000000000000300 ) ) ; +#8682 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8683 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8684 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 2.900000000000000400, -3.300000000000000300 ) ) ; +#8685 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8686 = CARTESIAN_POINT ( 'NONE', ( 1.848528137423856700, 1.937207793864215200, -3.300000000000000700 ) ) ; +#8687 = DIRECTION ( 'NONE', ( -0.7071067811865469100, 0.7071067811865482400, 0.0000000000000000000 ) ) ; +#8688 = CARTESIAN_POINT ( 'NONE', ( 0.8443145750507630200, 2.900000000000000400, -3.300000000000000300 ) ) ; +#8689 = DIRECTION ( 'NONE', ( 0.7071067811865398000, -0.7071067811865552300, 0.0000000000000000000 ) ) ; +#8690 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8691 = CARTESIAN_POINT ( 'NONE', ( 1.029289321881345700, 3.180710678118654900, -3.300000000000000700 ) ) ; +#8692 = DIRECTION ( 'NONE', ( 0.7071067811865472400, -0.7071067811865479100, 0.0000000000000000000 ) ) ; +#8693 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999300, 2.006284116830124600, -3.300000000000000300 ) ) ; +#8694 = CARTESIAN_POINT ( 'NONE', ( 2.060660171779820500, 2.149339828220178500, -3.300000000000000700 ) ) ; +#8695 = DIRECTION ( 'NONE', ( -0.7071067811865479100, -0.7071067811865472400, 0.0000000000000000000 ) ) ; +#8696 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507640100, 2.810000000000000100, -3.300000000000000300 ) ) ; +#8697 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8698 = DIRECTION ( 'NONE', ( 1.000000000000000000, 1.301042606982606100E-014, 0.0000000000000000000 ) ) ; +#8699 = CARTESIAN_POINT ( 'NONE', ( -1.838030458220621900, 1.906284116830123900, -3.300000000000000300 ) ) ; +#8700 = DIRECTION ( 'NONE', ( -1.092786167418507800E-015, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8701 = CARTESIAN_POINT ( 'NONE', ( -2.599999999999999200, 2.006284116830123800, -3.300000000000000300 ) ) ; +#8702 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8703 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8704 = CARTESIAN_POINT ( 'NONE', ( -0.8443145750507630200, 2.900000000000000400, -3.300000000000000300 ) ) ; +#8705 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8706 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 2.900000000000000400, -3.300000000000000300 ) ) ; +#8707 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.7999999999999991600, 1.450000000000000200 ) ) ; +#8708 = DIRECTION ( 'NONE', ( 0.7071067811865414700, 0.7071067811865536800, -0.0000000000000000000 ) ) ; +#8709 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626887500, 3.110000000000001200, -3.300000000000000300 ) ) ; +#8710 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8711 = CARTESIAN_POINT ( 'NONE', ( -0.8779035644861703500, 2.900000000000000400, -3.650000000000000400 ) ) ; +#8712 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8713 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8714 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8715 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 1.100000000000000300, 1.650000000000000100 ) ) ; +#8716 = DIRECTION ( 'NONE', ( -1.380723732208814000E-032, -1.000000000000000000, -1.162062240432734000E-016 ) ) ; +#8717 = CARTESIAN_POINT ( 'NONE', ( -2.169999999999999900, 1.100000000000000300, 0.05000000000000000300 ) ) ; +#8718 = DIRECTION ( 'NONE', ( 1.639609431997966900E-032, 1.000000000000000000, 1.379948910513871700E-016 ) ) ; +#8719 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999991600, -2.400000000000000400 ) ) ; +#8720 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#8721 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000001200, 0.2500000000000000000 ) ) ; +#8722 = DIRECTION ( 'NONE', ( -1.639609431997966900E-032, -1.000000000000000000, -1.379948910513871700E-016 ) ) ; +#8723 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 1.100000000000001000, 1.450000000000000400 ) ) ; +#8724 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -9.604348011972031500E-016 ) ) ; +#8725 = CARTESIAN_POINT ( 'NONE', ( -1.970000000000000000, 1.100000000000001000, 1.450000000000000200 ) ) ; +#8726 = DIRECTION ( 'NONE', ( 1.380723732208814000E-032, 1.000000000000000000, 1.162062240432734000E-016 ) ) ; +#8727 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.9999999999999991100, -3.450000000000000200 ) ) ; +#8728 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8729 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 3.010000000000000200, -1.350000000000001000 ) ) ; +#8730 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 9.604348011972031500E-016 ) ) ; +#8731 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 3.010000000000000200, -1.650000000000000800 ) ) ; +#8732 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#8733 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 1.100000000000001000, -2.400000000000000400 ) ) ; +#8734 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 2.376333528735352700E-016 ) ) ; +#8735 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.7999999999999991600, 0.6500000000000001300 ) ) ; +#8736 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8737 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.9999999999999991100, -2.600000000000000500 ) ) ; +#8738 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 2.376333528735352700E-016 ) ) ; +#8739 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, -0.2000000000000000100, 0.6500000000000001300 ) ) ; +#8740 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#8741 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 3.210000000000000000, -1.650000000000001000 ) ) ; +#8742 = DIRECTION ( 'NONE', ( 0.7071067811865560100, 0.7071067811865391400, -1.680321552529745700E-016 ) ) ; +#8743 = CARTESIAN_POINT ( 'NONE', ( -1.770000000000000700, 0.2999999999999999300, -3.150000000000000400 ) ) ; +#8744 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#8745 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 0.4500000000000002300 ) ) ; +#8746 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#8747 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 3.210000000000000000, -1.350000000000001200 ) ) ; +#8748 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#8749 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.2000000000000000900, -1.350000000000000500 ) ) ; +#8750 = DIRECTION ( 'NONE', ( 0.7071067811865560100, 0.7071067811865391400, -1.680321552529745700E-016 ) ) ; +#8751 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999600, 3.210000000000000000, -1.650000000000000800 ) ) ; +#8752 = DIRECTION ( 'NONE', ( 0.7071067811865418000, -0.7071067811865532300, -1.680321552529711700E-016 ) ) ; +#8753 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999600, 3.210000000000000000, -1.350000000000001000 ) ) ; +#8754 = DIRECTION ( 'NONE', ( 0.7071067811865418000, -0.7071067811865532300, -1.680321552529711700E-016 ) ) ; +#8755 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.0000000000000000000, -1.350000000000000500 ) ) ; +#8756 = DIRECTION ( 'NONE', ( -0.7071067811865560100, -0.7071067811865391400, 0.0000000000000000000 ) ) ; +#8757 = CARTESIAN_POINT ( 'NONE', ( -1.500000000000000000, 0.0000000000000000000, -1.350000000000000500 ) ) ; +#8758 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8759 = CARTESIAN_POINT ( 'NONE', ( -2.499999999999999600, 2.910000000000000100, -3.350000000000000500 ) ) ; +#8760 = DIRECTION ( 'NONE', ( -0.7071067811865418000, 0.7071067811865532300, 0.0000000000000000000 ) ) ; +#8761 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.0000000000000000000, -1.650000000000000400 ) ) ; +#8762 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8763 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 2.910000000000000100, -1.350000000000000500 ) ) ; +#8764 = DIRECTION ( 'NONE', ( 0.7071067811865560100, -0.7071067811865391400, 0.0000000000000000000 ) ) ; +#8765 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.2000000000000000900, -1.650000000000000400 ) ) ; +#8766 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 3.010000000000000200, -1.350000000000000500 ) ) ; +#8767 = DIRECTION ( 'NONE', ( -0.7071067811865560100, -0.7071067811865391400, 0.0000000000000000000 ) ) ; +#8768 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626905300, 3.110000000000000300, -3.650000000000000400 ) ) ; +#8769 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8770 = CARTESIAN_POINT ( 'NONE', ( -1.500000000000000000, 0.0000000000000000000, -1.650000000000000400 ) ) ; +#8771 = DIRECTION ( 'NONE', ( -0.7071067811865418000, 0.7071067811865532300, 0.0000000000000000000 ) ) ; +#8772 = CARTESIAN_POINT ( 'NONE', ( 1.769999999999999800, 0.0000000000000000000, -3.150000000000000400 ) ) ; +#8773 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8774 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, -1.000000000000000000, -3.450000000000000200 ) ) ; +#8775 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#8776 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, 3.210000000000000000, -3.350000000000000500 ) ) ; +#8777 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#8778 = CARTESIAN_POINT ( 'NONE', ( -2.060660171779819600, 2.149339828220181200, -3.650000000000000400 ) ) ; +#8779 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8780 = CARTESIAN_POINT ( 'NONE', ( -2.499999999999999600, -1.000000000000000000, -3.450000000000000200 ) ) ; +#8781 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#8782 = CARTESIAN_POINT ( 'NONE', ( 2.060660171779820500, 2.149339828220178500, -3.650000000000000400 ) ) ; +#8783 = DIRECTION ( 'NONE', ( -0.7071067811865479100, -0.7071067811865471300, 0.0000000000000000000 ) ) ; +#8784 = CARTESIAN_POINT ( 'NONE', ( 1.029289321881345700, 3.180710678118654900, -3.650000000000000400 ) ) ; +#8785 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8786 = CARTESIAN_POINT ( 'NONE', ( 2.060660171779820500, 2.149339828220178500, -3.650000000000000400 ) ) ; +#8787 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8788 = CARTESIAN_POINT ( 'NONE', ( -2.060660171779819600, 2.149339828220181200, -3.650000000000000400 ) ) ; +#8789 = DIRECTION ( 'NONE', ( -0.7071067811865472400, 0.7071067811865479100, -0.0000000000000000000 ) ) ; +#8790 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 2.710000000000000000, -1.350000000000000500 ) ) ; +#8791 = DIRECTION ( 'NONE', ( 1.000000000000000000, 1.084202172485507600E-015, 0.0000000000000000000 ) ) ; +#8792 = CARTESIAN_POINT ( 'NONE', ( -1.029289321881343900, 3.180710678118655800, -3.650000000000000400 ) ) ; +#8793 = DIRECTION ( 'NONE', ( 0.7071067811865480200, 0.7071067811865470200, -0.0000000000000000000 ) ) ; +#8794 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8795 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8796 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996800, 3.010000000000000200, -1.350000000000000500 ) ) ; +#8797 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8798 = CARTESIAN_POINT ( 'NONE', ( 1.029289321881345700, 3.180710678118654900, -3.650000000000000400 ) ) ; +#8799 = DIRECTION ( 'NONE', ( 0.7071067811865472400, -0.7071067811865479100, 0.0000000000000000000 ) ) ; +#8800 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 2.710000000000000000, -1.350000000000001000 ) ) ; +#8801 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8802 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 2.910000000000000100, -1.350000000000000500 ) ) ; +#8803 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8804 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 2.710000000000000000, -1.650000000000000400 ) ) ; +#8805 = DIRECTION ( 'NONE', ( 1.000000000000000000, 1.084202172485507600E-015, 0.0000000000000000000 ) ) ; +#8806 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 2.910000000000000100, -1.650000000000000400 ) ) ; +#8807 = DIRECTION ( 'NONE', ( 0.7071067811865416900, 0.7071067811865532300, -0.0000000000000000000 ) ) ; +#8808 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 2.910000000000000100, -1.650000000000000400 ) ) ; +#8809 = DIRECTION ( 'NONE', ( 0.7071067811865416900, 0.7071067811865532300, -0.0000000000000000000 ) ) ; +#8810 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 2.710000000000000000, -1.350000000000000500 ) ) ; +#8811 = DIRECTION ( 'NONE', ( 0.7071067811865560100, -0.7071067811865391400, 0.0000000000000000000 ) ) ; +#8812 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.5000000000000000000, -1.350000000000000500 ) ) ; +#8813 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8814 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996200, 2.710000000000000400, -1.350000000000000500 ) ) ; +#8815 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8816 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 2.910000000000000100, -1.350000000000001200 ) ) ; +#8817 = DIRECTION ( 'NONE', ( -0.7071067811865560100, -0.7071067811865391400, 1.680321552529745700E-016 ) ) ; +#8818 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 2.710000000000000000, -1.350000000000001000 ) ) ; +#8819 = DIRECTION ( 'NONE', ( -1.000000000000000000, 1.084202172485507600E-015, 2.376333528735352700E-016 ) ) ; +#8820 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 2.910000000000000100, -1.650000000000001000 ) ) ; +#8821 = DIRECTION ( 'NONE', ( 1.000000000000000000, -1.084202172485507600E-015, 0.0000000000000000000 ) ) ; +#8822 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999995700, 2.710000000000000400, -1.350000000000001000 ) ) ; +#8823 = DIRECTION ( 'NONE', ( -0.7071067811865560100, -0.7071067811865391400, 1.680321552529745700E-016 ) ) ; +#8824 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 2.710000000000000000, -1.650000000000000800 ) ) ; +#8825 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8826 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999300, 2.910000000000000100, -1.350000000000001000 ) ) ; +#8827 = DIRECTION ( 'NONE', ( -0.7071067811865416900, 0.7071067811865532300, 1.680321552529711700E-016 ) ) ; +#8828 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.2000000000000000900, -1.350000000000001000 ) ) ; +#8829 = DIRECTION ( 'NONE', ( -1.000000000000000000, 1.084202172485507600E-015, 2.376333528735352700E-016 ) ) ; +#8830 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 3.010000000000000200, -1.350000000000001000 ) ) ; +#8831 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8832 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999996400, 3.010000000000000200, -1.350000000000001000 ) ) ; +#8833 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8834 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999300, 2.910000000000000100, -1.650000000000000800 ) ) ; +#8835 = DIRECTION ( 'NONE', ( -0.7071067811865416900, 0.7071067811865532300, 1.680321552529711700E-016 ) ) ; +#8836 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.5000000000000000000, -1.350000000000001000 ) ) ; +#8837 = DIRECTION ( 'NONE', ( -1.000000000000000000, -1.084202172485507600E-015, 2.376333528735352700E-016 ) ) ; +#8838 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999300, 0.2999999999999999300, -1.350000000000001000 ) ) ; +#8839 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8840 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.2999999999999999300, -1.350000000000001200 ) ) ; +#8841 = DIRECTION ( 'NONE', ( -0.7071067811865560100, 0.7071067811865391400, 1.680321552529745700E-016 ) ) ; +#8842 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999996400, 0.2000000000000000900, -1.350000000000001000 ) ) ; +#8843 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8844 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.5000000000000000000, -1.650000000000000800 ) ) ; +#8845 = DIRECTION ( 'NONE', ( -1.000000000000000000, -1.084202172485507600E-015, 2.376333528735352700E-016 ) ) ; +#8846 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.2999999999999999300, -1.650000000000001000 ) ) ; +#8847 = DIRECTION ( 'NONE', ( -0.7071067811865416900, -0.7071067811865532300, 1.680321552529711700E-016 ) ) ; +#8848 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999300, 0.2999999999999999300, -1.650000000000000800 ) ) ; +#8849 = DIRECTION ( 'NONE', ( -0.7071067811865416900, -0.7071067811865532300, 1.680321552529711700E-016 ) ) ; +#8850 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.5000000000000000000, -1.350000000000001000 ) ) ; +#8851 = DIRECTION ( 'NONE', ( -0.7071067811865560100, 0.7071067811865391400, 1.680321552529745700E-016 ) ) ; +#8852 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.2000000000000000900, -1.350000000000000500 ) ) ; +#8853 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8854 = CARTESIAN_POINT ( 'NONE', ( 1.699999999999995700, 0.4999999999999995600, -1.350000000000001000 ) ) ; +#8855 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8856 = CARTESIAN_POINT ( 'NONE', ( 0.8443145750507630200, 2.900000000000000400, -3.600000000000000500 ) ) ; +#8857 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8858 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996800, 0.2000000000000000900, -1.350000000000000500 ) ) ; +#8859 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8860 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 0.2999999999999999300, -1.350000000000000500 ) ) ; +#8861 = DIRECTION ( 'NONE', ( 0.7071067811865416900, -0.7071067811865532300, 0.0000000000000000000 ) ) ; +#8862 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.2999999999999999300, -1.350000000000000500 ) ) ; +#8863 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8864 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.5000000000000000000, -1.650000000000000400 ) ) ; +#8865 = DIRECTION ( 'NONE', ( 1.000000000000000000, -1.084202172485507600E-015, 0.0000000000000000000 ) ) ; +#8866 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.2999999999999999300, -1.650000000000000400 ) ) ; +#8867 = DIRECTION ( 'NONE', ( 0.7071067811865560100, 0.7071067811865391400, 0.0000000000000000000 ) ) ; +#8868 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 2.900000000000000800, -1.800000000000000500 ) ) ; +#8869 = DIRECTION ( 'NONE', ( 0.7071067811865560100, 0.7071067811865391400, 0.0000000000000000000 ) ) ; +#8870 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 0.2999999999999999300, -1.650000000000000400 ) ) ; +#8871 = DIRECTION ( 'NONE', ( 0.7071067811865416900, -0.7071067811865532300, 0.0000000000000000000 ) ) ; +#8872 = CARTESIAN_POINT ( 'NONE', ( -1.699999999999996200, 0.4999999999999995600, -1.350000000000000500 ) ) ; +#8873 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8874 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.5000000000000000000, -1.350000000000000500 ) ) ; +#8875 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8876 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999998400, 2.799999999999999400, -3.600000000000000500 ) ) ; +#8877 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8878 = CARTESIAN_POINT ( 'NONE', ( -0.8443145750507630200, 2.900000000000000400, -3.600000000000000500 ) ) ; +#8879 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8880 = CARTESIAN_POINT ( 'NONE', ( -2.799999999999998900, 2.900000000000000800, -3.600000000000000500 ) ) ; +#8881 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8882 = CARTESIAN_POINT ( 'NONE', ( 3.359999999999995000, 2.900000000000000400, -1.800000000000000500 ) ) ; +#8883 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8884 = CARTESIAN_POINT ( 'NONE', ( 4.160000000000000100, 1.110000000000005400, -1.800000000000000500 ) ) ; +#8885 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8886 = CARTESIAN_POINT ( 'NONE', ( 2.799999999999998900, 2.900000000000000400, -3.600000000000000500 ) ) ; +#8887 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8888 = CARTESIAN_POINT ( 'NONE', ( 4.160000000000000100, 2.099999999999994800, -1.800000000000000500 ) ) ; +#8889 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8890 = CARTESIAN_POINT ( 'NONE', ( -4.160000000000000100, 2.099999999999994800, -1.800000000000000500 ) ) ; +#8891 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8892 = CARTESIAN_POINT ( 'NONE', ( -4.160000000000000100, 1.110000000000005400, -1.800000000000000500 ) ) ; +#8893 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8894 = CARTESIAN_POINT ( 'NONE', ( -2.599999999999998800, 1.906284116830123900, -3.600000000000000500 ) ) ; +#8895 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#8896 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999300, 2.006284116830124600, -3.600000000000000500 ) ) ; +#8897 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8898 = CARTESIAN_POINT ( 'NONE', ( 2.699999999999999300, 2.006284116830124600, -3.600000000000000500 ) ) ; +#8899 = CARTESIAN_POINT ( 'NONE', ( 1.838030458220619700, 1.906284116830121900, -3.600000000000000500 ) ) ; +#8900 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8901 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.5699999999999999500, -2.600000000000000500 ) ) ; +#8902 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8903 = CARTESIAN_POINT ( 'NONE', ( -1.838030458220621900, 1.906284116830123900, -3.600000000000000500 ) ) ; +#8904 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8905 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8906 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8907 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#8908 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8909 = CARTESIAN_POINT ( 'NONE', ( 2.599999999999998800, 1.906284116830123700, -3.600000000000000500 ) ) ; +#8910 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8911 = CARTESIAN_POINT ( 'NONE', ( 2.699999999999998400, 2.799999999999999400, -3.600000000000000500 ) ) ; +#8912 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#8913 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#8914 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8915 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#8916 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.6700000000000000400, -2.599999999999998800 ) ) ; +#8917 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8918 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.6700000000000000400, -2.600000000000000500 ) ) ; +#8919 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8920 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8921 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.5699999999999999500, -2.599999999999998800 ) ) ; +#8922 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#8923 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8924 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#8925 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8926 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#8927 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8928 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8929 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8930 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8931 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#8932 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#8933 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8934 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#8935 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8936 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#8937 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8938 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8939 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8940 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#8941 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8942 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#8943 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8944 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#8945 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#8946 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8947 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#8948 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8949 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#8950 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8951 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8952 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8953 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#8954 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8955 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#8956 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#8957 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8958 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#8959 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8960 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999998600, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#8961 = DIRECTION ( 'NONE', ( 2.309295874346925400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8962 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8963 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8964 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#8965 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8966 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#8967 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8968 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#8969 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999998600, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#8970 = DIRECTION ( 'NONE', ( 2.309295874346925400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8971 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999999500, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#8972 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8973 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#8974 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8975 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 2.309295874346925400E-016 ) ) ; +#8976 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8977 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999999500, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#8978 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8979 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#8980 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#8981 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8982 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#8983 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#8984 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999998600, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#8985 = DIRECTION ( 'NONE', ( 2.309295874346925400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8986 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8987 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#8988 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#8989 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8990 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#8991 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8992 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#8993 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999998600, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#8994 = DIRECTION ( 'NONE', ( 2.309295874346925400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#8995 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999999700, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#8996 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#8997 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#8998 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#8999 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 2.309295874346925400E-016 ) ) ; +#9000 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9001 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999999700, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9002 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9003 = CARTESIAN_POINT ( 'NONE', ( -1.349999999999999900, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9004 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9005 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9006 = CARTESIAN_POINT ( 'NONE', ( -1.349999999999999900, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9007 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9008 = CARTESIAN_POINT ( 'NONE', ( -0.6499999999999988000, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9009 = DIRECTION ( 'NONE', ( 2.309295874346925400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9010 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -2.309295874346925400E-016 ) ) ; +#9011 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9012 = CARTESIAN_POINT ( 'NONE', ( -1.349999999999998800, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9013 = DIRECTION ( 'NONE', ( 2.309295874346925400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9014 = CARTESIAN_POINT ( 'NONE', ( -1.349999999999998800, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9015 = DIRECTION ( 'NONE', ( 2.309295874346925400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9016 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#9017 = CARTESIAN_POINT ( 'NONE', ( -0.6499999999999988000, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9018 = DIRECTION ( 'NONE', ( 2.309295874346925400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9019 = CARTESIAN_POINT ( 'NONE', ( -0.6500000000000000200, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9020 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9021 = CARTESIAN_POINT ( 'NONE', ( -1.350000000000000100, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#9022 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#9023 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 2.309295874346925400E-016 ) ) ; +#9024 = DIRECTION ( 'NONE', ( -3.614007241618348800E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9025 = CARTESIAN_POINT ( 'NONE', ( -0.6499999999999999100, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9026 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9027 = CARTESIAN_POINT ( 'NONE', ( -0.8499999999999998700, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9028 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9029 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9030 = CARTESIAN_POINT ( 'NONE', ( -0.8499999999999999800, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9031 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9032 = CARTESIAN_POINT ( 'NONE', ( -0.1499999999999991900, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9033 = DIRECTION ( 'NONE', ( 1.731971905760194000E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9034 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -2.309295874346925400E-016 ) ) ; +#9035 = DIRECTION ( 'NONE', ( -3.614007241618348800E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9036 = CARTESIAN_POINT ( 'NONE', ( -0.8499999999999987600, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9037 = DIRECTION ( 'NONE', ( 2.309295874346925400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9038 = CARTESIAN_POINT ( 'NONE', ( -0.8499999999999987600, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9039 = DIRECTION ( 'NONE', ( 2.309295874346925400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9040 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#9041 = CARTESIAN_POINT ( 'NONE', ( -0.1499999999999991900, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9042 = DIRECTION ( 'NONE', ( 1.731971905760194000E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9043 = CARTESIAN_POINT ( 'NONE', ( -0.1500000000000001100, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9044 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9045 = CARTESIAN_POINT ( 'NONE', ( -0.8500000000000000900, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#9046 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#9047 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 1.731971905760193800E-016 ) ) ; +#9048 = DIRECTION ( 'NONE', ( -1.807003620809174400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9049 = CARTESIAN_POINT ( 'NONE', ( -0.1499999999999999900, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9050 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9051 = CARTESIAN_POINT ( 'NONE', ( -0.3499999999999999800, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9052 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9053 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9054 = CARTESIAN_POINT ( 'NONE', ( -0.3500000000000000900, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9055 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9056 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999971500, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9057 = DIRECTION ( 'NONE', ( -4.041267780107119100E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9058 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -1.731971905760193800E-016 ) ) ; +#9059 = DIRECTION ( 'NONE', ( -1.807003620809174400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9060 = CARTESIAN_POINT ( 'NONE', ( -0.3499999999999992600, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9061 = DIRECTION ( 'NONE', ( 1.731971905760194000E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9062 = CARTESIAN_POINT ( 'NONE', ( -0.3499999999999992600, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9063 = DIRECTION ( 'NONE', ( 1.731971905760194000E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9064 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#9065 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999971500, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9066 = DIRECTION ( 'NONE', ( -4.041267780107119100E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9067 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999992000, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9068 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9069 = CARTESIAN_POINT ( 'NONE', ( -0.3500000000000001400, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#9070 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#9071 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -4.041267780107119600E-016 ) ) ; +#9072 = DIRECTION ( 'NONE', ( 3.614007241618348800E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9073 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999971900, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9074 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999989200, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9075 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9076 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999992500, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9077 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9078 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999990200, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9079 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9080 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999968700, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9081 = DIRECTION ( 'NONE', ( -4.618591748693850700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9082 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 4.041267780107119600E-016 ) ) ; +#9083 = DIRECTION ( 'NONE', ( 3.614007241618348800E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9084 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999971900, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9085 = DIRECTION ( 'NONE', ( -4.041267780107119100E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9086 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9087 = DIRECTION ( 'NONE', ( -4.041267780107119100E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9088 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#9089 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999968700, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9090 = DIRECTION ( 'NONE', ( -4.618591748693850700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9091 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999993100, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9092 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9093 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#9094 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9095 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -4.618591748693850700E-016 ) ) ; +#9096 = DIRECTION ( 'NONE', ( 3.614007241618348800E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9097 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999969100, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9098 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999989800, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9099 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9100 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999993600, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9101 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9102 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999990200, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9103 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9104 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999997900, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9105 = DIRECTION ( 'NONE', ( -2.309295874346926300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9106 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 4.618591748693850700E-016 ) ) ; +#9107 = DIRECTION ( 'NONE', ( 3.614007241618348800E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9108 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999969100, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9109 = DIRECTION ( 'NONE', ( -4.618591748693850700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9110 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9111 = DIRECTION ( 'NONE', ( -4.618591748693850700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9112 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000000 ) ) ; +#9113 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999997900, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9114 = DIRECTION ( 'NONE', ( -2.309295874346926300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9115 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999999000, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9116 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9117 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#9118 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9119 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -2.309295874346926300E-016 ) ) ; +#9120 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9121 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999997900, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9122 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999999000, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9123 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9124 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999998800, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9125 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9126 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999998800, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9127 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9128 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999998800, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9129 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9130 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 2.309295874346926300E-016 ) ) ; +#9131 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9132 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999997900, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9133 = DIRECTION ( 'NONE', ( -2.309295874346926300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9134 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9135 = DIRECTION ( 'NONE', ( -2.309295874346926300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9136 = CARTESIAN_POINT ( 'NONE', ( 2.019452046518672900E-014, 0.009999999999999972500, -4.249999999999993800 ) ) ; +#9137 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999998800, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9138 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9139 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999998800, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9140 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9141 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#9142 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9143 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9144 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9145 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999997700, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#9146 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999998800, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#9147 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9148 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999998600, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9149 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 4.336808689942016200E-015 ) ) ; +#9150 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999998600, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#9151 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9152 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999997700, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#9153 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9154 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 2.309295874346926300E-016 ) ) ; +#9155 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9156 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999997700, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#9157 = DIRECTION ( 'NONE', ( -2.309295874346926300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9158 = CARTESIAN_POINT ( 'NONE', ( 2.019452046518672900E-014, 0.4099999999999999200, -4.249999999999993800 ) ) ; +#9159 = DIRECTION ( 'NONE', ( -2.309295874346926300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9160 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999998100, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9161 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999993600, 0.4099999999999999200, -8.729904482840638000 ) ) ; +#9162 = DIRECTION ( 'NONE', ( -9.237183497387695500E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9163 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999998500, 0.3099999999999999400, -3.611010601084523800 ) ) ; +#9164 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 4.336808689942016200E-015 ) ) ; +#9165 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -3.611010601084523800 ) ) ; +#9166 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9167 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999993700, 0.4099999999999999200, -8.729904482840638000 ) ) ; +#9168 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -9.237183497387695500E-016 ) ) ; +#9169 = DIRECTION ( 'NONE', ( 1.445602896647339500E-015, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9170 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 9.237183497387695500E-016 ) ) ; +#9171 = DIRECTION ( 'NONE', ( 1.445602896647339500E-015, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9172 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999993700, 0.009999999999999972500, -8.729904482840638000 ) ) ; +#9173 = DIRECTION ( 'NONE', ( -9.237183497387695500E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9174 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -4.250000000000000900 ) ) ; +#9175 = DIRECTION ( 'NONE', ( -9.237183497387695500E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9176 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999993600, 0.009999999999999972500, -8.729904482840638000 ) ) ; +#9177 = DIRECTION ( 'NONE', ( -9.237183497387695500E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9178 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9179 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999998000, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#9180 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9181 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#9182 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9183 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999993900, 0.4099999999999999200, -8.729904482840639800 ) ) ; +#9184 = DIRECTION ( 'NONE', ( -9.237183497387701400E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9185 = FILL_AREA_STYLE_COLOUR ( '', #9735 ) ; +#9186 = FILL_AREA_STYLE ('',( #9185 ) ) ; +#9187 = SURFACE_SIDE_STYLE ('',( #9763 ) ) ; +#9188 = SURFACE_STYLE_USAGE ( .BOTH. , #9187 ) ; +#9189 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9190 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9191 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9192 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999700, -1.000000000000000000, -3.450000000000000200 ) ) ; +#9193 = CARTESIAN_POINT ( 'NONE', ( -2.060660171779819600, 2.149339828220181200, -3.650000000000000400 ) ) ; +#9194 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9195 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#9196 = FILL_AREA_STYLE_COLOUR ( '', #9770 ) ; +#9197 = FILL_AREA_STYLE ('',( #9196 ) ) ; +#9198 = SURFACE_SIDE_STYLE ('',( #9760 ) ) ; +#9199 = SURFACE_STYLE_USAGE ( .BOTH. , #9198 ) ; +#9200 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9201 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9202 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9203 = CARTESIAN_POINT ( 'NONE', ( 2.700000000000000200, 3.210000000000000000, -3.450000000000000200 ) ) ; +#9204 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9205 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9206 = FILL_AREA_STYLE_COLOUR ( '', #9777 ) ; +#9207 = FILL_AREA_STYLE ('',( #9206 ) ) ; +#9208 = SURFACE_SIDE_STYLE ('',( #9769 ) ) ; +#9209 = SURFACE_STYLE_USAGE ( .BOTH. , #9208 ) ; +#9210 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9211 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9212 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9213 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, -1.000000000000000000, -3.350000000000000500 ) ) ; +#9214 = CARTESIAN_POINT ( 'NONE', ( 2.060660171779820500, 2.149339828220178500, -3.650000000000000400 ) ) ; +#9215 = PLANE ( 'NONE', #6198 ) ; +#9216 = FILL_AREA_STYLE_COLOUR ( '', #9784 ) ; +#9217 = FILL_AREA_STYLE ('',( #9216 ) ) ; +#9218 = SURFACE_SIDE_STYLE ('',( #9776 ) ) ; +#9219 = SURFACE_STYLE_USAGE ( .BOTH. , #9218 ) ; +#9220 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9221 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9222 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9223 = PLANE ( 'NONE', #6201 ) ; +#9224 = DIRECTION ( 'NONE', ( -0.7071067811865472400, 0.7071067811865479100, 0.0000000000000000000 ) ) ; +#9225 = DIRECTION ( 'NONE', ( -0.7071067811865479100, -0.7071067811865472400, 0.0000000000000000000 ) ) ; +#9226 = CARTESIAN_POINT ( 'NONE', ( -1.029289321881343900, 3.180710678118655800, -3.650000000000000400 ) ) ; +#9227 = FILL_AREA_STYLE_COLOUR ( '', #9790 ) ; +#9228 = FILL_AREA_STYLE ('',( #9227 ) ) ; +#9229 = SURFACE_SIDE_STYLE ('',( #9783 ) ) ; +#9230 = SURFACE_STYLE_USAGE ( .BOTH. , #9229 ) ; +#9231 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9232 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9233 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9234 = PLANE ( 'NONE', #6204 ) ; +#9235 = DIRECTION ( 'NONE', ( 0.7071067811865479100, 0.7071067811865472400, 0.0000000000000000000 ) ) ; +#9236 = DIRECTION ( 'NONE', ( -0.7071067811865472400, 0.7071067811865479100, 0.0000000000000000000 ) ) ; +#9237 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9238 = FILL_AREA_STYLE_COLOUR ( '', #9796 ) ; +#9239 = FILL_AREA_STYLE ('',( #9238 ) ) ; +#9240 = SURFACE_SIDE_STYLE ('',( #9789 ) ) ; +#9241 = SURFACE_STYLE_USAGE ( .BOTH. , #9240 ) ; +#9242 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9243 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9244 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9245 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#9246 = DIRECTION ( 'NONE', ( 0.7071067811865471300, -0.7071067811865480200, 0.0000000000000000000 ) ) ; +#9247 = DIRECTION ( 'NONE', ( 0.7071067811865479100, 0.7071067811865470200, 0.0000000000000000000 ) ) ; +#9248 = CARTESIAN_POINT ( 'NONE', ( 0.8343145750507640100, 2.810000000000000100, -3.650000000000000400 ) ) ; +#9249 = FILL_AREA_STYLE_COLOUR ( '', #9802 ) ; +#9250 = FILL_AREA_STYLE ('',( #9249 ) ) ; +#9251 = SURFACE_SIDE_STYLE ('',( #9795 ) ) ; +#9252 = SURFACE_STYLE_USAGE ( .BOTH. , #9251 ) ; +#9253 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9254 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9255 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9256 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626887500, 3.110000000000001200, -3.650000000000000400 ) ) ; +#9257 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9258 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#9259 = FILL_AREA_STYLE_COLOUR ( '', #9809 ) ; +#9260 = FILL_AREA_STYLE ('',( #9259 ) ) ; +#9261 = SURFACE_SIDE_STYLE ('',( #9801 ) ) ; +#9262 = SURFACE_STYLE_USAGE ( .BOTH. , #9261 ) ; +#9263 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9264 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9265 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9266 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626905300, 3.110000000000000300, -3.650000000000000400 ) ) ; +#9267 = CARTESIAN_POINT ( 'NONE', ( 1.029289321881345700, 3.180710678118654900, -3.650000000000000400 ) ) ; +#9268 = PLANE ( 'NONE', #6212 ) ; +#9269 = FILL_AREA_STYLE_COLOUR ( '', #9816 ) ; +#9270 = FILL_AREA_STYLE ('',( #9269 ) ) ; +#9271 = SURFACE_SIDE_STYLE ('',( #9808 ) ) ; +#9272 = SURFACE_STYLE_USAGE ( .BOTH. , #9271 ) ; +#9273 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9274 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9275 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9276 = PLANE ( 'NONE', #6215 ) ; +#9277 = DIRECTION ( 'NONE', ( -0.7071067811865479100, -0.7071067811865472400, 0.0000000000000000000 ) ) ; +#9278 = DIRECTION ( 'NONE', ( 0.7071067811865472400, -0.7071067811865479100, 0.0000000000000000000 ) ) ; +#9279 = CARTESIAN_POINT ( 'NONE', ( -1.500000000000000000, 3.210000000000000000, -1.350000000000000500 ) ) ; +#9280 = FILL_AREA_STYLE_COLOUR ( '', #9822 ) ; +#9281 = FILL_AREA_STYLE ('',( #9280 ) ) ; +#9282 = SURFACE_SIDE_STYLE ('',( #9815 ) ) ; +#9283 = SURFACE_STYLE_USAGE ( .BOTH. , #9282 ) ; +#9284 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9285 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9286 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9287 = PLANE ( 'NONE', #4064 ) ; +#9288 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9289 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#9290 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 3.210000000000000000, -1.350000000000000500 ) ) ; +#9291 = FILL_AREA_STYLE_COLOUR ( '', #9828 ) ; +#9292 = FILL_AREA_STYLE ('',( #9291 ) ) ; +#9293 = SURFACE_SIDE_STYLE ('',( #9821 ) ) ; +#9294 = SURFACE_STYLE_USAGE ( .BOTH. , #9293 ) ; +#9295 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9296 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9297 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9298 = PLANE ( 'NONE', #9911 ) ; +#9299 = DIRECTION ( 'NONE', ( 0.7071067811865532300, -0.7071067811865418000, 0.0000000000000000000 ) ) ; +#9300 = DIRECTION ( 'NONE', ( 0.7071067811865418000, 0.7071067811865532300, 0.0000000000000000000 ) ) ; +#9301 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 3.010000000000000200, -1.350000000000000500 ) ) ; +#9302 = FILL_AREA_STYLE_COLOUR ( '', #9834 ) ; +#9303 = FILL_AREA_STYLE ('',( #9302 ) ) ; +#9304 = SURFACE_SIDE_STYLE ('',( #9827 ) ) ; +#9305 = SURFACE_STYLE_USAGE ( .BOTH. , #9304 ) ; +#9306 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9307 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9308 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9309 = PLANE ( 'NONE', #9916 ) ; +#9310 = DIRECTION ( 'NONE', ( -0.7071067811865391400, -0.7071067811865559000, 0.0000000000000000000 ) ) ; +#9311 = DIRECTION ( 'NONE', ( 0.7071067811865559000, -0.7071067811865391400, 0.0000000000000000000 ) ) ; +#9312 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 3.010000000000000200, -1.350000000000000500 ) ) ; +#9313 = FILL_AREA_STYLE_COLOUR ( '', #9840 ) ; +#9314 = FILL_AREA_STYLE ('',( #9313 ) ) ; +#9315 = SURFACE_SIDE_STYLE ('',( #9833 ) ) ; +#9316 = SURFACE_STYLE_USAGE ( .BOTH. , #9315 ) ; +#9317 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9318 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9319 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9320 = PLANE ( 'NONE', #9919 ) ; +#9321 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#9322 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#9323 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 3.010000000000000200, -1.650000000000000400 ) ) ; +#9324 = FILL_AREA_STYLE_COLOUR ( '', #9846 ) ; +#9325 = FILL_AREA_STYLE ('',( #9324 ) ) ; +#9326 = SURFACE_SIDE_STYLE ('',( #9839 ) ) ; +#9327 = SURFACE_STYLE_USAGE ( .BOTH. , #9326 ) ; +#9328 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9329 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9330 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9331 = PLANE ( 'NONE', #9922 ) ; +#9332 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9333 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#9334 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 2.910000000000000100, -1.350000000000000500 ) ) ; +#9335 = FILL_AREA_STYLE_COLOUR ( '', #9852 ) ; +#9336 = FILL_AREA_STYLE ('',( #9335 ) ) ; +#9337 = SURFACE_SIDE_STYLE ('',( #9845 ) ) ; +#9338 = SURFACE_STYLE_USAGE ( .BOTH. , #9337 ) ; +#9339 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9340 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9341 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9342 = PLANE ( 'NONE', #9925 ) ; +#9343 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9344 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#9345 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 2.710000000000000000, -1.350000000000000500 ) ) ; +#9346 = FILL_AREA_STYLE_COLOUR ( '', #9858 ) ; +#9347 = FILL_AREA_STYLE ('',( #9346 ) ) ; +#9348 = SURFACE_SIDE_STYLE ('',( #9851 ) ) ; +#9349 = SURFACE_STYLE_USAGE ( .BOTH. , #9348 ) ; +#9350 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9351 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9352 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9353 = PLANE ( 'NONE', #9928 ) ; +#9354 = DIRECTION ( 'NONE', ( 0.7071067811865391400, 0.7071067811865559000, -0.0000000000000000000 ) ) ; +#9355 = DIRECTION ( 'NONE', ( -0.7071067811865559000, 0.7071067811865391400, 0.0000000000000000000 ) ) ; +#9356 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 2.910000000000000100, -1.350000000000000500 ) ) ; +#9357 = FILL_AREA_STYLE_COLOUR ( '', #9864 ) ; +#9358 = FILL_AREA_STYLE ('',( #9357 ) ) ; +#9359 = SURFACE_SIDE_STYLE ('',( #9857 ) ) ; +#9360 = SURFACE_STYLE_USAGE ( .BOTH. , #9359 ) ; +#9361 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9362 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9363 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9364 = PLANE ( 'NONE', #9931 ) ; +#9365 = DIRECTION ( 'NONE', ( -1.084202172485507600E-015, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#9366 = DIRECTION ( 'NONE', ( -1.000000000000000000, -1.084202172485507600E-015, 0.0000000000000000000 ) ) ; +#9367 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 2.910000000000000100, -1.350000000000001200 ) ) ; +#9368 = FILL_AREA_STYLE_COLOUR ( '', #9870 ) ; +#9369 = FILL_AREA_STYLE ('',( #9368 ) ) ; +#9370 = SURFACE_SIDE_STYLE ('',( #9863 ) ) ; +#9371 = SURFACE_STYLE_USAGE ( .BOTH. , #9370 ) ; +#9372 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9373 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9374 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9375 = PLANE ( 'NONE', #9934 ) ; +#9376 = DIRECTION ( 'NONE', ( -0.7071067811865533500, 0.7071067811865418000, 0.0000000000000000000 ) ) ; +#9377 = DIRECTION ( 'NONE', ( -0.7071067811865416900, -0.7071067811865532300, 0.0000000000000000000 ) ) ; +#9378 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 2.710000000000000000, -1.350000000000001000 ) ) ; +#9379 = FILL_AREA_STYLE_COLOUR ( '', #9876 ) ; +#9380 = FILL_AREA_STYLE ('',( #9379 ) ) ; +#9381 = SURFACE_SIDE_STYLE ('',( #9869 ) ) ; +#9382 = SURFACE_STYLE_USAGE ( .BOTH. , #9381 ) ; +#9383 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9384 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9385 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9386 = PLANE ( 'NONE', #9937 ) ; +#9387 = DIRECTION ( 'NONE', ( 0.7071067811865391400, -0.7071067811865559000, -1.680321552529705300E-016 ) ) ; +#9388 = DIRECTION ( 'NONE', ( 0.7071067811865559000, 0.7071067811865391400, 0.0000000000000000000 ) ) ; +#9389 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999300, 2.910000000000000100, -1.350000000000001000 ) ) ; +#9390 = FILL_AREA_STYLE_COLOUR ( '', #9882 ) ; +#9391 = FILL_AREA_STYLE ('',( #9390 ) ) ; +#9392 = SURFACE_SIDE_STYLE ('',( #9875 ) ) ; +#9393 = SURFACE_STYLE_USAGE ( .BOTH. , #9392 ) ; +#9394 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9395 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9396 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9397 = PLANE ( 'NONE', #9940 ) ; +#9398 = DIRECTION ( 'NONE', ( -1.084202172485507600E-015, -1.000000000000000000, 2.576425974405021800E-031 ) ) ; +#9399 = DIRECTION ( 'NONE', ( 1.000000000000000000, -1.084202172485507600E-015, 0.0000000000000000000 ) ) ; +#9400 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 3.010000000000000200, -1.650000000000001000 ) ) ; +#9401 = FILL_AREA_STYLE_COLOUR ( '', #9888 ) ; +#9402 = FILL_AREA_STYLE ('',( #9401 ) ) ; +#9403 = SURFACE_SIDE_STYLE ('',( #9881 ) ) ; +#9404 = SURFACE_STYLE_USAGE ( .BOTH. , #9403 ) ; +#9405 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9406 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9407 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9408 = PLANE ( 'NONE', #9943 ) ; +#9409 = DIRECTION ( 'NONE', ( -0.7071067811865533500, -0.7071067811865418000, 1.680321552529739100E-016 ) ) ; +#9410 = DIRECTION ( 'NONE', ( 0.7071067811865416900, -0.7071067811865532300, 0.0000000000000000000 ) ) ; +#9411 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 3.010000000000000200, -1.350000000000001200 ) ) ; +#9412 = FILL_AREA_STYLE_COLOUR ( '', #9894 ) ; +#9413 = FILL_AREA_STYLE ('',( #9412 ) ) ; +#9414 = SURFACE_SIDE_STYLE ('',( #9887 ) ) ; +#9415 = SURFACE_STYLE_USAGE ( .BOTH. , #9414 ) ; +#9416 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9417 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9418 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9419 = PLANE ( 'NONE', #9946 ) ; +#9420 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9421 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#9422 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 3.210000000000000000, -1.350000000000001200 ) ) ; +#9423 = FILL_AREA_STYLE_COLOUR ( '', #9900 ) ; +#9424 = FILL_AREA_STYLE ('',( #9423 ) ) ; +#9425 = SURFACE_SIDE_STYLE ('',( #9893 ) ) ; +#9426 = SURFACE_STYLE_USAGE ( .BOTH. , #9425 ) ; +#9427 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9428 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9429 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9430 = PLANE ( 'NONE', #9949 ) ; +#9431 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9432 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#9433 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 3.010000000000000200, -1.350000000000001000 ) ) ; +#9434 = FILL_AREA_STYLE_COLOUR ( '', #9906 ) ; +#9435 = FILL_AREA_STYLE ('',( #9434 ) ) ; +#9436 = SURFACE_SIDE_STYLE ('',( #9899 ) ) ; +#9437 = SURFACE_STYLE_USAGE ( .BOTH. , #9436 ) ; +#9438 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9439 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9440 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9441 = PLANE ( 'NONE', #9952 ) ; +#9442 = DIRECTION ( 'NONE', ( -0.7071067811865391400, 0.7071067811865559000, 1.680321552529705300E-016 ) ) ; +#9443 = DIRECTION ( 'NONE', ( -0.7071067811865559000, -0.7071067811865391400, 0.0000000000000000000 ) ) ; +#9444 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.9999999999999991100, 0.2500000000000003300 ) ) ; +#9445 = FILL_AREA_STYLE_COLOUR ( '', #10483 ) ; +#9446 = FILL_AREA_STYLE ('',( #9445 ) ) ; +#9447 = SURFACE_SIDE_STYLE ('',( #9905 ) ) ; +#9448 = SURFACE_STYLE_USAGE ( .BOTH. , #9447 ) ; +#9449 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9450 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9451 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9452 = PLANE ( 'NONE', #9955 ) ; +#9453 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#9454 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9455 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999000, -0.9999999999999991100, 0.2500000000000003300 ) ) ; +#9456 = FILL_AREA_STYLE_COLOUR ( '', #10489 ) ; +#9457 = FILL_AREA_STYLE ('',( #9456 ) ) ; +#9458 = SURFACE_SIDE_STYLE ('',( #10482 ) ) ; +#9459 = SURFACE_STYLE_USAGE ( .BOTH. , #9458 ) ; +#9460 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9461 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9462 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9463 = PLANE ( 'NONE', #9958 ) ; +#9464 = DIRECTION ( 'NONE', ( 1.237673712882986500E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9465 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.237673712882986500E-016 ) ) ; +#9466 = FILL_AREA_STYLE_COLOUR ( '', #10495 ) ; +#9467 = FILL_AREA_STYLE ('',( #9466 ) ) ; +#9468 = SURFACE_SIDE_STYLE ('',( #9814 ) ) ; +#9469 = SURFACE_STYLE_USAGE ( .BOTH. , #9468 ) ; +#9470 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9471 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9472 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9473 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9474 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#9475 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#9476 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#9477 = FILL_AREA_STYLE_COLOUR ( '', #10481 ) ; +#9478 = PLANE ( 'NONE', #9961 ) ; +#9479 = FILL_AREA_STYLE ('',( #9477 ) ) ; +#9480 = SURFACE_SIDE_STYLE ('',( #10494 ) ) ; +#9481 = SURFACE_STYLE_USAGE ( .BOTH. , #9480 ) ; +#9482 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9483 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9484 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9485 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9486 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#9487 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#9488 = FILL_AREA_STYLE_COLOUR ( '', #10527 ) ; +#9489 = FILL_AREA_STYLE_COLOUR ( '', #10508 ) ; +#9490 = FILL_AREA_STYLE ('',( #9489 ) ) ; +#9491 = SURFACE_SIDE_STYLE ('',( #10502 ) ) ; +#9492 = SURFACE_STYLE_USAGE ( .BOTH. , #9491 ) ; +#9493 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9494 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9495 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9496 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000600, 1.000000000000000000, -3.650000000000000400 ) ) ; +#9497 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9498 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#9499 = FILL_AREA_STYLE_COLOUR ( '', #10515 ) ; +#9500 = FILL_AREA_STYLE ('',( #9499 ) ) ; +#9501 = SURFACE_SIDE_STYLE ('',( #10507 ) ) ; +#9502 = SURFACE_STYLE_USAGE ( .BOTH. , #9501 ) ; +#9503 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9504 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9505 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9506 = CARTESIAN_POINT ( 'NONE', ( -3.470000000000000600, 1.000000000000000000, -3.650000000000000400 ) ) ; +#9507 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#9508 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#9509 = FILL_AREA_STYLE_COLOUR ( '', #10522 ) ; +#9510 = FILL_AREA_STYLE ('',( #9509 ) ) ; +#9511 = SURFACE_SIDE_STYLE ('',( #10514 ) ) ; +#9512 = SURFACE_STYLE_USAGE ( .BOTH. , #9511 ) ; +#9513 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#9514 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#9515 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#9516 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999999700, 1.100000000000000100, -3.650000000000000400 ) ) ; +#9517 = VECTOR ( 'NONE', #8889, 1000.000000000000000 ) ; +#9518 = VECTOR ( 'NONE', #8891, 1000.000000000000000 ) ; +#9519 = LINE ( 'NONE', #8894, #9524 ) ; +#9520 = VECTOR ( 'NONE', #8893, 1000.000000000000000 ) ; +#9521 = LINE ( 'NONE', #8896, #9528 ) ; +#9522 = VECTOR ( 'NONE', #8895, 1000.000000000000000 ) ; +#9523 = VECTOR ( 'NONE', #8941, 1000.000000000000000 ) ; +#9524 = VECTOR ( 'NONE', #8897, 1000.000000000000000 ) ; +#9525 = VECTOR ( 'NONE', #8908, 1000.000000000000000 ) ; +#9526 = LINE ( 'NONE', #8899, #9527 ) ; +#9527 = VECTOR ( 'NONE', #8900, 1000.000000000000000 ) ; +#9528 = VECTOR ( 'NONE', #8902, 1000.000000000000000 ) ; +#9529 = LINE ( 'NONE', #8907, #9540 ) ; +#9530 = LINE ( 'NONE', #8903, #9531 ) ; +#9531 = VECTOR ( 'NONE', #8904, 1000.000000000000000 ) ; +#9532 = LINE ( 'NONE', #8898, #9525 ) ; +#9533 = CIRCLE ( 'NONE', #6160, 0.2500000000000002200 ) ; +#9534 = LINE ( 'NONE', #8909, #9535 ) ; +#9535 = VECTOR ( 'NONE', #8910, 1000.000000000000000 ) ; +#9536 = LINE ( 'NONE', #8911, #9537 ) ; +#9537 = VECTOR ( 'NONE', #8912, 1000.000000000000000 ) ; +#9538 = LINE ( 'NONE', #8913, #9539 ) ; +#9539 = VECTOR ( 'NONE', #8914, 1000.000000000000000 ) ; +#9540 = VECTOR ( 'NONE', #8917, 1000.000000000000000 ) ; +#9541 = VECTOR ( 'NONE', #8925, 1000.000000000000000 ) ; +#9542 = VECTOR ( 'NONE', #8933, 1000.000000000000000 ) ; +#9543 = CIRCLE ( 'NONE', #6155, 0.1500000000000003800 ) ; +#9544 = LINE ( 'NONE', #8915, #9541 ) ; +#9545 = CIRCLE ( 'NONE', #6161, 0.2500000000000002200 ) ; +#9546 = LINE ( 'NONE', #8931, #9551 ) ; +#9547 = LINE ( 'NONE', #8940, #9523 ) ; +#9548 = CIRCLE ( 'NONE', #6163, 0.2999999999999999300 ) ; +#9549 = LINE ( 'NONE', #8926, #9542 ) ; +#9550 = CIRCLE ( 'NONE', #6157, 0.1500000000000003800 ) ; +#9551 = VECTOR ( 'NONE', #8935, 1000.000000000000000 ) ; +#9552 = LINE ( 'NONE', #8942, #9562 ) ; +#9553 = LINE ( 'NONE', #8936, #9554 ) ; +#9554 = VECTOR ( 'NONE', #8937, 1000.000000000000000 ) ; +#9555 = VECTOR ( 'NONE', #8954, 1000.000000000000000 ) ; +#9556 = LINE ( 'NONE', #8932, #9558 ) ; +#9557 = CIRCLE ( 'NONE', #6164, 0.2999999999999999300 ) ; +#9558 = VECTOR ( 'NONE', #8943, 1000.000000000000000 ) ; +#9559 = LINE ( 'NONE', #8953, #9555 ) ; +#9560 = LINE ( 'NONE', #8945, #9561 ) ; +#9561 = VECTOR ( 'NONE', #8946, 1000.000000000000000 ) ; +#9562 = VECTOR ( 'NONE', #8948, 1000.000000000000000 ) ; +#9563 = LINE ( 'NONE', #8955, #9571 ) ; +#9564 = LINE ( 'NONE', #8949, #9565 ) ; +#9565 = VECTOR ( 'NONE', #8950, 1000.000000000000000 ) ; +#9566 = VECTOR ( 'NONE', #8965, 1000.000000000000000 ) ; +#9567 = LINE ( 'NONE', #8944, #9569 ) ; +#9568 = CIRCLE ( 'NONE', #6166, 0.2999999999999999300 ) ; +#9569 = VECTOR ( 'NONE', #8957, 1000.000000000000000 ) ; +#9570 = LINE ( 'NONE', #8964, #9566 ) ; +#9571 = VECTOR ( 'NONE', #8959, 1000.000000000000000 ) ; +#9572 = VECTOR ( 'NONE', #8978, 1000.000000000000000 ) ; +#9573 = LINE ( 'NONE', #8960, #9574 ) ; +#9574 = VECTOR ( 'NONE', #8961, 1000.000000000000000 ) ; +#9575 = LINE ( 'NONE', #8977, #9572 ) ; +#9576 = LINE ( 'NONE', #8956, #9582 ) ; +#9577 = CIRCLE ( 'NONE', #6167, 0.2999999999999999300 ) ; +#9578 = LINE ( 'NONE', #8966, #9579 ) ; +#9579 = VECTOR ( 'NONE', #8967, 1000.000000000000000 ) ; +#9580 = LINE ( 'NONE', #8969, #9581 ) ; +#9581 = VECTOR ( 'NONE', #8970, 1000.000000000000000 ) ; +#9582 = VECTOR ( 'NONE', #8972, 1000.000000000000000 ) ; +#9583 = LINE ( 'NONE', #8979, #9591 ) ; +#9584 = LINE ( 'NONE', #8973, #9585 ) ; +#9585 = VECTOR ( 'NONE', #8974, 1000.000000000000000 ) ; +#9586 = VECTOR ( 'NONE', #8989, 1000.000000000000000 ) ; +#9587 = LINE ( 'NONE', #8968, #9589 ) ; +#9588 = CIRCLE ( 'NONE', #6162, 0.2999999999999999300 ) ; +#9589 = VECTOR ( 'NONE', #8981, 1000.000000000000000 ) ; +#9590 = LINE ( 'NONE', #8988, #9586 ) ; +#9591 = VECTOR ( 'NONE', #8983, 1000.000000000000000 ) ; +#9592 = VECTOR ( 'NONE', #9002, 1000.000000000000000 ) ; +#9593 = LINE ( 'NONE', #8984, #9594 ) ; +#9594 = VECTOR ( 'NONE', #8985, 1000.000000000000000 ) ; +#9595 = LINE ( 'NONE', #9001, #9592 ) ; +#9596 = LINE ( 'NONE', #8980, #9602 ) ; +#9597 = CIRCLE ( 'NONE', #6169, 0.2999999999999999300 ) ; +#9598 = LINE ( 'NONE', #8990, #9599 ) ; +#9599 = VECTOR ( 'NONE', #8991, 1000.000000000000000 ) ; +#9600 = LINE ( 'NONE', #8993, #9601 ) ; +#9601 = VECTOR ( 'NONE', #8994, 1000.000000000000000 ) ; +#9602 = VECTOR ( 'NONE', #8996, 1000.000000000000000 ) ; +#9603 = LINE ( 'NONE', #9003, #9611 ) ; +#9604 = LINE ( 'NONE', #8997, #9605 ) ; +#9605 = VECTOR ( 'NONE', #8998, 1000.000000000000000 ) ; +#9606 = VECTOR ( 'NONE', #9013, 1000.000000000000000 ) ; +#9607 = LINE ( 'NONE', #8992, #9609 ) ; +#9608 = CIRCLE ( 'NONE', #6165, 0.2999999999999999300 ) ; +#9609 = VECTOR ( 'NONE', #9005, 1000.000000000000000 ) ; +#9610 = LINE ( 'NONE', #9012, #9606 ) ; +#9611 = VECTOR ( 'NONE', #9007, 1000.000000000000000 ) ; +#9612 = VECTOR ( 'NONE', #9026, 1000.000000000000000 ) ; +#9613 = LINE ( 'NONE', #9008, #9614 ) ; +#9614 = VECTOR ( 'NONE', #9009, 1000.000000000000000 ) ; +#9615 = LINE ( 'NONE', #9025, #9612 ) ; +#9616 = LINE ( 'NONE', #9004, #9622 ) ; +#9617 = CIRCLE ( 'NONE', #6171, 0.2999999999999999300 ) ; +#9618 = LINE ( 'NONE', #9014, #9619 ) ; +#9619 = VECTOR ( 'NONE', #9015, 1000.000000000000000 ) ; +#9620 = LINE ( 'NONE', #9017, #9621 ) ; +#9621 = VECTOR ( 'NONE', #9018, 1000.000000000000000 ) ; +#9622 = VECTOR ( 'NONE', #9020, 1000.000000000000000 ) ; +#9623 = LINE ( 'NONE', #9027, #9631 ) ; +#9624 = LINE ( 'NONE', #9021, #9625 ) ; +#9625 = VECTOR ( 'NONE', #9022, 1000.000000000000000 ) ; +#9626 = VECTOR ( 'NONE', #9037, 1000.000000000000000 ) ; +#9627 = LINE ( 'NONE', #9016, #9629 ) ; +#9628 = CIRCLE ( 'NONE', #6168, 0.2999999999999999300 ) ; +#9629 = VECTOR ( 'NONE', #9029, 1000.000000000000000 ) ; +#9630 = LINE ( 'NONE', #9036, #9626 ) ; +#9631 = VECTOR ( 'NONE', #9031, 1000.000000000000000 ) ; +#9632 = VECTOR ( 'NONE', #9050, 1000.000000000000000 ) ; +#9633 = LINE ( 'NONE', #9032, #9634 ) ; +#9634 = VECTOR ( 'NONE', #9033, 1000.000000000000000 ) ; +#9635 = LINE ( 'NONE', #9049, #9632 ) ; +#9636 = LINE ( 'NONE', #9028, #9642 ) ; +#9637 = CIRCLE ( 'NONE', #6173, 0.2999999999999999300 ) ; +#9638 = LINE ( 'NONE', #9038, #9639 ) ; +#9639 = VECTOR ( 'NONE', #9039, 1000.000000000000000 ) ; +#9640 = LINE ( 'NONE', #9041, #9641 ) ; +#9641 = VECTOR ( 'NONE', #9042, 1000.000000000000000 ) ; +#9642 = VECTOR ( 'NONE', #9044, 1000.000000000000000 ) ; +#9643 = LINE ( 'NONE', #9051, #9651 ) ; +#9644 = LINE ( 'NONE', #9045, #9645 ) ; +#9645 = VECTOR ( 'NONE', #9046, 1000.000000000000000 ) ; +#9646 = VECTOR ( 'NONE', #9061, 1000.000000000000000 ) ; +#9647 = LINE ( 'NONE', #9040, #9649 ) ; +#9648 = CIRCLE ( 'NONE', #6170, 0.2999999999999999300 ) ; +#9649 = VECTOR ( 'NONE', #9053, 1000.000000000000000 ) ; +#9650 = LINE ( 'NONE', #9060, #9646 ) ; +#9651 = VECTOR ( 'NONE', #9055, 1000.000000000000000 ) ; +#9652 = VECTOR ( 'NONE', #9075, 1000.000000000000000 ) ; +#9653 = LINE ( 'NONE', #9056, #9654 ) ; +#9654 = VECTOR ( 'NONE', #9057, 1000.000000000000000 ) ; +#9655 = LINE ( 'NONE', #9074, #9652 ) ; +#9656 = LINE ( 'NONE', #9052, #9662 ) ; +#9657 = CIRCLE ( 'NONE', #6175, 0.2999999999999999300 ) ; +#9658 = LINE ( 'NONE', #9062, #9659 ) ; +#9659 = VECTOR ( 'NONE', #9063, 1000.000000000000000 ) ; +#9660 = LINE ( 'NONE', #9065, #9661 ) ; +#9661 = VECTOR ( 'NONE', #9066, 1000.000000000000000 ) ; +#9662 = VECTOR ( 'NONE', #9068, 1000.000000000000000 ) ; +#9663 = VECTOR ( 'NONE', #9085, 1000.000000000000000 ) ; +#9664 = LINE ( 'NONE', #9069, #9665 ) ; +#9665 = VECTOR ( 'NONE', #9070, 1000.000000000000000 ) ; +#9666 = LINE ( 'NONE', #9084, #9663 ) ; +#9667 = LINE ( 'NONE', #9064, #9669 ) ; +#9668 = CIRCLE ( 'NONE', #6172, 0.2999999999999999300 ) ; +#9669 = VECTOR ( 'NONE', #9077, 1000.000000000000000 ) ; +#9670 = LINE ( 'NONE', #9086, #9682 ) ; +#9671 = LINE ( 'NONE', #9078, #9672 ) ; +#9672 = VECTOR ( 'NONE', #9079, 1000.000000000000000 ) ; +#9673 = LINE ( 'NONE', #9080, #9674 ) ; +#9674 = VECTOR ( 'NONE', #9081, 1000.000000000000000 ) ; +#9675 = VECTOR ( 'NONE', #9099, 1000.000000000000000 ) ; +#9676 = LINE ( 'NONE', #9073, #9678 ) ; +#9677 = CIRCLE ( 'NONE', #6174, 0.2999999999999999300 ) ; +#9678 = VECTOR ( 'NONE', #9087, 1000.000000000000000 ) ; +#9679 = LINE ( 'NONE', #9098, #9675 ) ; +#9680 = LINE ( 'NONE', #9089, #9681 ) ; +#9681 = VECTOR ( 'NONE', #9090, 1000.000000000000000 ) ; +#9682 = VECTOR ( 'NONE', #9092, 1000.000000000000000 ) ; +#9683 = VECTOR ( 'NONE', #9109, 1000.000000000000000 ) ; +#9684 = LINE ( 'NONE', #9093, #9685 ) ; +#9685 = VECTOR ( 'NONE', #9094, 1000.000000000000000 ) ; +#9686 = LINE ( 'NONE', #9108, #9683 ) ; +#9687 = LINE ( 'NONE', #9088, #9689 ) ; +#9688 = CIRCLE ( 'NONE', #6178, 0.2999999999999999300 ) ; +#9689 = VECTOR ( 'NONE', #9101, 1000.000000000000000 ) ; +#9690 = LINE ( 'NONE', #9110, #9702 ) ; +#9691 = LINE ( 'NONE', #9102, #9692 ) ; +#9692 = VECTOR ( 'NONE', #9103, 1000.000000000000000 ) ; +#9693 = LINE ( 'NONE', #9104, #9694 ) ; +#9694 = VECTOR ( 'NONE', #9105, 1000.000000000000000 ) ; +#9695 = VECTOR ( 'NONE', #9123, 1000.000000000000000 ) ; +#9696 = LINE ( 'NONE', #9097, #9698 ) ; +#9697 = CIRCLE ( 'NONE', #6176, 0.2999999999999999300 ) ; +#9698 = VECTOR ( 'NONE', #9111, 1000.000000000000000 ) ; +#9699 = LINE ( 'NONE', #9122, #9695 ) ; +#9700 = LINE ( 'NONE', #9113, #9701 ) ; +#9701 = VECTOR ( 'NONE', #9114, 1000.000000000000000 ) ; +#9702 = VECTOR ( 'NONE', #9116, 1000.000000000000000 ) ; +#9703 = VECTOR ( 'NONE', #9133, 1000.000000000000000 ) ; +#9704 = LINE ( 'NONE', #9117, #9705 ) ; +#9705 = VECTOR ( 'NONE', #9118, 1000.000000000000000 ) ; +#9706 = LINE ( 'NONE', #9132, #9703 ) ; +#9707 = LINE ( 'NONE', #9112, #9709 ) ; +#9708 = CIRCLE ( 'NONE', #6180, 0.2999999999999999300 ) ; +#9709 = VECTOR ( 'NONE', #9125, 1000.000000000000000 ) ; +#9710 = LINE ( 'NONE', #9134, #9722 ) ; +#9711 = LINE ( 'NONE', #9126, #9712 ) ; +#9712 = VECTOR ( 'NONE', #9127, 1000.000000000000000 ) ; +#9713 = LINE ( 'NONE', #9128, #9714 ) ; +#9714 = VECTOR ( 'NONE', #9129, 1000.000000000000000 ) ; +#9715 = VECTOR ( 'NONE', #9147, 1000.000000000000000 ) ; +#9716 = LINE ( 'NONE', #9121, #9718 ) ; +#9717 = CIRCLE ( 'NONE', #6177, 0.2999999999999999300 ) ; +#9718 = VECTOR ( 'NONE', #9135, 1000.000000000000000 ) ; +#9719 = LINE ( 'NONE', #9146, #9715 ) ; +#9720 = LINE ( 'NONE', #9137, #9721 ) ; +#9721 = VECTOR ( 'NONE', #9138, 1000.000000000000000 ) ; +#9722 = VECTOR ( 'NONE', #9140, 1000.000000000000000 ) ; +#9723 = VECTOR ( 'NONE', #9157, 1000.000000000000000 ) ; +#9724 = LINE ( 'NONE', #9141, #9725 ) ; +#9725 = VECTOR ( 'NONE', #9142, 1000.000000000000000 ) ; +#9726 = LINE ( 'NONE', #9156, #9723 ) ; +#9727 = LINE ( 'NONE', #9136, #9729 ) ; +#9728 = CIRCLE ( 'NONE', #6182, 0.2999999999999999300 ) ; +#9729 = VECTOR ( 'NONE', #9149, 1000.000000000000000 ) ; +#9730 = LINE ( 'NONE', #9158, #9741 ) ; +#9731 = LINE ( 'NONE', #9150, #9732 ) ; +#9732 = VECTOR ( 'NONE', #9151, 1000.000000000000000 ) ; +#9733 = LINE ( 'NONE', #9152, #9734 ) ; +#9734 = VECTOR ( 'NONE', #9153, 1000.000000000000000 ) ; +#9735 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9736 = LINE ( 'NONE', #9145, #9738 ) ; +#9737 = CIRCLE ( 'NONE', #6179, 0.2999999999999999300 ) ; +#9738 = VECTOR ( 'NONE', #9159, 1000.000000000000000 ) ; +#9739 = LINE ( 'NONE', #9161, #9740 ) ; +#9740 = VECTOR ( 'NONE', #9162, 1000.000000000000000 ) ; +#9741 = VECTOR ( 'NONE', #9164, 1000.000000000000000 ) ; +#9742 = LINE ( 'NONE', #9172, #9746 ) ; +#9743 = LINE ( 'NONE', #9165, #9744 ) ; +#9744 = VECTOR ( 'NONE', #9166, 1000.000000000000000 ) ; +#9745 = LINE ( 'NONE', #9174, #9756 ) ; +#9746 = VECTOR ( 'NONE', #9173, 1000.000000000000000 ) ; +#9747 = CIRCLE ( 'NONE', #6184, 0.2999999999999999300 ) ; +#9748 = LINE ( 'NONE', #9167, #9750 ) ; +#9749 = CIRCLE ( 'NONE', #6181, 0.2999999999999999300 ) ; +#9750 = VECTOR ( 'NONE', #9175, 1000.000000000000000 ) ; +#9751 = CYLINDRICAL_SURFACE ( 'NONE', #6190, 0.2000000000000000900 ) ; +#9752 = LINE ( 'NONE', #9176, #9753 ) ; +#9753 = VECTOR ( 'NONE', #9177, 1000.000000000000000 ) ; +#9754 = LINE ( 'NONE', #9179, #9755 ) ; +#9755 = VECTOR ( 'NONE', #9180, 1000.000000000000000 ) ; +#9756 = VECTOR ( 'NONE', #9182, 1000.000000000000000 ) ; +#9757 = FACE_OUTER_BOUND ( 'NONE', #963, .T. ) ; +#9758 = LINE ( 'NONE', #9183, #9759 ) ; +#9759 = VECTOR ( 'NONE', #9184, 1000.000000000000000 ) ; +#9760 = SURFACE_STYLE_FILL_AREA ( #9197 ) ; +#9761 = FACE_OUTER_BOUND ( 'NONE', #952, .T. ) ; +#9762 = CYLINDRICAL_SURFACE ( 'NONE', #6193, 0.2000000000000000900 ) ; +#9763 = SURFACE_STYLE_FILL_AREA ( #9186 ) ; +#9764 = PRESENTATION_STYLE_ASSIGNMENT (( #9188 ) ) ; +#9765 = STYLED_ITEM ( 'NONE', ( #9764 ), #4772 ) ; +#9766 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7334 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9189, #9190, #9191 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9767 = FACE_OUTER_BOUND ( 'NONE', #953, .T. ) ; +#9768 = CYLINDRICAL_SURFACE ( 'NONE', #6195, 0.2000000000000000900 ) ; +#9769 = SURFACE_STYLE_FILL_AREA ( #9207 ) ; +#9770 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9771 = PRESENTATION_STYLE_ASSIGNMENT (( #9199 ) ) ; +#9772 = STYLED_ITEM ( 'NONE', ( #9771 ), #4773 ) ; +#9773 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7335 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9200, #9201, #9202 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9774 = FACE_OUTER_BOUND ( 'NONE', #954, .T. ) ; +#9775 = CYLINDRICAL_SURFACE ( 'NONE', #6209, 0.09999999999999993600 ) ; +#9776 = SURFACE_STYLE_FILL_AREA ( #9217 ) ; +#9777 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9778 = PRESENTATION_STYLE_ASSIGNMENT (( #9209 ) ) ; +#9779 = STYLED_ITEM ( 'NONE', ( #9778 ), #4774 ) ; +#9780 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7336 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9210, #9211, #9212 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9781 = FACE_OUTER_BOUND ( 'NONE', #958, .T. ) ; +#9782 = CYLINDRICAL_SURFACE ( 'NONE', #6207, 0.09999999999999993600 ) ; +#9783 = SURFACE_STYLE_FILL_AREA ( #9228 ) ; +#9784 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9785 = PRESENTATION_STYLE_ASSIGNMENT (( #9219 ) ) ; +#9786 = STYLED_ITEM ( 'NONE', ( #9785 ), #4775 ) ; +#9787 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7337 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9220, #9221, #9222 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9788 = FACE_OUTER_BOUND ( 'NONE', #957, .T. ) ; +#9789 = SURFACE_STYLE_FILL_AREA ( #9239 ) ; +#9790 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9791 = PRESENTATION_STYLE_ASSIGNMENT (( #9230 ) ) ; +#9792 = STYLED_ITEM ( 'NONE', ( #9791 ), #4776 ) ; +#9793 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7338 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9231, #9232, #9233 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9794 = FACE_OUTER_BOUND ( 'NONE', #956, .T. ) ; +#9795 = SURFACE_STYLE_FILL_AREA ( #9250 ) ; +#9796 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9797 = PRESENTATION_STYLE_ASSIGNMENT (( #9241 ) ) ; +#9798 = STYLED_ITEM ( 'NONE', ( #9797 ), #4777 ) ; +#9799 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7339 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9242, #9243, #9244 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9800 = FACE_OUTER_BOUND ( 'NONE', #955, .T. ) ; +#9801 = SURFACE_STYLE_FILL_AREA ( #9260 ) ; +#9802 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9803 = PRESENTATION_STYLE_ASSIGNMENT (( #9252 ) ) ; +#9804 = STYLED_ITEM ( 'NONE', ( #9803 ), #4778 ) ; +#9805 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7340 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9253, #9254, #9255 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9806 = FACE_OUTER_BOUND ( 'NONE', #962, .T. ) ; +#9807 = FACE_OUTER_BOUND ( 'NONE', #1474, .T. ) ; +#9808 = SURFACE_STYLE_FILL_AREA ( #9270 ) ; +#9809 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9810 = PRESENTATION_STYLE_ASSIGNMENT (( #9262 ) ) ; +#9811 = STYLED_ITEM ( 'NONE', ( #9810 ), #4779 ) ; +#9812 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7341 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9263, #9264, #9265 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9813 = FACE_OUTER_BOUND ( 'NONE', #961, .T. ) ; +#9814 = SURFACE_STYLE_FILL_AREA ( #9467 ) ; +#9815 = SURFACE_STYLE_FILL_AREA ( #9281 ) ; +#9816 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9817 = PRESENTATION_STYLE_ASSIGNMENT (( #9272 ) ) ; +#9818 = STYLED_ITEM ( 'NONE', ( #9817 ), #4780 ) ; +#9819 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7342 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9273, #9274, #9275 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9820 = FACE_OUTER_BOUND ( 'NONE', #13027, .T. ) ; +#9821 = SURFACE_STYLE_FILL_AREA ( #9292 ) ; +#9822 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9823 = PRESENTATION_STYLE_ASSIGNMENT (( #9283 ) ) ; +#9824 = STYLED_ITEM ( 'NONE', ( #9823 ), #4781 ) ; +#9825 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7343 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9284, #9285, #9286 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9826 = FACE_OUTER_BOUND ( 'NONE', #13019, .T. ) ; +#9827 = SURFACE_STYLE_FILL_AREA ( #9303 ) ; +#9828 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9829 = PRESENTATION_STYLE_ASSIGNMENT (( #9294 ) ) ; +#9830 = STYLED_ITEM ( 'NONE', ( #9829 ), #4782 ) ; +#9831 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7344 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9295, #9296, #9297 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9832 = FACE_OUTER_BOUND ( 'NONE', #13025, .T. ) ; +#9833 = SURFACE_STYLE_FILL_AREA ( #9314 ) ; +#9834 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9835 = PRESENTATION_STYLE_ASSIGNMENT (( #9305 ) ) ; +#9836 = STYLED_ITEM ( 'NONE', ( #9835 ), #4783 ) ; +#9837 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7345 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9306, #9307, #9308 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9838 = FACE_OUTER_BOUND ( 'NONE', #960, .T. ) ; +#9839 = SURFACE_STYLE_FILL_AREA ( #9325 ) ; +#9840 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9841 = PRESENTATION_STYLE_ASSIGNMENT (( #9316 ) ) ; +#9842 = STYLED_ITEM ( 'NONE', ( #9841 ), #4784 ) ; +#9843 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7346 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9317, #9318, #9319 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9844 = FACE_OUTER_BOUND ( 'NONE', #959, .T. ) ; +#9845 = SURFACE_STYLE_FILL_AREA ( #9336 ) ; +#9846 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9847 = PRESENTATION_STYLE_ASSIGNMENT (( #9327 ) ) ; +#9848 = STYLED_ITEM ( 'NONE', ( #9847 ), #4785 ) ; +#9849 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7347 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9328, #9329, #9330 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9850 = FACE_OUTER_BOUND ( 'NONE', #13020, .T. ) ; +#9851 = SURFACE_STYLE_FILL_AREA ( #9347 ) ; +#9852 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9853 = PRESENTATION_STYLE_ASSIGNMENT (( #9338 ) ) ; +#9854 = STYLED_ITEM ( 'NONE', ( #9853 ), #4786 ) ; +#9855 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7348 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9339, #9340, #9341 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9856 = FACE_OUTER_BOUND ( 'NONE', #13026, .T. ) ; +#9857 = SURFACE_STYLE_FILL_AREA ( #9358 ) ; +#9858 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9859 = PRESENTATION_STYLE_ASSIGNMENT (( #9349 ) ) ; +#9860 = STYLED_ITEM ( 'NONE', ( #9859 ), #4787 ) ; +#9861 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7349 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9350, #9351, #9352 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9862 = FACE_OUTER_BOUND ( 'NONE', #13023, .T. ) ; +#9863 = SURFACE_STYLE_FILL_AREA ( #9369 ) ; +#9864 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9865 = PRESENTATION_STYLE_ASSIGNMENT (( #9360 ) ) ; +#9866 = STYLED_ITEM ( 'NONE', ( #9865 ), #4788 ) ; +#9867 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7350 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9361, #9362, #9363 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9868 = FACE_OUTER_BOUND ( 'NONE', #13021, .T. ) ; +#9869 = SURFACE_STYLE_FILL_AREA ( #9380 ) ; +#9870 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9871 = PRESENTATION_STYLE_ASSIGNMENT (( #9371 ) ) ; +#9872 = STYLED_ITEM ( 'NONE', ( #9871 ), #4789 ) ; +#9873 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7351 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9372, #9373, #9374 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9874 = FACE_OUTER_BOUND ( 'NONE', #13017, .T. ) ; +#9875 = SURFACE_STYLE_FILL_AREA ( #9391 ) ; +#9876 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9877 = PRESENTATION_STYLE_ASSIGNMENT (( #9382 ) ) ; +#9878 = STYLED_ITEM ( 'NONE', ( #9877 ), #4790 ) ; +#9879 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7352 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9383, #9384, #9385 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9880 = FACE_OUTER_BOUND ( 'NONE', #13016, .T. ) ; +#9881 = SURFACE_STYLE_FILL_AREA ( #9402 ) ; +#9882 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9883 = PRESENTATION_STYLE_ASSIGNMENT (( #9393 ) ) ; +#9884 = STYLED_ITEM ( 'NONE', ( #9883 ), #4791 ) ; +#9885 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7353 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9394, #9395, #9396 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9886 = FACE_OUTER_BOUND ( 'NONE', #13029, .T. ) ; +#9887 = SURFACE_STYLE_FILL_AREA ( #9413 ) ; +#9888 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9889 = PRESENTATION_STYLE_ASSIGNMENT (( #9404 ) ) ; +#9890 = STYLED_ITEM ( 'NONE', ( #9889 ), #4792 ) ; +#9891 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7354 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9405, #9406, #9407 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9892 = FACE_OUTER_BOUND ( 'NONE', #13031, .T. ) ; +#9893 = SURFACE_STYLE_FILL_AREA ( #9424 ) ; +#9894 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9895 = PRESENTATION_STYLE_ASSIGNMENT (( #9415 ) ) ; +#9896 = STYLED_ITEM ( 'NONE', ( #9895 ), #4793 ) ; +#9897 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7355 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9416, #9417, #9418 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9898 = FACE_OUTER_BOUND ( 'NONE', #13032, .T. ) ; +#9899 = SURFACE_STYLE_FILL_AREA ( #9435 ) ; +#9900 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9901 = PRESENTATION_STYLE_ASSIGNMENT (( #9426 ) ) ; +#9902 = STYLED_ITEM ( 'NONE', ( #9901 ), #4794 ) ; +#9903 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7356 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9427, #9428, #9429 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#9904 = FACE_OUTER_BOUND ( 'NONE', #13015, .T. ) ; +#9905 = SURFACE_STYLE_FILL_AREA ( #9446 ) ; +#9906 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#9907 = PRESENTATION_STYLE_ASSIGNMENT (( #9437 ) ) ; +#9908 = STYLED_ITEM ( 'NONE', ( #9907 ), #4795 ) ; +#9909 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9842 ), #9843 ) ; +#9910 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9842 ) ) ; +#9911 = AXIS2_PLACEMENT_3D ( 'NONE', #9290, #9310, #9311 ) ; +#9912 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10517 ) ) ; +#9913 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10564 ) ) ; +#9914 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9848 ), #9849 ) ; +#9915 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9848 ) ) ; +#9916 = AXIS2_PLACEMENT_3D ( 'NONE', #9301, #9321, #9322 ) ; +#9917 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9854 ), #9855 ) ; +#9918 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9854 ) ) ; +#9919 = AXIS2_PLACEMENT_3D ( 'NONE', #9312, #9332, #9333 ) ; +#9920 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9860 ), #9861 ) ; +#9921 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9860 ) ) ; +#9922 = AXIS2_PLACEMENT_3D ( 'NONE', #9323, #9343, #9344 ) ; +#9923 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9866 ), #9867 ) ; +#9924 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9866 ) ) ; +#9925 = AXIS2_PLACEMENT_3D ( 'NONE', #9334, #9354, #9355 ) ; +#9926 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9872 ), #9873 ) ; +#9927 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9872 ) ) ; +#9928 = AXIS2_PLACEMENT_3D ( 'NONE', #9345, #9365, #9366 ) ; +#9929 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9878 ), #9879 ) ; +#9930 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9878 ) ) ; +#9931 = AXIS2_PLACEMENT_3D ( 'NONE', #9356, #9376, #9377 ) ; +#9932 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9884 ), #9885 ) ; +#9933 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9884 ) ) ; +#9934 = AXIS2_PLACEMENT_3D ( 'NONE', #9367, #9387, #9388 ) ; +#9935 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9890 ), #9891 ) ; +#9936 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9890 ) ) ; +#9937 = AXIS2_PLACEMENT_3D ( 'NONE', #9378, #9398, #9399 ) ; +#9938 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9896 ), #9897 ) ; +#9939 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9896 ) ) ; +#9940 = AXIS2_PLACEMENT_3D ( 'NONE', #9389, #9409, #9410 ) ; +#9941 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9902 ), #9903 ) ; +#9942 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9902 ) ) ; +#9943 = AXIS2_PLACEMENT_3D ( 'NONE', #9400, #9420, #9421 ) ; +#9944 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #9908 ), #10480 ) ; +#9945 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #9908 ) ) ; +#9946 = AXIS2_PLACEMENT_3D ( 'NONE', #9411, #9431, #9432 ) ; +#9947 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10485 ), #10486 ) ; +#9948 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10485 ) ) ; +#9949 = AXIS2_PLACEMENT_3D ( 'NONE', #9422, #9442, #9443 ) ; +#9950 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10491 ), #10492 ) ; +#9951 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10491 ) ) ; +#9952 = AXIS2_PLACEMENT_3D ( 'NONE', #9433, #9453, #9454 ) ; +#9953 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10497 ), #10498 ) ; +#9954 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10497 ) ) ; +#9955 = AXIS2_PLACEMENT_3D ( 'NONE', #9444, #9464, #9465 ) ; +#9956 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10504 ), #10505 ) ; +#9957 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10504 ) ) ; +#9958 = AXIS2_PLACEMENT_3D ( 'NONE', #9455, #9475, #9476 ) ; +#9959 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10510 ), #10511 ) ; +#9960 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10510 ) ) ; +#9961 = AXIS2_PLACEMENT_3D ( 'NONE', #9474, #9486, #9487 ) ; +#9962 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10524 ) ) ; +#9963 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10517 ), #10518 ) ; +#9964 = AXIS2_PLACEMENT_3D ( 'NONE', #9496, #9485, #9473 ) ; +#9965 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10531 ) ) ; +#9966 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10524 ), #10525 ) ; +#9967 = AXIS2_PLACEMENT_3D ( 'NONE', #9506, #9498, #9497 ) ; +#9968 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10539 ) ) ; +#9969 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10531 ), #10532 ) ; +#9970 = AXIS2_PLACEMENT_3D ( 'NONE', #9516, #9508, #9507 ) ; +#9971 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10599 ) ) ; +#9972 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10539 ), #10540 ) ; +#9973 = AXIS2_PLACEMENT_3D ( 'NONE', #10741, #10733, #10732 ) ; +#9974 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10545 ), #10546 ) ; +#9975 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10545 ) ) ; +#9976 = AXIS2_PLACEMENT_3D ( 'NONE', #10743, #10752, #10753 ) ; +#9977 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10551 ), #10552 ) ; +#9978 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10551 ) ) ; +#9979 = AXIS2_PLACEMENT_3D ( 'NONE', #10742, #10763, #10764 ) ; +#9980 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10557 ), #10558 ) ; +#9981 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10557 ) ) ; +#9982 = AXIS2_PLACEMENT_3D ( 'NONE', #10754, #10774, #10775 ) ; +#9983 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10572 ) ) ; +#9984 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10564 ), #10565 ) ; +#9985 = AXIS2_PLACEMENT_3D ( 'NONE', #10784, #10773, #10765 ) ; +#9986 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12204 ) ) ; +#9987 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10572 ), #10573 ) ; +#9988 = AXIS2_PLACEMENT_3D ( 'NONE', #10794, #10786, #10785 ) ; +#9989 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10578 ), #10579 ) ; +#9990 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10578 ) ) ; +#9991 = AXIS2_PLACEMENT_3D ( 'NONE', #10796, #10805, #10806 ) ; +#9992 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10586 ), #10587 ) ; +#9993 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10586 ) ) ; +#9994 = AXIS2_PLACEMENT_3D ( 'NONE', #10795, #10816, #10817 ) ; +#9995 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10592 ), #10593 ) ; +#9996 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10592 ) ) ; +#9997 = AXIS2_PLACEMENT_3D ( 'NONE', #10819, #10827, #10828 ) ; +#9998 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10714 ) ) ; +#9999 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10599 ), #10600 ) ; +#10000 = AXIS2_PLACEMENT_3D ( 'NONE', #10837, #10826, #10807 ) ; +#10001 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10605 ), #10606 ) ; +#10002 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10605 ) ) ; +#10003 = AXIS2_PLACEMENT_3D ( 'NONE', #10838, #10848, #10849 ) ; +#10004 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10611 ), #10612 ) ; +#10005 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10611 ) ) ; +#10006 = AXIS2_PLACEMENT_3D ( 'NONE', #10829, #10859, #10860 ) ; +#10007 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10617 ), #10618 ) ; +#10008 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10617 ) ) ; +#10009 = AXIS2_PLACEMENT_3D ( 'NONE', #10850, #10870, #10871 ) ; +#10010 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10623 ), #10624 ) ; +#10011 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10623 ) ) ; +#10012 = AXIS2_PLACEMENT_3D ( 'NONE', #10861, #10881, #10882 ) ; +#10013 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10629 ), #10630 ) ; +#10014 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10629 ) ) ; +#10015 = AXIS2_PLACEMENT_3D ( 'NONE', #10872, #10892, #10893 ) ; +#10016 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10635 ), #10636 ) ; +#10017 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10635 ) ) ; +#10018 = AXIS2_PLACEMENT_3D ( 'NONE', #10883, #10903, #10904 ) ; +#10019 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10641 ), #10642 ) ; +#10020 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10641 ) ) ; +#10021 = AXIS2_PLACEMENT_3D ( 'NONE', #10894, #10914, #10915 ) ; +#10022 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10647 ), #10648 ) ; +#10023 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10647 ) ) ; +#10024 = AXIS2_PLACEMENT_3D ( 'NONE', #10905, #10925, #10926 ) ; +#10025 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10653 ), #10654 ) ; +#10026 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10653 ) ) ; +#10027 = AXIS2_PLACEMENT_3D ( 'NONE', #10916, #10936, #10937 ) ; +#10028 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10659 ), #10660 ) ; +#10029 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10659 ) ) ; +#10030 = AXIS2_PLACEMENT_3D ( 'NONE', #10927, #10947, #10948 ) ; +#10031 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10665 ), #10666 ) ; +#10032 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10665 ) ) ; +#10033 = AXIS2_PLACEMENT_3D ( 'NONE', #10938, #10958, #10959 ) ; +#10034 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10671 ), #10672 ) ; +#10035 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10671 ) ) ; +#10036 = AXIS2_PLACEMENT_3D ( 'NONE', #10949, #10969, #10970 ) ; +#10037 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10677 ), #10678 ) ; +#10038 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10677 ) ) ; +#10039 = AXIS2_PLACEMENT_3D ( 'NONE', #10960, #10980, #10981 ) ; +#10040 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10683 ), #10684 ) ; +#10041 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10683 ) ) ; +#10042 = AXIS2_PLACEMENT_3D ( 'NONE', #10971, #10991, #10992 ) ; +#10043 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10689 ), #10690 ) ; +#10044 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10689 ) ) ; +#10045 = AXIS2_PLACEMENT_3D ( 'NONE', #10982, #11002, #11003 ) ; +#10046 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10695 ), #10696 ) ; +#10047 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10695 ) ) ; +#10048 = AXIS2_PLACEMENT_3D ( 'NONE', #10993, #11013, #11014 ) ; +#10049 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10701 ), #10702 ) ; +#10050 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10701 ) ) ; +#10051 = AXIS2_PLACEMENT_3D ( 'NONE', #11004, #11024, #11025 ) ; +#10052 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10707 ), #10708 ) ; +#10053 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10707 ) ) ; +#10054 = AXIS2_PLACEMENT_3D ( 'NONE', #11015, #11035, #11036 ) ; +#10055 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10721 ) ) ; +#10056 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10714 ), #10715 ) ; +#10057 = AXIS2_PLACEMENT_3D ( 'NONE', #11045, #11034, #11026 ) ; +#10058 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12225 ) ) ; +#10059 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10721 ), #10722 ) ; +#10060 = AXIS2_PLACEMENT_3D ( 'NONE', #11055, #11047, #11046 ) ; +#10061 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #10727 ), #10728 ) ; +#10062 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #10727 ) ) ; +#10063 = AXIS2_PLACEMENT_3D ( 'NONE', #11056, #11066, #11067 ) ; +#10064 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12211 ) ) ; +#10065 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12204 ), #12205 ) ; +#10066 = AXIS2_PLACEMENT_3D ( 'NONE', #11076, #11065, #11037 ) ; +#10067 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12238 ) ) ; +#10068 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12211 ), #12212 ) ; +#10069 = AXIS2_PLACEMENT_3D ( 'NONE', #11086, #11078, #11077 ) ; +#10070 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12217 ), #12218 ) ; +#10071 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12217 ) ) ; +#10072 = AXIS2_PLACEMENT_3D ( 'NONE', #11087, #11097, #11098 ) ; +#10073 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12282 ) ) ; +#10074 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12225 ), #12226 ) ; +#10075 = AXIS2_PLACEMENT_3D ( 'NONE', #11107, #11096, #11068 ) ; +#10076 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12231 ), #12232 ) ; +#10077 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12231 ) ) ; +#10078 = AXIS2_PLACEMENT_3D ( 'NONE', #11108, #11118, #11119 ) ; +#10079 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12269 ) ) ; +#10080 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12238 ), #12239 ) ; +#10081 = AXIS2_PLACEMENT_3D ( 'NONE', #11128, #11117, #11099 ) ; +#10082 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12244 ), #12245 ) ; +#10083 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12244 ) ) ; +#10084 = AXIS2_PLACEMENT_3D ( 'NONE', #11129, #11139, #11140 ) ; +#10085 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12250 ), #12251 ) ; +#10086 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12250 ) ) ; +#10087 = AXIS2_PLACEMENT_3D ( 'NONE', #11120, #11150, #11151 ) ; +#10088 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12256 ), #12257 ) ; +#10089 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12256 ) ) ; +#10090 = AXIS2_PLACEMENT_3D ( 'NONE', #11141, #11161, #11162 ) ; +#10091 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12262 ), #12263 ) ; +#10092 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12262 ) ) ; +#10093 = AXIS2_PLACEMENT_3D ( 'NONE', #11152, #11172, #11173 ) ; +#10094 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12302 ) ) ; +#10095 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12269 ), #12270 ) ; +#10096 = AXIS2_PLACEMENT_3D ( 'NONE', #11182, #11171, #11163 ) ; +#10097 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12275 ), #12276 ) ; +#10098 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12275 ) ) ; +#10099 = AXIS2_PLACEMENT_3D ( 'NONE', #11183, #11193, #11194 ) ; +#10100 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12289 ) ) ; +#10101 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12282 ), #12283 ) ; +#10102 = AXIS2_PLACEMENT_3D ( 'NONE', #11203, #11192, #11174 ) ; +#10103 = AXIS2_PLACEMENT_3D ( 'NONE', #11244, #11226, #11255 ) ; +#10104 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12289 ), #12290 ) ; +#10105 = AXIS2_PLACEMENT_3D ( 'NONE', #11213, #11205, #11204 ) ; +#10106 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12295 ), #12296 ) ; +#10107 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12295 ) ) ; +#10108 = AXIS2_PLACEMENT_3D ( 'NONE', #11214, #11224, #11225 ) ; +#10109 = AXIS2_PLACEMENT_3D ( 'NONE', #11256, #11254, #11266 ) ; +#10110 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12302 ), #12303 ) ; +#10111 = AXIS2_PLACEMENT_3D ( 'NONE', #11234, #11223, #11195 ) ; +#10112 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12308 ), #12309 ) ; +#10113 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12308 ) ) ; +#10114 = AXIS2_PLACEMENT_3D ( 'NONE', #11235, #11245, #11246 ) ; +#10115 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12314 ), #12315 ) ; +#10116 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12314 ) ) ; +#10117 = AXIS2_PLACEMENT_3D ( 'NONE', #11881, #11879, #11891 ) ; +#10118 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12321 ), #12322 ) ; +#10119 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12321 ) ) ; +#10120 = AXIS2_PLACEMENT_3D ( 'NONE', #11869, #11846, #11880 ) ; +#10121 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12327 ), #12328 ) ; +#10122 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12327 ) ) ; +#10123 = AXIS2_PLACEMENT_3D ( 'NONE', #11265, #11276, #11277 ) ; +#10124 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12333 ), #12334 ) ; +#10125 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12333 ) ) ; +#10126 = AXIS2_PLACEMENT_3D ( 'NONE', #11264, #11287, #11288 ) ; +#10127 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12339 ), #12340 ) ; +#10128 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12339 ) ) ; +#10129 = AXIS2_PLACEMENT_3D ( 'NONE', #11278, #11298, #11299 ) ; +#10130 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12345 ), #12346 ) ; +#10131 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12345 ) ) ; +#10132 = AXIS2_PLACEMENT_3D ( 'NONE', #11289, #11309, #11310 ) ; +#10133 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12351 ), #12352 ) ; +#10134 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12351 ) ) ; +#10135 = AXIS2_PLACEMENT_3D ( 'NONE', #11300, #11320, #11321 ) ; +#10136 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12357 ), #12358 ) ; +#10137 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12357 ) ) ; +#10138 = AXIS2_PLACEMENT_3D ( 'NONE', #11311, #11331, #11332 ) ; +#10139 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12363 ), #12364 ) ; +#10140 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12363 ) ) ; +#10141 = AXIS2_PLACEMENT_3D ( 'NONE', #11322, #11342, #11343 ) ; +#10142 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12369 ), #12370 ) ; +#10143 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12369 ) ) ; +#10144 = AXIS2_PLACEMENT_3D ( 'NONE', #11333, #11353, #11354 ) ; +#10145 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12375 ), #12376 ) ; +#10146 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12375 ) ) ; +#10147 = AXIS2_PLACEMENT_3D ( 'NONE', #11344, #11364, #11365 ) ; +#10148 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12381 ), #12382 ) ; +#10149 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12381 ) ) ; +#10150 = AXIS2_PLACEMENT_3D ( 'NONE', #11355, #11375, #11376 ) ; +#10151 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12387 ), #12388 ) ; +#10152 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12387 ) ) ; +#10153 = AXIS2_PLACEMENT_3D ( 'NONE', #11366, #11386, #11387 ) ; +#10154 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12393 ), #12394 ) ; +#10155 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12393 ) ) ; +#10156 = AXIS2_PLACEMENT_3D ( 'NONE', #11377, #11397, #11398 ) ; +#10157 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12399 ), #12400 ) ; +#10158 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12399 ) ) ; +#10159 = AXIS2_PLACEMENT_3D ( 'NONE', #11388, #11408, #11409 ) ; +#10160 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12405 ), #12406 ) ; +#10161 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12405 ) ) ; +#10162 = AXIS2_PLACEMENT_3D ( 'NONE', #11399, #11419, #11420 ) ; +#10163 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12411 ), #12412 ) ; +#10164 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12411 ) ) ; +#10165 = AXIS2_PLACEMENT_3D ( 'NONE', #11410, #11430, #11431 ) ; +#10166 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12417 ), #12418 ) ; +#10167 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12417 ) ) ; +#10168 = AXIS2_PLACEMENT_3D ( 'NONE', #11421, #11441, #11442 ) ; +#10169 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12423 ), #12424 ) ; +#10170 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12423 ) ) ; +#10171 = AXIS2_PLACEMENT_3D ( 'NONE', #11432, #11452, #11453 ) ; +#10172 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12429 ), #12430 ) ; +#10173 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12429 ) ) ; +#10174 = AXIS2_PLACEMENT_3D ( 'NONE', #11443, #11463, #11464 ) ; +#10175 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12435 ), #12436 ) ; +#10176 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12435 ) ) ; +#10177 = AXIS2_PLACEMENT_3D ( 'NONE', #11454, #11474, #11475 ) ; +#10178 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12441 ), #12442 ) ; +#10179 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12441 ) ) ; +#10180 = AXIS2_PLACEMENT_3D ( 'NONE', #11465, #11485, #11486 ) ; +#10181 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12447 ), #12450 ) ; +#10182 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12447 ) ) ; +#10183 = AXIS2_PLACEMENT_3D ( 'NONE', #11476, #11496, #11497 ) ; +#10184 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12455 ), #12456 ) ; +#10185 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12455 ) ) ; +#10186 = AXIS2_PLACEMENT_3D ( 'NONE', #11487, #11507, #11508 ) ; +#10187 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12461 ), #12462 ) ; +#10188 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12461 ) ) ; +#10189 = AXIS2_PLACEMENT_3D ( 'NONE', #11498, #11518, #11519 ) ; +#10190 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12467 ), #12468 ) ; +#10191 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12467 ) ) ; +#10192 = AXIS2_PLACEMENT_3D ( 'NONE', #11509, #11529, #11530 ) ; +#10193 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12473 ), #12474 ) ; +#10194 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12473 ) ) ; +#10195 = AXIS2_PLACEMENT_3D ( 'NONE', #11520, #11540, #11541 ) ; +#10196 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12480 ), #12481 ) ; +#10197 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12480 ) ) ; +#10198 = AXIS2_PLACEMENT_3D ( 'NONE', #11531, #11551, #11552 ) ; +#10199 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12486 ), #12487 ) ; +#10200 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12486 ) ) ; +#10201 = AXIS2_PLACEMENT_3D ( 'NONE', #11542, #11562, #11563 ) ; +#10202 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12492 ), #12493 ) ; +#10203 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12492 ) ) ; +#10204 = AXIS2_PLACEMENT_3D ( 'NONE', #11553, #11573, #11574 ) ; +#10205 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12498 ), #12499 ) ; +#10206 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12498 ) ) ; +#10207 = AXIS2_PLACEMENT_3D ( 'NONE', #11564, #11584, #11585 ) ; +#10208 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12504 ), #12505 ) ; +#10209 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12504 ) ) ; +#10210 = AXIS2_PLACEMENT_3D ( 'NONE', #11575, #11595, #11596 ) ; +#10211 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12510 ), #12511 ) ; +#10212 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12510 ) ) ; +#10213 = AXIS2_PLACEMENT_3D ( 'NONE', #11586, #11606, #11607 ) ; +#10214 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12516 ), #12517 ) ; +#10215 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12516 ) ) ; +#10216 = AXIS2_PLACEMENT_3D ( 'NONE', #11597, #11617, #11618 ) ; +#10217 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12522 ), #12523 ) ; +#10218 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12522 ) ) ; +#10219 = AXIS2_PLACEMENT_3D ( 'NONE', #11608, #11628, #11629 ) ; +#10220 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12528 ), #12529 ) ; +#10221 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12528 ) ) ; +#10222 = AXIS2_PLACEMENT_3D ( 'NONE', #11619, #11639, #11640 ) ; +#10223 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12534 ), #12535 ) ; +#10224 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12534 ) ) ; +#10225 = AXIS2_PLACEMENT_3D ( 'NONE', #11630, #11650, #11651 ) ; +#10226 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12540 ), #12541 ) ; +#10227 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12540 ) ) ; +#10228 = AXIS2_PLACEMENT_3D ( 'NONE', #11641, #11661, #11662 ) ; +#10229 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12546 ), #12547 ) ; +#10230 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12546 ) ) ; +#10231 = AXIS2_PLACEMENT_3D ( 'NONE', #11652, #11672, #11673 ) ; +#10232 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12552 ), #12553 ) ; +#10233 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12552 ) ) ; +#10234 = AXIS2_PLACEMENT_3D ( 'NONE', #11663, #11683, #11684 ) ; +#10235 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12558 ), #12559 ) ; +#10236 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12558 ) ) ; +#10237 = AXIS2_PLACEMENT_3D ( 'NONE', #11674, #11694, #11695 ) ; +#10238 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12564 ), #12565 ) ; +#10239 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12564 ) ) ; +#10240 = AXIS2_PLACEMENT_3D ( 'NONE', #11685, #11705, #11706 ) ; +#10241 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12570 ), #12571 ) ; +#10242 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12570 ) ) ; +#10243 = AXIS2_PLACEMENT_3D ( 'NONE', #11696, #11716, #11717 ) ; +#10244 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12576 ), #12577 ) ; +#10245 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12576 ) ) ; +#10246 = AXIS2_PLACEMENT_3D ( 'NONE', #11707, #11727, #11728 ) ; +#10247 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12582 ), #12583 ) ; +#10248 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12582 ) ) ; +#10249 = AXIS2_PLACEMENT_3D ( 'NONE', #11718, #11738, #11739 ) ; +#10250 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12588 ), #12589 ) ; +#10251 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12588 ) ) ; +#10252 = AXIS2_PLACEMENT_3D ( 'NONE', #11729, #11749, #11750 ) ; +#10253 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12594 ), #12595 ) ; +#10254 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12594 ) ) ; +#10255 = AXIS2_PLACEMENT_3D ( 'NONE', #11740, #11760, #11761 ) ; +#10256 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12600 ), #12601 ) ; +#10257 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12600 ) ) ; +#10258 = AXIS2_PLACEMENT_3D ( 'NONE', #11751, #11771, #11772 ) ; +#10259 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12606 ), #12607 ) ; +#10260 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12606 ) ) ; +#10261 = AXIS2_PLACEMENT_3D ( 'NONE', #11762, #11782, #11783 ) ; +#10262 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12612 ), #12613 ) ; +#10263 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12612 ) ) ; +#10264 = AXIS2_PLACEMENT_3D ( 'NONE', #11773, #11793, #11794 ) ; +#10265 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12618 ), #12619 ) ; +#10266 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12618 ) ) ; +#10267 = AXIS2_PLACEMENT_3D ( 'NONE', #11784, #11804, #11805 ) ; +#10268 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12624 ), #12625 ) ; +#10269 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12624 ) ) ; +#10270 = AXIS2_PLACEMENT_3D ( 'NONE', #11795, #11815, #11816 ) ; +#10271 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12630 ), #12631 ) ; +#10272 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12630 ) ) ; +#10273 = AXIS2_PLACEMENT_3D ( 'NONE', #11806, #11826, #11827 ) ; +#10274 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12637 ), #12638 ) ; +#10275 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12637 ) ) ; +#10276 = AXIS2_PLACEMENT_3D ( 'NONE', #11817, #11836, #11837 ) ; +#10277 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12644 ), #12645 ) ; +#10278 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12644 ) ) ; +#10279 = AXIS2_PLACEMENT_3D ( 'NONE', #11835, #11848, #11849 ) ; +#10280 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12652 ), #12653 ) ; +#10281 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12652 ) ) ; +#10282 = AXIS2_PLACEMENT_3D ( 'NONE', #11847, #11859, #11860 ) ; +#10283 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12658 ), #12659 ) ; +#10284 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12658 ) ) ; +#10285 = AXIS2_PLACEMENT_3D ( 'NONE', #11862, #11870, #11871 ) ; +#10286 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12665 ), #12666 ) ; +#10287 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12665 ) ) ; +#10288 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12679 ) ) ; +#10289 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12672 ), #12673 ) ; +#10290 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12672 ) ) ; +#10291 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12778 ) ) ; +#10292 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12870 ) ) ; +#10293 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12679 ), #12680 ) ; +#10294 = AXIS2_PLACEMENT_3D ( 'NONE', #11900, #11892, #11890 ) ; +#10295 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12685 ), #12686 ) ; +#10296 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12685 ) ) ; +#10297 = AXIS2_PLACEMENT_3D ( 'NONE', #11901, #11911, #11912 ) ; +#10298 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12691 ), #12692 ) ; +#10299 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12691 ) ) ; +#10300 = AXIS2_PLACEMENT_3D ( 'NONE', #11889, #11922, #11923 ) ; +#10301 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12697 ), #12760 ) ; +#10302 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12697 ) ) ; +#10303 = AXIS2_PLACEMENT_3D ( 'NONE', #11913, #11933, #11934 ) ; +#10304 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12765 ), #12766 ) ; +#10305 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12765 ) ) ; +#10306 = AXIS2_PLACEMENT_3D ( 'NONE', #11924, #11944, #11945 ) ; +#10307 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12771 ), #12772 ) ; +#10308 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12771 ) ) ; +#10309 = AXIS2_PLACEMENT_3D ( 'NONE', #11935, #11955, #11956 ) ; +#10310 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12785 ) ) ; +#10311 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12778 ), #12779 ) ; +#10312 = AXIS2_PLACEMENT_3D ( 'NONE', #11965, #11954, #11946 ) ; +#10313 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12973 ) ) ; +#10314 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12785 ), #12786 ) ; +#10315 = AXIS2_PLACEMENT_3D ( 'NONE', #11975, #11967, #11966 ) ; +#10316 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12791 ), #12792 ) ; +#10317 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12791 ) ) ; +#10318 = AXIS2_PLACEMENT_3D ( 'NONE', #11976, #11986, #11987 ) ; +#10319 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12797 ), #12798 ) ; +#10320 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12797 ) ) ; +#10321 = AXIS2_PLACEMENT_3D ( 'NONE', #11957, #11997, #11998 ) ; +#10322 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12803 ), #12804 ) ; +#10323 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12803 ) ) ; +#10324 = AXIS2_PLACEMENT_3D ( 'NONE', #11988, #12008, #12009 ) ; +#10325 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12809 ), #12810 ) ; +#10326 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12809 ) ) ; +#10327 = AXIS2_PLACEMENT_3D ( 'NONE', #11999, #12019, #12020 ) ; +#10328 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12815 ), #12816 ) ; +#10329 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12815 ) ) ; +#10330 = AXIS2_PLACEMENT_3D ( 'NONE', #12010, #12030, #12031 ) ; +#10331 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12821 ), #12822 ) ; +#10332 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12821 ) ) ; +#10333 = AXIS2_PLACEMENT_3D ( 'NONE', #12021, #12041, #12042 ) ; +#10334 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12827 ), #12828 ) ; +#10335 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12827 ) ) ; +#10336 = AXIS2_PLACEMENT_3D ( 'NONE', #12032, #12052, #12053 ) ; +#10337 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12833 ), #12834 ) ; +#10338 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12833 ) ) ; +#10339 = AXIS2_PLACEMENT_3D ( 'NONE', #12043, #12063, #12064 ) ; +#10340 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12839 ), #12840 ) ; +#10341 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12839 ) ) ; +#10342 = AXIS2_PLACEMENT_3D ( 'NONE', #12054, #12074, #12075 ) ; +#10343 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12845 ), #12846 ) ; +#10344 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12845 ) ) ; +#10345 = AXIS2_PLACEMENT_3D ( 'NONE', #12065, #12085, #12086 ) ; +#10346 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12851 ), #12852 ) ; +#10347 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12851 ) ) ; +#10348 = AXIS2_PLACEMENT_3D ( 'NONE', #12076, #12096, #12097 ) ; +#10349 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12857 ), #12858 ) ; +#10350 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12857 ) ) ; +#10351 = AXIS2_PLACEMENT_3D ( 'NONE', #12087, #12107, #12108 ) ; +#10352 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12863 ), #12864 ) ; +#10353 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12863 ) ) ; +#10354 = AXIS2_PLACEMENT_3D ( 'NONE', #12098, #8, #9 ) ; +#10355 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12877 ) ) ; +#10356 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12870 ), #12871 ) ; +#10357 = AXIS2_PLACEMENT_3D ( 'NONE', #17, #7, #18 ) ; +#10358 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12884 ) ) ; +#10359 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12877 ), #12878 ) ; +#10360 = AXIS2_PLACEMENT_3D ( 'NONE', #28, #20, #19 ) ; +#10361 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12891 ) ) ; +#10362 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12884 ), #12885 ) ; +#10363 = AXIS2_PLACEMENT_3D ( 'NONE', #38, #30, #29 ) ; +#10364 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12898 ) ) ; +#10365 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12891 ), #12892 ) ; +#10366 = AXIS2_PLACEMENT_3D ( 'NONE', #48, #40, #39 ) ; +#10367 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12905 ) ) ; +#10368 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12898 ), #12899 ) ; +#10369 = AXIS2_PLACEMENT_3D ( 'NONE', #58, #50, #49 ) ; +#10370 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12912 ) ) ; +#10371 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12905 ), #12906 ) ; +#10372 = AXIS2_PLACEMENT_3D ( 'NONE', #68, #60, #59 ) ; +#10373 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12919 ) ) ; +#10374 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12912 ), #12913 ) ; +#10375 = AXIS2_PLACEMENT_3D ( 'NONE', #78, #70, #69 ) ; +#10376 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12926 ) ) ; +#10377 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12919 ), #12920 ) ; +#10378 = AXIS2_PLACEMENT_3D ( 'NONE', #88, #80, #79 ) ; +#10379 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12933 ) ) ; +#10380 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12926 ), #12927 ) ; +#10381 = AXIS2_PLACEMENT_3D ( 'NONE', #98, #90, #89 ) ; +#10382 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12940 ) ) ; +#10383 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12933 ), #12934 ) ; +#10384 = AXIS2_PLACEMENT_3D ( 'NONE', #108, #100, #99 ) ; +#10385 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12947 ) ) ; +#10386 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12940 ), #12941 ) ; +#10387 = AXIS2_PLACEMENT_3D ( 'NONE', #118, #110, #109 ) ; +#10388 = AXIS2_PLACEMENT_3D ( 'NONE', #317, #320, #321 ) ; +#10389 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12947 ), #12948 ) ; +#10390 = AXIS2_PLACEMENT_3D ( 'NONE', #128, #120, #119 ) ; +#10391 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12954 ), #12955 ) ; +#10392 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12954 ) ) ; +#10393 = AXIS2_PLACEMENT_3D ( 'NONE', #129, #140, #141 ) ; +#10394 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12960 ), #12961 ) ; +#10395 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12960 ) ) ; +#10396 = AXIS2_PLACEMENT_3D ( 'NONE', #139, #151, #152 ) ; +#10397 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12966 ), #12967 ) ; +#10398 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12966 ) ) ; +#10399 = AXIS2_PLACEMENT_3D ( 'NONE', #138, #162, #163 ) ; +#10400 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12992 ) ) ; +#10401 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12973 ), #12974 ) ; +#10402 = AXIS2_PLACEMENT_3D ( 'NONE', #172, #161, #153 ) ; +#10403 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12979 ), #12980 ) ; +#10404 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12979 ) ) ; +#10405 = AXIS2_PLACEMENT_3D ( 'NONE', #173, #183, #184 ) ; +#10406 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12985 ), #12986 ) ; +#10407 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12985 ) ) ; +#10408 = AXIS2_PLACEMENT_3D ( 'NONE', #164, #194, #195 ) ; +#10409 = AXIS2_PLACEMENT_3D ( 'NONE', #322, #326, #327 ) ; +#10410 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12992 ), #12993 ) ; +#10411 = AXIS2_PLACEMENT_3D ( 'NONE', #204, #193, #185 ) ; +#10412 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #12998 ), #12999 ) ; +#10413 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #12998 ) ) ; +#10414 = AXIS2_PLACEMENT_3D ( 'NONE', #205, #215, #216 ) ; +#10415 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #13004 ), #13005 ) ; +#10416 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #13004 ) ) ; +#10417 = AXIS2_PLACEMENT_3D ( 'NONE', #196, #226, #227 ) ; +#10418 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #13010 ), #660 ) ; +#10419 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #13010 ) ) ; +#10420 = AXIS2_PLACEMENT_3D ( 'NONE', #217, #237, #238 ) ; +#10421 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #665 ), #666 ) ; +#10422 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #665 ) ) ; +#10423 = AXIS2_PLACEMENT_3D ( 'NONE', #228, #248, #249 ) ; +#10424 = AXIS2_PLACEMENT_3D ( 'NONE', #260, #267, #268 ) ; +#10425 = AXIS2_PLACEMENT_3D ( 'NONE', #269, #270, #271 ) ; +#10426 = AXIS2_PLACEMENT_3D ( 'NONE', #239, #258, #259 ) ; +#10427 = AXIS2_PLACEMENT_3D ( 'NONE', #328, #337, #338 ) ; +#10428 = AXIS2_PLACEMENT_3D ( 'NONE', #331, #334, #335 ) ; +#10429 = AXIS2_PLACEMENT_3D ( 'NONE', #368, #369, #370 ) ; +#10430 = AXIS2_PLACEMENT_3D ( 'NONE', #333, #339, #340 ) ; +#10431 = AXIS2_PLACEMENT_3D ( 'NONE', #391, #392, #393 ) ; +#10432 = AXIS2_PLACEMENT_3D ( 'NONE', #336, #343, #344 ) ; +#10433 = AXIS2_PLACEMENT_3D ( 'NONE', #380, #383, #384 ) ; +#10434 = AXIS2_PLACEMENT_3D ( 'NONE', #378, #386, #387 ) ; +#10435 = AXIS2_PLACEMENT_3D ( 'NONE', #382, #389, #390 ) ; +#10436 = AXIS2_PLACEMENT_3D ( 'NONE', #394, #398, #399 ) ; +#10437 = AXIS2_PLACEMENT_3D ( 'NONE', #403, #406, #407 ) ; +#10438 = AXIS2_PLACEMENT_3D ( 'NONE', #447, #456, #457 ) ; +#10439 = AXIS2_PLACEMENT_3D ( 'NONE', #405, #422, #423 ) ; +#10440 = AXIS2_PLACEMENT_3D ( 'NONE', #408, #415, #416 ) ; +#10441 = AXIS2_PLACEMENT_3D ( 'NONE', #438, #440, #441 ) ; +#10442 = AXIS2_PLACEMENT_3D ( 'NONE', #414, #427, #428 ) ; +#10443 = AXIS2_PLACEMENT_3D ( 'NONE', #421, #431, #432 ) ; +#10444 = AXIS2_PLACEMENT_3D ( 'NONE', #433, #436, #437 ) ; +#10445 = AXIS2_PLACEMENT_3D ( 'NONE', #439, #448, #449 ) ; +#10446 = AXIS2_PLACEMENT_3D ( 'NONE', #435, #445, #446 ) ; +#10447 = AXIS2_PLACEMENT_3D ( 'NONE', #536, #549, #550 ) ; +#10448 = AXIS2_PLACEMENT_3D ( 'NONE', #450, #453, #454 ) ; +#10449 = AXIS2_PLACEMENT_3D ( 'NONE', #452, #463, #464 ) ; +#10450 = AXIS2_PLACEMENT_3D ( 'NONE', #462, #475, #476 ) ; +#10451 = AXIS2_PLACEMENT_3D ( 'NONE', #455, #468, #469 ) ; +#10452 = AXIS2_PLACEMENT_3D ( 'NONE', #467, #478, #479 ) ; +#10453 = AXIS2_PLACEMENT_3D ( 'NONE', #474, #481, #482 ) ; +#10454 = AXIS2_PLACEMENT_3D ( 'NONE', #477, #484, #485 ) ; +#10455 = AXIS2_PLACEMENT_3D ( 'NONE', #521, #523, #524 ) ; +#10456 = AXIS2_PLACEMENT_3D ( 'NONE', #480, #493, #494 ) ; +#10457 = AXIS2_PLACEMENT_3D ( 'NONE', #483, #497, #498 ) ; +#10458 = AXIS2_PLACEMENT_3D ( 'NONE', #588, #590, #591 ) ; +#10459 = AXIS2_PLACEMENT_3D ( 'NONE', #518, #528, #529 ) ; +#10460 = AXIS2_PLACEMENT_3D ( 'NONE', #522, #537, #538 ) ; +#10461 = AXIS2_PLACEMENT_3D ( 'NONE', #527, #542, #543 ) ; +#10462 = AXIS2_PLACEMENT_3D ( 'NONE', #578, #586, #587 ) ; +#10463 = AXIS2_PLACEMENT_3D ( 'NONE', #541, #554, #555 ) ; +#10464 = AXIS2_PLACEMENT_3D ( 'NONE', #548, #559, #560 ) ; +#10465 = AXIS2_PLACEMENT_3D ( 'NONE', #553, #564, #565 ) ; +#10466 = AXIS2_PLACEMENT_3D ( 'NONE', #558, #569, #570 ) ; +#10467 = AXIS2_PLACEMENT_3D ( 'NONE', #563, #574, #575 ) ; +#10468 = AXIS2_PLACEMENT_3D ( 'NONE', #568, #579, #580 ) ; +#10469 = AXIS2_PLACEMENT_3D ( 'NONE', #573, #583, #584 ) ; +#10470 = AXIS2_PLACEMENT_3D ( 'NONE', #617, #625, #626 ) ; +#10471 = AXIS2_PLACEMENT_3D ( 'NONE', #585, #597, #598 ) ; +#10472 = AXIS2_PLACEMENT_3D ( 'NONE', #589, #601, #602 ) ; +#10473 = AXIS2_PLACEMENT_3D ( 'NONE', #620, #623, #624 ) ; +#10474 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #948 ) ) ; +#10475 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #948 ), #949 ) ; +#10476 = AXIS2_PLACEMENT_3D ( 'NONE', #652, #653, #654 ) ; +#10477 = PRODUCT_DEFINITION ( 'δ֪', '', #10478, #12448 ) ; +#10478 = PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE ( 'ÈκÎ', '', #13034, .NOT_KNOWN. ) ; +#10479 = PRODUCT_RELATED_PRODUCT_CATEGORY ( 'part', '', ( #13034 ) ) ; +#10480 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7357 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9438, #9439, #9440 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10481 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10482 = SURFACE_STYLE_FILL_AREA ( #9457 ) ; +#10483 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10484 = PRESENTATION_STYLE_ASSIGNMENT (( #9448 ) ) ; +#10485 = STYLED_ITEM ( 'NONE', ( #10484 ), #4796 ) ; +#10486 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7358 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9449, #9450, #9451 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10487 = FACE_OUTER_BOUND ( 'NONE', #1443, .T. ) ; +#10488 = FACE_OUTER_BOUND ( 'NONE', #1471, .T. ) ; +#10489 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10490 = PRESENTATION_STYLE_ASSIGNMENT (( #9459 ) ) ; +#10491 = STYLED_ITEM ( 'NONE', ( #10490 ), #4797 ) ; +#10492 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7359 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9460, #9461, #9462 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10493 = FACE_BOUND ( 'NONE', #1473, .T. ) ; +#10494 = SURFACE_STYLE_FILL_AREA ( #9479 ) ; +#10495 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10496 = PRESENTATION_STYLE_ASSIGNMENT (( #9469 ) ) ; +#10497 = STYLED_ITEM ( 'NONE', ( #10496 ), #4798 ) ; +#10498 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7360 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9470, #9471, #9472 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10499 = CYLINDRICAL_SURFACE ( 'NONE', #9967, 1.000000000000000000 ) ; +#10500 = FACE_OUTER_BOUND ( 'NONE', #1468, .T. ) ; +#10501 = CYLINDRICAL_SURFACE ( 'NONE', #9964, 1.000000000000000000 ) ; +#10502 = SURFACE_STYLE_FILL_AREA ( #9490 ) ; +#10503 = PRESENTATION_STYLE_ASSIGNMENT (( #9481 ) ) ; +#10504 = STYLED_ITEM ( 'NONE', ( #10503 ), #4799 ) ; +#10505 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7361 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9482, #9483, #9484 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10506 = FACE_OUTER_BOUND ( 'NONE', #1467, .T. ) ; +#10507 = SURFACE_STYLE_FILL_AREA ( #9500 ) ; +#10508 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10509 = PRESENTATION_STYLE_ASSIGNMENT (( #9492 ) ) ; +#10510 = STYLED_ITEM ( 'NONE', ( #10509 ), #4800 ) ; +#10511 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7362 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9493, #9494, #9495 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10512 = FACE_OUTER_BOUND ( 'NONE', #1465, .T. ) ; +#10513 = CYLINDRICAL_SURFACE ( 'NONE', #9970, 0.8000000000000003800 ) ; +#10514 = SURFACE_STYLE_FILL_AREA ( #9510 ) ; +#10515 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10516 = PRESENTATION_STYLE_ASSIGNMENT (( #9502 ) ) ; +#10517 = STYLED_ITEM ( 'NONE', ( #10516 ), #4801 ) ; +#10518 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7363 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9503, #9504, #9505 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10519 = FACE_OUTER_BOUND ( 'NONE', #1505, .T. ) ; +#10520 = CYLINDRICAL_SURFACE ( 'NONE', #9973, 0.8000000000000003800 ) ; +#10521 = SURFACE_STYLE_FILL_AREA ( #10735 ) ; +#10522 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10523 = PRESENTATION_STYLE_ASSIGNMENT (( #9512 ) ) ; +#10524 = STYLED_ITEM ( 'NONE', ( #10523 ), #4802 ) ; +#10525 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7364 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #9513, #9514, #9515 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10526 = FACE_BOUND ( 'NONE', #1504, .T. ) ; +#10527 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10528 = SURFACE_STYLE_FILL_AREA ( #10745 ) ; +#10529 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10530 = PRESENTATION_STYLE_ASSIGNMENT (( #10737 ) ) ; +#10531 = STYLED_ITEM ( 'NONE', ( #10530 ), #4803 ) ; +#10532 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7365 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10738, #10739, #10740 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10533 = CYLINDRICAL_SURFACE ( 'NONE', #9988, 0.8000000000000003800 ) ; +#10534 = FACE_OUTER_BOUND ( 'NONE', #1503, .T. ) ; +#10535 = FACE_OUTER_BOUND ( 'NONE', #1466, .T. ) ; +#10536 = CYLINDRICAL_SURFACE ( 'NONE', #9985, 1.000000000000000000 ) ; +#10537 = SURFACE_STYLE_FILL_AREA ( #10756 ) ; +#10538 = PRESENTATION_STYLE_ASSIGNMENT (( #10747 ) ) ; +#10539 = STYLED_ITEM ( 'NONE', ( #10538 ), #4804 ) ; +#10540 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7366 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10748, #10749, #10750 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10541 = FACE_OUTER_BOUND ( 'NONE', #1447, .T. ) ; +#10542 = SURFACE_STYLE_FILL_AREA ( #10767 ) ; +#10543 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10544 = PRESENTATION_STYLE_ASSIGNMENT (( #10758 ) ) ; +#10545 = STYLED_ITEM ( 'NONE', ( #10544 ), #4805 ) ; +#10546 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7367 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10759, #10760, #10761 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10547 = FACE_OUTER_BOUND ( 'NONE', #1472, .T. ) ; +#10548 = SURFACE_STYLE_FILL_AREA ( #10778 ) ; +#10549 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10550 = PRESENTATION_STYLE_ASSIGNMENT (( #10769 ) ) ; +#10551 = STYLED_ITEM ( 'NONE', ( #10550 ), #4806 ) ; +#10552 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #7368 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10770, #10771, #10772 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10553 = FACE_OUTER_BOUND ( 'NONE', #1506, .T. ) ; +#10554 = SURFACE_STYLE_FILL_AREA ( #10788 ) ; +#10555 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10556 = PRESENTATION_STYLE_ASSIGNMENT (( #10780 ) ) ; +#10557 = STYLED_ITEM ( 'NONE', ( #10556 ), #4807 ) ; +#10558 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12114 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10781, #10782, #10783 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10559 = FACE_BOUND ( 'NONE', #1513, .T. ) ; +#10560 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10561 = SURFACE_STYLE_FILL_AREA ( #10798 ) ; +#10562 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10563 = PRESENTATION_STYLE_ASSIGNMENT (( #10790 ) ) ; +#10564 = STYLED_ITEM ( 'NONE', ( #10563 ), #4808 ) ; +#10565 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12115 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10791, #10792, #10793 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10566 = SURFACE_STYLE_FILL_AREA ( #10831 ) ; +#10567 = FACE_OUTER_BOUND ( 'NONE', #1481, .T. ) ; +#10568 = FACE_OUTER_BOUND ( 'NONE', #1512, .T. ) ; +#10569 = FACE_BOUND ( 'NONE', #1482, .T. ) ; +#10570 = SURFACE_STYLE_FILL_AREA ( #10809 ) ; +#10571 = PRESENTATION_STYLE_ASSIGNMENT (( #10800 ) ) ; +#10572 = STYLED_ITEM ( 'NONE', ( #10571 ), #4809 ) ; +#10573 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12116 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10801, #10802, #10803 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10574 = FACE_OUTER_BOUND ( 'NONE', #1480, .T. ) ; +#10575 = SURFACE_STYLE_FILL_AREA ( #10820 ) ; +#10576 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10577 = PRESENTATION_STYLE_ASSIGNMENT (( #10811 ) ) ; +#10578 = STYLED_ITEM ( 'NONE', ( #10577 ), #4810 ) ; +#10579 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12117 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10812, #10813, #10814 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10580 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10581 = CYLINDRICAL_SURFACE ( 'NONE', #10057, 0.8000000000000003800 ) ; +#10582 = FACE_BOUND ( 'NONE', #1509, .T. ) ; +#10583 = FACE_OUTER_BOUND ( 'NONE', #1514, .T. ) ; +#10584 = CYLINDRICAL_SURFACE ( 'NONE', #10000, 1.000000000000000000 ) ; +#10585 = PRESENTATION_STYLE_ASSIGNMENT (( #10822 ) ) ; +#10586 = STYLED_ITEM ( 'NONE', ( #10585 ), #4811 ) ; +#10587 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12118 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10823, #10824, #10825 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10588 = FACE_OUTER_BOUND ( 'NONE', #13022, .T. ) ; +#10589 = SURFACE_STYLE_FILL_AREA ( #10841 ) ; +#10590 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10591 = PRESENTATION_STYLE_ASSIGNMENT (( #10833 ) ) ; +#10592 = STYLED_ITEM ( 'NONE', ( #10591 ), #4812 ) ; +#10593 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12119 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10834, #10835, #10836 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10594 = FACE_OUTER_BOUND ( 'NONE', #13028, .T. ) ; +#10595 = CYLINDRICAL_SURFACE ( 'NONE', #10060, 0.8000000000000003800 ) ; +#10596 = SURFACE_STYLE_FILL_AREA ( #10852 ) ; +#10597 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10598 = PRESENTATION_STYLE_ASSIGNMENT (( #10843 ) ) ; +#10599 = STYLED_ITEM ( 'NONE', ( #10598 ), #4813 ) ; +#10600 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12120 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10844, #10845, #10846 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10601 = FACE_OUTER_BOUND ( 'NONE', #3, .T. ) ; +#10602 = SURFACE_STYLE_FILL_AREA ( #10863 ) ; +#10603 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10604 = PRESENTATION_STYLE_ASSIGNMENT (( #10854 ) ) ; +#10605 = STYLED_ITEM ( 'NONE', ( #10604 ), #4814 ) ; +#10606 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12121 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10855, #10856, #10857 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10607 = FACE_OUTER_BOUND ( 'NONE', #1, .T. ) ; +#10608 = SURFACE_STYLE_FILL_AREA ( #10874 ) ; +#10609 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10610 = PRESENTATION_STYLE_ASSIGNMENT (( #10865 ) ) ; +#10611 = STYLED_ITEM ( 'NONE', ( #10610 ), #4815 ) ; +#10612 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12122 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10866, #10867, #10868 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10613 = FACE_OUTER_BOUND ( 'NONE', #13024, .T. ) ; +#10614 = SURFACE_STYLE_FILL_AREA ( #10885 ) ; +#10615 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10616 = PRESENTATION_STYLE_ASSIGNMENT (( #10876 ) ) ; +#10617 = STYLED_ITEM ( 'NONE', ( #10616 ), #4816 ) ; +#10618 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12123 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10877, #10878, #10879 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10619 = FACE_OUTER_BOUND ( 'NONE', #2, .T. ) ; +#10620 = SURFACE_STYLE_FILL_AREA ( #10896 ) ; +#10621 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10622 = PRESENTATION_STYLE_ASSIGNMENT (( #10887 ) ) ; +#10623 = STYLED_ITEM ( 'NONE', ( #10622 ), #4817 ) ; +#10624 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12124 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10888, #10889, #10890 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10625 = FACE_OUTER_BOUND ( 'NONE', #13030, .T. ) ; +#10626 = SURFACE_STYLE_FILL_AREA ( #10907 ) ; +#10627 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10628 = PRESENTATION_STYLE_ASSIGNMENT (( #10898 ) ) ; +#10629 = STYLED_ITEM ( 'NONE', ( #10628 ), #4818 ) ; +#10630 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12125 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10899, #10900, #10901 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10631 = FACE_OUTER_BOUND ( 'NONE', #13018, .T. ) ; +#10632 = SURFACE_STYLE_FILL_AREA ( #10918 ) ; +#10633 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10634 = PRESENTATION_STYLE_ASSIGNMENT (( #10909 ) ) ; +#10635 = STYLED_ITEM ( 'NONE', ( #10634 ), #4819 ) ; +#10636 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12126 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10910, #10911, #10912 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10637 = FACE_OUTER_BOUND ( 'NONE', #4955, .T. ) ; +#10638 = SURFACE_STYLE_FILL_AREA ( #10929 ) ; +#10639 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10640 = PRESENTATION_STYLE_ASSIGNMENT (( #10920 ) ) ; +#10641 = STYLED_ITEM ( 'NONE', ( #10640 ), #4820 ) ; +#10642 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12127 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10921, #10922, #10923 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10643 = FACE_OUTER_BOUND ( 'NONE', #13033, .T. ) ; +#10644 = SURFACE_STYLE_FILL_AREA ( #10940 ) ; +#10645 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10646 = PRESENTATION_STYLE_ASSIGNMENT (( #10931 ) ) ; +#10647 = STYLED_ITEM ( 'NONE', ( #10646 ), #4821 ) ; +#10648 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12128 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10932, #10933, #10934 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10649 = FACE_OUTER_BOUND ( 'NONE', #4958, .T. ) ; +#10650 = SURFACE_STYLE_FILL_AREA ( #10951 ) ; +#10651 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10652 = PRESENTATION_STYLE_ASSIGNMENT (( #10942 ) ) ; +#10653 = STYLED_ITEM ( 'NONE', ( #10652 ), #4822 ) ; +#10654 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12129 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10943, #10944, #10945 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10655 = FACE_OUTER_BOUND ( 'NONE', #4959, .T. ) ; +#10656 = SURFACE_STYLE_FILL_AREA ( #10962 ) ; +#10657 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10658 = PRESENTATION_STYLE_ASSIGNMENT (( #10953 ) ) ; +#10659 = STYLED_ITEM ( 'NONE', ( #10658 ), #4823 ) ; +#10660 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12130 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10954, #10955, #10956 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10661 = FACE_OUTER_BOUND ( 'NONE', #4059, .T. ) ; +#10662 = SURFACE_STYLE_FILL_AREA ( #10973 ) ; +#10663 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10664 = PRESENTATION_STYLE_ASSIGNMENT (( #10964 ) ) ; +#10665 = STYLED_ITEM ( 'NONE', ( #10664 ), #4824 ) ; +#10666 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12131 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10965, #10966, #10967 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10667 = FACE_OUTER_BOUND ( 'NONE', #4957, .T. ) ; +#10668 = SURFACE_STYLE_FILL_AREA ( #10984 ) ; +#10669 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10670 = PRESENTATION_STYLE_ASSIGNMENT (( #10975 ) ) ; +#10671 = STYLED_ITEM ( 'NONE', ( #10670 ), #4825 ) ; +#10672 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12132 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10976, #10977, #10978 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10673 = FACE_OUTER_BOUND ( 'NONE', #4956, .T. ) ; +#10674 = SURFACE_STYLE_FILL_AREA ( #10995 ) ; +#10675 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10676 = PRESENTATION_STYLE_ASSIGNMENT (( #10986 ) ) ; +#10677 = STYLED_ITEM ( 'NONE', ( #10676 ), #4826 ) ; +#10678 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12133 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10987, #10988, #10989 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10679 = FACE_OUTER_BOUND ( 'NONE', #5605, .T. ) ; +#10680 = SURFACE_STYLE_FILL_AREA ( #11006 ) ; +#10681 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10682 = PRESENTATION_STYLE_ASSIGNMENT (( #10997 ) ) ; +#10683 = STYLED_ITEM ( 'NONE', ( #10682 ), #4827 ) ; +#10684 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12134 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #10998, #10999, #11000 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10685 = FACE_OUTER_BOUND ( 'NONE', #4963, .T. ) ; +#10686 = SURFACE_STYLE_FILL_AREA ( #11017 ) ; +#10687 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10688 = PRESENTATION_STYLE_ASSIGNMENT (( #11008 ) ) ; +#10689 = STYLED_ITEM ( 'NONE', ( #10688 ), #4828 ) ; +#10690 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12135 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11009, #11010, #11011 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10691 = FACE_OUTER_BOUND ( 'NONE', #4962, .T. ) ; +#10692 = SURFACE_STYLE_FILL_AREA ( #11028 ) ; +#10693 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#10694 = PRESENTATION_STYLE_ASSIGNMENT (( #11019 ) ) ; +#10695 = STYLED_ITEM ( 'NONE', ( #10694 ), #4829 ) ; +#10696 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12136 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11020, #11021, #11022 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10697 = FACE_OUTER_BOUND ( 'NONE', #4966, .T. ) ; +#10698 = SURFACE_STYLE_FILL_AREA ( #11039 ) ; +#10699 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#10700 = PRESENTATION_STYLE_ASSIGNMENT (( #11030 ) ) ; +#10701 = STYLED_ITEM ( 'NONE', ( #10700 ), #4830 ) ; +#10702 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12137 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11031, #11032, #11033 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10703 = FACE_OUTER_BOUND ( 'NONE', #4964, .T. ) ; +#10704 = SURFACE_STYLE_FILL_AREA ( #11049 ) ; +#10705 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#10706 = PRESENTATION_STYLE_ASSIGNMENT (( #11041 ) ) ; +#10707 = STYLED_ITEM ( 'NONE', ( #10706 ), #4831 ) ; +#10708 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12138 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11042, #11043, #11044 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10709 = FACE_OUTER_BOUND ( 'NONE', #4968, .T. ) ; +#10710 = CYLINDRICAL_SURFACE ( 'NONE', #10069, 0.8000000000000003800 ) ; +#10711 = SURFACE_STYLE_FILL_AREA ( #11059 ) ; +#10712 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#10713 = PRESENTATION_STYLE_ASSIGNMENT (( #11051 ) ) ; +#10714 = STYLED_ITEM ( 'NONE', ( #10713 ), #4832 ) ; +#10715 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12139 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11052, #11053, #11054 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10716 = FACE_OUTER_BOUND ( 'NONE', #4969, .T. ) ; +#10717 = CYLINDRICAL_SURFACE ( 'NONE', #10066, 0.8000000000000003800 ) ; +#10718 = SURFACE_STYLE_FILL_AREA ( #11070 ) ; +#10719 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#10720 = PRESENTATION_STYLE_ASSIGNMENT (( #11061 ) ) ; +#10721 = STYLED_ITEM ( 'NONE', ( #10720 ), #4833 ) ; +#10722 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12140 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11062, #11063, #11064 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10723 = FACE_OUTER_BOUND ( 'NONE', #4965, .T. ) ; +#10724 = SURFACE_STYLE_FILL_AREA ( #11080 ) ; +#10725 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#10726 = PRESENTATION_STYLE_ASSIGNMENT (( #11072 ) ) ; +#10727 = STYLED_ITEM ( 'NONE', ( #10726 ), #4834 ) ; +#10728 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12141 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11073, #11074, #11075 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#10729 = FACE_OUTER_BOUND ( 'NONE', #4971, .T. ) ; +#10730 = SURFACE_STYLE_FILL_AREA ( #11090 ) ; +#10731 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#10732 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#10733 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#10734 = FILL_AREA_STYLE_COLOUR ( '', #10529 ) ; +#10735 = FILL_AREA_STYLE ('',( #10734 ) ) ; +#10736 = SURFACE_SIDE_STYLE ('',( #10521 ) ) ; +#10737 = SURFACE_STYLE_USAGE ( .BOTH. , #10736 ) ; +#10738 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10739 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10740 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10741 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999999700, 1.100000000000000100, -3.650000000000000400 ) ) ; +#10742 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 3.210000000000000000, -3.650000000000000400 ) ) ; +#10743 = CARTESIAN_POINT ( 'NONE', ( -3.369999999999994300, 0.2999999999999999900, -3.650000000000000400 ) ) ; +#10744 = PLANE ( 'NONE', #9976 ) ; +#10745 = FILL_AREA_STYLE ('',( #9488 ) ) ; +#10746 = SURFACE_SIDE_STYLE ('',( #10528 ) ) ; +#10747 = SURFACE_STYLE_USAGE ( .BOTH. , #10746 ) ; +#10748 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10749 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10750 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10751 = PLANE ( 'NONE', #9979 ) ; +#10752 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#10753 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#10754 = CARTESIAN_POINT ( 'NONE', ( 1.970000000000000000, 1.200000000000001100, -3.549999999999999400 ) ) ; +#10755 = FILL_AREA_STYLE_COLOUR ( '', #10543 ) ; +#10756 = FILL_AREA_STYLE ('',( #10755 ) ) ; +#10757 = SURFACE_SIDE_STYLE ('',( #10537 ) ) ; +#10758 = SURFACE_STYLE_USAGE ( .BOTH. , #10757 ) ; +#10759 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10760 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10761 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10762 = PLANE ( 'NONE', #9982 ) ; +#10763 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#10764 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#10765 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#10766 = FILL_AREA_STYLE_COLOUR ( '', #10549 ) ; +#10767 = FILL_AREA_STYLE ('',( #10766 ) ) ; +#10768 = SURFACE_SIDE_STYLE ('',( #10542 ) ) ; +#10769 = SURFACE_STYLE_USAGE ( .BOTH. , #10768 ) ; +#10770 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10771 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10772 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10773 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#10774 = DIRECTION ( 'NONE', ( 1.981866134157704800E-032, 1.000000000000000000, 1.668003342285392500E-016 ) ) ; +#10775 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.668003342285392500E-016, 1.000000000000000000 ) ) ; +#10776 = FILL_AREA_STYLE_COLOUR ( '', #10560 ) ; +#10777 = FILL_AREA_STYLE_COLOUR ( '', #10555 ) ; +#10778 = FILL_AREA_STYLE ('',( #10777 ) ) ; +#10779 = SURFACE_SIDE_STYLE ('',( #10548 ) ) ; +#10780 = SURFACE_STYLE_USAGE ( .BOTH. , #10779 ) ; +#10781 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10782 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10783 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10784 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999999300, 1.000000000000000000, -3.650000000000000400 ) ) ; +#10785 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#10786 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#10787 = FILL_AREA_STYLE_COLOUR ( '', #10562 ) ; +#10788 = FILL_AREA_STYLE ('',( #10787 ) ) ; +#10789 = SURFACE_SIDE_STYLE ('',( #10554 ) ) ; +#10790 = SURFACE_STYLE_USAGE ( .BOTH. , #10789 ) ; +#10791 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10792 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10793 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10794 = CARTESIAN_POINT ( 'NONE', ( 3.369999999999999700, 1.100000000000000300, -3.650000000000000400 ) ) ; +#10795 = CARTESIAN_POINT ( 'NONE', ( 4.469999999999998900, 3.210000000000000000, -3.650000000000000400 ) ) ; +#10796 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 3.210000000000000000, 3.649999999999999500 ) ) ; +#10797 = PLANE ( 'NONE', #9991 ) ; +#10798 = FILL_AREA_STYLE ('',( #10776 ) ) ; +#10799 = SURFACE_SIDE_STYLE ('',( #10561 ) ) ; +#10800 = SURFACE_STYLE_USAGE ( .BOTH. , #10799 ) ; +#10801 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10802 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10803 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10804 = PLANE ( 'NONE', #9994 ) ; +#10805 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#10806 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#10807 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#10808 = FILL_AREA_STYLE_COLOUR ( '', #10576 ) ; +#10809 = FILL_AREA_STYLE ('',( #10808 ) ) ; +#10810 = SURFACE_SIDE_STYLE ('',( #10570 ) ) ; +#10811 = SURFACE_STYLE_USAGE ( .BOTH. , #10810 ) ; +#10812 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10813 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10814 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10815 = FILL_AREA_STYLE_COLOUR ( '', #10580 ) ; +#10816 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 1.188166764367676300E-016 ) ) ; +#10817 = DIRECTION ( 'NONE', ( 1.188166764367676300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#10818 = PLANE ( 'NONE', #9997 ) ; +#10819 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 3.210000000000000000, 3.649999999999999500 ) ) ; +#10820 = FILL_AREA_STYLE ('',( #10815 ) ) ; +#10821 = SURFACE_SIDE_STYLE ('',( #10575 ) ) ; +#10822 = SURFACE_STYLE_USAGE ( .BOTH. , #10821 ) ; +#10823 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10824 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10825 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10826 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#10827 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#10828 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#10829 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.0000000000000000000, -1.350000000000001200 ) ) ; +#10830 = FILL_AREA_STYLE_COLOUR ( '', #10590 ) ; +#10831 = FILL_AREA_STYLE ('',( #10830 ) ) ; +#10832 = SURFACE_SIDE_STYLE ('',( #10566 ) ) ; +#10833 = SURFACE_STYLE_USAGE ( .BOTH. , #10832 ) ; +#10834 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10835 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10836 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10837 = CARTESIAN_POINT ( 'NONE', ( 3.469999999999999300, 1.000000000000000000, -3.650000000000000400 ) ) ; +#10838 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999600, 3.210000000000000000, -1.350000000000001000 ) ) ; +#10839 = PLANE ( 'NONE', #10003 ) ; +#10840 = FILL_AREA_STYLE_COLOUR ( '', #10597 ) ; +#10841 = FILL_AREA_STYLE ('',( #10840 ) ) ; +#10842 = SURFACE_SIDE_STYLE ('',( #10589 ) ) ; +#10843 = SURFACE_STYLE_USAGE ( .BOTH. , #10842 ) ; +#10844 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10845 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10846 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10847 = PLANE ( 'NONE', #10006 ) ; +#10848 = DIRECTION ( 'NONE', ( 0.7071067811865532300, 0.7071067811865418000, -1.680321552529738800E-016 ) ) ; +#10849 = DIRECTION ( 'NONE', ( -0.7071067811865418000, 0.7071067811865532300, 0.0000000000000000000 ) ) ; +#10850 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.2000000000000000900, -1.350000000000001000 ) ) ; +#10851 = FILL_AREA_STYLE_COLOUR ( '', #10603 ) ; +#10852 = FILL_AREA_STYLE ('',( #10851 ) ) ; +#10853 = SURFACE_SIDE_STYLE ('',( #10596 ) ) ; +#10854 = SURFACE_STYLE_USAGE ( .BOTH. , #10853 ) ; +#10855 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10856 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10857 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10858 = PLANE ( 'NONE', #10009 ) ; +#10859 = DIRECTION ( 'NONE', ( 0.7071067811865391400, 0.7071067811865559000, -1.680321552529705300E-016 ) ) ; +#10860 = DIRECTION ( 'NONE', ( -0.7071067811865559000, 0.7071067811865391400, 0.0000000000000000000 ) ) ; +#10861 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999600, 0.0000000000000000000, -1.350000000000001000 ) ) ; +#10862 = FILL_AREA_STYLE_COLOUR ( '', #10609 ) ; +#10863 = FILL_AREA_STYLE ('',( #10862 ) ) ; +#10864 = SURFACE_SIDE_STYLE ('',( #10602 ) ) ; +#10865 = SURFACE_STYLE_USAGE ( .BOTH. , #10864 ) ; +#10866 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10867 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10868 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10869 = PLANE ( 'NONE', #10012 ) ; +#10870 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#10871 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#10872 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.2000000000000000900, -1.350000000000001200 ) ) ; +#10873 = FILL_AREA_STYLE_COLOUR ( '', #10615 ) ; +#10874 = FILL_AREA_STYLE ('',( #10873 ) ) ; +#10875 = SURFACE_SIDE_STYLE ('',( #10608 ) ) ; +#10876 = SURFACE_STYLE_USAGE ( .BOTH. , #10875 ) ; +#10877 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10878 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10879 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10880 = PLANE ( 'NONE', #10015 ) ; +#10881 = DIRECTION ( 'NONE', ( -0.7071067811865532300, 0.7071067811865418000, 1.680321552529738800E-016 ) ) ; +#10882 = DIRECTION ( 'NONE', ( -0.7071067811865418000, -0.7071067811865532300, 0.0000000000000000000 ) ) ; +#10883 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.2000000000000000900, -1.650000000000001000 ) ) ; +#10884 = FILL_AREA_STYLE_COLOUR ( '', #10621 ) ; +#10885 = FILL_AREA_STYLE ('',( #10884 ) ) ; +#10886 = SURFACE_SIDE_STYLE ('',( #10614 ) ) ; +#10887 = SURFACE_STYLE_USAGE ( .BOTH. , #10886 ) ; +#10888 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10889 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10890 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10891 = PLANE ( 'NONE', #10018 ) ; +#10892 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#10893 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#10894 = CARTESIAN_POINT ( 'NONE', ( 2.299999999999999400, 0.2999999999999999300, -1.350000000000001200 ) ) ; +#10895 = FILL_AREA_STYLE_COLOUR ( '', #10627 ) ; +#10896 = FILL_AREA_STYLE ('',( #10895 ) ) ; +#10897 = SURFACE_SIDE_STYLE ('',( #10620 ) ) ; +#10898 = SURFACE_STYLE_USAGE ( .BOTH. , #10897 ) ; +#10899 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10900 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10901 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10902 = PLANE ( 'NONE', #10021 ) ; +#10903 = DIRECTION ( 'NONE', ( -2.376333528735352700E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#10904 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -2.376333528735352700E-016 ) ) ; +#10905 = CARTESIAN_POINT ( 'NONE', ( 2.099999999999994800, 0.5000000000000000000, -1.350000000000001000 ) ) ; +#10906 = FILL_AREA_STYLE_COLOUR ( '', #10633 ) ; +#10907 = FILL_AREA_STYLE ('',( #10906 ) ) ; +#10908 = SURFACE_SIDE_STYLE ('',( #10626 ) ) ; +#10909 = SURFACE_STYLE_USAGE ( .BOTH. , #10908 ) ; +#10910 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10911 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10912 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10913 = PLANE ( 'NONE', #10024 ) ; +#10914 = DIRECTION ( 'NONE', ( -0.7071067811865391400, -0.7071067811865559000, 1.680321552529705300E-016 ) ) ; +#10915 = DIRECTION ( 'NONE', ( 0.7071067811865559000, -0.7071067811865391400, 0.0000000000000000000 ) ) ; +#10916 = CARTESIAN_POINT ( 'NONE', ( 1.499999999999999300, 0.2999999999999999300, -1.350000000000001000 ) ) ; +#10917 = FILL_AREA_STYLE_COLOUR ( '', #10639 ) ; +#10918 = FILL_AREA_STYLE ('',( #10917 ) ) ; +#10919 = SURFACE_SIDE_STYLE ('',( #10632 ) ) ; +#10920 = SURFACE_STYLE_USAGE ( .BOTH. , #10919 ) ; +#10921 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10922 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10923 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10924 = PLANE ( 'NONE', #10027 ) ; +#10925 = DIRECTION ( 'NONE', ( 1.084202172485507600E-015, -1.000000000000000000, -2.576425974405021800E-031 ) ) ; +#10926 = DIRECTION ( 'NONE', ( 1.000000000000000000, 1.084202172485507600E-015, 0.0000000000000000000 ) ) ; +#10927 = CARTESIAN_POINT ( 'NONE', ( -1.500000000000000000, 0.0000000000000000000, -1.350000000000000500 ) ) ; +#10928 = FILL_AREA_STYLE_COLOUR ( '', #10645 ) ; +#10929 = FILL_AREA_STYLE ('',( #10928 ) ) ; +#10930 = SURFACE_SIDE_STYLE ('',( #10638 ) ) ; +#10931 = SURFACE_STYLE_USAGE ( .BOTH. , #10930 ) ; +#10932 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10933 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10934 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10935 = PLANE ( 'NONE', #10030 ) ; +#10936 = DIRECTION ( 'NONE', ( 0.7071067811865533500, -0.7071067811865418000, -1.680321552529739100E-016 ) ) ; +#10937 = DIRECTION ( 'NONE', ( 0.7071067811865416900, 0.7071067811865532300, 0.0000000000000000000 ) ) ; +#10938 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.2000000000000000900, -1.350000000000000500 ) ) ; +#10939 = FILL_AREA_STYLE_COLOUR ( '', #10651 ) ; +#10940 = FILL_AREA_STYLE ('',( #10939 ) ) ; +#10941 = SURFACE_SIDE_STYLE ('',( #10644 ) ) ; +#10942 = SURFACE_STYLE_USAGE ( .BOTH. , #10941 ) ; +#10943 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10944 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10945 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10946 = PLANE ( 'NONE', #10033 ) ; +#10947 = DIRECTION ( 'NONE', ( -0.7071067811865532300, -0.7071067811865418000, 0.0000000000000000000 ) ) ; +#10948 = DIRECTION ( 'NONE', ( 0.7071067811865418000, -0.7071067811865532300, 0.0000000000000000000 ) ) ; +#10949 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.0000000000000000000, -1.350000000000000500 ) ) ; +#10950 = FILL_AREA_STYLE_COLOUR ( '', #10657 ) ; +#10951 = FILL_AREA_STYLE ('',( #10950 ) ) ; +#10952 = SURFACE_SIDE_STYLE ('',( #10650 ) ) ; +#10953 = SURFACE_STYLE_USAGE ( .BOTH. , #10952 ) ; +#10954 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10955 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10956 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10957 = PLANE ( 'NONE', #10036 ) ; +#10958 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#10959 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#10960 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.2000000000000000900, -1.350000000000000500 ) ) ; +#10961 = FILL_AREA_STYLE_COLOUR ( '', #10663 ) ; +#10962 = FILL_AREA_STYLE ('',( #10961 ) ) ; +#10963 = SURFACE_SIDE_STYLE ('',( #10656 ) ) ; +#10964 = SURFACE_STYLE_USAGE ( .BOTH. , #10963 ) ; +#10965 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10966 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10967 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10968 = PLANE ( 'NONE', #10039 ) ; +#10969 = DIRECTION ( 'NONE', ( 0.7071067811865391400, -0.7071067811865559000, 0.0000000000000000000 ) ) ; +#10970 = DIRECTION ( 'NONE', ( 0.7071067811865559000, 0.7071067811865391400, 0.0000000000000000000 ) ) ; +#10971 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.2000000000000000900, -1.650000000000000400 ) ) ; +#10972 = FILL_AREA_STYLE_COLOUR ( '', #10669 ) ; +#10973 = FILL_AREA_STYLE ('',( #10972 ) ) ; +#10974 = SURFACE_SIDE_STYLE ('',( #10662 ) ) ; +#10975 = SURFACE_STYLE_USAGE ( .BOTH. , #10974 ) ; +#10976 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10977 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10978 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10979 = PLANE ( 'NONE', #10042 ) ; +#10980 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#10981 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 0.0000000000000000000 ) ) ; +#10982 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, 0.2999999999999999300, -1.350000000000000500 ) ) ; +#10983 = FILL_AREA_STYLE_COLOUR ( '', #10675 ) ; +#10984 = FILL_AREA_STYLE ('',( #10983 ) ) ; +#10985 = SURFACE_SIDE_STYLE ('',( #10668 ) ) ; +#10986 = SURFACE_STYLE_USAGE ( .BOTH. , #10985 ) ; +#10987 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10988 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#10989 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#10990 = PLANE ( 'NONE', #10045 ) ; +#10991 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#10992 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 0.0000000000000000000 ) ) ; +#10993 = CARTESIAN_POINT ( 'NONE', ( -2.099999999999995200, 0.5000000000000000000, -1.350000000000000500 ) ) ; +#10994 = FILL_AREA_STYLE_COLOUR ( '', #10681 ) ; +#10995 = FILL_AREA_STYLE ('',( #10994 ) ) ; +#10996 = SURFACE_SIDE_STYLE ('',( #10674 ) ) ; +#10997 = SURFACE_STYLE_USAGE ( .BOTH. , #10996 ) ; +#10998 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#10999 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11000 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11001 = PLANE ( 'NONE', #10048 ) ; +#11002 = DIRECTION ( 'NONE', ( 0.7071067811865533500, 0.7071067811865418000, -0.0000000000000000000 ) ) ; +#11003 = DIRECTION ( 'NONE', ( -0.7071067811865416900, 0.7071067811865532300, 0.0000000000000000000 ) ) ; +#11004 = CARTESIAN_POINT ( 'NONE', ( -2.299999999999999800, 0.2999999999999999300, -1.350000000000000500 ) ) ; +#11005 = FILL_AREA_STYLE_COLOUR ( '', #10687 ) ; +#11006 = FILL_AREA_STYLE ('',( #11005 ) ) ; +#11007 = SURFACE_SIDE_STYLE ('',( #10680 ) ) ; +#11008 = SURFACE_STYLE_USAGE ( .BOTH. , #11007 ) ; +#11009 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11010 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11011 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11012 = PLANE ( 'NONE', #10051 ) ; +#11013 = DIRECTION ( 'NONE', ( 1.084202172485507600E-015, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#11014 = DIRECTION ( 'NONE', ( -1.000000000000000000, 1.084202172485507600E-015, 0.0000000000000000000 ) ) ; +#11015 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 2.900000000000000400, -1.800000000000000500 ) ) ; +#11016 = FILL_AREA_STYLE_COLOUR ( '', #10693 ) ; +#11017 = FILL_AREA_STYLE ('',( #11016 ) ) ; +#11018 = SURFACE_SIDE_STYLE ('',( #10686 ) ) ; +#11019 = SURFACE_STYLE_USAGE ( .BOTH. , #11018 ) ; +#11020 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11021 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11022 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11023 = PLANE ( 'NONE', #10054 ) ; +#11024 = DIRECTION ( 'NONE', ( -0.7071067811865391400, 0.7071067811865559000, 0.0000000000000000000 ) ) ; +#11025 = DIRECTION ( 'NONE', ( -0.7071067811865559000, -0.7071067811865391400, 0.0000000000000000000 ) ) ; +#11026 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11027 = FILL_AREA_STYLE_COLOUR ( '', #10699 ) ; +#11028 = FILL_AREA_STYLE ('',( #11027 ) ) ; +#11029 = SURFACE_SIDE_STYLE ('',( #10692 ) ) ; +#11030 = SURFACE_STYLE_USAGE ( .BOTH. , #11029 ) ; +#11031 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11032 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11033 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11034 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11035 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11036 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11037 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11038 = FILL_AREA_STYLE_COLOUR ( '', #10705 ) ; +#11039 = FILL_AREA_STYLE ('',( #11038 ) ) ; +#11040 = SURFACE_SIDE_STYLE ('',( #10698 ) ) ; +#11041 = SURFACE_STYLE_USAGE ( .BOTH. , #11040 ) ; +#11042 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11043 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11044 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11045 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 1.110000000000000100, -1.800000000000000500 ) ) ; +#11046 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11047 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11048 = FILL_AREA_STYLE_COLOUR ( '', #10712 ) ; +#11049 = FILL_AREA_STYLE ('',( #11048 ) ) ; +#11050 = SURFACE_SIDE_STYLE ('',( #10704 ) ) ; +#11051 = SURFACE_STYLE_USAGE ( .BOTH. , #11050 ) ; +#11052 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11053 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11054 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11055 = CARTESIAN_POINT ( 'NONE', ( 3.360000000000000300, 1.110000000000000300, -1.800000000000000500 ) ) ; +#11056 = CARTESIAN_POINT ( 'NONE', ( 4.160000000000000100, 2.099999999999994800, -1.800000000000000500 ) ) ; +#11057 = PLANE ( 'NONE', #10063 ) ; +#11058 = FILL_AREA_STYLE_COLOUR ( '', #10719 ) ; +#11059 = FILL_AREA_STYLE ('',( #11058 ) ) ; +#11060 = SURFACE_SIDE_STYLE ('',( #10711 ) ) ; +#11061 = SURFACE_STYLE_USAGE ( .BOTH. , #11060 ) ; +#11062 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11063 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11064 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11065 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11066 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11067 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11068 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11069 = FILL_AREA_STYLE_COLOUR ( '', #10725 ) ; +#11070 = FILL_AREA_STYLE ('',( #11069 ) ) ; +#11071 = SURFACE_SIDE_STYLE ('',( #10718 ) ) ; +#11072 = SURFACE_STYLE_USAGE ( .BOTH. , #11071 ) ; +#11073 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11074 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11075 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11076 = CARTESIAN_POINT ( 'NONE', ( 3.360000000000000300, 2.100000000000000100, -1.800000000000000500 ) ) ; +#11077 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11078 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11079 = FILL_AREA_STYLE_COLOUR ( '', #10731 ) ; +#11080 = FILL_AREA_STYLE ('',( #11079 ) ) ; +#11081 = SURFACE_SIDE_STYLE ('',( #10724 ) ) ; +#11082 = SURFACE_STYLE_USAGE ( .BOTH. , #11081 ) ; +#11083 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11084 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11085 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11086 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 2.100000000000000100, -1.800000000000000500 ) ) ; +#11087 = CARTESIAN_POINT ( 'NONE', ( -4.160000000000000100, 2.099999999999994800, -1.800000000000000500 ) ) ; +#11088 = PLANE ( 'NONE', #10072 ) ; +#11089 = FILL_AREA_STYLE_COLOUR ( '', #12209 ) ; +#11090 = FILL_AREA_STYLE ('',( #11089 ) ) ; +#11091 = SURFACE_SIDE_STYLE ('',( #10730 ) ) ; +#11092 = SURFACE_STYLE_USAGE ( .BOTH. , #11091 ) ; +#11093 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11094 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11095 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11096 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#11097 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11098 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11099 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11100 = FILL_AREA_STYLE_COLOUR ( '', #12215 ) ; +#11101 = FILL_AREA_STYLE ('',( #11100 ) ) ; +#11102 = SURFACE_SIDE_STYLE ('',( #12208 ) ) ; +#11103 = SURFACE_STYLE_USAGE ( .BOTH. , #11102 ) ; +#11104 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11105 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11106 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11107 = CARTESIAN_POINT ( 'NONE', ( -2.799999999999998500, 2.800000000000000300, -3.600000000000000500 ) ) ; +#11108 = CARTESIAN_POINT ( 'NONE', ( -2.699999999999999300, 2.006284116830124600, -3.600000000000000500 ) ) ; +#11109 = PLANE ( 'NONE', #10078 ) ; +#11110 = FILL_AREA_STYLE_COLOUR ( '', #12223 ) ; +#11111 = FILL_AREA_STYLE ('',( #11110 ) ) ; +#11112 = SURFACE_SIDE_STYLE ('',( #12214 ) ) ; +#11113 = SURFACE_STYLE_USAGE ( .BOTH. , #11112 ) ; +#11114 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11115 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11116 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11117 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#11118 = DIRECTION ( 'NONE', ( -1.000000000000000000, 1.092786167418507800E-015, 0.0000000000000000000 ) ) ; +#11119 = DIRECTION ( 'NONE', ( -1.092786167418507800E-015, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11120 = CARTESIAN_POINT ( 'NONE', ( -0.8443145750507630200, 2.900000000000000400, -3.600000000000000500 ) ) ; +#11121 = FILL_AREA_STYLE_COLOUR ( '', #12229 ) ; +#11122 = FILL_AREA_STYLE ('',( #11121 ) ) ; +#11123 = SURFACE_SIDE_STYLE ('',( #12222 ) ) ; +#11124 = SURFACE_STYLE_USAGE ( .BOTH. , #11123 ) ; +#11125 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11126 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11127 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11128 = CARTESIAN_POINT ( 'NONE', ( -2.599999999999999200, 2.006284116830123800, -3.600000000000000500 ) ) ; +#11129 = CARTESIAN_POINT ( 'NONE', ( -1.838030458220621900, 1.906284116830123900, -3.600000000000000500 ) ) ; +#11130 = PLANE ( 'NONE', #10084 ) ; +#11131 = FILL_AREA_STYLE_COLOUR ( '', #12236 ) ; +#11132 = FILL_AREA_STYLE ('',( #11131 ) ) ; +#11133 = SURFACE_SIDE_STYLE ('',( #12228 ) ) ; +#11134 = SURFACE_STYLE_USAGE ( .BOTH. , #11133 ) ; +#11135 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11136 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11137 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11138 = PLANE ( 'NONE', #10087 ) ; +#11139 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#11140 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11141 = CARTESIAN_POINT ( 'NONE', ( 0.8443145750507630200, 2.900000000000000400, -3.600000000000000500 ) ) ; +#11142 = FILL_AREA_STYLE_COLOUR ( '', #12242 ) ; +#11143 = FILL_AREA_STYLE ('',( #11142 ) ) ; +#11144 = SURFACE_SIDE_STYLE ('',( #12235 ) ) ; +#11145 = SURFACE_STYLE_USAGE ( .BOTH. , #11144 ) ; +#11146 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11147 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11148 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11149 = PLANE ( 'NONE', #10090 ) ; +#11150 = DIRECTION ( 'NONE', ( 0.7071067811865536800, -0.7071067811865413600, 0.0000000000000000000 ) ) ; +#11151 = DIRECTION ( 'NONE', ( 0.7071067811865413600, 0.7071067811865536800, 0.0000000000000000000 ) ) ; +#11152 = CARTESIAN_POINT ( 'NONE', ( 1.838030458220619700, 1.906284116830121900, -3.600000000000000500 ) ) ; +#11153 = FILL_AREA_STYLE_COLOUR ( '', #12248 ) ; +#11154 = FILL_AREA_STYLE ('',( #11153 ) ) ; +#11155 = SURFACE_SIDE_STYLE ('',( #12241 ) ) ; +#11156 = SURFACE_STYLE_USAGE ( .BOTH. , #11155 ) ; +#11157 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11158 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11159 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11160 = PLANE ( 'NONE', #10093 ) ; +#11161 = DIRECTION ( 'NONE', ( -0.7071067811865552300, -0.7071067811865398000, 0.0000000000000000000 ) ) ; +#11162 = DIRECTION ( 'NONE', ( 0.7071067811865398000, -0.7071067811865552300, 0.0000000000000000000 ) ) ; +#11163 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11164 = FILL_AREA_STYLE_COLOUR ( '', #12254 ) ; +#11165 = FILL_AREA_STYLE ('',( #11164 ) ) ; +#11166 = SURFACE_SIDE_STYLE ('',( #12247 ) ) ; +#11167 = SURFACE_STYLE_USAGE ( .BOTH. , #11166 ) ; +#11168 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11169 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11170 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11171 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#11172 = DIRECTION ( 'NONE', ( 2.845788743612077600E-015, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11173 = DIRECTION ( 'NONE', ( 1.000000000000000000, 2.845788743612077600E-015, 0.0000000000000000000 ) ) ; +#11174 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11175 = FILL_AREA_STYLE_COLOUR ( '', #12260 ) ; +#11176 = FILL_AREA_STYLE ('',( #11175 ) ) ; +#11177 = SURFACE_SIDE_STYLE ('',( #12253 ) ) ; +#11178 = SURFACE_STYLE_USAGE ( .BOTH. , #11177 ) ; +#11179 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11180 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11181 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11182 = CARTESIAN_POINT ( 'NONE', ( 2.599999999999999200, 2.006284116830123800, -3.600000000000000500 ) ) ; +#11183 = CARTESIAN_POINT ( 'NONE', ( 2.699999999999999300, 2.006284116830124600, -3.600000000000000500 ) ) ; +#11184 = PLANE ( 'NONE', #10099 ) ; +#11185 = FILL_AREA_STYLE_COLOUR ( '', #12267 ) ; +#11186 = FILL_AREA_STYLE ('',( #11185 ) ) ; +#11187 = SURFACE_SIDE_STYLE ('',( #12259 ) ) ; +#11188 = SURFACE_STYLE_USAGE ( .BOTH. , #11187 ) ; +#11189 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11190 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11191 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11192 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#11193 = DIRECTION ( 'NONE', ( 1.000000000000000000, 1.092786167418507800E-015, 0.0000000000000000000 ) ) ; +#11194 = DIRECTION ( 'NONE', ( -1.092786167418507800E-015, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#11195 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11196 = FILL_AREA_STYLE_COLOUR ( '', #12273 ) ; +#11197 = FILL_AREA_STYLE ('',( #11196 ) ) ; +#11198 = SURFACE_SIDE_STYLE ('',( #12266 ) ) ; +#11199 = SURFACE_STYLE_USAGE ( .BOTH. , #11198 ) ; +#11200 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11201 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11202 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11203 = CARTESIAN_POINT ( 'NONE', ( 2.799999999999998500, 2.800000000000000300, -3.600000000000000500 ) ) ; +#11204 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11205 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#11206 = FILL_AREA_STYLE_COLOUR ( '', #12280 ) ; +#11207 = FILL_AREA_STYLE ('',( #11206 ) ) ; +#11208 = SURFACE_SIDE_STYLE ('',( #12272 ) ) ; +#11209 = SURFACE_STYLE_USAGE ( .BOTH. , #11208 ) ; +#11210 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11211 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11212 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11213 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.6700000000000000400, -2.600000000000000500 ) ) ; +#11214 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.6700000000000000400, -2.600000000000000500 ) ) ; +#11215 = PLANE ( 'NONE', #10108 ) ; +#11216 = FILL_AREA_STYLE_COLOUR ( '', #12287 ) ; +#11217 = FILL_AREA_STYLE ('',( #11216 ) ) ; +#11218 = SURFACE_SIDE_STYLE ('',( #12279 ) ) ; +#11219 = SURFACE_STYLE_USAGE ( .BOTH. , #11218 ) ; +#11220 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11221 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11222 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11223 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#11224 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11225 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11226 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11227 = FILL_AREA_STYLE_COLOUR ( '', #12293 ) ; +#11228 = FILL_AREA_STYLE ('',( #11227 ) ) ; +#11229 = SURFACE_SIDE_STYLE ('',( #12286 ) ) ; +#11230 = SURFACE_STYLE_USAGE ( .BOTH. , #11229 ) ; +#11231 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11232 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11233 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11234 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.6700000000000000400, -2.599999999999998800 ) ) ; +#11235 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.6700000000000000400, -2.599999999999998800 ) ) ; +#11236 = PLANE ( 'NONE', #10114 ) ; +#11237 = FILL_AREA_STYLE_COLOUR ( '', #12300 ) ; +#11238 = FILL_AREA_STYLE ('',( #11237 ) ) ; +#11239 = SURFACE_SIDE_STYLE ('',( #12292 ) ) ; +#11240 = SURFACE_STYLE_USAGE ( .BOTH. , #11239 ) ; +#11241 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11242 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11243 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11244 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.5699999999999999500, -2.600000000000000500 ) ) ; +#11245 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#11246 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11247 = FILL_AREA_STYLE_COLOUR ( '', #12306 ) ; +#11248 = FILL_AREA_STYLE ('',( #11247 ) ) ; +#11249 = SURFACE_SIDE_STYLE ('',( #12299 ) ) ; +#11250 = SURFACE_STYLE_USAGE ( .BOTH. , #11249 ) ; +#11251 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11252 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11253 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11254 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#11255 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11256 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.5699999999999999500, -2.599999999999998800 ) ) ; +#11257 = FILL_AREA_STYLE_COLOUR ( '', #12312 ) ; +#11258 = FILL_AREA_STYLE ('',( #11257 ) ) ; +#11259 = SURFACE_SIDE_STYLE ('',( #12305 ) ) ; +#11260 = SURFACE_STYLE_USAGE ( .BOTH. , #11259 ) ; +#11261 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11262 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11263 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11264 = CARTESIAN_POINT ( 'NONE', ( -3.330000000000000100, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11265 = CARTESIAN_POINT ( 'NONE', ( -2.929999999999999700, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11266 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11267 = PLANE ( 'NONE', #10123 ) ; +#11268 = FILL_AREA_STYLE_COLOUR ( '', #12319 ) ; +#11269 = FILL_AREA_STYLE ('',( #11268 ) ) ; +#11270 = SURFACE_SIDE_STYLE ('',( #12311 ) ) ; +#11271 = SURFACE_STYLE_USAGE ( .BOTH. , #11270 ) ; +#11272 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11273 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11274 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11275 = PLANE ( 'NONE', #10126 ) ; +#11276 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11277 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11278 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#11279 = FILL_AREA_STYLE_COLOUR ( '', #12325 ) ; +#11280 = FILL_AREA_STYLE ('',( #11279 ) ) ; +#11281 = SURFACE_SIDE_STYLE ('',( #12318 ) ) ; +#11282 = SURFACE_STYLE_USAGE ( .BOTH. , #11281 ) ; +#11283 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11284 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11285 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11286 = PLANE ( 'NONE', #10129 ) ; +#11287 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11288 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11289 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11290 = FILL_AREA_STYLE_COLOUR ( '', #12331 ) ; +#11291 = FILL_AREA_STYLE ('',( #11290 ) ) ; +#11292 = SURFACE_SIDE_STYLE ('',( #12324 ) ) ; +#11293 = SURFACE_STYLE_USAGE ( .BOTH. , #11292 ) ; +#11294 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11295 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11296 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11297 = PLANE ( 'NONE', #10132 ) ; +#11298 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11299 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11300 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#11301 = FILL_AREA_STYLE_COLOUR ( '', #12337 ) ; +#11302 = FILL_AREA_STYLE ('',( #11301 ) ) ; +#11303 = SURFACE_SIDE_STYLE ('',( #12330 ) ) ; +#11304 = SURFACE_STYLE_USAGE ( .BOTH. , #11303 ) ; +#11305 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11306 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11307 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11308 = PLANE ( 'NONE', #10135 ) ; +#11309 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11310 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11311 = CARTESIAN_POINT ( 'NONE', ( -2.149999999999999500, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11312 = FILL_AREA_STYLE_COLOUR ( '', #12343 ) ; +#11313 = FILL_AREA_STYLE ('',( #11312 ) ) ; +#11314 = SURFACE_SIDE_STYLE ('',( #12336 ) ) ; +#11315 = SURFACE_STYLE_USAGE ( .BOTH. , #11314 ) ; +#11316 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11317 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11318 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11319 = PLANE ( 'NONE', #10138 ) ; +#11320 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11321 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11322 = CARTESIAN_POINT ( 'NONE', ( -2.549999999999999800, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11323 = FILL_AREA_STYLE_COLOUR ( '', #12349 ) ; +#11324 = FILL_AREA_STYLE ('',( #11323 ) ) ; +#11325 = SURFACE_SIDE_STYLE ('',( #12342 ) ) ; +#11326 = SURFACE_STYLE_USAGE ( .BOTH. , #11325 ) ; +#11327 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11328 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11329 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11330 = PLANE ( 'NONE', #10141 ) ; +#11331 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11332 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11333 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#11334 = FILL_AREA_STYLE_COLOUR ( '', #12355 ) ; +#11335 = FILL_AREA_STYLE ('',( #11334 ) ) ; +#11336 = SURFACE_SIDE_STYLE ('',( #12348 ) ) ; +#11337 = SURFACE_STYLE_USAGE ( .BOTH. , #11336 ) ; +#11338 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11339 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11340 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11341 = PLANE ( 'NONE', #10144 ) ; +#11342 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11343 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11344 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11345 = FILL_AREA_STYLE_COLOUR ( '', #12361 ) ; +#11346 = FILL_AREA_STYLE ('',( #11345 ) ) ; +#11347 = SURFACE_SIDE_STYLE ('',( #12354 ) ) ; +#11348 = SURFACE_STYLE_USAGE ( .BOTH. , #11347 ) ; +#11349 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11350 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11351 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11352 = PLANE ( 'NONE', #10147 ) ; +#11353 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11354 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11355 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#11356 = FILL_AREA_STYLE_COLOUR ( '', #12367 ) ; +#11357 = FILL_AREA_STYLE ('',( #11356 ) ) ; +#11358 = SURFACE_SIDE_STYLE ('',( #12360 ) ) ; +#11359 = SURFACE_STYLE_USAGE ( .BOTH. , #11358 ) ; +#11360 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11361 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11362 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11363 = PLANE ( 'NONE', #10150 ) ; +#11364 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11365 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11366 = CARTESIAN_POINT ( 'NONE', ( -1.649999999999998600, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11367 = FILL_AREA_STYLE_COLOUR ( '', #12373 ) ; +#11368 = FILL_AREA_STYLE ('',( #11367 ) ) ; +#11369 = SURFACE_SIDE_STYLE ('',( #12366 ) ) ; +#11370 = SURFACE_STYLE_USAGE ( .BOTH. , #11369 ) ; +#11371 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11372 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11373 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11374 = PLANE ( 'NONE', #10153 ) ; +#11375 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11376 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11377 = CARTESIAN_POINT ( 'NONE', ( -1.849999999999999600, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11378 = FILL_AREA_STYLE_COLOUR ( '', #12379 ) ; +#11379 = FILL_AREA_STYLE ('',( #11378 ) ) ; +#11380 = SURFACE_SIDE_STYLE ('',( #12372 ) ) ; +#11381 = SURFACE_STYLE_USAGE ( .BOTH. , #11380 ) ; +#11382 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11383 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11384 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11385 = PLANE ( 'NONE', #10156 ) ; +#11386 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -2.309295874346925400E-016 ) ) ; +#11387 = DIRECTION ( 'NONE', ( -2.309295874346925400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11388 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#11389 = FILL_AREA_STYLE_COLOUR ( '', #12385 ) ; +#11390 = FILL_AREA_STYLE ('',( #11389 ) ) ; +#11391 = SURFACE_SIDE_STYLE ('',( #12378 ) ) ; +#11392 = SURFACE_STYLE_USAGE ( .BOTH. , #11391 ) ; +#11393 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11394 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11395 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11396 = PLANE ( 'NONE', #10159 ) ; +#11397 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11398 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11399 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11400 = FILL_AREA_STYLE_COLOUR ( '', #12391 ) ; +#11401 = FILL_AREA_STYLE ('',( #11400 ) ) ; +#11402 = SURFACE_SIDE_STYLE ('',( #12384 ) ) ; +#11403 = SURFACE_STYLE_USAGE ( .BOTH. , #11402 ) ; +#11404 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11405 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11406 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11407 = PLANE ( 'NONE', #10162 ) ; +#11408 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11409 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11410 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#11411 = FILL_AREA_STYLE_COLOUR ( '', #12397 ) ; +#11412 = FILL_AREA_STYLE ('',( #11411 ) ) ; +#11413 = SURFACE_SIDE_STYLE ('',( #12390 ) ) ; +#11414 = SURFACE_STYLE_USAGE ( .BOTH. , #11413 ) ; +#11415 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11416 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11417 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11418 = PLANE ( 'NONE', #10165 ) ; +#11419 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11420 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11421 = CARTESIAN_POINT ( 'NONE', ( -1.149999999999998600, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11422 = FILL_AREA_STYLE_COLOUR ( '', #12403 ) ; +#11423 = FILL_AREA_STYLE ('',( #11422 ) ) ; +#11424 = SURFACE_SIDE_STYLE ('',( #12396 ) ) ; +#11425 = SURFACE_STYLE_USAGE ( .BOTH. , #11424 ) ; +#11426 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11427 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11428 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11429 = PLANE ( 'NONE', #10168 ) ; +#11430 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11431 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11432 = CARTESIAN_POINT ( 'NONE', ( -1.349999999999998800, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11433 = FILL_AREA_STYLE_COLOUR ( '', #12409 ) ; +#11434 = FILL_AREA_STYLE ('',( #11433 ) ) ; +#11435 = SURFACE_SIDE_STYLE ('',( #12402 ) ) ; +#11436 = SURFACE_STYLE_USAGE ( .BOTH. , #11435 ) ; +#11437 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11438 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11439 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11440 = PLANE ( 'NONE', #10171 ) ; +#11441 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -2.309295874346925400E-016 ) ) ; +#11442 = DIRECTION ( 'NONE', ( -2.309295874346925400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11443 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#11444 = FILL_AREA_STYLE_COLOUR ( '', #12415 ) ; +#11445 = FILL_AREA_STYLE ('',( #11444 ) ) ; +#11446 = SURFACE_SIDE_STYLE ('',( #12408 ) ) ; +#11447 = SURFACE_STYLE_USAGE ( .BOTH. , #11446 ) ; +#11448 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11449 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11450 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11451 = PLANE ( 'NONE', #10174 ) ; +#11452 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -2.309295874346925400E-016 ) ) ; +#11453 = DIRECTION ( 'NONE', ( -2.309295874346925400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11454 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11455 = FILL_AREA_STYLE_COLOUR ( '', #12421 ) ; +#11456 = FILL_AREA_STYLE ('',( #11455 ) ) ; +#11457 = SURFACE_SIDE_STYLE ('',( #12414 ) ) ; +#11458 = SURFACE_STYLE_USAGE ( .BOTH. , #11457 ) ; +#11459 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11460 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11461 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11462 = PLANE ( 'NONE', #10177 ) ; +#11463 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11464 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11465 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#11466 = FILL_AREA_STYLE_COLOUR ( '', #12427 ) ; +#11467 = FILL_AREA_STYLE ('',( #11466 ) ) ; +#11468 = SURFACE_SIDE_STYLE ('',( #12420 ) ) ; +#11469 = SURFACE_STYLE_USAGE ( .BOTH. , #11468 ) ; +#11470 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11471 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11472 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11473 = PLANE ( 'NONE', #10180 ) ; +#11474 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11475 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11476 = CARTESIAN_POINT ( 'NONE', ( -0.6499999999999988000, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11477 = FILL_AREA_STYLE_COLOUR ( '', #12433 ) ; +#11478 = FILL_AREA_STYLE ('',( #11477 ) ) ; +#11479 = SURFACE_SIDE_STYLE ('',( #12426 ) ) ; +#11480 = SURFACE_STYLE_USAGE ( .BOTH. , #11479 ) ; +#11481 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11482 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11483 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11484 = PLANE ( 'NONE', #10183 ) ; +#11485 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11486 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11487 = CARTESIAN_POINT ( 'NONE', ( -0.8499999999999987600, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11488 = FILL_AREA_STYLE_COLOUR ( '', #12439 ) ; +#11489 = FILL_AREA_STYLE ('',( #11488 ) ) ; +#11490 = SURFACE_SIDE_STYLE ('',( #12432 ) ) ; +#11491 = SURFACE_STYLE_USAGE ( .BOTH. , #11490 ) ; +#11492 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11493 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11494 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11495 = PLANE ( 'NONE', #10186 ) ; +#11496 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -2.309295874346925400E-016 ) ) ; +#11497 = DIRECTION ( 'NONE', ( -2.309295874346925400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11498 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#11499 = FILL_AREA_STYLE_COLOUR ( '', #12445 ) ; +#11500 = FILL_AREA_STYLE ('',( #11499 ) ) ; +#11501 = SURFACE_SIDE_STYLE ('',( #12438 ) ) ; +#11502 = SURFACE_STYLE_USAGE ( .BOTH. , #11501 ) ; +#11503 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11504 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11505 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11506 = PLANE ( 'NONE', #10189 ) ; +#11507 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -2.309295874346925400E-016 ) ) ; +#11508 = DIRECTION ( 'NONE', ( -2.309295874346925400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11509 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11510 = FILL_AREA_STYLE_COLOUR ( '', #12453 ) ; +#11511 = FILL_AREA_STYLE ('',( #11510 ) ) ; +#11512 = SURFACE_SIDE_STYLE ('',( #12444 ) ) ; +#11513 = SURFACE_STYLE_USAGE ( .BOTH. , #11512 ) ; +#11514 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11515 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11516 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11517 = PLANE ( 'NONE', #10192 ) ; +#11518 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11519 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11520 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#11521 = FILL_AREA_STYLE_COLOUR ( '', #12459 ) ; +#11522 = FILL_AREA_STYLE ('',( #11521 ) ) ; +#11523 = SURFACE_SIDE_STYLE ('',( #12452 ) ) ; +#11524 = SURFACE_STYLE_USAGE ( .BOTH. , #11523 ) ; +#11525 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11526 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11527 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11528 = PLANE ( 'NONE', #10195 ) ; +#11529 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11530 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11531 = CARTESIAN_POINT ( 'NONE', ( -0.1499999999999991900, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11532 = FILL_AREA_STYLE_COLOUR ( '', #12465 ) ; +#11533 = FILL_AREA_STYLE ('',( #11532 ) ) ; +#11534 = SURFACE_SIDE_STYLE ('',( #12317 ) ) ; +#11535 = SURFACE_STYLE_USAGE ( .BOTH. , #11534 ) ; +#11536 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11537 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11538 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11539 = PLANE ( 'NONE', #10198 ) ; +#11540 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11541 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11542 = CARTESIAN_POINT ( 'NONE', ( -0.3499999999999992600, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11543 = FILL_AREA_STYLE_COLOUR ( '', #12471 ) ; +#11544 = FILL_AREA_STYLE ('',( #11543 ) ) ; +#11545 = SURFACE_SIDE_STYLE ('',( #12464 ) ) ; +#11546 = SURFACE_STYLE_USAGE ( .BOTH. , #11545 ) ; +#11547 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11548 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11549 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11550 = PLANE ( 'NONE', #10201 ) ; +#11551 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.731971905760194000E-016 ) ) ; +#11552 = DIRECTION ( 'NONE', ( -1.731971905760194000E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11553 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#11554 = FILL_AREA_STYLE_COLOUR ( '', #12478 ) ; +#11555 = FILL_AREA_STYLE ('',( #11554 ) ) ; +#11556 = SURFACE_SIDE_STYLE ('',( #12470 ) ) ; +#11557 = SURFACE_STYLE_USAGE ( .BOTH. , #11556 ) ; +#11558 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11559 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11560 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11561 = PLANE ( 'NONE', #10204 ) ; +#11562 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.731971905760194000E-016 ) ) ; +#11563 = DIRECTION ( 'NONE', ( -1.731971905760194000E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11564 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11565 = FILL_AREA_STYLE_COLOUR ( '', #12484 ) ; +#11566 = FILL_AREA_STYLE ('',( #11565 ) ) ; +#11567 = SURFACE_SIDE_STYLE ('',( #12477 ) ) ; +#11568 = SURFACE_STYLE_USAGE ( .BOTH. , #11567 ) ; +#11569 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11570 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11571 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11572 = PLANE ( 'NONE', #10207 ) ; +#11573 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11574 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11575 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#11576 = FILL_AREA_STYLE_COLOUR ( '', #12490 ) ; +#11577 = FILL_AREA_STYLE ('',( #11576 ) ) ; +#11578 = SURFACE_SIDE_STYLE ('',( #12483 ) ) ; +#11579 = SURFACE_STYLE_USAGE ( .BOTH. , #11578 ) ; +#11580 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11581 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11582 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11583 = PLANE ( 'NONE', #10210 ) ; +#11584 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11585 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11586 = CARTESIAN_POINT ( 'NONE', ( 0.3499999999999971500, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11587 = FILL_AREA_STYLE_COLOUR ( '', #12496 ) ; +#11588 = FILL_AREA_STYLE ('',( #11587 ) ) ; +#11589 = SURFACE_SIDE_STYLE ('',( #12489 ) ) ; +#11590 = SURFACE_STYLE_USAGE ( .BOTH. , #11589 ) ; +#11591 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11592 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11593 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11594 = PLANE ( 'NONE', #10213 ) ; +#11595 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11596 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11597 = CARTESIAN_POINT ( 'NONE', ( 0.1499999999999971900, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11598 = FILL_AREA_STYLE_COLOUR ( '', #12502 ) ; +#11599 = FILL_AREA_STYLE ('',( #11598 ) ) ; +#11600 = SURFACE_SIDE_STYLE ('',( #12495 ) ) ; +#11601 = SURFACE_STYLE_USAGE ( .BOTH. , #11600 ) ; +#11602 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11603 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11604 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11605 = PLANE ( 'NONE', #10216 ) ; +#11606 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 4.041267780107119600E-016 ) ) ; +#11607 = DIRECTION ( 'NONE', ( 4.041267780107119600E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11608 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#11609 = FILL_AREA_STYLE_COLOUR ( '', #12508 ) ; +#11610 = FILL_AREA_STYLE ('',( #11609 ) ) ; +#11611 = SURFACE_SIDE_STYLE ('',( #12501 ) ) ; +#11612 = SURFACE_STYLE_USAGE ( .BOTH. , #11611 ) ; +#11613 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11614 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11615 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11616 = PLANE ( 'NONE', #10219 ) ; +#11617 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 4.041267780107119600E-016 ) ) ; +#11618 = DIRECTION ( 'NONE', ( 4.041267780107119600E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11619 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11620 = FILL_AREA_STYLE_COLOUR ( '', #12514 ) ; +#11621 = FILL_AREA_STYLE ('',( #11620 ) ) ; +#11622 = SURFACE_SIDE_STYLE ('',( #12507 ) ) ; +#11623 = SURFACE_STYLE_USAGE ( .BOTH. , #11622 ) ; +#11624 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11625 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11626 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11627 = PLANE ( 'NONE', #10222 ) ; +#11628 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11629 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11630 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#11631 = FILL_AREA_STYLE_COLOUR ( '', #12520 ) ; +#11632 = FILL_AREA_STYLE ('',( #11631 ) ) ; +#11633 = SURFACE_SIDE_STYLE ('',( #12513 ) ) ; +#11634 = SURFACE_STYLE_USAGE ( .BOTH. , #11633 ) ; +#11635 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11636 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11637 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11638 = PLANE ( 'NONE', #10225 ) ; +#11639 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11640 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11641 = CARTESIAN_POINT ( 'NONE', ( 0.8499999999999968700, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11642 = FILL_AREA_STYLE_COLOUR ( '', #12526 ) ; +#11643 = FILL_AREA_STYLE ('',( #11642 ) ) ; +#11644 = SURFACE_SIDE_STYLE ('',( #12519 ) ) ; +#11645 = SURFACE_STYLE_USAGE ( .BOTH. , #11644 ) ; +#11646 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11647 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11648 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11649 = PLANE ( 'NONE', #10228 ) ; +#11650 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11651 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11652 = CARTESIAN_POINT ( 'NONE', ( 0.6499999999999969100, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11653 = FILL_AREA_STYLE_COLOUR ( '', #12532 ) ; +#11654 = FILL_AREA_STYLE ('',( #11653 ) ) ; +#11655 = SURFACE_SIDE_STYLE ('',( #12525 ) ) ; +#11656 = SURFACE_STYLE_USAGE ( .BOTH. , #11655 ) ; +#11657 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11658 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11659 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11660 = PLANE ( 'NONE', #10231 ) ; +#11661 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 4.618591748693850700E-016 ) ) ; +#11662 = DIRECTION ( 'NONE', ( 4.618591748693850700E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11663 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#11664 = FILL_AREA_STYLE_COLOUR ( '', #12538 ) ; +#11665 = FILL_AREA_STYLE ('',( #11664 ) ) ; +#11666 = SURFACE_SIDE_STYLE ('',( #12531 ) ) ; +#11667 = SURFACE_STYLE_USAGE ( .BOTH. , #11666 ) ; +#11668 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11669 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11670 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11671 = PLANE ( 'NONE', #10234 ) ; +#11672 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 4.618591748693850700E-016 ) ) ; +#11673 = DIRECTION ( 'NONE', ( 4.618591748693850700E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11674 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11675 = FILL_AREA_STYLE_COLOUR ( '', #12544 ) ; +#11676 = FILL_AREA_STYLE ('',( #11675 ) ) ; +#11677 = SURFACE_SIDE_STYLE ('',( #12537 ) ) ; +#11678 = SURFACE_STYLE_USAGE ( .BOTH. , #11677 ) ; +#11679 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11680 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11681 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11682 = PLANE ( 'NONE', #10237 ) ; +#11683 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11684 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11685 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#11686 = FILL_AREA_STYLE_COLOUR ( '', #12550 ) ; +#11687 = FILL_AREA_STYLE ('',( #11686 ) ) ; +#11688 = SURFACE_SIDE_STYLE ('',( #12543 ) ) ; +#11689 = SURFACE_STYLE_USAGE ( .BOTH. , #11688 ) ; +#11690 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11691 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11692 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11693 = PLANE ( 'NONE', #10240 ) ; +#11694 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11695 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11696 = CARTESIAN_POINT ( 'NONE', ( 1.349999999999997900, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11697 = FILL_AREA_STYLE_COLOUR ( '', #12556 ) ; +#11698 = FILL_AREA_STYLE ('',( #11697 ) ) ; +#11699 = SURFACE_SIDE_STYLE ('',( #12549 ) ) ; +#11700 = SURFACE_STYLE_USAGE ( .BOTH. , #11699 ) ; +#11701 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11702 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11703 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11704 = PLANE ( 'NONE', #10243 ) ; +#11705 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11706 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11707 = CARTESIAN_POINT ( 'NONE', ( 1.149999999999997900, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11708 = FILL_AREA_STYLE_COLOUR ( '', #12562 ) ; +#11709 = FILL_AREA_STYLE ('',( #11708 ) ) ; +#11710 = SURFACE_SIDE_STYLE ('',( #12555 ) ) ; +#11711 = SURFACE_STYLE_USAGE ( .BOTH. , #11710 ) ; +#11712 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11713 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11714 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11715 = PLANE ( 'NONE', #10246 ) ; +#11716 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 2.309295874346926300E-016 ) ) ; +#11717 = DIRECTION ( 'NONE', ( 2.309295874346926300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11718 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000000 ) ) ; +#11719 = FILL_AREA_STYLE_COLOUR ( '', #12568 ) ; +#11720 = FILL_AREA_STYLE ('',( #11719 ) ) ; +#11721 = SURFACE_SIDE_STYLE ('',( #12561 ) ) ; +#11722 = SURFACE_STYLE_USAGE ( .BOTH. , #11721 ) ; +#11723 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11724 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11725 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11726 = PLANE ( 'NONE', #10249 ) ; +#11727 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 2.309295874346926300E-016 ) ) ; +#11728 = DIRECTION ( 'NONE', ( 2.309295874346926300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11729 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11730 = FILL_AREA_STYLE_COLOUR ( '', #12574 ) ; +#11731 = FILL_AREA_STYLE ('',( #11730 ) ) ; +#11732 = SURFACE_SIDE_STYLE ('',( #12567 ) ) ; +#11733 = SURFACE_STYLE_USAGE ( .BOTH. , #11732 ) ; +#11734 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11735 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11736 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11737 = PLANE ( 'NONE', #10252 ) ; +#11738 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11739 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11740 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#11741 = FILL_AREA_STYLE_COLOUR ( '', #12580 ) ; +#11742 = FILL_AREA_STYLE ('',( #11741 ) ) ; +#11743 = SURFACE_SIDE_STYLE ('',( #12573 ) ) ; +#11744 = SURFACE_STYLE_USAGE ( .BOTH. , #11743 ) ; +#11745 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11746 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11747 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11748 = PLANE ( 'NONE', #10255 ) ; +#11749 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11750 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11751 = CARTESIAN_POINT ( 'NONE', ( 1.849999999999998800, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11752 = FILL_AREA_STYLE_COLOUR ( '', #12586 ) ; +#11753 = FILL_AREA_STYLE ('',( #11752 ) ) ; +#11754 = SURFACE_SIDE_STYLE ('',( #12579 ) ) ; +#11755 = SURFACE_STYLE_USAGE ( .BOTH. , #11754 ) ; +#11756 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11757 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11758 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11759 = PLANE ( 'NONE', #10258 ) ; +#11760 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11761 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11762 = CARTESIAN_POINT ( 'NONE', ( 1.649999999999997700, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11763 = FILL_AREA_STYLE_COLOUR ( '', #12592 ) ; +#11764 = FILL_AREA_STYLE ('',( #11763 ) ) ; +#11765 = SURFACE_SIDE_STYLE ('',( #12585 ) ) ; +#11766 = SURFACE_STYLE_USAGE ( .BOTH. , #11765 ) ; +#11767 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11768 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11769 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11770 = PLANE ( 'NONE', #10261 ) ; +#11771 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11772 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11773 = CARTESIAN_POINT ( 'NONE', ( 2.019452046518672900E-014, 0.4099999999999999200, -4.249999999999993800 ) ) ; +#11774 = FILL_AREA_STYLE_COLOUR ( '', #12598 ) ; +#11775 = FILL_AREA_STYLE ('',( #11774 ) ) ; +#11776 = SURFACE_SIDE_STYLE ('',( #12591 ) ) ; +#11777 = SURFACE_STYLE_USAGE ( .BOTH. , #11776 ) ; +#11778 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11779 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11780 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11781 = PLANE ( 'NONE', #10264 ) ; +#11782 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 2.309295874346926300E-016 ) ) ; +#11783 = DIRECTION ( 'NONE', ( 2.309295874346926300E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11784 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#11785 = FILL_AREA_STYLE_COLOUR ( '', #12604 ) ; +#11786 = FILL_AREA_STYLE ('',( #11785 ) ) ; +#11787 = SURFACE_SIDE_STYLE ('',( #12597 ) ) ; +#11788 = SURFACE_STYLE_USAGE ( .BOTH. , #11787 ) ; +#11789 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11790 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11791 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11792 = PLANE ( 'NONE', #10267 ) ; +#11793 = DIRECTION ( 'NONE', ( 4.336808689942016200E-015, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11794 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -4.336808689942016200E-015 ) ) ; +#11795 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#11796 = FILL_AREA_STYLE_COLOUR ( '', #12610 ) ; +#11797 = FILL_AREA_STYLE ('',( #11796 ) ) ; +#11798 = SURFACE_SIDE_STYLE ('',( #12603 ) ) ; +#11799 = SURFACE_STYLE_USAGE ( .BOTH. , #11798 ) ; +#11800 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11801 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11802 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11803 = PLANE ( 'NONE', #10270 ) ; +#11804 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11805 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11806 = CARTESIAN_POINT ( 'NONE', ( 2.149999999999993700, 0.4099999999999999200, -8.729904482840638000 ) ) ; +#11807 = FILL_AREA_STYLE_COLOUR ( '', #12616 ) ; +#11808 = FILL_AREA_STYLE ('',( #11807 ) ) ; +#11809 = SURFACE_SIDE_STYLE ('',( #12609 ) ) ; +#11810 = SURFACE_STYLE_USAGE ( .BOTH. , #11809 ) ; +#11811 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11812 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11813 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11814 = PLANE ( 'NONE', #10273 ) ; +#11815 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11816 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#11817 = CARTESIAN_POINT ( 'NONE', ( 2.549999999999993600, 0.4099999999999999200, -8.729904482840638000 ) ) ; +#11818 = FILL_AREA_STYLE_COLOUR ( '', #12622 ) ; +#11819 = FILL_AREA_STYLE ('',( #11818 ) ) ; +#11820 = SURFACE_SIDE_STYLE ('',( #12615 ) ) ; +#11821 = SURFACE_STYLE_USAGE ( .BOTH. , #11820 ) ; +#11822 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11823 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11824 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11825 = PLANE ( 'NONE', #10276 ) ; +#11826 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 9.237183497387695500E-016 ) ) ; +#11827 = DIRECTION ( 'NONE', ( 9.237183497387695500E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11828 = FILL_AREA_STYLE_COLOUR ( '', #12628 ) ; +#11829 = FILL_AREA_STYLE ('',( #11828 ) ) ; +#11830 = SURFACE_SIDE_STYLE ('',( #12621 ) ) ; +#11831 = SURFACE_STYLE_USAGE ( .BOTH. , #11830 ) ; +#11832 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11833 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11834 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11835 = CARTESIAN_POINT ( 'NONE', ( -4.469999999999999800, 0.0000000000000000000, 3.649999999999999500 ) ) ; +#11836 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 9.237183497387695500E-016 ) ) ; +#11837 = DIRECTION ( 'NONE', ( 9.237183497387695500E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11838 = FILL_AREA_STYLE_COLOUR ( '', #12469 ) ; +#11839 = PLANE ( 'NONE', #10279 ) ; +#11840 = FILL_AREA_STYLE ('',( #11838 ) ) ; +#11841 = SURFACE_SIDE_STYLE ('',( #12627 ) ) ; +#11842 = SURFACE_STYLE_USAGE ( .BOTH. , #11841 ) ; +#11843 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11844 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11845 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11846 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#11847 = CARTESIAN_POINT ( 'NONE', ( -3.360000000000000300, 1.110000000000000100, -1.800000000000000500 ) ) ; +#11848 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#11849 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#11850 = FILL_AREA_STYLE_COLOUR ( '', #12634 ) ; +#11851 = PLANE ( 'NONE', #10282 ) ; +#11852 = FILL_AREA_STYLE ('',( #11850 ) ) ; +#11853 = SURFACE_SIDE_STYLE ('',( #12635 ) ) ; +#11854 = SURFACE_STYLE_USAGE ( .BOTH. , #11853 ) ; +#11855 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11856 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11857 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11858 = FILL_AREA_STYLE_COLOUR ( '', #12646 ) ; +#11859 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11860 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11861 = PLANE ( 'NONE', #10285 ) ; +#11862 = CARTESIAN_POINT ( 'NONE', ( -3.359999999999995000, 0.3099999999999999400, -1.800000000000000500 ) ) ; +#11863 = FILL_AREA_STYLE ('',( #11858 ) ) ; +#11864 = SURFACE_SIDE_STYLE ('',( #12642 ) ) ; +#11865 = SURFACE_STYLE_USAGE ( .BOTH. , #11864 ) ; +#11866 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11867 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11868 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11869 = CARTESIAN_POINT ( 'NONE', ( 2.890000000000001000, -0.5699999999999999500, -2.599999999999998800 ) ) ; +#11870 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#11871 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#11872 = FILL_AREA_STYLE_COLOUR ( '', #12656 ) ; +#11873 = FILL_AREA_STYLE ('',( #11872 ) ) ; +#11874 = SURFACE_SIDE_STYLE ('',( #12641 ) ) ; +#11875 = SURFACE_STYLE_USAGE ( .BOTH. , #11874 ) ; +#11876 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11877 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11878 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11879 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#11880 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11881 = CARTESIAN_POINT ( 'NONE', ( -2.890000000000000100, -0.5699999999999999500, -2.600000000000000500 ) ) ; +#11882 = FILL_AREA_STYLE_COLOUR ( '', #12663 ) ; +#11883 = FILL_AREA_STYLE ('',( #11882 ) ) ; +#11884 = SURFACE_SIDE_STYLE ('',( #12655 ) ) ; +#11885 = SURFACE_STYLE_USAGE ( .BOTH. , #11884 ) ; +#11886 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11887 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11888 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11889 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000300, -1.000000000000000000, -1.350000000000000300 ) ) ; +#11890 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11891 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11892 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#11893 = FILL_AREA_STYLE_COLOUR ( '', #12670 ) ; +#11894 = FILL_AREA_STYLE ('',( #11893 ) ) ; +#11895 = SURFACE_SIDE_STYLE ('',( #12662 ) ) ; +#11896 = SURFACE_STYLE_USAGE ( .BOTH. , #11895 ) ; +#11897 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11898 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11899 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11900 = CARTESIAN_POINT ( 'NONE', ( 1.028578643762686700, 1.210000000000000200, -3.230000000000000400 ) ) ; +#11901 = CARTESIAN_POINT ( 'NONE', ( 2.208577066413876200, 1.210000000000000200, -3.300000000000000700 ) ) ; +#11902 = PLANE ( 'NONE', #10297 ) ; +#11903 = FILL_AREA_STYLE_COLOUR ( '', #12677 ) ; +#11904 = FILL_AREA_STYLE ('',( #11903 ) ) ; +#11905 = SURFACE_SIDE_STYLE ('',( #12669 ) ) ; +#11906 = SURFACE_STYLE_USAGE ( .BOTH. , #11905 ) ; +#11907 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11908 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11909 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11910 = PLANE ( 'NONE', #10300 ) ; +#11911 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11912 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11913 = CARTESIAN_POINT ( 'NONE', ( 2.300000000000000700, -1.000000000000000000, -1.650000000000000400 ) ) ; +#11914 = FILL_AREA_STYLE_COLOUR ( '', #12683 ) ; +#11915 = FILL_AREA_STYLE ('',( #11914 ) ) ; +#11916 = SURFACE_SIDE_STYLE ('',( #12676 ) ) ; +#11917 = SURFACE_STYLE_USAGE ( .BOTH. , #11916 ) ; +#11918 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11919 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11920 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11921 = PLANE ( 'NONE', #10303 ) ; +#11922 = DIRECTION ( 'NONE', ( -2.710505431213760600E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11923 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 2.710505431213760600E-016 ) ) ; +#11924 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, -1.000000000000000000, -1.650000000000000400 ) ) ; +#11925 = FILL_AREA_STYLE_COLOUR ( '', #12689 ) ; +#11926 = FILL_AREA_STYLE ('',( #11925 ) ) ; +#11927 = SURFACE_SIDE_STYLE ('',( #12682 ) ) ; +#11928 = SURFACE_STYLE_USAGE ( .BOTH. , #11927 ) ; +#11929 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11930 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11931 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11932 = PLANE ( 'NONE', #10306 ) ; +#11933 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11934 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11935 = CARTESIAN_POINT ( 'NONE', ( -1.499999999999999800, -1.000000000000000000, -1.350000000000000500 ) ) ; +#11936 = FILL_AREA_STYLE_COLOUR ( '', #12695 ) ; +#11937 = FILL_AREA_STYLE ('',( #11936 ) ) ; +#11938 = SURFACE_SIDE_STYLE ('',( #12688 ) ) ; +#11939 = SURFACE_STYLE_USAGE ( .BOTH. , #11938 ) ; +#11940 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11941 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11942 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11943 = PLANE ( 'NONE', #10309 ) ; +#11944 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#11945 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11946 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11947 = FILL_AREA_STYLE_COLOUR ( '', #12763 ) ; +#11948 = FILL_AREA_STYLE ('',( #11947 ) ) ; +#11949 = SURFACE_SIDE_STYLE ('',( #12694 ) ) ; +#11950 = SURFACE_STYLE_USAGE ( .BOTH. , #11949 ) ; +#11951 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11952 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11953 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11954 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#11955 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11956 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11957 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, -1.000000000000000000, -3.150000000000000400 ) ) ; +#11958 = FILL_AREA_STYLE_COLOUR ( '', #12769 ) ; +#11959 = FILL_AREA_STYLE ('',( #11958 ) ) ; +#11960 = SURFACE_SIDE_STYLE ('',( #12762 ) ) ; +#11961 = SURFACE_STYLE_USAGE ( .BOTH. , #11960 ) ; +#11962 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11963 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11964 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11965 = CARTESIAN_POINT ( 'NONE', ( 0.9585786437626905300, 3.110000000000000300, -3.650000000000000400 ) ) ; +#11966 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#11967 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#11968 = FILL_AREA_STYLE_COLOUR ( '', #12777 ) ; +#11969 = FILL_AREA_STYLE ('',( #11968 ) ) ; +#11970 = SURFACE_SIDE_STYLE ('',( #12675 ) ) ; +#11971 = SURFACE_STYLE_USAGE ( .BOTH. , #11970 ) ; +#11972 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11973 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11974 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11975 = CARTESIAN_POINT ( 'NONE', ( -0.9585786437626887500, 3.110000000000001200, -3.650000000000000400 ) ) ; +#11976 = CARTESIAN_POINT ( 'NONE', ( 2.500000000000000400, -1.000000000000000000, -3.150000000000000400 ) ) ; +#11977 = PLANE ( 'NONE', #10318 ) ; +#11978 = FILL_AREA_STYLE_COLOUR ( '', #12783 ) ; +#11979 = FILL_AREA_STYLE ('',( #11978 ) ) ; +#11980 = SURFACE_SIDE_STYLE ('',( #12776 ) ) ; +#11981 = SURFACE_STYLE_USAGE ( .BOTH. , #11980 ) ; +#11982 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11983 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11984 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11985 = PLANE ( 'NONE', #10321 ) ; +#11986 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11987 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11988 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 3.210000000000000000, -3.650000000000000400 ) ) ; +#11989 = FILL_AREA_STYLE_COLOUR ( '', #12789 ) ; +#11990 = FILL_AREA_STYLE ('',( #11989 ) ) ; +#11991 = SURFACE_SIDE_STYLE ('',( #12782 ) ) ; +#11992 = SURFACE_STYLE_USAGE ( .BOTH. , #11991 ) ; +#11993 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#11994 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#11995 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#11996 = PLANE ( 'NONE', #10324 ) ; +#11997 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#11998 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#11999 = CARTESIAN_POINT ( 'NONE', ( -4.470000000000000600, 3.210000000000000000, -3.650000000000000400 ) ) ; +#12000 = FILL_AREA_STYLE_COLOUR ( '', #12795 ) ; +#12001 = FILL_AREA_STYLE ('',( #12000 ) ) ; +#12002 = SURFACE_SIDE_STYLE ('',( #12788 ) ) ; +#12003 = SURFACE_STYLE_USAGE ( .BOTH. , #12002 ) ; +#12004 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12005 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#12006 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#12007 = PLANE ( 'NONE', #10327 ) ; +#12008 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#12009 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#12010 = CARTESIAN_POINT ( 'NONE', ( -4.169999999999999900, 2.109999999999994500, -3.650000000000000400 ) ) ; +#12011 = FILL_AREA_STYLE_COLOUR ( '', #12801 ) ; +#12012 = FILL_AREA_STYLE ('',( #12011 ) ) ; +#12013 = SURFACE_SIDE_STYLE ('',( #12794 ) ) ; +#12014 = SURFACE_STYLE_USAGE ( .BOTH. , #12013 ) ; +#12015 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12016 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#12017 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#12018 = PLANE ( 'NONE', #10330 ) ; +#12019 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.188166764367676300E-016 ) ) ; +#12020 = DIRECTION ( 'NONE', ( -1.188166764367676300E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#12021 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#12022 = FILL_AREA_STYLE_COLOUR ( '', #12807 ) ; +#12023 = FILL_AREA_STYLE ('',( #12022 ) ) ; +#12024 = SURFACE_SIDE_STYLE ('',( #12800 ) ) ; +#12025 = SURFACE_STYLE_USAGE ( .BOTH. , #12024 ) ; +#12026 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12027 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#12028 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#12029 = PLANE ( 'NONE', #10333 ) ; +#12030 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#12031 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#12032 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#12033 = FILL_AREA_STYLE_COLOUR ( '', #12813 ) ; +#12034 = FILL_AREA_STYLE ('',( #12033 ) ) ; +#12035 = SURFACE_SIDE_STYLE ('',( #12806 ) ) ; +#12036 = SURFACE_STYLE_USAGE ( .BOTH. , #12035 ) ; +#12037 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12038 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#12039 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#12040 = PLANE ( 'NONE', #10336 ) ; +#12041 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#12042 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#12043 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#12044 = FILL_AREA_STYLE_COLOUR ( '', #12819 ) ; +#12045 = FILL_AREA_STYLE ('',( #12044 ) ) ; +#12046 = SURFACE_SIDE_STYLE ('',( #12812 ) ) ; +#12047 = SURFACE_STYLE_USAGE ( .BOTH. , #12046 ) ; +#12048 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12049 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#12050 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#12051 = PLANE ( 'NONE', #10339 ) ; +#12052 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#12053 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#12054 = CARTESIAN_POINT ( 'NONE', ( 2.929999999999993900, 0.4099999999999999200, -8.729904482840639800 ) ) ; +#12055 = FILL_AREA_STYLE_COLOUR ( '', #12825 ) ; +#12056 = FILL_AREA_STYLE ('',( #12055 ) ) ; +#12057 = SURFACE_SIDE_STYLE ('',( #12818 ) ) ; +#12058 = SURFACE_STYLE_USAGE ( .BOTH. , #12057 ) ; +#12059 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12060 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#12061 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#12062 = PLANE ( 'NONE', #10342 ) ; +#12063 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#12064 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#12065 = CARTESIAN_POINT ( 'NONE', ( 3.329999999999993900, 0.4099999999999999200, -8.729904482840639800 ) ) ; +#12066 = FILL_AREA_STYLE_COLOUR ( '', #12831 ) ; +#12067 = FILL_AREA_STYLE ('',( #12066 ) ) ; +#12068 = SURFACE_SIDE_STYLE ('',( #12824 ) ) ; +#12069 = SURFACE_STYLE_USAGE ( .BOTH. , #12068 ) ; +#12070 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12071 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#12072 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#12073 = PLANE ( 'NONE', #10345 ) ; +#12074 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 9.237183497387701400E-016 ) ) ; +#12075 = DIRECTION ( 'NONE', ( 9.237183497387701400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#12076 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -4.250000000000000900 ) ) ; +#12077 = FILL_AREA_STYLE_COLOUR ( '', #12837 ) ; +#12078 = FILL_AREA_STYLE ('',( #12077 ) ) ; +#12079 = SURFACE_SIDE_STYLE ('',( #12830 ) ) ; +#12080 = SURFACE_STYLE_USAGE ( .BOTH. , #12079 ) ; +#12081 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12082 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#12083 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#12084 = PLANE ( 'NONE', #10348 ) ; +#12085 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 9.237183497387701400E-016 ) ) ; +#12086 = DIRECTION ( 'NONE', ( 9.237183497387701400E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#12087 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.4099999999999999200, -8.729904482840636200 ) ) ; +#12088 = FILL_AREA_STYLE_COLOUR ( '', #12843 ) ; +#12089 = FILL_AREA_STYLE ('',( #12088 ) ) ; +#12090 = SURFACE_SIDE_STYLE ('',( #12836 ) ) ; +#12091 = SURFACE_STYLE_USAGE ( .BOTH. , #12090 ) ; +#12092 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12093 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#12094 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#12095 = PLANE ( 'NONE', #10351 ) ; +#12096 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#12097 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#12098 = CARTESIAN_POINT ( 'NONE', ( 7.660317738932358600E-016, 0.009999999999999972500, -8.729904482840636200 ) ) ; +#12099 = FILL_AREA_STYLE_COLOUR ( '', #12849 ) ; +#12100 = FILL_AREA_STYLE ('',( #12099 ) ) ; +#12101 = SURFACE_SIDE_STYLE ('',( #12842 ) ) ; +#12102 = SURFACE_STYLE_USAGE ( .BOTH. , #12101 ) ; +#12103 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12104 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#12105 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#12106 = PLANE ( 'NONE', #10354 ) ; +#12107 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#12108 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#12109 = FILL_AREA_STYLE_COLOUR ( '', #12855 ) ; +#12110 = FILL_AREA_STYLE ('',( #12109 ) ) ; +#12111 = SURFACE_SIDE_STYLE ('',( #12848 ) ) ; +#12112 = SURFACE_STYLE_USAGE ( .BOTH. , #12111 ) ; +#12113 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#12114 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10781, 'distance_accuracy_value', 'NONE'); +#12115 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10791, 'distance_accuracy_value', 'NONE'); +#12116 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10801, 'distance_accuracy_value', 'NONE'); +#12117 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10812, 'distance_accuracy_value', 'NONE'); +#12118 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10823, 'distance_accuracy_value', 'NONE'); +#12119 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10834, 'distance_accuracy_value', 'NONE'); +#12120 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10844, 'distance_accuracy_value', 'NONE'); +#12121 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10855, 'distance_accuracy_value', 'NONE'); +#12122 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10866, 'distance_accuracy_value', 'NONE'); +#12123 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10877, 'distance_accuracy_value', 'NONE'); +#12124 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10888, 'distance_accuracy_value', 'NONE'); +#12125 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10899, 'distance_accuracy_value', 'NONE'); +#12126 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10910, 'distance_accuracy_value', 'NONE'); +#12127 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10921, 'distance_accuracy_value', 'NONE'); +#12128 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10932, 'distance_accuracy_value', 'NONE'); +#12129 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10943, 'distance_accuracy_value', 'NONE'); +#12130 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10954, 'distance_accuracy_value', 'NONE'); +#12131 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10965, 'distance_accuracy_value', 'NONE'); +#12132 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10976, 'distance_accuracy_value', 'NONE'); +#12133 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10987, 'distance_accuracy_value', 'NONE'); +#12134 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #10998, 'distance_accuracy_value', 'NONE'); +#12135 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11009, 'distance_accuracy_value', 'NONE'); +#12136 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11020, 'distance_accuracy_value', 'NONE'); +#12137 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11031, 'distance_accuracy_value', 'NONE'); +#12138 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11042, 'distance_accuracy_value', 'NONE'); +#12139 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11052, 'distance_accuracy_value', 'NONE'); +#12140 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11062, 'distance_accuracy_value', 'NONE'); +#12141 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11073, 'distance_accuracy_value', 'NONE'); +#12142 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11083, 'distance_accuracy_value', 'NONE'); +#12143 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11093, 'distance_accuracy_value', 'NONE'); +#12144 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11104, 'distance_accuracy_value', 'NONE'); +#12145 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11114, 'distance_accuracy_value', 'NONE'); +#12146 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11125, 'distance_accuracy_value', 'NONE'); +#12147 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11135, 'distance_accuracy_value', 'NONE'); +#12148 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11146, 'distance_accuracy_value', 'NONE'); +#12149 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11157, 'distance_accuracy_value', 'NONE'); +#12150 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11168, 'distance_accuracy_value', 'NONE'); +#12151 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11179, 'distance_accuracy_value', 'NONE'); +#12152 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11189, 'distance_accuracy_value', 'NONE'); +#12153 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11200, 'distance_accuracy_value', 'NONE'); +#12154 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11210, 'distance_accuracy_value', 'NONE'); +#12155 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11220, 'distance_accuracy_value', 'NONE'); +#12156 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11231, 'distance_accuracy_value', 'NONE'); +#12157 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11241, 'distance_accuracy_value', 'NONE'); +#12158 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11251, 'distance_accuracy_value', 'NONE'); +#12159 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11261, 'distance_accuracy_value', 'NONE'); +#12160 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11272, 'distance_accuracy_value', 'NONE'); +#12161 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11283, 'distance_accuracy_value', 'NONE'); +#12162 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11294, 'distance_accuracy_value', 'NONE'); +#12163 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11305, 'distance_accuracy_value', 'NONE'); +#12164 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11316, 'distance_accuracy_value', 'NONE'); +#12165 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11327, 'distance_accuracy_value', 'NONE'); +#12166 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11338, 'distance_accuracy_value', 'NONE'); +#12167 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11349, 'distance_accuracy_value', 'NONE'); +#12168 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11360, 'distance_accuracy_value', 'NONE'); +#12169 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11371, 'distance_accuracy_value', 'NONE'); +#12170 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11382, 'distance_accuracy_value', 'NONE'); +#12171 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11393, 'distance_accuracy_value', 'NONE'); +#12172 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11404, 'distance_accuracy_value', 'NONE'); +#12173 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11415, 'distance_accuracy_value', 'NONE'); +#12174 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11426, 'distance_accuracy_value', 'NONE'); +#12175 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11437, 'distance_accuracy_value', 'NONE'); +#12176 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11448, 'distance_accuracy_value', 'NONE'); +#12177 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11459, 'distance_accuracy_value', 'NONE'); +#12178 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11470, 'distance_accuracy_value', 'NONE'); +#12179 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11481, 'distance_accuracy_value', 'NONE'); +#12180 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11492, 'distance_accuracy_value', 'NONE'); +#12181 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11503, 'distance_accuracy_value', 'NONE'); +#12182 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11514, 'distance_accuracy_value', 'NONE'); +#12183 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11525, 'distance_accuracy_value', 'NONE'); +#12184 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11536, 'distance_accuracy_value', 'NONE'); +#12185 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11547, 'distance_accuracy_value', 'NONE'); +#12186 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11558, 'distance_accuracy_value', 'NONE'); +#12187 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11569, 'distance_accuracy_value', 'NONE'); +#12188 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11580, 'distance_accuracy_value', 'NONE'); +#12189 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11591, 'distance_accuracy_value', 'NONE'); +#12190 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11602, 'distance_accuracy_value', 'NONE'); +#12191 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11613, 'distance_accuracy_value', 'NONE'); +#12192 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11624, 'distance_accuracy_value', 'NONE'); +#12193 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11635, 'distance_accuracy_value', 'NONE'); +#12194 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11646, 'distance_accuracy_value', 'NONE'); +#12195 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11657, 'distance_accuracy_value', 'NONE'); +#12196 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11668, 'distance_accuracy_value', 'NONE'); +#12197 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11679, 'distance_accuracy_value', 'NONE'); +#12198 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11690, 'distance_accuracy_value', 'NONE'); +#12199 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11701, 'distance_accuracy_value', 'NONE'); +#12200 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11712, 'distance_accuracy_value', 'NONE'); +#12201 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11723, 'distance_accuracy_value', 'NONE'); +#12202 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11734, 'distance_accuracy_value', 'NONE'); +#12203 = PRESENTATION_STYLE_ASSIGNMENT (( #11082 ) ) ; +#12204 = STYLED_ITEM ( 'NONE', ( #12203 ), #4835 ) ; +#12205 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12142 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11083, #11084, #11085 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12206 = FACE_OUTER_BOUND ( 'NONE', #4960, .T. ) ; +#12207 = CYLINDRICAL_SURFACE ( 'NONE', #10075, 0.1000000000000002600 ) ; +#12208 = SURFACE_STYLE_FILL_AREA ( #11101 ) ; +#12209 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12210 = PRESENTATION_STYLE_ASSIGNMENT (( #11092 ) ) ; +#12211 = STYLED_ITEM ( 'NONE', ( #12210 ), #4836 ) ; +#12212 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12143 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11093, #11094, #11095 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12213 = CYLINDRICAL_SURFACE ( 'NONE', #10096, 0.1000000000000002600 ) ; +#12214 = SURFACE_STYLE_FILL_AREA ( #11111 ) ; +#12215 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12216 = PRESENTATION_STYLE_ASSIGNMENT (( #11103 ) ) ; +#12217 = STYLED_ITEM ( 'NONE', ( #12216 ), #4837 ) ; +#12218 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12144 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11104, #11105, #11106 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12219 = FACE_OUTER_BOUND ( 'NONE', #4970, .T. ) ; +#12220 = FACE_OUTER_BOUND ( 'NONE', #4973, .T. ) ; +#12221 = CYLINDRICAL_SURFACE ( 'NONE', #10081, 0.1000000000000002600 ) ; +#12222 = SURFACE_STYLE_FILL_AREA ( #11122 ) ; +#12223 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12224 = PRESENTATION_STYLE_ASSIGNMENT (( #11113 ) ) ; +#12225 = STYLED_ITEM ( 'NONE', ( #12224 ), #4838 ) ; +#12226 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12145 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11114, #11115, #11116 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12227 = FACE_OUTER_BOUND ( 'NONE', #4974, .T. ) ; +#12228 = SURFACE_STYLE_FILL_AREA ( #11132 ) ; +#12229 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12230 = PRESENTATION_STYLE_ASSIGNMENT (( #11124 ) ) ; +#12231 = STYLED_ITEM ( 'NONE', ( #12230 ), #4839 ) ; +#12232 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12146 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11125, #11126, #11127 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12233 = FACE_OUTER_BOUND ( 'NONE', #4975, .T. ) ; +#12234 = CYLINDRICAL_SURFACE ( 'NONE', #10105, 0.2500000000000002200 ) ; +#12235 = SURFACE_STYLE_FILL_AREA ( #11143 ) ; +#12236 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12237 = PRESENTATION_STYLE_ASSIGNMENT (( #11134 ) ) ; +#12238 = STYLED_ITEM ( 'NONE', ( #12237 ), #4840 ) ; +#12239 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12147 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11135, #11136, #11137 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12240 = FACE_OUTER_BOUND ( 'NONE', #4961, .T. ) ; +#12241 = SURFACE_STYLE_FILL_AREA ( #11154 ) ; +#12242 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12243 = PRESENTATION_STYLE_ASSIGNMENT (( #11145 ) ) ; +#12244 = STYLED_ITEM ( 'NONE', ( #12243 ), #4841 ) ; +#12245 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12148 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11146, #11147, #11148 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12246 = FACE_OUTER_BOUND ( 'NONE', #4972, .T. ) ; +#12247 = SURFACE_STYLE_FILL_AREA ( #11165 ) ; +#12248 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12249 = PRESENTATION_STYLE_ASSIGNMENT (( #11156 ) ) ; +#12250 = STYLED_ITEM ( 'NONE', ( #12249 ), #4842 ) ; +#12251 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12149 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11157, #11158, #11159 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12252 = FACE_OUTER_BOUND ( 'NONE', #4978, .T. ) ; +#12253 = SURFACE_STYLE_FILL_AREA ( #11176 ) ; +#12254 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12255 = PRESENTATION_STYLE_ASSIGNMENT (( #11167 ) ) ; +#12256 = STYLED_ITEM ( 'NONE', ( #12255 ), #4843 ) ; +#12257 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12150 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11168, #11169, #11170 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12258 = FACE_OUTER_BOUND ( 'NONE', #4979, .T. ) ; +#12259 = SURFACE_STYLE_FILL_AREA ( #11186 ) ; +#12260 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12261 = PRESENTATION_STYLE_ASSIGNMENT (( #11178 ) ) ; +#12262 = STYLED_ITEM ( 'NONE', ( #12261 ), #4844 ) ; +#12263 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12151 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11179, #11180, #11181 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12264 = FACE_OUTER_BOUND ( 'NONE', #4980, .T. ) ; +#12265 = CYLINDRICAL_SURFACE ( 'NONE', #10102, 0.1000000000000002600 ) ; +#12266 = SURFACE_STYLE_FILL_AREA ( #11197 ) ; +#12267 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12268 = PRESENTATION_STYLE_ASSIGNMENT (( #11188 ) ) ; +#12269 = STYLED_ITEM ( 'NONE', ( #12268 ), #4845 ) ; +#12270 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12152 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11189, #11190, #11191 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12271 = FACE_OUTER_BOUND ( 'NONE', #4967, .T. ) ; +#12272 = SURFACE_STYLE_FILL_AREA ( #11207 ) ; +#12273 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12274 = PRESENTATION_STYLE_ASSIGNMENT (( #11199 ) ) ; +#12275 = STYLED_ITEM ( 'NONE', ( #12274 ), #4846 ) ; +#12276 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12153 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11200, #11201, #11202 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12277 = FACE_OUTER_BOUND ( 'NONE', #4977, .T. ) ; +#12278 = TOROIDAL_SURFACE ( 'NONE', #10103, 0.1500000000000004400, 0.1000000000000000100 ) ; +#12279 = SURFACE_STYLE_FILL_AREA ( #11217 ) ; +#12280 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12281 = PRESENTATION_STYLE_ASSIGNMENT (( #11209 ) ) ; +#12282 = STYLED_ITEM ( 'NONE', ( #12281 ), #4847 ) ; +#12283 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12154 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11210, #11211, #11212 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12284 = FACE_OUTER_BOUND ( 'NONE', #4981, .T. ) ; +#12285 = CYLINDRICAL_SURFACE ( 'NONE', #10111, 0.2500000000000002200 ) ; +#12286 = SURFACE_STYLE_FILL_AREA ( #11228 ) ; +#12287 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12288 = PRESENTATION_STYLE_ASSIGNMENT (( #11219 ) ) ; +#12289 = STYLED_ITEM ( 'NONE', ( #12288 ), #4848 ) ; +#12290 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12155 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11220, #11221, #11222 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12291 = FACE_OUTER_BOUND ( 'NONE', #4983, .T. ) ; +#12292 = SURFACE_STYLE_FILL_AREA ( #11238 ) ; +#12293 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12294 = PRESENTATION_STYLE_ASSIGNMENT (( #11230 ) ) ; +#12295 = STYLED_ITEM ( 'NONE', ( #12294 ), #4849 ) ; +#12296 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12156 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11231, #11232, #11233 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12297 = FACE_OUTER_BOUND ( 'NONE', #4982, .T. ) ; +#12298 = FACE_OUTER_BOUND ( 'NONE', #4985, .T. ) ; +#12299 = SURFACE_STYLE_FILL_AREA ( #11248 ) ; +#12300 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12301 = PRESENTATION_STYLE_ASSIGNMENT (( #11240 ) ) ; +#12302 = STYLED_ITEM ( 'NONE', ( #12301 ), #4850 ) ; +#12303 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12157 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11241, #11242, #11243 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12304 = FACE_OUTER_BOUND ( 'NONE', #4986, .T. ) ; +#12305 = SURFACE_STYLE_FILL_AREA ( #11258 ) ; +#12306 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12307 = PRESENTATION_STYLE_ASSIGNMENT (( #11250 ) ) ; +#12308 = STYLED_ITEM ( 'NONE', ( #12307 ), #4851 ) ; +#12309 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12158 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11251, #11252, #11253 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12310 = TOROIDAL_SURFACE ( 'NONE', #10109, 0.1500000000000002700, 0.1000000000000000100 ) ; +#12311 = SURFACE_STYLE_FILL_AREA ( #11269 ) ; +#12312 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12313 = PRESENTATION_STYLE_ASSIGNMENT (( #11260 ) ) ; +#12314 = STYLED_ITEM ( 'NONE', ( #12313 ), #4852 ) ; +#12315 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12159 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11261, #11262, #11263 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12316 = FACE_OUTER_BOUND ( 'NONE', #4987, .T. ) ; +#12317 = SURFACE_STYLE_FILL_AREA ( #11533 ) ; +#12318 = SURFACE_STYLE_FILL_AREA ( #11280 ) ; +#12319 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12320 = PRESENTATION_STYLE_ASSIGNMENT (( #11271 ) ) ; +#12321 = STYLED_ITEM ( 'NONE', ( #12320 ), #4853 ) ; +#12322 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12160 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11272, #11273, #11274 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12323 = FACE_OUTER_BOUND ( 'NONE', #4984, .T. ) ; +#12324 = SURFACE_STYLE_FILL_AREA ( #11291 ) ; +#12325 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12326 = PRESENTATION_STYLE_ASSIGNMENT (( #11282 ) ) ; +#12327 = STYLED_ITEM ( 'NONE', ( #12326 ), #4854 ) ; +#12328 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12161 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11283, #11284, #11285 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12329 = FACE_OUTER_BOUND ( 'NONE', #4989, .T. ) ; +#12330 = SURFACE_STYLE_FILL_AREA ( #11302 ) ; +#12331 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12332 = PRESENTATION_STYLE_ASSIGNMENT (( #11293 ) ) ; +#12333 = STYLED_ITEM ( 'NONE', ( #12332 ), #4855 ) ; +#12334 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12162 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11294, #11295, #11296 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12335 = FACE_OUTER_BOUND ( 'NONE', #4988, .T. ) ; +#12336 = SURFACE_STYLE_FILL_AREA ( #11313 ) ; +#12337 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12338 = PRESENTATION_STYLE_ASSIGNMENT (( #11304 ) ) ; +#12339 = STYLED_ITEM ( 'NONE', ( #12338 ), #4856 ) ; +#12340 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12163 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11305, #11306, #11307 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12341 = FACE_OUTER_BOUND ( 'NONE', #4991, .T. ) ; +#12342 = SURFACE_STYLE_FILL_AREA ( #11324 ) ; +#12343 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12344 = PRESENTATION_STYLE_ASSIGNMENT (( #11315 ) ) ; +#12345 = STYLED_ITEM ( 'NONE', ( #12344 ), #4857 ) ; +#12346 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12164 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11316, #11317, #11318 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12347 = FACE_OUTER_BOUND ( 'NONE', #4993, .T. ) ; +#12348 = SURFACE_STYLE_FILL_AREA ( #11335 ) ; +#12349 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12350 = PRESENTATION_STYLE_ASSIGNMENT (( #11326 ) ) ; +#12351 = STYLED_ITEM ( 'NONE', ( #12350 ), #4858 ) ; +#12352 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12165 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11327, #11328, #11329 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12353 = FACE_OUTER_BOUND ( 'NONE', #4990, .T. ) ; +#12354 = SURFACE_STYLE_FILL_AREA ( #11346 ) ; +#12355 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12356 = PRESENTATION_STYLE_ASSIGNMENT (( #11337 ) ) ; +#12357 = STYLED_ITEM ( 'NONE', ( #12356 ), #4859 ) ; +#12358 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12166 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11338, #11339, #11340 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12359 = FACE_OUTER_BOUND ( 'NONE', #4994, .T. ) ; +#12360 = SURFACE_STYLE_FILL_AREA ( #11357 ) ; +#12361 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12362 = PRESENTATION_STYLE_ASSIGNMENT (( #11348 ) ) ; +#12363 = STYLED_ITEM ( 'NONE', ( #12362 ), #4860 ) ; +#12364 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12167 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11349, #11350, #11351 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12365 = FACE_OUTER_BOUND ( 'NONE', #4992, .T. ) ; +#12366 = SURFACE_STYLE_FILL_AREA ( #11368 ) ; +#12367 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12368 = PRESENTATION_STYLE_ASSIGNMENT (( #11359 ) ) ; +#12369 = STYLED_ITEM ( 'NONE', ( #12368 ), #4861 ) ; +#12370 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12168 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11360, #11361, #11362 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12371 = FACE_OUTER_BOUND ( 'NONE', #4996, .T. ) ; +#12372 = SURFACE_STYLE_FILL_AREA ( #11379 ) ; +#12373 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12374 = PRESENTATION_STYLE_ASSIGNMENT (( #11370 ) ) ; +#12375 = STYLED_ITEM ( 'NONE', ( #12374 ), #4862 ) ; +#12376 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12169 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11371, #11372, #11373 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12377 = FACE_OUTER_BOUND ( 'NONE', #4998, .T. ) ; +#12378 = SURFACE_STYLE_FILL_AREA ( #11390 ) ; +#12379 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12380 = PRESENTATION_STYLE_ASSIGNMENT (( #11381 ) ) ; +#12381 = STYLED_ITEM ( 'NONE', ( #12380 ), #4863 ) ; +#12382 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12170 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11382, #11383, #11384 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12383 = FACE_OUTER_BOUND ( 'NONE', #4995, .T. ) ; +#12384 = SURFACE_STYLE_FILL_AREA ( #11401 ) ; +#12385 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12386 = PRESENTATION_STYLE_ASSIGNMENT (( #11392 ) ) ; +#12387 = STYLED_ITEM ( 'NONE', ( #12386 ), #4864 ) ; +#12388 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12171 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11393, #11394, #11395 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12389 = FACE_OUTER_BOUND ( 'NONE', #4999, .T. ) ; +#12390 = SURFACE_STYLE_FILL_AREA ( #11412 ) ; +#12391 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12392 = PRESENTATION_STYLE_ASSIGNMENT (( #11403 ) ) ; +#12393 = STYLED_ITEM ( 'NONE', ( #12392 ), #4865 ) ; +#12394 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12172 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11404, #11405, #11406 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12395 = FACE_OUTER_BOUND ( 'NONE', #4997, .T. ) ; +#12396 = SURFACE_STYLE_FILL_AREA ( #11423 ) ; +#12397 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12398 = PRESENTATION_STYLE_ASSIGNMENT (( #11414 ) ) ; +#12399 = STYLED_ITEM ( 'NONE', ( #12398 ), #4866 ) ; +#12400 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12173 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11415, #11416, #11417 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12401 = FACE_OUTER_BOUND ( 'NONE', #5001, .T. ) ; +#12402 = SURFACE_STYLE_FILL_AREA ( #11434 ) ; +#12403 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12404 = PRESENTATION_STYLE_ASSIGNMENT (( #11425 ) ) ; +#12405 = STYLED_ITEM ( 'NONE', ( #12404 ), #4867 ) ; +#12406 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12174 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11426, #11427, #11428 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12407 = FACE_OUTER_BOUND ( 'NONE', #5003, .T. ) ; +#12408 = SURFACE_STYLE_FILL_AREA ( #11445 ) ; +#12409 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12410 = PRESENTATION_STYLE_ASSIGNMENT (( #11436 ) ) ; +#12411 = STYLED_ITEM ( 'NONE', ( #12410 ), #4868 ) ; +#12412 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12175 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11437, #11438, #11439 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12413 = FACE_OUTER_BOUND ( 'NONE', #5000, .T. ) ; +#12414 = SURFACE_STYLE_FILL_AREA ( #11456 ) ; +#12415 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12416 = PRESENTATION_STYLE_ASSIGNMENT (( #11447 ) ) ; +#12417 = STYLED_ITEM ( 'NONE', ( #12416 ), #4869 ) ; +#12418 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12176 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11448, #11449, #11450 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12419 = FACE_OUTER_BOUND ( 'NONE', #5004, .T. ) ; +#12420 = SURFACE_STYLE_FILL_AREA ( #11467 ) ; +#12421 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12422 = PRESENTATION_STYLE_ASSIGNMENT (( #11458 ) ) ; +#12423 = STYLED_ITEM ( 'NONE', ( #12422 ), #4870 ) ; +#12424 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12177 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11459, #11460, #11461 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12425 = FACE_OUTER_BOUND ( 'NONE', #5002, .T. ) ; +#12426 = SURFACE_STYLE_FILL_AREA ( #11478 ) ; +#12427 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12428 = PRESENTATION_STYLE_ASSIGNMENT (( #11469 ) ) ; +#12429 = STYLED_ITEM ( 'NONE', ( #12428 ), #4871 ) ; +#12430 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12178 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11470, #11471, #11472 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12431 = FACE_OUTER_BOUND ( 'NONE', #5006, .T. ) ; +#12432 = SURFACE_STYLE_FILL_AREA ( #11489 ) ; +#12433 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12434 = PRESENTATION_STYLE_ASSIGNMENT (( #11480 ) ) ; +#12435 = STYLED_ITEM ( 'NONE', ( #12434 ), #4872 ) ; +#12436 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12179 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11481, #11482, #11483 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12437 = FACE_OUTER_BOUND ( 'NONE', #5008, .T. ) ; +#12438 = SURFACE_STYLE_FILL_AREA ( #11500 ) ; +#12439 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12440 = PRESENTATION_STYLE_ASSIGNMENT (( #11491 ) ) ; +#12441 = STYLED_ITEM ( 'NONE', ( #12440 ), #4873 ) ; +#12442 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12180 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11492, #11493, #11494 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12443 = FACE_OUTER_BOUND ( 'NONE', #5005, .T. ) ; +#12444 = SURFACE_STYLE_FILL_AREA ( #11511 ) ; +#12445 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12446 = PRESENTATION_STYLE_ASSIGNMENT (( #11502 ) ) ; +#12447 = STYLED_ITEM ( 'NONE', ( #12446 ), #4874 ) ; +#12448 = PRODUCT_DEFINITION_CONTEXT ( 'detailed design', #658, 'design' ) ; +#12449 = PRODUCT_DEFINITION_SHAPE ( 'NONE', 'NONE', #10477 ) ; +#12450 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12181 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11503, #11504, #11505 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12451 = FACE_OUTER_BOUND ( 'NONE', #1426, .T. ) ; +#12452 = SURFACE_STYLE_FILL_AREA ( #11522 ) ; +#12453 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12454 = PRESENTATION_STYLE_ASSIGNMENT (( #11513 ) ) ; +#12455 = STYLED_ITEM ( 'NONE', ( #12454 ), #4875 ) ; +#12456 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12182 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11514, #11515, #11516 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12457 = FACE_OUTER_BOUND ( 'NONE', #5009, .T. ) ; +#12458 = FACE_OUTER_BOUND ( 'NONE', #5007, .T. ) ; +#12459 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12460 = PRESENTATION_STYLE_ASSIGNMENT (( #11524 ) ) ; +#12461 = STYLED_ITEM ( 'NONE', ( #12460 ), #4876 ) ; +#12462 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12183 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11525, #11526, #11527 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12463 = FACE_OUTER_BOUND ( 'NONE', #5011, .T. ) ; +#12464 = SURFACE_STYLE_FILL_AREA ( #11544 ) ; +#12465 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12466 = PRESENTATION_STYLE_ASSIGNMENT (( #11535 ) ) ; +#12467 = STYLED_ITEM ( 'NONE', ( #12466 ), #4877 ) ; +#12468 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12184 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11536, #11537, #11538 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12469 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12470 = SURFACE_STYLE_FILL_AREA ( #11555 ) ; +#12471 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12472 = PRESENTATION_STYLE_ASSIGNMENT (( #11546 ) ) ; +#12473 = STYLED_ITEM ( 'NONE', ( #12472 ), #4878 ) ; +#12474 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12185 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11547, #11548, #11549 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12475 = FACE_OUTER_BOUND ( 'NONE', #5013, .T. ) ; +#12476 = FACE_OUTER_BOUND ( 'NONE', #5010, .T. ) ; +#12477 = SURFACE_STYLE_FILL_AREA ( #11566 ) ; +#12478 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12479 = PRESENTATION_STYLE_ASSIGNMENT (( #11557 ) ) ; +#12480 = STYLED_ITEM ( 'NONE', ( #12479 ), #4879 ) ; +#12481 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12186 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11558, #11559, #11560 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12482 = FACE_OUTER_BOUND ( 'NONE', #5014, .T. ) ; +#12483 = SURFACE_STYLE_FILL_AREA ( #11577 ) ; +#12484 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12485 = PRESENTATION_STYLE_ASSIGNMENT (( #11568 ) ) ; +#12486 = STYLED_ITEM ( 'NONE', ( #12485 ), #4880 ) ; +#12487 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12187 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11569, #11570, #11571 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12488 = FACE_OUTER_BOUND ( 'NONE', #5012, .T. ) ; +#12489 = SURFACE_STYLE_FILL_AREA ( #11588 ) ; +#12490 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12491 = PRESENTATION_STYLE_ASSIGNMENT (( #11579 ) ) ; +#12492 = STYLED_ITEM ( 'NONE', ( #12491 ), #4881 ) ; +#12493 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12188 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11580, #11581, #11582 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12494 = FACE_OUTER_BOUND ( 'NONE', #5016, .T. ) ; +#12495 = SURFACE_STYLE_FILL_AREA ( #11599 ) ; +#12496 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12497 = PRESENTATION_STYLE_ASSIGNMENT (( #11590 ) ) ; +#12498 = STYLED_ITEM ( 'NONE', ( #12497 ), #4882 ) ; +#12499 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12189 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11591, #11592, #11593 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12500 = FACE_OUTER_BOUND ( 'NONE', #5018, .T. ) ; +#12501 = SURFACE_STYLE_FILL_AREA ( #11610 ) ; +#12502 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12503 = PRESENTATION_STYLE_ASSIGNMENT (( #11601 ) ) ; +#12504 = STYLED_ITEM ( 'NONE', ( #12503 ), #4883 ) ; +#12505 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12190 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11602, #11603, #11604 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12506 = FACE_OUTER_BOUND ( 'NONE', #5015, .T. ) ; +#12507 = SURFACE_STYLE_FILL_AREA ( #11621 ) ; +#12508 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12509 = PRESENTATION_STYLE_ASSIGNMENT (( #11612 ) ) ; +#12510 = STYLED_ITEM ( 'NONE', ( #12509 ), #4884 ) ; +#12511 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12191 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11613, #11614, #11615 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12512 = FACE_OUTER_BOUND ( 'NONE', #5019, .T. ) ; +#12513 = SURFACE_STYLE_FILL_AREA ( #11632 ) ; +#12514 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12515 = PRESENTATION_STYLE_ASSIGNMENT (( #11623 ) ) ; +#12516 = STYLED_ITEM ( 'NONE', ( #12515 ), #4885 ) ; +#12517 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12192 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11624, #11625, #11626 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12518 = FACE_OUTER_BOUND ( 'NONE', #5017, .T. ) ; +#12519 = SURFACE_STYLE_FILL_AREA ( #11643 ) ; +#12520 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12521 = PRESENTATION_STYLE_ASSIGNMENT (( #11634 ) ) ; +#12522 = STYLED_ITEM ( 'NONE', ( #12521 ), #4886 ) ; +#12523 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12193 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11635, #11636, #11637 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12524 = FACE_OUTER_BOUND ( 'NONE', #5021, .T. ) ; +#12525 = SURFACE_STYLE_FILL_AREA ( #11654 ) ; +#12526 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12527 = PRESENTATION_STYLE_ASSIGNMENT (( #11645 ) ) ; +#12528 = STYLED_ITEM ( 'NONE', ( #12527 ), #4887 ) ; +#12529 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12194 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11646, #11647, #11648 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12530 = FACE_OUTER_BOUND ( 'NONE', #5023, .T. ) ; +#12531 = SURFACE_STYLE_FILL_AREA ( #11665 ) ; +#12532 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12533 = PRESENTATION_STYLE_ASSIGNMENT (( #11656 ) ) ; +#12534 = STYLED_ITEM ( 'NONE', ( #12533 ), #4888 ) ; +#12535 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12195 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11657, #11658, #11659 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12536 = FACE_OUTER_BOUND ( 'NONE', #5020, .T. ) ; +#12537 = SURFACE_STYLE_FILL_AREA ( #11676 ) ; +#12538 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12539 = PRESENTATION_STYLE_ASSIGNMENT (( #11667 ) ) ; +#12540 = STYLED_ITEM ( 'NONE', ( #12539 ), #4889 ) ; +#12541 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12196 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11668, #11669, #11670 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12542 = FACE_OUTER_BOUND ( 'NONE', #5024, .T. ) ; +#12543 = SURFACE_STYLE_FILL_AREA ( #11687 ) ; +#12544 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12545 = PRESENTATION_STYLE_ASSIGNMENT (( #11678 ) ) ; +#12546 = STYLED_ITEM ( 'NONE', ( #12545 ), #4890 ) ; +#12547 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12197 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11679, #11680, #11681 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12548 = FACE_OUTER_BOUND ( 'NONE', #5022, .T. ) ; +#12549 = SURFACE_STYLE_FILL_AREA ( #11698 ) ; +#12550 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12551 = PRESENTATION_STYLE_ASSIGNMENT (( #11689 ) ) ; +#12552 = STYLED_ITEM ( 'NONE', ( #12551 ), #4891 ) ; +#12553 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12198 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11690, #11691, #11692 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12554 = FACE_OUTER_BOUND ( 'NONE', #5026, .T. ) ; +#12555 = SURFACE_STYLE_FILL_AREA ( #11709 ) ; +#12556 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12557 = PRESENTATION_STYLE_ASSIGNMENT (( #11700 ) ) ; +#12558 = STYLED_ITEM ( 'NONE', ( #12557 ), #4892 ) ; +#12559 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12199 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11701, #11702, #11703 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12560 = FACE_OUTER_BOUND ( 'NONE', #5028, .T. ) ; +#12561 = SURFACE_STYLE_FILL_AREA ( #11720 ) ; +#12562 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12563 = PRESENTATION_STYLE_ASSIGNMENT (( #11711 ) ) ; +#12564 = STYLED_ITEM ( 'NONE', ( #12563 ), #4893 ) ; +#12565 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12200 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11712, #11713, #11714 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12566 = FACE_OUTER_BOUND ( 'NONE', #5025, .T. ) ; +#12567 = SURFACE_STYLE_FILL_AREA ( #11731 ) ; +#12568 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12569 = PRESENTATION_STYLE_ASSIGNMENT (( #11722 ) ) ; +#12570 = STYLED_ITEM ( 'NONE', ( #12569 ), #4894 ) ; +#12571 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12201 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11723, #11724, #11725 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12572 = FACE_OUTER_BOUND ( 'NONE', #5029, .T. ) ; +#12573 = SURFACE_STYLE_FILL_AREA ( #11742 ) ; +#12574 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12575 = PRESENTATION_STYLE_ASSIGNMENT (( #11733 ) ) ; +#12576 = STYLED_ITEM ( 'NONE', ( #12575 ), #4895 ) ; +#12577 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12202 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11734, #11735, #11736 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12578 = FACE_OUTER_BOUND ( 'NONE', #5027, .T. ) ; +#12579 = SURFACE_STYLE_FILL_AREA ( #11753 ) ; +#12580 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12581 = PRESENTATION_STYLE_ASSIGNMENT (( #11744 ) ) ; +#12582 = STYLED_ITEM ( 'NONE', ( #12581 ), #4896 ) ; +#12583 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12698 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11745, #11746, #11747 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12584 = FACE_OUTER_BOUND ( 'NONE', #5031, .T. ) ; +#12585 = SURFACE_STYLE_FILL_AREA ( #11764 ) ; +#12586 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12587 = PRESENTATION_STYLE_ASSIGNMENT (( #11755 ) ) ; +#12588 = STYLED_ITEM ( 'NONE', ( #12587 ), #4897 ) ; +#12589 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12699 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11756, #11757, #11758 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12590 = FACE_OUTER_BOUND ( 'NONE', #5033, .T. ) ; +#12591 = SURFACE_STYLE_FILL_AREA ( #11775 ) ; +#12592 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12593 = PRESENTATION_STYLE_ASSIGNMENT (( #11766 ) ) ; +#12594 = STYLED_ITEM ( 'NONE', ( #12593 ), #4898 ) ; +#12595 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12700 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11767, #11768, #11769 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12596 = FACE_OUTER_BOUND ( 'NONE', #5030, .T. ) ; +#12597 = SURFACE_STYLE_FILL_AREA ( #11786 ) ; +#12598 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12599 = PRESENTATION_STYLE_ASSIGNMENT (( #11777 ) ) ; +#12600 = STYLED_ITEM ( 'NONE', ( #12599 ), #4899 ) ; +#12601 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12701 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11778, #11779, #11780 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12602 = FACE_OUTER_BOUND ( 'NONE', #5034, .T. ) ; +#12603 = SURFACE_STYLE_FILL_AREA ( #11797 ) ; +#12604 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12605 = PRESENTATION_STYLE_ASSIGNMENT (( #11788 ) ) ; +#12606 = STYLED_ITEM ( 'NONE', ( #12605 ), #4900 ) ; +#12607 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12702 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11789, #11790, #11791 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12608 = FACE_OUTER_BOUND ( 'NONE', #5032, .T. ) ; +#12609 = SURFACE_STYLE_FILL_AREA ( #11808 ) ; +#12610 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12611 = PRESENTATION_STYLE_ASSIGNMENT (( #11799 ) ) ; +#12612 = STYLED_ITEM ( 'NONE', ( #12611 ), #4901 ) ; +#12613 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12703 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11800, #11801, #11802 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12614 = FACE_OUTER_BOUND ( 'NONE', #5036, .T. ) ; +#12615 = SURFACE_STYLE_FILL_AREA ( #11819 ) ; +#12616 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12617 = PRESENTATION_STYLE_ASSIGNMENT (( #11810 ) ) ; +#12618 = STYLED_ITEM ( 'NONE', ( #12617 ), #4902 ) ; +#12619 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12704 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11811, #11812, #11813 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12620 = FACE_OUTER_BOUND ( 'NONE', #5037, .T. ) ; +#12621 = SURFACE_STYLE_FILL_AREA ( #11829 ) ; +#12622 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12623 = PRESENTATION_STYLE_ASSIGNMENT (( #11821 ) ) ; +#12624 = STYLED_ITEM ( 'NONE', ( #12623 ), #4903 ) ; +#12625 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12705 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11822, #11823, #11824 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12626 = FACE_BOUND ( 'NONE', #1446, .T. ) ; +#12627 = SURFACE_STYLE_FILL_AREA ( #11840 ) ; +#12628 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12629 = PRESENTATION_STYLE_ASSIGNMENT (( #11831 ) ) ; +#12630 = STYLED_ITEM ( 'NONE', ( #12629 ), #4904 ) ; +#12631 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12706 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11832, #11833, #11834 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12632 = FACE_BOUND ( 'NONE', #1422, .T. ) ; +#12633 = FACE_OUTER_BOUND ( 'NONE', #1442, .T. ) ; +#12634 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12635 = SURFACE_STYLE_FILL_AREA ( #11852 ) ; +#12636 = PRESENTATION_STYLE_ASSIGNMENT (( #11842 ) ) ; +#12637 = STYLED_ITEM ( 'NONE', ( #12636 ), #4905 ) ; +#12638 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12707 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11843, #11844, #11845 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12639 = FACE_BOUND ( 'NONE', #1417, .T. ) ; +#12640 = FACE_BOUND ( 'NONE', #1429, .T. ) ; +#12641 = SURFACE_STYLE_FILL_AREA ( #11873 ) ; +#12642 = SURFACE_STYLE_FILL_AREA ( #11863 ) ; +#12643 = PRESENTATION_STYLE_ASSIGNMENT (( #11854 ) ) ; +#12644 = STYLED_ITEM ( 'NONE', ( #12643 ), #4906 ) ; +#12645 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12708 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11855, #11856, #11857 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12646 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12647 = TOROIDAL_SURFACE ( 'NONE', #10120, 0.1500000000000002700, 0.1000000000000000100 ) ; +#12648 = FACE_OUTER_BOUND ( 'NONE', #1414, .T. ) ; +#12649 = FACE_OUTER_BOUND ( 'NONE', #1441, .T. ) ; +#12650 = FACE_OUTER_BOUND ( 'NONE', #1449, .T. ) ; +#12651 = PRESENTATION_STYLE_ASSIGNMENT (( #11865 ) ) ; +#12652 = STYLED_ITEM ( 'NONE', ( #12651 ), #4907 ) ; +#12653 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12709 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11866, #11867, #11868 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12654 = FACE_OUTER_BOUND ( 'NONE', #1423, .T. ) ; +#12655 = SURFACE_STYLE_FILL_AREA ( #11883 ) ; +#12656 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12657 = PRESENTATION_STYLE_ASSIGNMENT (( #11875 ) ) ; +#12658 = STYLED_ITEM ( 'NONE', ( #12657 ), #4908 ) ; +#12659 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12710 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11876, #11877, #11878 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12660 = CYLINDRICAL_SURFACE ( 'NONE', #10294, 0.07000000000000018700 ) ; +#12661 = TOROIDAL_SURFACE ( 'NONE', #10117, 0.1500000000000004400, 0.1000000000000000100 ) ; +#12662 = SURFACE_STYLE_FILL_AREA ( #11894 ) ; +#12663 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12664 = PRESENTATION_STYLE_ASSIGNMENT (( #11885 ) ) ; +#12665 = STYLED_ITEM ( 'NONE', ( #12664 ), #4909 ) ; +#12666 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12711 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11886, #11887, #11888 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12667 = FACE_OUTER_BOUND ( 'NONE', #1427, .T. ) ; +#12668 = PRESENTATION_STYLE_ASSIGNMENT (( #11971 ) ) ; +#12669 = SURFACE_STYLE_FILL_AREA ( #11904 ) ; +#12670 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12671 = PRESENTATION_STYLE_ASSIGNMENT (( #11896 ) ) ; +#12672 = STYLED_ITEM ( 'NONE', ( #12671 ), #4910 ) ; +#12673 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12712 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11897, #11898, #11899 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12674 = FACE_OUTER_BOUND ( 'NONE', #1432, .T. ) ; +#12675 = SURFACE_STYLE_FILL_AREA ( #11969 ) ; +#12676 = SURFACE_STYLE_FILL_AREA ( #11915 ) ; +#12677 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12678 = PRESENTATION_STYLE_ASSIGNMENT (( #11906 ) ) ; +#12679 = STYLED_ITEM ( 'NONE', ( #12678 ), #4911 ) ; +#12680 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12713 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11907, #11908, #11909 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12681 = FACE_OUTER_BOUND ( 'NONE', #1433, .T. ) ; +#12682 = SURFACE_STYLE_FILL_AREA ( #11926 ) ; +#12683 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12684 = PRESENTATION_STYLE_ASSIGNMENT (( #11917 ) ) ; +#12685 = STYLED_ITEM ( 'NONE', ( #12684 ), #4912 ) ; +#12686 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12714 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11918, #11919, #11920 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12687 = FACE_OUTER_BOUND ( 'NONE', #1436, .T. ) ; +#12688 = SURFACE_STYLE_FILL_AREA ( #11937 ) ; +#12689 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12690 = PRESENTATION_STYLE_ASSIGNMENT (( #11928 ) ) ; +#12691 = STYLED_ITEM ( 'NONE', ( #12690 ), #4913 ) ; +#12692 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12715 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11929, #11930, #11931 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12693 = FACE_OUTER_BOUND ( 'NONE', #1440, .T. ) ; +#12694 = SURFACE_STYLE_FILL_AREA ( #11948 ) ; +#12695 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12696 = PRESENTATION_STYLE_ASSIGNMENT (( #11939 ) ) ; +#12697 = STYLED_ITEM ( 'NONE', ( #12696 ), #4914 ) ; +#12698 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11745, 'distance_accuracy_value', 'NONE'); +#12699 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11756, 'distance_accuracy_value', 'NONE'); +#12700 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11767, 'distance_accuracy_value', 'NONE'); +#12701 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11778, 'distance_accuracy_value', 'NONE'); +#12702 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11789, 'distance_accuracy_value', 'NONE'); +#12703 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11800, 'distance_accuracy_value', 'NONE'); +#12704 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11811, 'distance_accuracy_value', 'NONE'); +#12705 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11822, 'distance_accuracy_value', 'NONE'); +#12706 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11832, 'distance_accuracy_value', 'NONE'); +#12707 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11843, 'distance_accuracy_value', 'NONE'); +#12708 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11855, 'distance_accuracy_value', 'NONE'); +#12709 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11866, 'distance_accuracy_value', 'NONE'); +#12710 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11876, 'distance_accuracy_value', 'NONE'); +#12711 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11886, 'distance_accuracy_value', 'NONE'); +#12712 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11897, 'distance_accuracy_value', 'NONE'); +#12713 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11907, 'distance_accuracy_value', 'NONE'); +#12714 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11918, 'distance_accuracy_value', 'NONE'); +#12715 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11929, 'distance_accuracy_value', 'NONE'); +#12716 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11940, 'distance_accuracy_value', 'NONE'); +#12717 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11951, 'distance_accuracy_value', 'NONE'); +#12718 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11962, 'distance_accuracy_value', 'NONE'); +#12719 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11972, 'distance_accuracy_value', 'NONE'); +#12720 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11982, 'distance_accuracy_value', 'NONE'); +#12721 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #11993, 'distance_accuracy_value', 'NONE'); +#12722 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12004, 'distance_accuracy_value', 'NONE'); +#12723 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12015, 'distance_accuracy_value', 'NONE'); +#12724 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12026, 'distance_accuracy_value', 'NONE'); +#12725 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12037, 'distance_accuracy_value', 'NONE'); +#12726 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12048, 'distance_accuracy_value', 'NONE'); +#12727 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12059, 'distance_accuracy_value', 'NONE'); +#12728 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12070, 'distance_accuracy_value', 'NONE'); +#12729 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12081, 'distance_accuracy_value', 'NONE'); +#12730 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12092, 'distance_accuracy_value', 'NONE'); +#12731 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12103, 'distance_accuracy_value', 'NONE'); +#12732 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #12113, 'distance_accuracy_value', 'NONE'); +#12733 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #14, 'distance_accuracy_value', 'NONE'); +#12734 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #25, 'distance_accuracy_value', 'NONE'); +#12735 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #35, 'distance_accuracy_value', 'NONE'); +#12736 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #45, 'distance_accuracy_value', 'NONE'); +#12737 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #55, 'distance_accuracy_value', 'NONE'); +#12738 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #65, 'distance_accuracy_value', 'NONE'); +#12739 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #75, 'distance_accuracy_value', 'NONE'); +#12740 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #85, 'distance_accuracy_value', 'NONE'); +#12741 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #95, 'distance_accuracy_value', 'NONE'); +#12742 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #105, 'distance_accuracy_value', 'NONE'); +#12743 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #115, 'distance_accuracy_value', 'NONE'); +#12744 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #125, 'distance_accuracy_value', 'NONE'); +#12745 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #135, 'distance_accuracy_value', 'NONE'); +#12746 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #147, 'distance_accuracy_value', 'NONE'); +#12747 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #158, 'distance_accuracy_value', 'NONE'); +#12748 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #169, 'distance_accuracy_value', 'NONE'); +#12749 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #179, 'distance_accuracy_value', 'NONE'); +#12750 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #190, 'distance_accuracy_value', 'NONE'); +#12751 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #201, 'distance_accuracy_value', 'NONE'); +#12752 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #211, 'distance_accuracy_value', 'NONE'); +#12753 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #222, 'distance_accuracy_value', 'NONE'); +#12754 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #233, 'distance_accuracy_value', 'NONE'); +#12755 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #244, 'distance_accuracy_value', 'NONE'); +#12756 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #254, 'distance_accuracy_value', 'NONE'); +#12757 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #643, 'distance_accuracy_value', 'NONE'); +#12758 = ADVANCED_BREP_SHAPE_REPRESENTATION ( 'Type-C 16P', ( #1516, #10476 ), #945 ) ; +#12759 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #649, 'distance_accuracy_value', 'NONE'); +#12760 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12716 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11940, #11941, #11942 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12761 = CYLINDRICAL_SURFACE ( 'NONE', #10312, 0.09999999999999993600 ) ; +#12762 = SURFACE_STYLE_FILL_AREA ( #11959 ) ; +#12763 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12764 = PRESENTATION_STYLE_ASSIGNMENT (( #11950 ) ) ; +#12765 = STYLED_ITEM ( 'NONE', ( #12764 ), #4915 ) ; +#12766 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12717 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11951, #11952, #11953 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12767 = FACE_OUTER_BOUND ( 'NONE', #1439, .T. ) ; +#12768 = CYLINDRICAL_SURFACE ( 'NONE', #10315, 0.09999999999999993600 ) ; +#12769 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12770 = PRESENTATION_STYLE_ASSIGNMENT (( #11961 ) ) ; +#12771 = STYLED_ITEM ( 'NONE', ( #12770 ), #4916 ) ; +#12772 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12718 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11962, #11963, #11964 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12773 = FACE_OUTER_BOUND ( 'NONE', #1444, .T. ) ; +#12774 = FACE_OUTER_BOUND ( 'NONE', #1435, .T. ) ; +#12775 = CYLINDRICAL_SURFACE ( 'NONE', #10360, 0.2999999999999999300 ) ; +#12776 = SURFACE_STYLE_FILL_AREA ( #11979 ) ; +#12777 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12778 = STYLED_ITEM ( 'NONE', ( #12668 ), #4917 ) ; +#12779 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12719 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11972, #11973, #11974 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12780 = FACE_OUTER_BOUND ( 'NONE', #1452, .T. ) ; +#12781 = CYLINDRICAL_SURFACE ( 'NONE', #10357, 0.2999999999999999300 ) ; +#12782 = SURFACE_STYLE_FILL_AREA ( #11990 ) ; +#12783 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12784 = PRESENTATION_STYLE_ASSIGNMENT (( #11981 ) ) ; +#12785 = STYLED_ITEM ( 'NONE', ( #12784 ), #4918 ) ; +#12786 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12720 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11982, #11983, #11984 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12787 = FACE_OUTER_BOUND ( 'NONE', #1451, .T. ) ; +#12788 = SURFACE_STYLE_FILL_AREA ( #12001 ) ; +#12789 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12790 = PRESENTATION_STYLE_ASSIGNMENT (( #11992 ) ) ; +#12791 = STYLED_ITEM ( 'NONE', ( #12790 ), #4919 ) ; +#12792 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12721 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #11993, #11994, #11995 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12793 = FACE_OUTER_BOUND ( 'NONE', #1448, .T. ) ; +#12794 = SURFACE_STYLE_FILL_AREA ( #12012 ) ; +#12795 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12796 = PRESENTATION_STYLE_ASSIGNMENT (( #12003 ) ) ; +#12797 = STYLED_ITEM ( 'NONE', ( #12796 ), #4920 ) ; +#12798 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12722 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12004, #12005, #12006 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12799 = FACE_OUTER_BOUND ( 'NONE', #1431, .T. ) ; +#12800 = SURFACE_STYLE_FILL_AREA ( #12023 ) ; +#12801 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12802 = PRESENTATION_STYLE_ASSIGNMENT (( #12014 ) ) ; +#12803 = STYLED_ITEM ( 'NONE', ( #12802 ), #4921 ) ; +#12804 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12723 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12015, #12016, #12017 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12805 = FACE_OUTER_BOUND ( 'NONE', #4976, .T. ) ; +#12806 = SURFACE_STYLE_FILL_AREA ( #12034 ) ; +#12807 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12808 = PRESENTATION_STYLE_ASSIGNMENT (( #12025 ) ) ; +#12809 = STYLED_ITEM ( 'NONE', ( #12808 ), #4922 ) ; +#12810 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12724 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12026, #12027, #12028 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12811 = FACE_OUTER_BOUND ( 'NONE', #5039, .T. ) ; +#12812 = SURFACE_STYLE_FILL_AREA ( #12045 ) ; +#12813 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12814 = PRESENTATION_STYLE_ASSIGNMENT (( #12036 ) ) ; +#12815 = STYLED_ITEM ( 'NONE', ( #12814 ), #4923 ) ; +#12816 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12725 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12037, #12038, #12039 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12817 = FACE_OUTER_BOUND ( 'NONE', #5038, .T. ) ; +#12818 = SURFACE_STYLE_FILL_AREA ( #12056 ) ; +#12819 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12820 = PRESENTATION_STYLE_ASSIGNMENT (( #12047 ) ) ; +#12821 = STYLED_ITEM ( 'NONE', ( #12820 ), #4924 ) ; +#12822 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12726 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12048, #12049, #12050 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12823 = FACE_OUTER_BOUND ( 'NONE', #5041, .T. ) ; +#12824 = SURFACE_STYLE_FILL_AREA ( #12067 ) ; +#12825 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12826 = PRESENTATION_STYLE_ASSIGNMENT (( #12058 ) ) ; +#12827 = STYLED_ITEM ( 'NONE', ( #12826 ), #4925 ) ; +#12828 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12727 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12059, #12060, #12061 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12829 = FACE_OUTER_BOUND ( 'NONE', #5042, .T. ) ; +#12830 = SURFACE_STYLE_FILL_AREA ( #12078 ) ; +#12831 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12832 = PRESENTATION_STYLE_ASSIGNMENT (( #12069 ) ) ; +#12833 = STYLED_ITEM ( 'NONE', ( #12832 ), #4926 ) ; +#12834 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12728 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12070, #12071, #12072 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12835 = FACE_OUTER_BOUND ( 'NONE', #5035, .T. ) ; +#12836 = SURFACE_STYLE_FILL_AREA ( #12089 ) ; +#12837 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12838 = PRESENTATION_STYLE_ASSIGNMENT (( #12080 ) ) ; +#12839 = STYLED_ITEM ( 'NONE', ( #12838 ), #4927 ) ; +#12840 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12729 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12081, #12082, #12083 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12841 = FACE_OUTER_BOUND ( 'NONE', #5044, .T. ) ; +#12842 = SURFACE_STYLE_FILL_AREA ( #12100 ) ; +#12843 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12844 = PRESENTATION_STYLE_ASSIGNMENT (( #12091 ) ) ; +#12845 = STYLED_ITEM ( 'NONE', ( #12844 ), #4928 ) ; +#12846 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12730 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12092, #12093, #12094 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12847 = FACE_OUTER_BOUND ( 'NONE', #5043, .T. ) ; +#12848 = SURFACE_STYLE_FILL_AREA ( #12110 ) ; +#12849 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12850 = PRESENTATION_STYLE_ASSIGNMENT (( #12102 ) ) ; +#12851 = STYLED_ITEM ( 'NONE', ( #12850 ), #4929 ) ; +#12852 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12731 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12103, #12104, #12105 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12853 = FACE_OUTER_BOUND ( 'NONE', #5046, .T. ) ; +#12854 = SURFACE_STYLE_FILL_AREA ( #11 ) ; +#12855 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12856 = PRESENTATION_STYLE_ASSIGNMENT (( #12112 ) ) ; +#12857 = STYLED_ITEM ( 'NONE', ( #12856 ), #4930 ) ; +#12858 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12732 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #12113, #5, #6 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12859 = FACE_OUTER_BOUND ( 'NONE', #5040, .T. ) ; +#12860 = SURFACE_STYLE_FILL_AREA ( #22 ) ; +#12861 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12862 = PRESENTATION_STYLE_ASSIGNMENT (( #13 ) ) ; +#12863 = STYLED_ITEM ( 'NONE', ( #12862 ), #4931 ) ; +#12864 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12733 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #14, #15, #16 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12865 = FACE_OUTER_BOUND ( 'NONE', #5045, .T. ) ; +#12866 = CYLINDRICAL_SURFACE ( 'NONE', #10363, 0.2999999999999999300 ) ; +#12867 = SURFACE_STYLE_FILL_AREA ( #32 ) ; +#12868 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12869 = PRESENTATION_STYLE_ASSIGNMENT (( #24 ) ) ; +#12870 = STYLED_ITEM ( 'NONE', ( #12869 ), #4932 ) ; +#12871 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12734 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #25, #26, #27 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12872 = FACE_OUTER_BOUND ( 'NONE', #5048, .T. ) ; +#12873 = CYLINDRICAL_SURFACE ( 'NONE', #10366, 0.2999999999999999300 ) ; +#12874 = SURFACE_STYLE_FILL_AREA ( #42 ) ; +#12875 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12876 = PRESENTATION_STYLE_ASSIGNMENT (( #34 ) ) ; +#12877 = STYLED_ITEM ( 'NONE', ( #12876 ), #4933 ) ; +#12878 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12735 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #35, #36, #37 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12879 = FACE_OUTER_BOUND ( 'NONE', #5047, .T. ) ; +#12880 = CYLINDRICAL_SURFACE ( 'NONE', #10369, 0.2999999999999999300 ) ; +#12881 = SURFACE_STYLE_FILL_AREA ( #52 ) ; +#12882 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12883 = PRESENTATION_STYLE_ASSIGNMENT (( #44 ) ) ; +#12884 = STYLED_ITEM ( 'NONE', ( #12883 ), #4934 ) ; +#12885 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12736 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #45, #46, #47 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12886 = FACE_OUTER_BOUND ( 'NONE', #5049, .T. ) ; +#12887 = CYLINDRICAL_SURFACE ( 'NONE', #10372, 0.2999999999999999300 ) ; +#12888 = SURFACE_STYLE_FILL_AREA ( #62 ) ; +#12889 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12890 = PRESENTATION_STYLE_ASSIGNMENT (( #54 ) ) ; +#12891 = STYLED_ITEM ( 'NONE', ( #12890 ), #4935 ) ; +#12892 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12737 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #55, #56, #57 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12893 = FACE_OUTER_BOUND ( 'NONE', #5050, .T. ) ; +#12894 = CYLINDRICAL_SURFACE ( 'NONE', #10375, 0.2999999999999999300 ) ; +#12895 = SURFACE_STYLE_FILL_AREA ( #72 ) ; +#12896 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12897 = PRESENTATION_STYLE_ASSIGNMENT (( #64 ) ) ; +#12898 = STYLED_ITEM ( 'NONE', ( #12897 ), #4936 ) ; +#12899 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12738 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #65, #66, #67 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12900 = FACE_OUTER_BOUND ( 'NONE', #5051, .T. ) ; +#12901 = CYLINDRICAL_SURFACE ( 'NONE', #10378, 0.2999999999999999300 ) ; +#12902 = SURFACE_STYLE_FILL_AREA ( #82 ) ; +#12903 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12904 = PRESENTATION_STYLE_ASSIGNMENT (( #74 ) ) ; +#12905 = STYLED_ITEM ( 'NONE', ( #12904 ), #4937 ) ; +#12906 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12739 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #75, #76, #77 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12907 = FACE_OUTER_BOUND ( 'NONE', #5052, .T. ) ; +#12908 = CYLINDRICAL_SURFACE ( 'NONE', #10381, 0.2999999999999999300 ) ; +#12909 = SURFACE_STYLE_FILL_AREA ( #92 ) ; +#12910 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12911 = PRESENTATION_STYLE_ASSIGNMENT (( #84 ) ) ; +#12912 = STYLED_ITEM ( 'NONE', ( #12911 ), #4938 ) ; +#12913 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12740 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #85, #86, #87 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12914 = FACE_OUTER_BOUND ( 'NONE', #5053, .T. ) ; +#12915 = CYLINDRICAL_SURFACE ( 'NONE', #10384, 0.2999999999999999300 ) ; +#12916 = SURFACE_STYLE_FILL_AREA ( #102 ) ; +#12917 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12918 = PRESENTATION_STYLE_ASSIGNMENT (( #94 ) ) ; +#12919 = STYLED_ITEM ( 'NONE', ( #12918 ), #4939 ) ; +#12920 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12741 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #95, #96, #97 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12921 = FACE_OUTER_BOUND ( 'NONE', #5054, .T. ) ; +#12922 = CYLINDRICAL_SURFACE ( 'NONE', #10387, 0.2999999999999999300 ) ; +#12923 = SURFACE_STYLE_FILL_AREA ( #112 ) ; +#12924 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12925 = PRESENTATION_STYLE_ASSIGNMENT (( #104 ) ) ; +#12926 = STYLED_ITEM ( 'NONE', ( #12925 ), #4940 ) ; +#12927 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12742 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #105, #106, #107 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12928 = FACE_OUTER_BOUND ( 'NONE', #5055, .T. ) ; +#12929 = CYLINDRICAL_SURFACE ( 'NONE', #10390, 0.2999999999999999300 ) ; +#12930 = SURFACE_STYLE_FILL_AREA ( #122 ) ; +#12931 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12932 = PRESENTATION_STYLE_ASSIGNMENT (( #114 ) ) ; +#12933 = STYLED_ITEM ( 'NONE', ( #12932 ), #4941 ) ; +#12934 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12743 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #115, #116, #117 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12935 = FACE_OUTER_BOUND ( 'NONE', #5056, .T. ) ; +#12936 = FACE_OUTER_BOUND ( 'NONE', #1430, .T. ) ; +#12937 = SURFACE_STYLE_FILL_AREA ( #132 ) ; +#12938 = COLOUR_RGB ( '',1.000000000000000000, 0.8078431372549019800, 0.4980392156862745200 ) ; +#12939 = PRESENTATION_STYLE_ASSIGNMENT (( #124 ) ) ; +#12940 = STYLED_ITEM ( 'NONE', ( #12939 ), #4942 ) ; +#12941 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12744 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #125, #126, #127 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12942 = FACE_BOUND ( 'NONE', #1434, .T. ) ; +#12943 = COLOUR_RGB ( '',0.6470588235294118000, 0.6196078431372549200, 0.5882352941176470800 ) ; +#12944 = SURFACE_STYLE_FILL_AREA ( #144 ) ; +#12945 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12946 = PRESENTATION_STYLE_ASSIGNMENT (( #134 ) ) ; +#12947 = STYLED_ITEM ( 'NONE', ( #12946 ), #4943 ) ; +#12948 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12745 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #135, #136, #137 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12949 = CYLINDRICAL_SURFACE ( 'NONE', #10402, 0.2500000000000002200 ) ; +#12950 = FACE_OUTER_BOUND ( 'NONE', #5058, .T. ) ; +#12951 = CYLINDRICAL_SURFACE ( 'NONE', #10411, 0.2500000000000002200 ) ; +#12952 = SURFACE_STYLE_FILL_AREA ( #155 ) ; +#12953 = PRESENTATION_STYLE_ASSIGNMENT (( #146 ) ) ; +#12954 = STYLED_ITEM ( 'NONE', ( #12953 ), #4944 ) ; +#12955 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12746 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #147, #148, #149 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12956 = FACE_OUTER_BOUND ( 'NONE', #1438, .T. ) ; +#12957 = SURFACE_STYLE_FILL_AREA ( #166 ) ; +#12958 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12959 = PRESENTATION_STYLE_ASSIGNMENT (( #157 ) ) ; +#12960 = STYLED_ITEM ( 'NONE', ( #12959 ), #4945 ) ; +#12961 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12747 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #158, #159, #160 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12962 = FACE_OUTER_BOUND ( 'NONE', #5059, .T. ) ; +#12963 = SURFACE_STYLE_FILL_AREA ( #176 ) ; +#12964 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12965 = PRESENTATION_STYLE_ASSIGNMENT (( #168 ) ) ; +#12966 = STYLED_ITEM ( 'NONE', ( #12965 ), #4946 ) ; +#12967 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12748 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #169, #170, #171 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12968 = FACE_OUTER_BOUND ( 'NONE', #5057, .T. ) ; +#12969 = VECTOR ( 'NONE', #273, 1000.000000000000000 ) ; +#12970 = SURFACE_STYLE_FILL_AREA ( #187 ) ; +#12971 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12972 = PRESENTATION_STYLE_ASSIGNMENT (( #178 ) ) ; +#12973 = STYLED_ITEM ( 'NONE', ( #12972 ), #4947 ) ; +#12974 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12749 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #179, #180, #181 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12975 = FACE_OUTER_BOUND ( 'NONE', #1445, .T. ) ; +#12976 = SURFACE_STYLE_FILL_AREA ( #198 ) ; +#12977 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12978 = PRESENTATION_STYLE_ASSIGNMENT (( #189 ) ) ; +#12979 = STYLED_ITEM ( 'NONE', ( #12978 ), #4948 ) ; +#12980 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12750 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #190, #191, #192 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12981 = FACE_OUTER_BOUND ( 'NONE', #5061, .T. ) ; +#12982 = SURFACE_STYLE_FILL_AREA ( #208 ) ; +#12983 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12984 = PRESENTATION_STYLE_ASSIGNMENT (( #200 ) ) ; +#12985 = STYLED_ITEM ( 'NONE', ( #12984 ), #4949 ) ; +#12986 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12751 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #201, #202, #203 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12987 = FACE_OUTER_BOUND ( 'NONE', #5060, .T. ) ; +#12988 = LINE ( 'NONE', #272, #12969 ) ; +#12989 = SURFACE_STYLE_FILL_AREA ( #219 ) ; +#12990 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12991 = PRESENTATION_STYLE_ASSIGNMENT (( #210 ) ) ; +#12992 = STYLED_ITEM ( 'NONE', ( #12991 ), #4950 ) ; +#12993 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12752 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #211, #212, #213 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#12994 = FACE_OUTER_BOUND ( 'NONE', #5062, .T. ) ; +#12995 = SURFACE_STYLE_FILL_AREA ( #230 ) ; +#12996 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#12997 = PRESENTATION_STYLE_ASSIGNMENT (( #221 ) ) ; +#12998 = STYLED_ITEM ( 'NONE', ( #12997 ), #4951 ) ; +#12999 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12753 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #222, #223, #224 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#13000 = FACE_OUTER_BOUND ( 'NONE', #5063, .T. ) ; +#13001 = SURFACE_STYLE_FILL_AREA ( #241 ) ; +#13002 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#13003 = PRESENTATION_STYLE_ASSIGNMENT (( #232 ) ) ; +#13004 = STYLED_ITEM ( 'NONE', ( #13003 ), #4952 ) ; +#13005 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #12754 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #233, #234, #235 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#13006 = FACE_OUTER_BOUND ( 'NONE', #5064, .T. ) ; +#13007 = SURFACE_STYLE_FILL_AREA ( #251 ) ; +#13008 = COLOUR_RGB ( '',0.09803921568627450800, 0.09803921568627450800, 0.09803921568627450800 ) ; +#13009 = PRESENTATION_STYLE_ASSIGNMENT (( #243 ) ) ; +#13010 = STYLED_ITEM ( 'NONE', ( #13009 ), #4953 ) ; +#13011 = FILL_AREA_STYLE ('',( #13013 ) ) ; +#13012 = SURFACE_SIDE_STYLE ('',( #6975 ) ) ; +#13013 = FILL_AREA_STYLE_COLOUR ( '', #6983 ) ; +#13014 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #3175, 'distance_accuracy_value', 'NONE'); +#13015 = EDGE_LOOP ( 'NONE', ( #6286, #6287, #6288, #6289 ) ) ; +#13016 = EDGE_LOOP ( 'NONE', ( #6270, #6271, #6272, #6273 ) ) ; +#13017 = EDGE_LOOP ( 'NONE', ( #6266, #6267, #6268, #6269 ) ) ; +#13018 = EDGE_LOOP ( 'NONE', ( #6318, #6319, #6320, #6321 ) ) ; +#13019 = EDGE_LOOP ( 'NONE', ( #6234, #6235, #6236, #6237 ) ) ; +#13020 = EDGE_LOOP ( 'NONE', ( #6250, #6251, #6252, #6253 ) ) ; +#13021 = EDGE_LOOP ( 'NONE', ( #6262, #6263, #6264, #6265 ) ) ; +#13022 = EDGE_LOOP ( 'NONE', ( #6290, #6291, #6292, #6293 ) ) ; +#13023 = EDGE_LOOP ( 'NONE', ( #6258, #6259, #6260, #6261 ) ) ; +#13024 = EDGE_LOOP ( 'NONE', ( #6306, #6307, #6308, #6309 ) ) ; +#13025 = EDGE_LOOP ( 'NONE', ( #6238, #6239, #6240, #6241 ) ) ; +#13026 = EDGE_LOOP ( 'NONE', ( #6254, #6255, #6256, #6257 ) ) ; +#13027 = EDGE_LOOP ( 'NONE', ( #6230, #6231, #6232, #6233 ) ) ; +#13028 = EDGE_LOOP ( 'NONE', ( #6294, #6295, #6296, #6297 ) ) ; +#13029 = EDGE_LOOP ( 'NONE', ( #6274, #6275, #6276, #6277 ) ) ; +#13030 = EDGE_LOOP ( 'NONE', ( #6314, #6315, #6316, #6317 ) ) ; +#13031 = EDGE_LOOP ( 'NONE', ( #6278, #6279, #6280, #6281 ) ) ; +#13032 = EDGE_LOOP ( 'NONE', ( #6282, #6283, #6284, #6285 ) ) ; +#13033 = EDGE_LOOP ( 'NONE', ( #6326, #6327, #6328, #6329 ) ) ; +#13034 = PRODUCT ( 'Type-C 16P', 'Type-C 16P', '', ( #657 ) ) ; +ENDSEC; +END-ISO-10303-21; diff --git a/kirdy.pretty/WE-SL5_HC_744273 (rev1).stp b/kirdy.pretty/WE-SL5_HC_744273 (rev1).stp new file mode 100644 index 0000000..1f0e9e9 --- /dev/null +++ b/kirdy.pretty/WE-SL5_HC_744273 (rev1).stp @@ -0,0 +1,12116 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION( ( 'Unknown' ), '1' ); +FILE_NAME( 'I:/User_Groups_Only/17_EMC_Product_&_Development/Article_Master/Inductors_CMC/IndSL5/Master_Data/01_CAD_drawing/1_Drawing/IndSL5_HC/744273x01/Released_data/744273501.stp', 'Unknown', ( 'Unknown' ), ( 'Unknown' ), 'XStep 1.0', 'Unknown', ' ' ); +FILE_SCHEMA( ( 'automotive_design' ) ); +ENDSEC; +DATA; +#1 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION( ' ', ( #26, #27, #28, #29, #30, #31, #32, #33, #34, #35, #36, #37, #38, #39, #40, #41, #42, #43, #44, #45, #46, #47, #48, #49, #50, #51, #52, #53, #54, #55, #56, #57, #58, #59, #60, #61, #62, #63, #64, #65, #66, #67, #68, #69, #70, #71, #72, #73, #74, #75, #76, #77, #78, #79, #80, #81, #82, #83, #84, #85, #86, #87, #88, #89, #90, #91, #92, #93, #94, #95, #96, #97, #98, #99, #100, #101, #102, #103, #104, #105, #106, #107, #108, #109, #110, #111, #112, #113, #114, #115, #116, #117, #118, #119, #120, #121, #122, #123, #124, #125, #126, #127, #128, #129, #130, #131, #132, #133, #134, #135, #136, #137, #138, #139, #140, #141, #142, #143, #144, #145, #146, #147, #148, #149, #150, #151, #152, #153, #154, #155, #156, #157, #158, #159, #160, #161, #162, #163, #164, #165, #166, #167, #168, #169, #170, #171, #172, #173, #174, #175, #176, #177, #178, #179, #180, #181, #182, #183 ), #22 ); +#2 = PRODUCT_DEFINITION_CONTEXT( '', #184, 'design' ); +#3 = APPLICATION_PROTOCOL_DEFINITION( 'INTERNATIONAL STANDARD', 'automotive_design', 1994, #184 ); +#4 = PRODUCT_CATEGORY_RELATIONSHIP( 'NONE', 'NONE', #185, #186 ); +#5 = SHAPE_DEFINITION_REPRESENTATION( #187, #188 ); +#6 = PRODUCT_DEFINITION_CONTEXT( '', #189, 'design' ); +#7 = APPLICATION_PROTOCOL_DEFINITION( 'INTERNATIONAL STANDARD', 'automotive_design', 1994, #189 ); +#8 = PRODUCT_CATEGORY_RELATIONSHIP( 'NONE', 'NONE', #190, #191 ); +#9 = SHAPE_DEFINITION_REPRESENTATION( #192, #193 ); +#10 = PRODUCT_DEFINITION_CONTEXT( '', #194, 'design' ); +#11 = APPLICATION_PROTOCOL_DEFINITION( 'INTERNATIONAL STANDARD', 'automotive_design', 1994, #194 ); +#12 = PRODUCT_CATEGORY_RELATIONSHIP( 'NONE', 'NONE', #195, #196 ); +#13 = SHAPE_DEFINITION_REPRESENTATION( #197, #198 ); +#14 = PRODUCT_DEFINITION_CONTEXT( '', #199, 'design' ); +#15 = APPLICATION_PROTOCOL_DEFINITION( 'INTERNATIONAL STANDARD', 'automotive_design', 1994, #199 ); +#16 = PRODUCT_CATEGORY_RELATIONSHIP( 'NONE', 'NONE', #200, #201 ); +#17 = SHAPE_DEFINITION_REPRESENTATION( #202, #203 ); +#18 = PRODUCT_DEFINITION_CONTEXT( '', #204, 'design' ); +#19 = APPLICATION_PROTOCOL_DEFINITION( 'INTERNATIONAL STANDARD', 'automotive_design', 1994, #204 ); +#20 = PRODUCT_CATEGORY_RELATIONSHIP( 'NONE', 'NONE', #205, #206 ); +#21 = SHAPE_DEFINITION_REPRESENTATION( #207, #208 ); +#22 = ( GEOMETRIC_REPRESENTATION_CONTEXT( 3 )GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT( ( #211 ) )GLOBAL_UNIT_ASSIGNED_CONTEXT( ( #213, #214, #215 ) )REPRESENTATION_CONTEXT( 'NONE', 'WORKSPACE' ) ); +#26 = STYLED_ITEM( '', ( #217 ), #218 ); +#27 = STYLED_ITEM( '', ( #219 ), #220 ); +#28 = STYLED_ITEM( '', ( #221 ), #222 ); +#29 = STYLED_ITEM( '', ( #223 ), #224 ); +#30 = STYLED_ITEM( '', ( #225 ), #226 ); +#31 = STYLED_ITEM( '', ( #227 ), #228 ); +#32 = STYLED_ITEM( '', ( #229 ), #230 ); +#33 = STYLED_ITEM( '', ( #231 ), #232 ); +#34 = STYLED_ITEM( '', ( #233 ), #234 ); +#35 = STYLED_ITEM( '', ( #235 ), #236 ); +#36 = STYLED_ITEM( '', ( #237 ), #238 ); +#37 = STYLED_ITEM( '', ( #239 ), #240 ); +#38 = STYLED_ITEM( '', ( #241 ), #242 ); +#39 = STYLED_ITEM( '', ( #243 ), #244 ); +#40 = STYLED_ITEM( '', ( #245 ), #246 ); +#41 = STYLED_ITEM( '', ( #247 ), #248 ); +#42 = STYLED_ITEM( '', ( #249 ), #250 ); +#43 = STYLED_ITEM( '', ( #251 ), #252 ); +#44 = STYLED_ITEM( '', ( #253 ), #254 ); +#45 = STYLED_ITEM( '', ( #255 ), #256 ); +#46 = STYLED_ITEM( '', ( #257 ), #258 ); +#47 = STYLED_ITEM( '', ( #259 ), #260 ); +#48 = STYLED_ITEM( '', ( #261 ), #262 ); +#49 = STYLED_ITEM( '', ( #263 ), #264 ); +#50 = STYLED_ITEM( '', ( #265 ), #266 ); +#51 = STYLED_ITEM( '', ( #267 ), #268 ); +#52 = STYLED_ITEM( '', ( #269 ), #270 ); +#53 = STYLED_ITEM( '', ( #271 ), #272 ); +#54 = STYLED_ITEM( '', ( #273 ), #274 ); +#55 = STYLED_ITEM( '', ( #275 ), #276 ); +#56 = STYLED_ITEM( '', ( #277 ), #278 ); +#57 = STYLED_ITEM( '', ( #279 ), #280 ); +#58 = STYLED_ITEM( '', ( #281 ), #282 ); +#59 = STYLED_ITEM( '', ( #283 ), #284 ); +#60 = STYLED_ITEM( '', ( #285 ), #286 ); +#61 = STYLED_ITEM( '', ( #287 ), #288 ); +#62 = STYLED_ITEM( '', ( #289 ), #290 ); +#63 = STYLED_ITEM( '', ( #291 ), #292 ); +#64 = STYLED_ITEM( '', ( #293 ), #294 ); +#65 = STYLED_ITEM( '', ( #295 ), #296 ); +#66 = STYLED_ITEM( '', ( #297 ), #298 ); +#67 = STYLED_ITEM( '', ( #299 ), #300 ); +#68 = STYLED_ITEM( '', ( #301 ), #302 ); +#69 = STYLED_ITEM( '', ( #303 ), #304 ); +#70 = STYLED_ITEM( '', ( #305 ), #306 ); +#71 = STYLED_ITEM( '', ( #307 ), #308 ); +#72 = STYLED_ITEM( '', ( #309 ), #310 ); +#73 = STYLED_ITEM( '', ( #311 ), #312 ); +#74 = STYLED_ITEM( '', ( #313 ), #314 ); +#75 = STYLED_ITEM( '', ( #315 ), #316 ); +#76 = STYLED_ITEM( '', ( #317 ), #318 ); +#77 = STYLED_ITEM( '', ( #319 ), #320 ); +#78 = STYLED_ITEM( '', ( #321 ), #322 ); +#79 = STYLED_ITEM( '', ( #323 ), #324 ); +#80 = STYLED_ITEM( '', ( #325 ), #326 ); +#81 = STYLED_ITEM( '', ( #327 ), #328 ); +#82 = STYLED_ITEM( '', ( #329 ), #330 ); +#83 = STYLED_ITEM( '', ( #331 ), #332 ); +#84 = STYLED_ITEM( '', ( #333 ), #334 ); +#85 = STYLED_ITEM( '', ( #335 ), #336 ); +#86 = STYLED_ITEM( '', ( #337 ), #338 ); +#87 = STYLED_ITEM( '', ( #339 ), #340 ); +#88 = STYLED_ITEM( '', ( #341 ), #342 ); +#89 = STYLED_ITEM( '', ( #343 ), #344 ); +#90 = STYLED_ITEM( '', ( #345 ), #346 ); +#91 = STYLED_ITEM( '', ( #347 ), #348 ); +#92 = STYLED_ITEM( '', ( #349 ), #350 ); +#93 = STYLED_ITEM( '', ( #351 ), #352 ); +#94 = STYLED_ITEM( '', ( #353 ), #354 ); +#95 = STYLED_ITEM( '', ( #355 ), #356 ); +#96 = STYLED_ITEM( '', ( #357 ), #358 ); +#97 = STYLED_ITEM( '', ( #359 ), #360 ); +#98 = STYLED_ITEM( '', ( #361 ), #362 ); +#99 = STYLED_ITEM( '', ( #363 ), #364 ); +#100 = STYLED_ITEM( '', ( #365 ), #366 ); +#101 = STYLED_ITEM( '', ( #367 ), #368 ); +#102 = STYLED_ITEM( '', ( #369 ), #370 ); +#103 = STYLED_ITEM( '', ( #371 ), #372 ); +#104 = STYLED_ITEM( '', ( #373 ), #374 ); +#105 = STYLED_ITEM( '', ( #375 ), #376 ); +#106 = STYLED_ITEM( '', ( #377 ), #378 ); +#107 = STYLED_ITEM( '', ( #379 ), #380 ); +#108 = STYLED_ITEM( '', ( #381 ), #382 ); +#109 = STYLED_ITEM( '', ( #383 ), #384 ); +#110 = STYLED_ITEM( '', ( #385 ), #386 ); +#111 = STYLED_ITEM( '', ( #387 ), #388 ); +#112 = STYLED_ITEM( '', ( #389 ), #390 ); +#113 = STYLED_ITEM( '', ( #391 ), #392 ); +#114 = STYLED_ITEM( '', ( #393 ), #394 ); +#115 = STYLED_ITEM( '', ( #395 ), #396 ); +#116 = STYLED_ITEM( '', ( #397 ), #398 ); +#117 = STYLED_ITEM( '', ( #399 ), #400 ); +#118 = STYLED_ITEM( '', ( #401 ), #402 ); +#119 = STYLED_ITEM( '', ( #403 ), #404 ); +#120 = STYLED_ITEM( '', ( #405 ), #406 ); +#121 = STYLED_ITEM( '', ( #407 ), #408 ); +#122 = STYLED_ITEM( '', ( #409 ), #410 ); +#123 = STYLED_ITEM( '', ( #411 ), #412 ); +#124 = STYLED_ITEM( '', ( #413 ), #414 ); +#125 = STYLED_ITEM( '', ( #415 ), #416 ); +#126 = STYLED_ITEM( '', ( #417 ), #418 ); +#127 = STYLED_ITEM( '', ( #419 ), #420 ); +#128 = STYLED_ITEM( '', ( #421 ), #422 ); +#129 = STYLED_ITEM( '', ( #423 ), #424 ); +#130 = STYLED_ITEM( '', ( #425 ), #426 ); +#131 = STYLED_ITEM( '', ( #427 ), #428 ); +#132 = STYLED_ITEM( '', ( #429 ), #430 ); +#133 = STYLED_ITEM( '', ( #431 ), #432 ); +#134 = STYLED_ITEM( '', ( #433 ), #434 ); +#135 = STYLED_ITEM( '', ( #435 ), #436 ); +#136 = STYLED_ITEM( '', ( #437 ), #438 ); +#137 = STYLED_ITEM( '', ( #439 ), #440 ); +#138 = STYLED_ITEM( '', ( #441 ), #442 ); +#139 = STYLED_ITEM( '', ( #443 ), #444 ); +#140 = STYLED_ITEM( '', ( #445 ), #446 ); +#141 = STYLED_ITEM( '', ( #447 ), #448 ); +#142 = STYLED_ITEM( '', ( #449 ), #450 ); +#143 = STYLED_ITEM( '', ( #451 ), #452 ); +#144 = STYLED_ITEM( '', ( #453 ), #454 ); +#145 = STYLED_ITEM( '', ( #455 ), #456 ); +#146 = STYLED_ITEM( '', ( #457 ), #458 ); +#147 = STYLED_ITEM( '', ( #459 ), #460 ); +#148 = STYLED_ITEM( '', ( #461 ), #462 ); +#149 = STYLED_ITEM( '', ( #463 ), #464 ); +#150 = STYLED_ITEM( '', ( #465 ), #466 ); +#151 = STYLED_ITEM( '', ( #467 ), #468 ); +#152 = STYLED_ITEM( '', ( #469 ), #470 ); +#153 = STYLED_ITEM( '', ( #471 ), #472 ); +#154 = STYLED_ITEM( '', ( #473 ), #474 ); +#155 = STYLED_ITEM( '', ( #475 ), #476 ); +#156 = STYLED_ITEM( '', ( #477 ), #478 ); +#157 = STYLED_ITEM( '', ( #479 ), #480 ); +#158 = STYLED_ITEM( '', ( #481 ), #482 ); +#159 = STYLED_ITEM( '', ( #483 ), #484 ); +#160 = STYLED_ITEM( '', ( #485 ), #486 ); +#161 = STYLED_ITEM( '', ( #487 ), #488 ); +#162 = STYLED_ITEM( '', ( #489 ), #490 ); +#163 = STYLED_ITEM( '', ( #491 ), #492 ); +#164 = STYLED_ITEM( '', ( #493 ), #494 ); +#165 = STYLED_ITEM( '', ( #495 ), #496 ); +#166 = STYLED_ITEM( '', ( #497 ), #498 ); +#167 = STYLED_ITEM( '', ( #499 ), #500 ); +#168 = STYLED_ITEM( '', ( #501 ), #502 ); +#169 = STYLED_ITEM( '', ( #503 ), #504 ); +#170 = STYLED_ITEM( '', ( #505 ), #506 ); +#171 = STYLED_ITEM( '', ( #507 ), #508 ); +#172 = STYLED_ITEM( '', ( #509 ), #510 ); +#173 = STYLED_ITEM( '', ( #511 ), #512 ); +#174 = STYLED_ITEM( '', ( #513 ), #514 ); +#175 = STYLED_ITEM( '', ( #515 ), #516 ); +#176 = STYLED_ITEM( '', ( #517 ), #518 ); +#177 = STYLED_ITEM( '', ( #519 ), #520 ); +#178 = STYLED_ITEM( '', ( #521 ), #522 ); +#179 = STYLED_ITEM( '', ( #523 ), #524 ); +#180 = STYLED_ITEM( '', ( #525 ), #526 ); +#181 = STYLED_ITEM( '', ( #527 ), #528 ); +#182 = STYLED_ITEM( '', ( #529 ), #530 ); +#183 = STYLED_ITEM( '', ( #531 ), #532 ); +#184 = APPLICATION_CONTEXT( ' ' ); +#185 = PRODUCT_CATEGORY( 'part', 'NONE' ); +#186 = PRODUCT_RELATED_PRODUCT_CATEGORY( 'detail', ' ', ( #533 ) ); +#187 = PRODUCT_DEFINITION_SHAPE( 'NONE', 'NONE', #534 ); +#188 = ADVANCED_BREP_SHAPE_REPRESENTATION( 'Assem1', ( #535, #536, #537, #538, #539 ), #22 ); +#189 = APPLICATION_CONTEXT( ' ' ); +#190 = PRODUCT_CATEGORY( 'part', 'NONE' ); +#191 = PRODUCT_RELATED_PRODUCT_CATEGORY( 'detail', ' ', ( #540 ) ); +#192 = PRODUCT_DEFINITION_SHAPE( 'NONE', 'NONE', #541 ); +#193 = ADVANCED_BREP_SHAPE_REPRESENTATION( 'base', ( #542 ), #22 ); +#194 = APPLICATION_CONTEXT( ' ' ); +#195 = PRODUCT_CATEGORY( 'part', 'NONE' ); +#196 = PRODUCT_RELATED_PRODUCT_CATEGORY( 'detail', ' ', ( #543 ) ); +#197 = PRODUCT_DEFINITION_SHAPE( 'NONE', 'NONE', #544 ); +#198 = ADVANCED_BREP_SHAPE_REPRESENTATION( 'core', ( #545 ), #22 ); +#199 = APPLICATION_CONTEXT( ' ' ); +#200 = PRODUCT_CATEGORY( 'part', 'NONE' ); +#201 = PRODUCT_RELATED_PRODUCT_CATEGORY( 'detail', ' ', ( #546 ) ); +#202 = PRODUCT_DEFINITION_SHAPE( 'NONE', 'NONE', #547 ); +#203 = ADVANCED_BREP_SHAPE_REPRESENTATION( 'core', ( #548 ), #22 ); +#204 = APPLICATION_CONTEXT( ' ' ); +#205 = PRODUCT_CATEGORY( 'part', 'NONE' ); +#206 = PRODUCT_RELATED_PRODUCT_CATEGORY( 'detail', ' ', ( #549 ) ); +#207 = PRODUCT_DEFINITION_SHAPE( 'NONE', 'NONE', #550 ); +#208 = ADVANCED_BREP_SHAPE_REPRESENTATION( 'core', ( #551 ), #22 ); +#211 = UNCERTAINTY_MEASURE_WITH_UNIT( LENGTH_MEASURE( 0.00100000000000000 ), #213, '', '' ); +#213 = ( CONVERSION_BASED_UNIT( 'MILLIMETRE', #554 )LENGTH_UNIT( )NAMED_UNIT( #557 ) ); +#214 = ( NAMED_UNIT( #559 )PLANE_ANGLE_UNIT( )SI_UNIT( $, .RADIAN. ) ); +#215 = ( NAMED_UNIT( #559 )SOLID_ANGLE_UNIT( )SI_UNIT( $, .STERADIAN. ) ); +#217 = PRESENTATION_STYLE_ASSIGNMENT( ( #565 ) ); +#218 = ADVANCED_FACE( '', ( #566 ), #567, .F. ); +#219 = PRESENTATION_STYLE_ASSIGNMENT( ( #568 ) ); +#220 = ADVANCED_FACE( '', ( #569, #570 ), #571, .T. ); +#221 = PRESENTATION_STYLE_ASSIGNMENT( ( #572 ) ); +#222 = ADVANCED_FACE( '', ( #573, #574 ), #575, .T. ); +#223 = PRESENTATION_STYLE_ASSIGNMENT( ( #576 ) ); +#224 = ADVANCED_FACE( '', ( #577 ), #578, .T. ); +#225 = PRESENTATION_STYLE_ASSIGNMENT( ( #579 ) ); +#226 = ADVANCED_FACE( '', ( #580 ), #581, .T. ); +#227 = PRESENTATION_STYLE_ASSIGNMENT( ( #582 ) ); +#228 = ADVANCED_FACE( '', ( #583 ), #584, .F. ); +#229 = PRESENTATION_STYLE_ASSIGNMENT( ( #585 ) ); +#230 = ADVANCED_FACE( '', ( #586, #587 ), #588, .T. ); +#231 = PRESENTATION_STYLE_ASSIGNMENT( ( #589 ) ); +#232 = ADVANCED_FACE( '', ( #590, #591 ), #592, .T. ); +#233 = PRESENTATION_STYLE_ASSIGNMENT( ( #593 ) ); +#234 = ADVANCED_FACE( '', ( #594 ), #595, .T. ); +#235 = PRESENTATION_STYLE_ASSIGNMENT( ( #596 ) ); +#236 = ADVANCED_FACE( '', ( #597, #598 ), #599, .T. ); +#237 = PRESENTATION_STYLE_ASSIGNMENT( ( #600 ) ); +#238 = ADVANCED_FACE( '', ( #601, #602 ), #603, .T. ); +#239 = PRESENTATION_STYLE_ASSIGNMENT( ( #604 ) ); +#240 = ADVANCED_FACE( '', ( #605, #606 ), #607, .T. ); +#241 = PRESENTATION_STYLE_ASSIGNMENT( ( #608 ) ); +#242 = ADVANCED_FACE( '', ( #609, #610 ), #611, .T. ); +#243 = PRESENTATION_STYLE_ASSIGNMENT( ( #612 ) ); +#244 = ADVANCED_FACE( '', ( #613, #614 ), #615, .T. ); +#245 = PRESENTATION_STYLE_ASSIGNMENT( ( #616 ) ); +#246 = ADVANCED_FACE( '', ( #617, #618 ), #619, .T. ); +#247 = PRESENTATION_STYLE_ASSIGNMENT( ( #620 ) ); +#248 = ADVANCED_FACE( '', ( #621, #622 ), #623, .T. ); +#249 = PRESENTATION_STYLE_ASSIGNMENT( ( #624 ) ); +#250 = ADVANCED_FACE( '', ( #625, #626 ), #627, .T. ); +#251 = PRESENTATION_STYLE_ASSIGNMENT( ( #628 ) ); +#252 = ADVANCED_FACE( '', ( #629, #630 ), #631, .T. ); +#253 = PRESENTATION_STYLE_ASSIGNMENT( ( #632 ) ); +#254 = ADVANCED_FACE( '', ( #633, #634 ), #635, .T. ); +#255 = PRESENTATION_STYLE_ASSIGNMENT( ( #636 ) ); +#256 = ADVANCED_FACE( '', ( #637, #638 ), #639, .T. ); +#257 = PRESENTATION_STYLE_ASSIGNMENT( ( #640 ) ); +#258 = ADVANCED_FACE( '', ( #641 ), #642, .T. ); +#259 = PRESENTATION_STYLE_ASSIGNMENT( ( #643 ) ); +#260 = ADVANCED_FACE( '', ( #644, #645 ), #646, .T. ); +#261 = PRESENTATION_STYLE_ASSIGNMENT( ( #647 ) ); +#262 = ADVANCED_FACE( '', ( #648 ), #649, .F. ); +#263 = PRESENTATION_STYLE_ASSIGNMENT( ( #650 ) ); +#264 = ADVANCED_FACE( '', ( #651, #652 ), #653, .T. ); +#265 = PRESENTATION_STYLE_ASSIGNMENT( ( #654 ) ); +#266 = ADVANCED_FACE( '', ( #655, #656 ), #657, .T. ); +#267 = PRESENTATION_STYLE_ASSIGNMENT( ( #658 ) ); +#268 = ADVANCED_FACE( '', ( #659, #660 ), #661, .F. ); +#269 = PRESENTATION_STYLE_ASSIGNMENT( ( #662 ) ); +#270 = ADVANCED_FACE( '', ( #663, #664 ), #665, .T. ); +#271 = PRESENTATION_STYLE_ASSIGNMENT( ( #666 ) ); +#272 = ADVANCED_FACE( '', ( #667 ), #668, .T. ); +#273 = PRESENTATION_STYLE_ASSIGNMENT( ( #669 ) ); +#274 = ADVANCED_FACE( '', ( #670, #671 ), #672, .T. ); +#275 = PRESENTATION_STYLE_ASSIGNMENT( ( #673 ) ); +#276 = ADVANCED_FACE( '', ( #674, #675 ), #676, .T. ); +#277 = PRESENTATION_STYLE_ASSIGNMENT( ( #677 ) ); +#278 = ADVANCED_FACE( '', ( #678, #679 ), #680, .T. ); +#279 = PRESENTATION_STYLE_ASSIGNMENT( ( #681 ) ); +#280 = ADVANCED_FACE( '', ( #682, #683 ), #684, .T. ); +#281 = PRESENTATION_STYLE_ASSIGNMENT( ( #685 ) ); +#282 = ADVANCED_FACE( '', ( #686, #687 ), #688, .T. ); +#283 = PRESENTATION_STYLE_ASSIGNMENT( ( #689 ) ); +#284 = ADVANCED_FACE( '', ( #690, #691 ), #692, .T. ); +#285 = PRESENTATION_STYLE_ASSIGNMENT( ( #693 ) ); +#286 = ADVANCED_FACE( '', ( #694 ), #695, .T. ); +#287 = PRESENTATION_STYLE_ASSIGNMENT( ( #696 ) ); +#288 = ADVANCED_FACE( '', ( #697, #698 ), #699, .T. ); +#289 = PRESENTATION_STYLE_ASSIGNMENT( ( #700 ) ); +#290 = ADVANCED_FACE( '', ( #701 ), #702, .T. ); +#291 = PRESENTATION_STYLE_ASSIGNMENT( ( #703 ) ); +#292 = ADVANCED_FACE( '', ( #704 ), #705, .T. ); +#293 = PRESENTATION_STYLE_ASSIGNMENT( ( #706 ) ); +#294 = ADVANCED_FACE( '', ( #707, #708 ), #709, .T. ); +#295 = PRESENTATION_STYLE_ASSIGNMENT( ( #710 ) ); +#296 = ADVANCED_FACE( '', ( #711, #712 ), #713, .T. ); +#297 = PRESENTATION_STYLE_ASSIGNMENT( ( #714 ) ); +#298 = ADVANCED_FACE( '', ( #715, #716 ), #717, .T. ); +#299 = PRESENTATION_STYLE_ASSIGNMENT( ( #718 ) ); +#300 = ADVANCED_FACE( '', ( #719 ), #720, .T. ); +#301 = PRESENTATION_STYLE_ASSIGNMENT( ( #721 ) ); +#302 = ADVANCED_FACE( '', ( #722, #723 ), #724, .T. ); +#303 = PRESENTATION_STYLE_ASSIGNMENT( ( #725 ) ); +#304 = ADVANCED_FACE( '', ( #726, #727 ), #728, .T. ); +#305 = PRESENTATION_STYLE_ASSIGNMENT( ( #729 ) ); +#306 = ADVANCED_FACE( '', ( #730, #731 ), #732, .T. ); +#307 = PRESENTATION_STYLE_ASSIGNMENT( ( #733 ) ); +#308 = ADVANCED_FACE( '', ( #734 ), #735, .F. ); +#309 = PRESENTATION_STYLE_ASSIGNMENT( ( #736 ) ); +#310 = ADVANCED_FACE( '', ( #737, #738 ), #739, .T. ); +#311 = PRESENTATION_STYLE_ASSIGNMENT( ( #740 ) ); +#312 = ADVANCED_FACE( '', ( #741, #742 ), #743, .T. ); +#313 = PRESENTATION_STYLE_ASSIGNMENT( ( #744 ) ); +#314 = ADVANCED_FACE( '', ( #745 ), #746, .T. ); +#315 = PRESENTATION_STYLE_ASSIGNMENT( ( #747 ) ); +#316 = ADVANCED_FACE( '', ( #748, #749 ), #750, .T. ); +#317 = PRESENTATION_STYLE_ASSIGNMENT( ( #751 ) ); +#318 = ADVANCED_FACE( '', ( #752 ), #753, .T. ); +#319 = PRESENTATION_STYLE_ASSIGNMENT( ( #754 ) ); +#320 = ADVANCED_FACE( '', ( #755, #756 ), #757, .T. ); +#321 = PRESENTATION_STYLE_ASSIGNMENT( ( #758 ) ); +#322 = ADVANCED_FACE( '', ( #759 ), #760, .T. ); +#323 = PRESENTATION_STYLE_ASSIGNMENT( ( #761 ) ); +#324 = ADVANCED_FACE( '', ( #762, #763 ), #764, .T. ); +#325 = PRESENTATION_STYLE_ASSIGNMENT( ( #765 ) ); +#326 = ADVANCED_FACE( '', ( #766, #767 ), #768, .T. ); +#327 = PRESENTATION_STYLE_ASSIGNMENT( ( #769 ) ); +#328 = ADVANCED_FACE( '', ( #770 ), #771, .T. ); +#329 = PRESENTATION_STYLE_ASSIGNMENT( ( #772 ) ); +#330 = ADVANCED_FACE( '', ( #773, #774 ), #775, .T. ); +#331 = PRESENTATION_STYLE_ASSIGNMENT( ( #776 ) ); +#332 = ADVANCED_FACE( '', ( #777, #778 ), #779, .T. ); +#333 = PRESENTATION_STYLE_ASSIGNMENT( ( #780 ) ); +#334 = ADVANCED_FACE( '', ( #781, #782 ), #783, .T. ); +#335 = PRESENTATION_STYLE_ASSIGNMENT( ( #784 ) ); +#336 = ADVANCED_FACE( '', ( #785 ), #786, .T. ); +#337 = PRESENTATION_STYLE_ASSIGNMENT( ( #787 ) ); +#338 = ADVANCED_FACE( '', ( #788 ), #789, .T. ); +#339 = PRESENTATION_STYLE_ASSIGNMENT( ( #790 ) ); +#340 = ADVANCED_FACE( '', ( #791 ), #792, .F. ); +#341 = PRESENTATION_STYLE_ASSIGNMENT( ( #793 ) ); +#342 = ADVANCED_FACE( '', ( #794, #795 ), #796, .T. ); +#343 = PRESENTATION_STYLE_ASSIGNMENT( ( #797 ) ); +#344 = ADVANCED_FACE( '', ( #798 ), #799, .T. ); +#345 = PRESENTATION_STYLE_ASSIGNMENT( ( #800 ) ); +#346 = ADVANCED_FACE( '', ( #801 ), #802, .T. ); +#347 = PRESENTATION_STYLE_ASSIGNMENT( ( #803 ) ); +#348 = ADVANCED_FACE( '', ( #804, #805 ), #806, .T. ); +#349 = PRESENTATION_STYLE_ASSIGNMENT( ( #807 ) ); +#350 = ADVANCED_FACE( '', ( #808, #809 ), #810, .T. ); +#351 = PRESENTATION_STYLE_ASSIGNMENT( ( #811 ) ); +#352 = ADVANCED_FACE( '', ( #812, #813 ), #814, .T. ); +#353 = PRESENTATION_STYLE_ASSIGNMENT( ( #815 ) ); +#354 = ADVANCED_FACE( '', ( #816 ), #817, .T. ); +#355 = PRESENTATION_STYLE_ASSIGNMENT( ( #818 ) ); +#356 = ADVANCED_FACE( '', ( #819, #820 ), #821, .T. ); +#357 = PRESENTATION_STYLE_ASSIGNMENT( ( #822 ) ); +#358 = ADVANCED_FACE( '', ( #823, #824 ), #825, .T. ); +#359 = PRESENTATION_STYLE_ASSIGNMENT( ( #826 ) ); +#360 = ADVANCED_FACE( '', ( #827 ), #828, .T. ); +#361 = PRESENTATION_STYLE_ASSIGNMENT( ( #829 ) ); +#362 = ADVANCED_FACE( '', ( #830, #831 ), #832, .T. ); +#363 = PRESENTATION_STYLE_ASSIGNMENT( ( #833 ) ); +#364 = ADVANCED_FACE( '', ( #834, #835 ), #836, .T. ); +#365 = PRESENTATION_STYLE_ASSIGNMENT( ( #837 ) ); +#366 = ADVANCED_FACE( '', ( #838, #839 ), #840, .T. ); +#367 = PRESENTATION_STYLE_ASSIGNMENT( ( #841 ) ); +#368 = ADVANCED_FACE( '', ( #842, #843 ), #844, .T. ); +#369 = PRESENTATION_STYLE_ASSIGNMENT( ( #845 ) ); +#370 = ADVANCED_FACE( '', ( #846, #847 ), #848, .T. ); +#371 = PRESENTATION_STYLE_ASSIGNMENT( ( #849 ) ); +#372 = ADVANCED_FACE( '', ( #850 ), #851, .T. ); +#373 = PRESENTATION_STYLE_ASSIGNMENT( ( #852 ) ); +#374 = ADVANCED_FACE( '', ( #853, #854 ), #855, .T. ); +#375 = PRESENTATION_STYLE_ASSIGNMENT( ( #856 ) ); +#376 = ADVANCED_FACE( '', ( #857, #858 ), #859, .T. ); +#377 = PRESENTATION_STYLE_ASSIGNMENT( ( #860 ) ); +#378 = ADVANCED_FACE( '', ( #861 ), #862, .T. ); +#379 = PRESENTATION_STYLE_ASSIGNMENT( ( #863 ) ); +#380 = ADVANCED_FACE( '', ( #864, #865 ), #866, .T. ); +#381 = PRESENTATION_STYLE_ASSIGNMENT( ( #867 ) ); +#382 = ADVANCED_FACE( '', ( #868, #869 ), #870, .T. ); +#383 = PRESENTATION_STYLE_ASSIGNMENT( ( #871 ) ); +#384 = ADVANCED_FACE( '', ( #872 ), #873, .F. ); +#385 = PRESENTATION_STYLE_ASSIGNMENT( ( #874 ) ); +#386 = ADVANCED_FACE( '', ( #875 ), #876, .T. ); +#387 = PRESENTATION_STYLE_ASSIGNMENT( ( #877 ) ); +#388 = ADVANCED_FACE( '', ( #878 ), #879, .F. ); +#389 = PRESENTATION_STYLE_ASSIGNMENT( ( #880 ) ); +#390 = ADVANCED_FACE( '', ( #881, #882 ), #883, .T. ); +#391 = PRESENTATION_STYLE_ASSIGNMENT( ( #884 ) ); +#392 = ADVANCED_FACE( '', ( #885, #886 ), #887, .T. ); +#393 = PRESENTATION_STYLE_ASSIGNMENT( ( #888 ) ); +#394 = ADVANCED_FACE( '', ( #889, #890 ), #891, .T. ); +#395 = PRESENTATION_STYLE_ASSIGNMENT( ( #892 ) ); +#396 = ADVANCED_FACE( '', ( #893 ), #894, .F. ); +#397 = PRESENTATION_STYLE_ASSIGNMENT( ( #895 ) ); +#398 = ADVANCED_FACE( '', ( #896 ), #897, .T. ); +#399 = PRESENTATION_STYLE_ASSIGNMENT( ( #898 ) ); +#400 = ADVANCED_FACE( '', ( #899, #900 ), #901, .T. ); +#401 = PRESENTATION_STYLE_ASSIGNMENT( ( #902 ) ); +#402 = ADVANCED_FACE( '', ( #903, #904 ), #905, .T. ); +#403 = PRESENTATION_STYLE_ASSIGNMENT( ( #906 ) ); +#404 = ADVANCED_FACE( '', ( #907 ), #908, .T. ); +#405 = PRESENTATION_STYLE_ASSIGNMENT( ( #909 ) ); +#406 = ADVANCED_FACE( '', ( #910, #911 ), #912, .T. ); +#407 = PRESENTATION_STYLE_ASSIGNMENT( ( #913 ) ); +#408 = ADVANCED_FACE( '', ( #914 ), #915, .T. ); +#409 = PRESENTATION_STYLE_ASSIGNMENT( ( #916 ) ); +#410 = ADVANCED_FACE( '', ( #917, #918 ), #919, .T. ); +#411 = PRESENTATION_STYLE_ASSIGNMENT( ( #920 ) ); +#412 = ADVANCED_FACE( '', ( #921, #922 ), #923, .T. ); +#413 = PRESENTATION_STYLE_ASSIGNMENT( ( #924 ) ); +#414 = ADVANCED_FACE( '', ( #925, #926 ), #927, .T. ); +#415 = PRESENTATION_STYLE_ASSIGNMENT( ( #928 ) ); +#416 = ADVANCED_FACE( '', ( #929, #930 ), #931, .T. ); +#417 = PRESENTATION_STYLE_ASSIGNMENT( ( #932 ) ); +#418 = ADVANCED_FACE( '', ( #933, #934 ), #935, .T. ); +#419 = PRESENTATION_STYLE_ASSIGNMENT( ( #936 ) ); +#420 = ADVANCED_FACE( '', ( #937, #938 ), #939, .T. ); +#421 = PRESENTATION_STYLE_ASSIGNMENT( ( #940 ) ); +#422 = ADVANCED_FACE( '', ( #941 ), #942, .T. ); +#423 = PRESENTATION_STYLE_ASSIGNMENT( ( #943 ) ); +#424 = ADVANCED_FACE( '', ( #944, #945 ), #946, .T. ); +#425 = PRESENTATION_STYLE_ASSIGNMENT( ( #947 ) ); +#426 = ADVANCED_FACE( '', ( #948, #949 ), #950, .T. ); +#427 = PRESENTATION_STYLE_ASSIGNMENT( ( #951 ) ); +#428 = ADVANCED_FACE( '', ( #952 ), #953, .T. ); +#429 = PRESENTATION_STYLE_ASSIGNMENT( ( #954 ) ); +#430 = ADVANCED_FACE( '', ( #955 ), #956, .T. ); +#431 = PRESENTATION_STYLE_ASSIGNMENT( ( #957 ) ); +#432 = ADVANCED_FACE( '', ( #958, #959 ), #960, .T. ); +#433 = PRESENTATION_STYLE_ASSIGNMENT( ( #961 ) ); +#434 = ADVANCED_FACE( '', ( #962, #963 ), #964, .T. ); +#435 = PRESENTATION_STYLE_ASSIGNMENT( ( #965 ) ); +#436 = ADVANCED_FACE( '', ( #966 ), #967, .T. ); +#437 = PRESENTATION_STYLE_ASSIGNMENT( ( #968 ) ); +#438 = ADVANCED_FACE( '', ( #969 ), #970, .T. ); +#439 = PRESENTATION_STYLE_ASSIGNMENT( ( #971 ) ); +#440 = ADVANCED_FACE( '', ( #972, #973 ), #974, .T. ); +#441 = PRESENTATION_STYLE_ASSIGNMENT( ( #975 ) ); +#442 = ADVANCED_FACE( '', ( #976 ), #977, .T. ); +#443 = PRESENTATION_STYLE_ASSIGNMENT( ( #978 ) ); +#444 = ADVANCED_FACE( '', ( #979, #980 ), #981, .T. ); +#445 = PRESENTATION_STYLE_ASSIGNMENT( ( #982 ) ); +#446 = ADVANCED_FACE( '', ( #983 ), #984, .T. ); +#447 = PRESENTATION_STYLE_ASSIGNMENT( ( #985 ) ); +#448 = ADVANCED_FACE( '', ( #986, #987 ), #988, .T. ); +#449 = PRESENTATION_STYLE_ASSIGNMENT( ( #989 ) ); +#450 = ADVANCED_FACE( '', ( #990 ), #991, .T. ); +#451 = PRESENTATION_STYLE_ASSIGNMENT( ( #992 ) ); +#452 = ADVANCED_FACE( '', ( #993, #994 ), #995, .T. ); +#453 = PRESENTATION_STYLE_ASSIGNMENT( ( #996 ) ); +#454 = ADVANCED_FACE( '', ( #997 ), #998, .T. ); +#455 = PRESENTATION_STYLE_ASSIGNMENT( ( #999 ) ); +#456 = ADVANCED_FACE( '', ( #1000, #1001 ), #1002, .T. ); +#457 = PRESENTATION_STYLE_ASSIGNMENT( ( #1003 ) ); +#458 = ADVANCED_FACE( '', ( #1004, #1005 ), #1006, .T. ); +#459 = PRESENTATION_STYLE_ASSIGNMENT( ( #1007 ) ); +#460 = ADVANCED_FACE( '', ( #1008, #1009 ), #1010, .T. ); +#461 = PRESENTATION_STYLE_ASSIGNMENT( ( #1011 ) ); +#462 = ADVANCED_FACE( '', ( #1012 ), #1013, .T. ); +#463 = PRESENTATION_STYLE_ASSIGNMENT( ( #1014 ) ); +#464 = ADVANCED_FACE( '', ( #1015, #1016 ), #1017, .T. ); +#465 = PRESENTATION_STYLE_ASSIGNMENT( ( #1018 ) ); +#466 = ADVANCED_FACE( '', ( #1019, #1020 ), #1021, .T. ); +#467 = PRESENTATION_STYLE_ASSIGNMENT( ( #1022 ) ); +#468 = ADVANCED_FACE( '', ( #1023 ), #1024, .T. ); +#469 = PRESENTATION_STYLE_ASSIGNMENT( ( #1025 ) ); +#470 = ADVANCED_FACE( '', ( #1026, #1027 ), #1028, .T. ); +#471 = PRESENTATION_STYLE_ASSIGNMENT( ( #1029 ) ); +#472 = ADVANCED_FACE( '', ( #1030, #1031 ), #1032, .T. ); +#473 = PRESENTATION_STYLE_ASSIGNMENT( ( #1033 ) ); +#474 = ADVANCED_FACE( '', ( #1034, #1035 ), #1036, .T. ); +#475 = PRESENTATION_STYLE_ASSIGNMENT( ( #1037 ) ); +#476 = ADVANCED_FACE( '', ( #1038, #1039 ), #1040, .T. ); +#477 = PRESENTATION_STYLE_ASSIGNMENT( ( #1041 ) ); +#478 = ADVANCED_FACE( '', ( #1042, #1043 ), #1044, .T. ); +#479 = PRESENTATION_STYLE_ASSIGNMENT( ( #1045 ) ); +#480 = ADVANCED_FACE( '', ( #1046, #1047 ), #1048, .T. ); +#481 = PRESENTATION_STYLE_ASSIGNMENT( ( #1049 ) ); +#482 = ADVANCED_FACE( '', ( #1050, #1051 ), #1052, .T. ); +#483 = PRESENTATION_STYLE_ASSIGNMENT( ( #1053 ) ); +#484 = ADVANCED_FACE( '', ( #1054, #1055 ), #1056, .T. ); +#485 = PRESENTATION_STYLE_ASSIGNMENT( ( #1057 ) ); +#486 = ADVANCED_FACE( '', ( #1058 ), #1059, .T. ); +#487 = PRESENTATION_STYLE_ASSIGNMENT( ( #1060 ) ); +#488 = ADVANCED_FACE( '', ( #1061 ), #1062, .F. ); +#489 = PRESENTATION_STYLE_ASSIGNMENT( ( #1063 ) ); +#490 = ADVANCED_FACE( '', ( #1064 ), #1065, .T. ); +#491 = PRESENTATION_STYLE_ASSIGNMENT( ( #1066 ) ); +#492 = ADVANCED_FACE( '', ( #1067 ), #1068, .F. ); +#493 = PRESENTATION_STYLE_ASSIGNMENT( ( #1069 ) ); +#494 = ADVANCED_FACE( '', ( #1070 ), #1071, .T. ); +#495 = PRESENTATION_STYLE_ASSIGNMENT( ( #1072 ) ); +#496 = ADVANCED_FACE( '', ( #1073, #1074 ), #1075, .T. ); +#497 = PRESENTATION_STYLE_ASSIGNMENT( ( #1076 ) ); +#498 = ADVANCED_FACE( '', ( #1077, #1078 ), #1079, .T. ); +#499 = PRESENTATION_STYLE_ASSIGNMENT( ( #1080 ) ); +#500 = ADVANCED_FACE( '', ( #1081, #1082 ), #1083, .T. ); +#501 = PRESENTATION_STYLE_ASSIGNMENT( ( #1084 ) ); +#502 = ADVANCED_FACE( '', ( #1085, #1086 ), #1087, .T. ); +#503 = PRESENTATION_STYLE_ASSIGNMENT( ( #1088 ) ); +#504 = ADVANCED_FACE( '', ( #1089, #1090 ), #1091, .T. ); +#505 = PRESENTATION_STYLE_ASSIGNMENT( ( #1092 ) ); +#506 = ADVANCED_FACE( '', ( #1093, #1094 ), #1095, .T. ); +#507 = PRESENTATION_STYLE_ASSIGNMENT( ( #1096 ) ); +#508 = ADVANCED_FACE( '', ( #1097 ), #1098, .T. ); +#509 = PRESENTATION_STYLE_ASSIGNMENT( ( #1099 ) ); +#510 = ADVANCED_FACE( '', ( #1100, #1101 ), #1102, .T. ); +#511 = PRESENTATION_STYLE_ASSIGNMENT( ( #1103 ) ); +#512 = ADVANCED_FACE( '', ( #1104, #1105 ), #1106, .T. ); +#513 = PRESENTATION_STYLE_ASSIGNMENT( ( #1107 ) ); +#514 = ADVANCED_FACE( '', ( #1108, #1109 ), #1110, .T. ); +#515 = PRESENTATION_STYLE_ASSIGNMENT( ( #1111 ) ); +#516 = ADVANCED_FACE( '', ( #1112 ), #1113, .T. ); +#517 = PRESENTATION_STYLE_ASSIGNMENT( ( #1114 ) ); +#518 = ADVANCED_FACE( '', ( #1115, #1116 ), #1117, .T. ); +#519 = PRESENTATION_STYLE_ASSIGNMENT( ( #1118 ) ); +#520 = ADVANCED_FACE( '', ( #1119, #1120 ), #1121, .T. ); +#521 = PRESENTATION_STYLE_ASSIGNMENT( ( #1122 ) ); +#522 = ADVANCED_FACE( '', ( #1123 ), #1124, .T. ); +#523 = PRESENTATION_STYLE_ASSIGNMENT( ( #1125 ) ); +#524 = ADVANCED_FACE( '', ( #1126, #1127 ), #1128, .T. ); +#525 = PRESENTATION_STYLE_ASSIGNMENT( ( #1129 ) ); +#526 = ADVANCED_FACE( '', ( #1130, #1131 ), #1132, .T. ); +#527 = PRESENTATION_STYLE_ASSIGNMENT( ( #1133 ) ); +#528 = ADVANCED_FACE( '', ( #1134, #1135 ), #1136, .T. ); +#529 = PRESENTATION_STYLE_ASSIGNMENT( ( #1137 ) ); +#530 = ADVANCED_FACE( '', ( #1138, #1139 ), #1140, .T. ); +#531 = PRESENTATION_STYLE_ASSIGNMENT( ( #1141 ) ); +#532 = ADVANCED_FACE( '', ( #1142, #1143 ), #1144, .T. ); +#533 = PRODUCT( 'Assem1', 'Assem1', 'PART-Assem1-DESC', ( #1145 ) ); +#534 = PRODUCT_DEFINITION( 'NONE', 'NONE', #1146, #2 ); +#535 = MAPPED_ITEM( '', #1147, #1148 ); +#536 = MAPPED_ITEM( '', #1149, #1150 ); +#537 = MAPPED_ITEM( '', #1151, #1152 ); +#538 = MAPPED_ITEM( '', #1153, #1154 ); +#539 = AXIS2_PLACEMENT_3D( '', #1155, #1156, #1157 ); +#540 = PRODUCT( 'base', 'base', 'PART-base-DESC', ( #1158 ) ); +#541 = PRODUCT_DEFINITION( 'NONE', 'NONE', #1159, #6 ); +#542 = MANIFOLD_SOLID_BREP( 'base', #1160 ); +#543 = PRODUCT( 'core', 'core', 'PART-core-DESC', ( #1161 ) ); +#544 = PRODUCT_DEFINITION( 'NONE', 'NONE', #1162, #10 ); +#545 = MANIFOLD_SOLID_BREP( 'core', #1163 ); +#546 = PRODUCT( 'core', 'core', 'PART-core-DESC', ( #1164 ) ); +#547 = PRODUCT_DEFINITION( 'NONE', 'NONE', #1165, #14 ); +#548 = MANIFOLD_SOLID_BREP( 'core', #1166 ); +#549 = PRODUCT( 'core', 'core', 'PART-core-DESC', ( #1167 ) ); +#550 = PRODUCT_DEFINITION( 'NONE', 'NONE', #1168, #18 ); +#551 = MANIFOLD_SOLID_BREP( 'core', #1169 ); +#554 = LENGTH_MEASURE_WITH_UNIT( LENGTH_MEASURE( 1.00000000000000 ), #1170 ); +#557 = DIMENSIONAL_EXPONENTS( 1.00000000000000, 0.000000000000000, 0.000000000000000, 0.000000000000000, 0.000000000000000, 0.000000000000000, 0.000000000000000 ); +#559 = DIMENSIONAL_EXPONENTS( 0.000000000000000, 0.000000000000000, 0.000000000000000, 0.000000000000000, 0.000000000000000, 0.000000000000000, 0.000000000000000 ); +#565 = SURFACE_STYLE_USAGE( .BOTH., #1171 ); +#566 = FACE_OUTER_BOUND( '', #1172, .T. ); +#567 = CYLINDRICAL_SURFACE( '', #1173, 0.300000000000000 ); +#568 = SURFACE_STYLE_USAGE( .BOTH., #1174 ); +#569 = FACE_OUTER_BOUND( '', #1175, .T. ); +#570 = FACE_OUTER_BOUND( '', #1176, .T. ); +#571 = CYLINDRICAL_SURFACE( '', #1177, 0.250000000000000 ); +#572 = SURFACE_STYLE_USAGE( .BOTH., #1178 ); +#573 = FACE_OUTER_BOUND( '', #1179, .T. ); +#574 = FACE_OUTER_BOUND( '', #1180, .T. ); +#575 = TOROIDAL_SURFACE( '', #1181, 0.460000000000000, 0.250000000000000 ); +#576 = SURFACE_STYLE_USAGE( .BOTH., #1182 ); +#577 = FACE_OUTER_BOUND( '', #1183, .T. ); +#578 = CYLINDRICAL_SURFACE( '', #1184, 0.200000000000000 ); +#579 = SURFACE_STYLE_USAGE( .BOTH., #1185 ); +#580 = FACE_OUTER_BOUND( '', #1186, .T. ); +#581 = CYLINDRICAL_SURFACE( '', #1187, 1.50000000000000 ); +#582 = SURFACE_STYLE_USAGE( .BOTH., #1188 ); +#583 = FACE_OUTER_BOUND( '', #1189, .T. ); +#584 = PLANE( '', #1190 ); +#585 = SURFACE_STYLE_USAGE( .BOTH., #1191 ); +#586 = FACE_OUTER_BOUND( '', #1192, .T. ); +#587 = FACE_OUTER_BOUND( '', #1193, .T. ); +#588 = TOROIDAL_SURFACE( '', #1194, 0.460000000000000, 0.250000000000000 ); +#589 = SURFACE_STYLE_USAGE( .BOTH., #1195 ); +#590 = FACE_OUTER_BOUND( '', #1196, .T. ); +#591 = FACE_OUTER_BOUND( '', #1197, .T. ); +#592 = TOROIDAL_SURFACE( '', #1198, 0.460000000000000, 0.250000000000000 ); +#593 = SURFACE_STYLE_USAGE( .BOTH., #1199 ); +#594 = FACE_OUTER_BOUND( '', #1200, .T. ); +#595 = TOROIDAL_SURFACE( '', #1201, 1.30000000000000, 0.200000000000000 ); +#596 = SURFACE_STYLE_USAGE( .BOTH., #1202 ); +#597 = FACE_OUTER_BOUND( '', #1203, .T. ); +#598 = FACE_OUTER_BOUND( '', #1204, .T. ); +#599 = CYLINDRICAL_SURFACE( '', #1205, 0.250000000000000 ); +#600 = SURFACE_STYLE_USAGE( .BOTH., #1206 ); +#601 = FACE_OUTER_BOUND( '', #1207, .T. ); +#602 = FACE_OUTER_BOUND( '', #1208, .T. ); +#603 = ( B_SPLINE_SURFACE( 3, 3, ( ( #1210, #1211, #1212, #1213, #1214, #1215, #1216, #1217, #1218, #1219, #1220, #1221, #1222, #1223, #1224, #1225, #1226, #1227, #1228, #1229, #1230, #1231, #1232, #1233, #1234, #1235 ), ( #1236, #1237, #1238, #1239, #1240, #1241, #1242, #1243, #1244, #1245, #1246, #1247, #1248, #1249, #1250, #1251, #1252, #1253, #1254, #1255, #1256, #1257, #1258, #1259, #1260, #1261 ), ( #1262, #1263, #1264, #1265, #1266, #1267, #1268, #1269, #1270, #1271, #1272, #1273, #1274, #1275, #1276, #1277, #1278, #1279, #1280, #1281, #1282, #1283, #1284, #1285, #1286, #1287 ), ( #1288, #1289, #1290, #1291, #1292, #1293, #1294, #1295, #1296, #1297, #1298, #1299, #1300, #1301, #1302, #1303, #1304, #1305, #1306, #1307, #1308, #1309, #1310, #1311, #1312, #1313 ), ( #1314, #1315, #1316, #1317, #1318, #1319, #1320, #1321, #1322, #1323, #1324, #1325, #1326, #1327, #1328, #1329, #1330, #1331, #1332, #1333, #1334, #1335, #1336, #1337, #1338, #1339 ), ( #1340, #1341, #1342, #1343, #1344, #1345, #1346, #1347, #1348, #1349, #1350, #1351, #1352, #1353, #1354, #1355, #1356, #1357, #1358, #1359, #1360, #1361, #1362, #1363, #1364, #1365 ), ( #1366, #1367, #1368, #1369, #1370, #1371, #1372, #1373, #1374, #1375, #1376, #1377, #1378, #1379, #1380, #1381, #1382, #1383, #1384, #1385, #1386, #1387, #1388, #1389, #1390, #1391 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.492630963161240, 0.492866094302641, 0.493101225444041, 0.493571487726843, 0.494512012292446, 0.496393061423653, 0.500155159686065, 0.503917257948478, 0.505798307079685, 0.506738831645288, 0.507209093928089, 0.507444225069490, 0.507679356210891 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#604 = SURFACE_STYLE_USAGE( .BOTH., #1398 ); +#605 = FACE_OUTER_BOUND( '', #1399, .T. ); +#606 = FACE_OUTER_BOUND( '', #1400, .T. ); +#607 = CYLINDRICAL_SURFACE( '', #1401, 0.250000000000000 ); +#608 = SURFACE_STYLE_USAGE( .BOTH., #1402 ); +#609 = FACE_OUTER_BOUND( '', #1403, .T. ); +#610 = FACE_OUTER_BOUND( '', #1404, .T. ); +#611 = ( B_SPLINE_SURFACE( 3, 3, ( ( #1406, #1407, #1408, #1409, #1410, #1411, #1412, #1413, #1414, #1415, #1416, #1417, #1418, #1419, #1420, #1421, #1422, #1423, #1424, #1425, #1426, #1427, #1428, #1429, #1430, #1431, #1432, #1433 ), ( #1434, #1435, #1436, #1437, #1438, #1439, #1440, #1441, #1442, #1443, #1444, #1445, #1446, #1447, #1448, #1449, #1450, #1451, #1452, #1453, #1454, #1455, #1456, #1457, #1458, #1459, #1460, #1461 ), ( #1462, #1463, #1464, #1465, #1466, #1467, #1468, #1469, #1470, #1471, #1472, #1473, #1474, #1475, #1476, #1477, #1478, #1479, #1480, #1481, #1482, #1483, #1484, #1485, #1486, #1487, #1488, #1489 ), ( #1490, #1491, #1492, #1493, #1494, #1495, #1496, #1497, #1498, #1499, #1500, #1501, #1502, #1503, #1504, #1505, #1506, #1507, #1508, #1509, #1510, #1511, #1512, #1513, #1514, #1515, #1516, #1517 ), ( #1518, #1519, #1520, #1521, #1522, #1523, #1524, #1525, #1526, #1527, #1528, #1529, #1530, #1531, #1532, #1533, #1534, #1535, #1536, #1537, #1538, #1539, #1540, #1541, #1542, #1543, #1544, #1545 ), ( #1546, #1547, #1548, #1549, #1550, #1551, #1552, #1553, #1554, #1555, #1556, #1557, #1558, #1559, #1560, #1561, #1562, #1563, #1564, #1565, #1566, #1567, #1568, #1569, #1570, #1571, #1572, #1573 ), ( #1574, #1575, #1576, #1577, #1578, #1579, #1580, #1581, #1582, #1583, #1584, #1585, #1586, #1587, #1588, #1589, #1590, #1591, #1592, #1593, #1594, #1595, #1596, #1597, #1598, #1599, #1600, #1601 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.154178059020646, 0.154413190162047, 0.154648321303447, 0.155118583586249, 0.156059108151853, 0.157940157283058, 0.161702255545471, 0.165464353807884, 0.167345402939091, 0.168285927504694, 0.168756189787496, 0.168991320928896, 0.169108886499596, 0.169226452070297 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#612 = SURFACE_STYLE_USAGE( .BOTH., #1608 ); +#613 = FACE_OUTER_BOUND( '', #1609, .T. ); +#614 = FACE_OUTER_BOUND( '', #1610, .T. ); +#615 = TOROIDAL_SURFACE( '', #1611, 0.460000000000000, 0.250000000000000 ); +#616 = SURFACE_STYLE_USAGE( .BOTH., #1612 ); +#617 = FACE_OUTER_BOUND( '', #1613, .T. ); +#618 = FACE_OUTER_BOUND( '', #1614, .T. ); +#619 = ( B_SPLINE_SURFACE( 3, 3, ( ( #1616, #1617, #1618, #1619, #1620, #1621, #1622, #1623, #1624, #1625, #1626, #1627, #1628, #1629, #1630, #1631, #1632, #1633, #1634, #1635, #1636, #1637, #1638, #1639, #1640, #1641, #1642, #1643 ), ( #1644, #1645, #1646, #1647, #1648, #1649, #1650, #1651, #1652, #1653, #1654, #1655, #1656, #1657, #1658, #1659, #1660, #1661, #1662, #1663, #1664, #1665, #1666, #1667, #1668, #1669, #1670, #1671 ), ( #1672, #1673, #1674, #1675, #1676, #1677, #1678, #1679, #1680, #1681, #1682, #1683, #1684, #1685, #1686, #1687, #1688, #1689, #1690, #1691, #1692, #1693, #1694, #1695, #1696, #1697, #1698, #1699 ), ( #1700, #1701, #1702, #1703, #1704, #1705, #1706, #1707, #1708, #1709, #1710, #1711, #1712, #1713, #1714, #1715, #1716, #1717, #1718, #1719, #1720, #1721, #1722, #1723, #1724, #1725, #1726, #1727 ), ( #1728, #1729, #1730, #1731, #1732, #1733, #1734, #1735, #1736, #1737, #1738, #1739, #1740, #1741, #1742, #1743, #1744, #1745, #1746, #1747, #1748, #1749, #1750, #1751, #1752, #1753, #1754, #1755 ), ( #1756, #1757, #1758, #1759, #1760, #1761, #1762, #1763, #1764, #1765, #1766, #1767, #1768, #1769, #1770, #1771, #1772, #1773, #1774, #1775, #1776, #1777, #1778, #1779, #1780, #1781, #1782, #1783 ), ( #1784, #1785, #1786, #1787, #1788, #1789, #1790, #1791, #1792, #1793, #1794, #1795, #1796, #1797, #1798, #1799, #1800, #1801, #1802, #1803, #1804, #1805, #1806, #1807, #1808, #1809, #1810, #1811 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.968087007699633, 0.968204573263229, 0.968322138826824, 0.968557269954014, 0.969027532208395, 0.969968056717156, 0.971849105734678, 0.975611203769723, 0.979373301804768, 0.981254350822290, 0.982194875331051, 0.982665137585432, 0.982900268712622, 0.983135399839812 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#620 = SURFACE_STYLE_USAGE( .BOTH., #1818 ); +#621 = FACE_OUTER_BOUND( '', #1819, .T. ); +#622 = FACE_OUTER_BOUND( '', #1820, .T. ); +#623 = ( B_SPLINE_SURFACE( 3, 3, ( ( #1822, #1823, #1824, #1825, #1826, #1827, #1828, #1829, #1830, #1831, #1832, #1833, #1834, #1835, #1836, #1837, #1838, #1839, #1840, #1841, #1842, #1843, #1844, #1845, #1846, #1847 ), ( #1848, #1849, #1850, #1851, #1852, #1853, #1854, #1855, #1856, #1857, #1858, #1859, #1860, #1861, #1862, #1863, #1864, #1865, #1866, #1867, #1868, #1869, #1870, #1871, #1872, #1873 ), ( #1874, #1875, #1876, #1877, #1878, #1879, #1880, #1881, #1882, #1883, #1884, #1885, #1886, #1887, #1888, #1889, #1890, #1891, #1892, #1893, #1894, #1895, #1896, #1897, #1898, #1899 ), ( #1900, #1901, #1902, #1903, #1904, #1905, #1906, #1907, #1908, #1909, #1910, #1911, #1912, #1913, #1914, #1915, #1916, #1917, #1918, #1919, #1920, #1921, #1922, #1923, #1924, #1925 ), ( #1926, #1927, #1928, #1929, #1930, #1931, #1932, #1933, #1934, #1935, #1936, #1937, #1938, #1939, #1940, #1941, #1942, #1943, #1944, #1945, #1946, #1947, #1948, #1949, #1950, #1951 ), ( #1952, #1953, #1954, #1955, #1956, #1957, #1958, #1959, #1960, #1961, #1962, #1963, #1964, #1965, #1966, #1967, #1968, #1969, #1970, #1971, #1972, #1973, #1974, #1975, #1976, #1977 ), ( #1978, #1979, #1980, #1981, #1982, #1983, #1984, #1985, #1986, #1987, #1988, #1989, #1990, #1991, #1992, #1993, #1994, #1995, #1996, #1997, #1998, #1999, #2000, #2001, #2002, #2003 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.291181199418446, 0.291416330545636, 0.291651461672827, 0.292121723927207, 0.293062248435968, 0.294943297453491, 0.298705395488535, 0.302467493523580, 0.304348542541103, 0.305289067049864, 0.305759329304244, 0.305994460431435, 0.306229591558625 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#624 = SURFACE_STYLE_USAGE( .BOTH., #2010 ); +#625 = FACE_OUTER_BOUND( '', #2011, .T. ); +#626 = FACE_OUTER_BOUND( '', #2012, .T. ); +#627 = TOROIDAL_SURFACE( '', #2013, 0.460000000000000, 0.250000000000000 ); +#628 = SURFACE_STYLE_USAGE( .BOTH., #2014 ); +#629 = FACE_OUTER_BOUND( '', #2015, .T. ); +#630 = FACE_OUTER_BOUND( '', #2016, .T. ); +#631 = CYLINDRICAL_SURFACE( '', #2017, 0.250000000000000 ); +#632 = SURFACE_STYLE_USAGE( .BOTH., #2018 ); +#633 = FACE_OUTER_BOUND( '', #2019, .T. ); +#634 = FACE_OUTER_BOUND( '', #2020, .T. ); +#635 = CYLINDRICAL_SURFACE( '', #2021, 0.250000000000000 ); +#636 = SURFACE_STYLE_USAGE( .BOTH., #2022 ); +#637 = FACE_OUTER_BOUND( '', #2023, .T. ); +#638 = FACE_OUTER_BOUND( '', #2024, .T. ); +#639 = CYLINDRICAL_SURFACE( '', #2025, 0.250000000000000 ); +#640 = SURFACE_STYLE_USAGE( .BOTH., #2026 ); +#641 = FACE_OUTER_BOUND( '', #2027, .T. ); +#642 = CYLINDRICAL_SURFACE( '', #2028, 0.200000000000000 ); +#643 = SURFACE_STYLE_USAGE( .BOTH., #2029 ); +#644 = FACE_OUTER_BOUND( '', #2030, .T. ); +#645 = FACE_OUTER_BOUND( '', #2031, .T. ); +#646 = ( B_SPLINE_SURFACE( 3, 3, ( ( #2033, #2034, #2035, #2036, #2037, #2038, #2039, #2040, #2041, #2042, #2043, #2044, #2045, #2046, #2047, #2048, #2049, #2050, #2051, #2052, #2053, #2054, #2055, #2056, #2057, #2058 ), ( #2059, #2060, #2061, #2062, #2063, #2064, #2065, #2066, #2067, #2068, #2069, #2070, #2071, #2072, #2073, #2074, #2075, #2076, #2077, #2078, #2079, #2080, #2081, #2082, #2083, #2084 ), ( #2085, #2086, #2087, #2088, #2089, #2090, #2091, #2092, #2093, #2094, #2095, #2096, #2097, #2098, #2099, #2100, #2101, #2102, #2103, #2104, #2105, #2106, #2107, #2108, #2109, #2110 ), ( #2111, #2112, #2113, #2114, #2115, #2116, #2117, #2118, #2119, #2120, #2121, #2122, #2123, #2124, #2125, #2126, #2127, #2128, #2129, #2130, #2131, #2132, #2133, #2134, #2135, #2136 ), ( #2137, #2138, #2139, #2140, #2141, #2142, #2143, #2144, #2145, #2146, #2147, #2148, #2149, #2150, #2151, #2152, #2153, #2154, #2155, #2156, #2157, #2158, #2159, #2160, #2161, #2162 ), ( #2163, #2164, #2165, #2166, #2167, #2168, #2169, #2170, #2171, #2172, #2173, #2174, #2175, #2176, #2177, #2178, #2179, #2180, #2181, #2182, #2183, #2184, #2185, #2186, #2187, #2188 ), ( #2189, #2190, #2191, #2192, #2193, #2194, #2195, #2196, #2197, #2198, #2199, #2200, #2201, #2202, #2203, #2204, #2205, #2206, #2207, #2208, #2209, #2210, #2211, #2212, #2213, #2214 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.291181199418446, 0.291416330545636, 0.291651461672826, 0.292121723927207, 0.293062248435968, 0.294943297453491, 0.298705395488535, 0.302467493523580, 0.304348542541103, 0.305289067049864, 0.305759329304244, 0.305994460431435, 0.306229591558625 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#647 = SURFACE_STYLE_USAGE( .BOTH., #2221 ); +#648 = FACE_OUTER_BOUND( '', #2222, .T. ); +#649 = CYLINDRICAL_SURFACE( '', #2223, 0.300000000000000 ); +#650 = SURFACE_STYLE_USAGE( .BOTH., #2224 ); +#651 = FACE_OUTER_BOUND( '', #2225, .T. ); +#652 = FACE_OUTER_BOUND( '', #2226, .T. ); +#653 = ( B_SPLINE_SURFACE( 3, 3, ( ( #2228, #2229, #2230, #2231, #2232, #2233, #2234, #2235, #2236, #2237, #2238, #2239, #2240, #2241, #2242, #2243, #2244, #2245, #2246, #2247, #2248, #2249, #2250, #2251, #2252, #2253, #2254, #2255 ), ( #2256, #2257, #2258, #2259, #2260, #2261, #2262, #2263, #2264, #2265, #2266, #2267, #2268, #2269, #2270, #2271, #2272, #2273, #2274, #2275, #2276, #2277, #2278, #2279, #2280, #2281, #2282, #2283 ), ( #2284, #2285, #2286, #2287, #2288, #2289, #2290, #2291, #2292, #2293, #2294, #2295, #2296, #2297, #2298, #2299, #2300, #2301, #2302, #2303, #2304, #2305, #2306, #2307, #2308, #2309, #2310, #2311 ), ( #2312, #2313, #2314, #2315, #2316, #2317, #2318, #2319, #2320, #2321, #2322, #2323, #2324, #2325, #2326, #2327, #2328, #2329, #2330, #2331, #2332, #2333, #2334, #2335, #2336, #2337, #2338, #2339 ), ( #2340, #2341, #2342, #2343, #2344, #2345, #2346, #2347, #2348, #2349, #2350, #2351, #2352, #2353, #2354, #2355, #2356, #2357, #2358, #2359, #2360, #2361, #2362, #2363, #2364, #2365, #2366, #2367 ), ( #2368, #2369, #2370, #2371, #2372, #2373, #2374, #2375, #2376, #2377, #2378, #2379, #2380, #2381, #2382, #2383, #2384, #2385, #2386, #2387, #2388, #2389, #2390, #2391, #2392, #2393, #2394, #2395 ), ( #2396, #2397, #2398, #2399, #2400, #2401, #2402, #2403, #2404, #2405, #2406, #2407, #2408, #2409, #2410, #2411, #2412, #2413, #2414, #2415, #2416, #2417, #2418, #2419, #2420, #2421, #2422, #2423 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.661857415231537, 0.662092546372938, 0.662327677514338, 0.662797939797140, 0.663738464362743, 0.665619513493950, 0.669381611756362, 0.673143710018775, 0.675024759149981, 0.675965283715585, 0.676435545998386, 0.676670677139787, 0.676788242710487, 0.676905808281188 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#654 = SURFACE_STYLE_USAGE( .BOTH., #2430 ); +#655 = FACE_OUTER_BOUND( '', #2431, .T. ); +#656 = FACE_OUTER_BOUND( '', #2432, .T. ); +#657 = ( B_SPLINE_SURFACE( 3, 3, ( ( #2434, #2435, #2436, #2437, #2438, #2439, #2440, #2441, #2442, #2443, #2444, #2445, #2446, #2447, #2448, #2449, #2450, #2451, #2452, #2453, #2454, #2455, #2456, #2457, #2458, #2459 ), ( #2460, #2461, #2462, #2463, #2464, #2465, #2466, #2467, #2468, #2469, #2470, #2471, #2472, #2473, #2474, #2475, #2476, #2477, #2478, #2479, #2480, #2481, #2482, #2483, #2484, #2485 ), ( #2486, #2487, #2488, #2489, #2490, #2491, #2492, #2493, #2494, #2495, #2496, #2497, #2498, #2499, #2500, #2501, #2502, #2503, #2504, #2505, #2506, #2507, #2508, #2509, #2510, #2511 ), ( #2512, #2513, #2514, #2515, #2516, #2517, #2518, #2519, #2520, #2521, #2522, #2523, #2524, #2525, #2526, #2527, #2528, #2529, #2530, #2531, #2532, #2533, #2534, #2535, #2536, #2537 ), ( #2538, #2539, #2540, #2541, #2542, #2543, #2544, #2545, #2546, #2547, #2548, #2549, #2550, #2551, #2552, #2553, #2554, #2555, #2556, #2557, #2558, #2559, #2560, #2561, #2562, #2563 ), ( #2564, #2565, #2566, #2567, #2568, #2569, #2570, #2571, #2572, #2573, #2574, #2575, #2576, #2577, #2578, #2579, #2580, #2581, #2582, #2583, #2584, #2585, #2586, #2587, #2588, #2589 ), ( #2590, #2591, #2592, #2593, #2594, #2595, #2596, #2597, #2598, #2599, #2600, #2601, #2602, #2603, #2604, #2605, #2606, #2607, #2608, #2609, #2610, #2611, #2612, #2613, #2614, #2615 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.798860555629336, 0.799095686756527, 0.799330817883717, 0.799801080138098, 0.800741604646859, 0.802622653664381, 0.806384751699426, 0.810146849734471, 0.812027898751993, 0.812968423260754, 0.813438685515135, 0.813673816642325, 0.813908947769516 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#658 = SURFACE_STYLE_USAGE( .BOTH., #2622 ); +#659 = FACE_BOUND( '', #2623, .T. ); +#660 = FACE_OUTER_BOUND( '', #2624, .T. ); +#661 = PLANE( '', #2625 ); +#662 = SURFACE_STYLE_USAGE( .BOTH., #2626 ); +#663 = FACE_OUTER_BOUND( '', #2627, .T. ); +#664 = FACE_OUTER_BOUND( '', #2628, .T. ); +#665 = CYLINDRICAL_SURFACE( '', #2629, 0.250000000000000 ); +#666 = SURFACE_STYLE_USAGE( .BOTH., #2630 ); +#667 = FACE_OUTER_BOUND( '', #2631, .T. ); +#668 = PLANE( '', #2632 ); +#669 = SURFACE_STYLE_USAGE( .BOTH., #2633 ); +#670 = FACE_OUTER_BOUND( '', #2634, .T. ); +#671 = FACE_OUTER_BOUND( '', #2635, .T. ); +#672 = TOROIDAL_SURFACE( '', #2636, 0.700000000000000, 0.250000000000000 ); +#673 = SURFACE_STYLE_USAGE( .BOTH., #2637 ); +#674 = FACE_OUTER_BOUND( '', #2638, .T. ); +#675 = FACE_OUTER_BOUND( '', #2639, .T. ); +#676 = ( B_SPLINE_SURFACE( 3, 3, ( ( #2641, #2642, #2643, #2644, #2645, #2646, #2647, #2648, #2649, #2650, #2651, #2652, #2653, #2654, #2655, #2656, #2657, #2658, #2659, #2660, #2661, #2662, #2663, #2664, #2665, #2666, #2667, #2668 ), ( #2669, #2670, #2671, #2672, #2673, #2674, #2675, #2676, #2677, #2678, #2679, #2680, #2681, #2682, #2683, #2684, #2685, #2686, #2687, #2688, #2689, #2690, #2691, #2692, #2693, #2694, #2695, #2696 ), ( #2697, #2698, #2699, #2700, #2701, #2702, #2703, #2704, #2705, #2706, #2707, #2708, #2709, #2710, #2711, #2712, #2713, #2714, #2715, #2716, #2717, #2718, #2719, #2720, #2721, #2722, #2723, #2724 ), ( #2725, #2726, #2727, #2728, #2729, #2730, #2731, #2732, #2733, #2734, #2735, #2736, #2737, #2738, #2739, #2740, #2741, #2742, #2743, #2744, #2745, #2746, #2747, #2748, #2749, #2750, #2751, #2752 ), ( #2753, #2754, #2755, #2756, #2757, #2758, #2759, #2760, #2761, #2762, #2763, #2764, #2765, #2766, #2767, #2768, #2769, #2770, #2771, #2772, #2773, #2774, #2775, #2776, #2777, #2778, #2779, #2780 ), ( #2781, #2782, #2783, #2784, #2785, #2786, #2787, #2788, #2789, #2790, #2791, #2792, #2793, #2794, #2795, #2796, #2797, #2798, #2799, #2800, #2801, #2802, #2803, #2804, #2805, #2806, #2807, #2808 ), ( #2809, #2810, #2811, #2812, #2813, #2814, #2815, #2816, #2817, #2818, #2819, #2820, #2821, #2822, #2823, #2824, #2825, #2826, #2827, #2828, #2829, #2830, #2831, #2832, #2833, #2834, #2835, #2836 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.661857415231537, 0.662092546372938, 0.662327677514338, 0.662797939797140, 0.663738464362743, 0.665619513493950, 0.669381611756362, 0.673143710018775, 0.675024759149981, 0.675965283715585, 0.676435545998386, 0.676670677139787, 0.676788242710487, 0.676905808281188 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#677 = SURFACE_STYLE_USAGE( .BOTH., #2843 ); +#678 = FACE_OUTER_BOUND( '', #2844, .T. ); +#679 = FACE_OUTER_BOUND( '', #2845, .T. ); +#680 = TOROIDAL_SURFACE( '', #2846, 0.460000000000000, 0.250000000000000 ); +#681 = SURFACE_STYLE_USAGE( .BOTH., #2847 ); +#682 = FACE_OUTER_BOUND( '', #2848, .T. ); +#683 = FACE_OUTER_BOUND( '', #2849, .T. ); +#684 = ( B_SPLINE_SURFACE( 3, 3, ( ( #2851, #2852, #2853, #2854, #2855, #2856, #2857, #2858, #2859, #2860, #2861, #2862, #2863, #2864, #2865, #2866, #2867, #2868, #2869, #2870, #2871, #2872, #2873, #2874, #2875, #2876 ), ( #2877, #2878, #2879, #2880, #2881, #2882, #2883, #2884, #2885, #2886, #2887, #2888, #2889, #2890, #2891, #2892, #2893, #2894, #2895, #2896, #2897, #2898, #2899, #2900, #2901, #2902 ), ( #2903, #2904, #2905, #2906, #2907, #2908, #2909, #2910, #2911, #2912, #2913, #2914, #2915, #2916, #2917, #2918, #2919, #2920, #2921, #2922, #2923, #2924, #2925, #2926, #2927, #2928 ), ( #2929, #2930, #2931, #2932, #2933, #2934, #2935, #2936, #2937, #2938, #2939, #2940, #2941, #2942, #2943, #2944, #2945, #2946, #2947, #2948, #2949, #2950, #2951, #2952, #2953, #2954 ), ( #2955, #2956, #2957, #2958, #2959, #2960, #2961, #2962, #2963, #2964, #2965, #2966, #2967, #2968, #2969, #2970, #2971, #2972, #2973, #2974, #2975, #2976, #2977, #2978, #2979, #2980 ), ( #2981, #2982, #2983, #2984, #2985, #2986, #2987, #2988, #2989, #2990, #2991, #2992, #2993, #2994, #2995, #2996, #2997, #2998, #2999, #3000, #3001, #3002, #3003, #3004, #3005, #3006 ), ( #3007, #3008, #3009, #3010, #3011, #3012, #3013, #3014, #3015, #3016, #3017, #3018, #3019, #3020, #3021, #3022, #3023, #3024, #3025, #3026, #3027, #3028, #3029, #3030, #3031, #3032 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.323404511090943, 0.323639642232343, 0.323874773373744, 0.324345035656546, 0.325285560222149, 0.327166609353356, 0.330928707615768, 0.334690805878181, 0.336571855009387, 0.337512379574990, 0.337982641857792, 0.338217772999193, 0.338452904140594 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#685 = SURFACE_STYLE_USAGE( .BOTH., #3039 ); +#686 = FACE_OUTER_BOUND( '', #3040, .T. ); +#687 = FACE_OUTER_BOUND( '', #3041, .T. ); +#688 = CYLINDRICAL_SURFACE( '', #3042, 0.250000000000000 ); +#689 = SURFACE_STYLE_USAGE( .BOTH., #3043 ); +#690 = FACE_OUTER_BOUND( '', #3044, .T. ); +#691 = FACE_OUTER_BOUND( '', #3045, .T. ); +#692 = CYLINDRICAL_SURFACE( '', #3046, 0.250000000000000 ); +#693 = SURFACE_STYLE_USAGE( .BOTH., #3047 ); +#694 = FACE_OUTER_BOUND( '', #3048, .T. ); +#695 = CYLINDRICAL_SURFACE( '', #3049, 0.200000000000000 ); +#696 = SURFACE_STYLE_USAGE( .BOTH., #3050 ); +#697 = FACE_OUTER_BOUND( '', #3051, .T. ); +#698 = FACE_OUTER_BOUND( '', #3052, .T. ); +#699 = CYLINDRICAL_SURFACE( '', #3053, 0.250000000000000 ); +#700 = SURFACE_STYLE_USAGE( .BOTH., #3054 ); +#701 = FACE_OUTER_BOUND( '', #3055, .T. ); +#702 = CYLINDRICAL_SURFACE( '', #3056, 0.200000000000000 ); +#703 = SURFACE_STYLE_USAGE( .BOTH., #3057 ); +#704 = FACE_OUTER_BOUND( '', #3058, .T. ); +#705 = PLANE( '', #3059 ); +#706 = SURFACE_STYLE_USAGE( .BOTH., #3060 ); +#707 = FACE_OUTER_BOUND( '', #3061, .T. ); +#708 = FACE_OUTER_BOUND( '', #3062, .T. ); +#709 = CYLINDRICAL_SURFACE( '', #3063, 0.250000000000000 ); +#710 = SURFACE_STYLE_USAGE( .BOTH., #3064 ); +#711 = FACE_OUTER_BOUND( '', #3065, .T. ); +#712 = FACE_OUTER_BOUND( '', #3066, .T. ); +#713 = TOROIDAL_SURFACE( '', #3067, 0.700000000042673, 0.250000000000000 ); +#714 = SURFACE_STYLE_USAGE( .BOTH., #3068 ); +#715 = FACE_OUTER_BOUND( '', #3069, .T. ); +#716 = FACE_OUTER_BOUND( '', #3070, .T. ); +#717 = CYLINDRICAL_SURFACE( '', #3071, 0.250000000000000 ); +#718 = SURFACE_STYLE_USAGE( .BOTH., #3072 ); +#719 = FACE_OUTER_BOUND( '', #3073, .T. ); +#720 = TOROIDAL_SURFACE( '', #3074, 1.30000000000000, 0.200000000000000 ); +#721 = SURFACE_STYLE_USAGE( .BOTH., #3075 ); +#722 = FACE_OUTER_BOUND( '', #3076, .T. ); +#723 = FACE_OUTER_BOUND( '', #3077, .T. ); +#724 = ( B_SPLINE_SURFACE( 3, 3, ( ( #3079, #3080, #3081, #3082, #3083, #3084, #3085, #3086, #3087, #3088, #3089, #3090, #3091, #3092, #3093, #3094, #3095, #3096, #3097, #3098, #3099, #3100, #3101, #3102, #3103, #3104, #3105, #3106 ), ( #3107, #3108, #3109, #3110, #3111, #3112, #3113, #3114, #3115, #3116, #3117, #3118, #3119, #3120, #3121, #3122, #3123, #3124, #3125, #3126, #3127, #3128, #3129, #3130, #3131, #3132, #3133, #3134 ), ( #3135, #3136, #3137, #3138, #3139, #3140, #3141, #3142, #3143, #3144, #3145, #3146, #3147, #3148, #3149, #3150, #3151, #3152, #3153, #3154, #3155, #3156, #3157, #3158, #3159, #3160, #3161, #3162 ), ( #3163, #3164, #3165, #3166, #3167, #3168, #3169, #3170, #3171, #3172, #3173, #3174, #3175, #3176, #3177, #3178, #3179, #3180, #3181, #3182, #3183, #3184, #3185, #3186, #3187, #3188, #3189, #3190 ), ( #3191, #3192, #3193, #3194, #3195, #3196, #3197, #3198, #3199, #3200, #3201, #3202, #3203, #3204, #3205, #3206, #3207, #3208, #3209, #3210, #3211, #3212, #3213, #3214, #3215, #3216, #3217, #3218 ), ( #3219, #3220, #3221, #3222, #3223, #3224, #3225, #3226, #3227, #3228, #3229, #3230, #3231, #3232, #3233, #3234, #3235, #3236, #3237, #3238, #3239, #3240, #3241, #3242, #3243, #3244, #3245, #3246 ), ( #3247, #3248, #3249, #3250, #3251, #3252, #3253, #3254, #3255, #3256, #3257, #3258, #3259, #3260, #3261, #3262, #3263, #3264, #3265, #3266, #3267, #3268, #3269, #3270, #3271, #3272, #3273, #3274 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.629634103559040, 0.629751669122635, 0.629869234686230, 0.630104365813420, 0.630574628067801, 0.631515152576562, 0.633396201594084, 0.637158299629129, 0.640920397664174, 0.642801446681696, 0.643741971190458, 0.644212233444838, 0.644447364572028, 0.644682495699219 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#725 = SURFACE_STYLE_USAGE( .BOTH., #3281 ); +#726 = FACE_OUTER_BOUND( '', #3282, .T. ); +#727 = FACE_OUTER_BOUND( '', #3283, .T. ); +#728 = CYLINDRICAL_SURFACE( '', #3284, 0.250000000000000 ); +#729 = SURFACE_STYLE_USAGE( .BOTH., #3285 ); +#730 = FACE_OUTER_BOUND( '', #3286, .T. ); +#731 = FACE_OUTER_BOUND( '', #3287, .T. ); +#732 = ( B_SPLINE_SURFACE( 3, 3, ( ( #3289, #3290, #3291, #3292, #3293, #3294, #3295, #3296, #3297, #3298, #3299, #3300, #3301, #3302, #3303, #3304, #3305, #3306, #3307, #3308, #3309, #3310, #3311, #3312, #3313, #3314, #3315, #3316 ), ( #3317, #3318, #3319, #3320, #3321, #3322, #3323, #3324, #3325, #3326, #3327, #3328, #3329, #3330, #3331, #3332, #3333, #3334, #3335, #3336, #3337, #3338, #3339, #3340, #3341, #3342, #3343, #3344 ), ( #3345, #3346, #3347, #3348, #3349, #3350, #3351, #3352, #3353, #3354, #3355, #3356, #3357, #3358, #3359, #3360, #3361, #3362, #3363, #3364, #3365, #3366, #3367, #3368, #3369, #3370, #3371, #3372 ), ( #3373, #3374, #3375, #3376, #3377, #3378, #3379, #3380, #3381, #3382, #3383, #3384, #3385, #3386, #3387, #3388, #3389, #3390, #3391, #3392, #3393, #3394, #3395, #3396, #3397, #3398, #3399, #3400 ), ( #3401, #3402, #3403, #3404, #3405, #3406, #3407, #3408, #3409, #3410, #3411, #3412, #3413, #3414, #3415, #3416, #3417, #3418, #3419, #3420, #3421, #3422, #3423, #3424, #3425, #3426, #3427, #3428 ), ( #3429, #3430, #3431, #3432, #3433, #3434, #3435, #3436, #3437, #3438, #3439, #3440, #3441, #3442, #3443, #3444, #3445, #3446, #3447, #3448, #3449, #3450, #3451, #3452, #3453, #3454, #3455, #3456 ), ( #3457, #3458, #3459, #3460, #3461, #3462, #3463, #3464, #3465, #3466, #3467, #3468, #3469, #3470, #3471, #3472, #3473, #3474, #3475, #3476, #3477, #3478, #3479, #3480, #3481, #3482, #3483, #3484 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.968087007699633, 0.968204573263229, 0.968322138826824, 0.968557269954014, 0.969027532208395, 0.969968056717156, 0.971849105734678, 0.975611203769723, 0.979373301804768, 0.981254350822290, 0.982194875331051, 0.982665137585432, 0.982900268712622, 0.983135399839812 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#733 = SURFACE_STYLE_USAGE( .BOTH., #3491 ); +#734 = FACE_OUTER_BOUND( '', #3492, .T. ); +#735 = CYLINDRICAL_SURFACE( '', #3493, 0.300000000000000 ); +#736 = SURFACE_STYLE_USAGE( .BOTH., #3494 ); +#737 = FACE_OUTER_BOUND( '', #3495, .T. ); +#738 = FACE_OUTER_BOUND( '', #3496, .T. ); +#739 = TOROIDAL_SURFACE( '', #3497, 0.460000000000000, 0.250000000000000 ); +#740 = SURFACE_STYLE_USAGE( .BOTH., #3498 ); +#741 = FACE_OUTER_BOUND( '', #3499, .T. ); +#742 = FACE_OUTER_BOUND( '', #3500, .T. ); +#743 = CYLINDRICAL_SURFACE( '', #3501, 0.250000000000000 ); +#744 = SURFACE_STYLE_USAGE( .BOTH., #3502 ); +#745 = FACE_OUTER_BOUND( '', #3503, .T. ); +#746 = TOROIDAL_SURFACE( '', #3504, 0.500000000000000, 0.200000000000000 ); +#747 = SURFACE_STYLE_USAGE( .BOTH., #3505 ); +#748 = FACE_OUTER_BOUND( '', #3506, .T. ); +#749 = FACE_OUTER_BOUND( '', #3507, .T. ); +#750 = ( B_SPLINE_SURFACE( 3, 3, ( ( #3509, #3510, #3511, #3512, #3513, #3514, #3515, #3516, #3517, #3518, #3519, #3520, #3521, #3522, #3523, #3524, #3525, #3526, #3527, #3528, #3529, #3530, #3531, #3532, #3533, #3534 ), ( #3535, #3536, #3537, #3538, #3539, #3540, #3541, #3542, #3543, #3544, #3545, #3546, #3547, #3548, #3549, #3550, #3551, #3552, #3553, #3554, #3555, #3556, #3557, #3558, #3559, #3560 ), ( #3561, #3562, #3563, #3564, #3565, #3566, #3567, #3568, #3569, #3570, #3571, #3572, #3573, #3574, #3575, #3576, #3577, #3578, #3579, #3580, #3581, #3582, #3583, #3584, #3585, #3586 ), ( #3587, #3588, #3589, #3590, #3591, #3592, #3593, #3594, #3595, #3596, #3597, #3598, #3599, #3600, #3601, #3602, #3603, #3604, #3605, #3606, #3607, #3608, #3609, #3610, #3611, #3612 ), ( #3613, #3614, #3615, #3616, #3617, #3618, #3619, #3620, #3621, #3622, #3623, #3624, #3625, #3626, #3627, #3628, #3629, #3630, #3631, #3632, #3633, #3634, #3635, #3636, #3637, #3638 ), ( #3639, #3640, #3641, #3642, #3643, #3644, #3645, #3646, #3647, #3648, #3649, #3650, #3651, #3652, #3653, #3654, #3655, #3656, #3657, #3658, #3659, #3660, #3661, #3662, #3663, #3664 ), ( #3665, #3666, #3667, #3668, #3669, #3670, #3671, #3672, #3673, #3674, #3675, #3676, #3677, #3678, #3679, #3680, #3681, #3682, #3683, #3684, #3685, #3686, #3687, #3688, #3689, #3690 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.831083867301834, 0.831318998443235, 0.831554129584635, 0.832024391867437, 0.832964916433040, 0.834845965564246, 0.838608063826659, 0.842370162089072, 0.844251211220278, 0.845191735785881, 0.845661998068683, 0.845897129210084, 0.846132260351485 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#751 = SURFACE_STYLE_USAGE( .BOTH., #3697 ); +#752 = FACE_OUTER_BOUND( '', #3698, .T. ); +#753 = CYLINDRICAL_SURFACE( '', #3699, 0.200000000000000 ); +#754 = SURFACE_STYLE_USAGE( .BOTH., #3700 ); +#755 = FACE_OUTER_BOUND( '', #3701, .T. ); +#756 = FACE_OUTER_BOUND( '', #3702, .T. ); +#757 = CYLINDRICAL_SURFACE( '', #3703, 0.250000000000000 ); +#758 = SURFACE_STYLE_USAGE( .BOTH., #3704 ); +#759 = FACE_OUTER_BOUND( '', #3705, .T. ); +#760 = TOROIDAL_SURFACE( '', #3706, 1.30000000000000, 0.200000000000000 ); +#761 = SURFACE_STYLE_USAGE( .BOTH., #3707 ); +#762 = FACE_OUTER_BOUND( '', #3708, .T. ); +#763 = FACE_OUTER_BOUND( '', #3709, .T. ); +#764 = CYLINDRICAL_SURFACE( '', #3710, 0.250000000000000 ); +#765 = SURFACE_STYLE_USAGE( .BOTH., #3711 ); +#766 = FACE_OUTER_BOUND( '', #3712, .T. ); +#767 = FACE_OUTER_BOUND( '', #3713, .T. ); +#768 = ( B_SPLINE_SURFACE( 3, 3, ( ( #3715, #3716, #3717, #3718, #3719, #3720, #3721, #3722, #3723, #3724, #3725, #3726, #3727, #3728, #3729, #3730, #3731, #3732, #3733, #3734, #3735, #3736, #3737, #3738, #3739, #3740 ), ( #3741, #3742, #3743, #3744, #3745, #3746, #3747, #3748, #3749, #3750, #3751, #3752, #3753, #3754, #3755, #3756, #3757, #3758, #3759, #3760, #3761, #3762, #3763, #3764, #3765, #3766 ), ( #3767, #3768, #3769, #3770, #3771, #3772, #3773, #3774, #3775, #3776, #3777, #3778, #3779, #3780, #3781, #3782, #3783, #3784, #3785, #3786, #3787, #3788, #3789, #3790, #3791, #3792 ), ( #3793, #3794, #3795, #3796, #3797, #3798, #3799, #3800, #3801, #3802, #3803, #3804, #3805, #3806, #3807, #3808, #3809, #3810, #3811, #3812, #3813, #3814, #3815, #3816, #3817, #3818 ), ( #3819, #3820, #3821, #3822, #3823, #3824, #3825, #3826, #3827, #3828, #3829, #3830, #3831, #3832, #3833, #3834, #3835, #3836, #3837, #3838, #3839, #3840, #3841, #3842, #3843, #3844 ), ( #3845, #3846, #3847, #3848, #3849, #3850, #3851, #3852, #3853, #3854, #3855, #3856, #3857, #3858, #3859, #3860, #3861, #3862, #3863, #3864, #3865, #3866, #3867, #3868, #3869, #3870 ), ( #3871, #3872, #3873, #3874, #3875, #3876, #3877, #3878, #3879, #3880, #3881, #3882, #3883, #3884, #3885, #3886, #3887, #3888, #3889, #3890, #3891, #3892, #3893, #3894, #3895, #3896 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.460407651488742, 0.460642782615933, 0.460877913743123, 0.461348175997504, 0.462288700506265, 0.464169749523787, 0.467931847558832, 0.471693945593877, 0.473574994611399, 0.474515519120160, 0.474985781374541, 0.475220912501731, 0.475456043628922 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#769 = SURFACE_STYLE_USAGE( .BOTH., #3903 ); +#770 = FACE_OUTER_BOUND( '', #3904, .T. ); +#771 = CYLINDRICAL_SURFACE( '', #3905, 1.50000000000000 ); +#772 = SURFACE_STYLE_USAGE( .BOTH., #3906 ); +#773 = FACE_OUTER_BOUND( '', #3907, .T. ); +#774 = FACE_OUTER_BOUND( '', #3908, .T. ); +#775 = CYLINDRICAL_SURFACE( '', #3909, 0.250000000000000 ); +#776 = SURFACE_STYLE_USAGE( .BOTH., #3910 ); +#777 = FACE_OUTER_BOUND( '', #3911, .T. ); +#778 = FACE_OUTER_BOUND( '', #3912, .T. ); +#779 = CYLINDRICAL_SURFACE( '', #3913, 0.250000000000000 ); +#780 = SURFACE_STYLE_USAGE( .BOTH., #3914 ); +#781 = FACE_OUTER_BOUND( '', #3915, .T. ); +#782 = FACE_OUTER_BOUND( '', #3916, .T. ); +#783 = CYLINDRICAL_SURFACE( '', #3917, 0.250000000000000 ); +#784 = SURFACE_STYLE_USAGE( .BOTH., #3918 ); +#785 = FACE_OUTER_BOUND( '', #3919, .T. ); +#786 = TOROIDAL_SURFACE( '', #3920, 0.500000000000000, 0.200000000000000 ); +#787 = SURFACE_STYLE_USAGE( .BOTH., #3921 ); +#788 = FACE_OUTER_BOUND( '', #3922, .T. ); +#789 = TOROIDAL_SURFACE( '', #3923, 0.500000000000000, 0.200000000000000 ); +#790 = SURFACE_STYLE_USAGE( .BOTH., #3924 ); +#791 = FACE_OUTER_BOUND( '', #3925, .T. ); +#792 = PLANE( '', #3926 ); +#793 = SURFACE_STYLE_USAGE( .BOTH., #3927 ); +#794 = FACE_OUTER_BOUND( '', #3928, .T. ); +#795 = FACE_OUTER_BOUND( '', #3929, .T. ); +#796 = CYLINDRICAL_SURFACE( '', #3930, 0.250000000000000 ); +#797 = SURFACE_STYLE_USAGE( .BOTH., #3931 ); +#798 = FACE_OUTER_BOUND( '', #3932, .T. ); +#799 = CYLINDRICAL_SURFACE( '', #3933, 0.200000000000000 ); +#800 = SURFACE_STYLE_USAGE( .BOTH., #3934 ); +#801 = FACE_OUTER_BOUND( '', #3935, .T. ); +#802 = CYLINDRICAL_SURFACE( '', #3936, 1.50000000000000 ); +#803 = SURFACE_STYLE_USAGE( .BOTH., #3937 ); +#804 = FACE_OUTER_BOUND( '', #3938, .T. ); +#805 = FACE_OUTER_BOUND( '', #3939, .T. ); +#806 = TOROIDAL_SURFACE( '', #3940, 0.460000000000000, 0.250000000000000 ); +#807 = SURFACE_STYLE_USAGE( .BOTH., #3941 ); +#808 = FACE_OUTER_BOUND( '', #3942, .T. ); +#809 = FACE_OUTER_BOUND( '', #3943, .T. ); +#810 = CYLINDRICAL_SURFACE( '', #3944, 0.250000000000000 ); +#811 = SURFACE_STYLE_USAGE( .BOTH., #3945 ); +#812 = FACE_OUTER_BOUND( '', #3946, .T. ); +#813 = FACE_OUTER_BOUND( '', #3947, .T. ); +#814 = TOROIDAL_SURFACE( '', #3948, 0.460000000000000, 0.250000000000000 ); +#815 = SURFACE_STYLE_USAGE( .BOTH., #3949 ); +#816 = FACE_OUTER_BOUND( '', #3950, .T. ); +#817 = TOROIDAL_SURFACE( '', #3951, 0.500000000000000, 0.200000000000000 ); +#818 = SURFACE_STYLE_USAGE( .BOTH., #3952 ); +#819 = FACE_OUTER_BOUND( '', #3953, .T. ); +#820 = FACE_OUTER_BOUND( '', #3954, .T. ); +#821 = TOROIDAL_SURFACE( '', #3955, 0.460000000000000, 0.250000000000000 ); +#822 = SURFACE_STYLE_USAGE( .BOTH., #3956 ); +#823 = FACE_OUTER_BOUND( '', #3957, .T. ); +#824 = FACE_OUTER_BOUND( '', #3958, .T. ); +#825 = CYLINDRICAL_SURFACE( '', #3959, 0.250000000000000 ); +#826 = SURFACE_STYLE_USAGE( .BOTH., #3960 ); +#827 = FACE_OUTER_BOUND( '', #3961, .T. ); +#828 = CYLINDRICAL_SURFACE( '', #3962, 0.200000000000000 ); +#829 = SURFACE_STYLE_USAGE( .BOTH., #3963 ); +#830 = FACE_OUTER_BOUND( '', #3964, .T. ); +#831 = FACE_OUTER_BOUND( '', #3965, .T. ); +#832 = CYLINDRICAL_SURFACE( '', #3966, 0.250000000000000 ); +#833 = SURFACE_STYLE_USAGE( .BOTH., #3967 ); +#834 = FACE_OUTER_BOUND( '', #3968, .T. ); +#835 = FACE_OUTER_BOUND( '', #3969, .T. ); +#836 = CYLINDRICAL_SURFACE( '', #3970, 0.250000000000000 ); +#837 = SURFACE_STYLE_USAGE( .BOTH., #3971 ); +#838 = FACE_OUTER_BOUND( '', #3972, .T. ); +#839 = FACE_OUTER_BOUND( '', #3973, .T. ); +#840 = CYLINDRICAL_SURFACE( '', #3974, 0.250000000000000 ); +#841 = SURFACE_STYLE_USAGE( .BOTH., #3975 ); +#842 = FACE_OUTER_BOUND( '', #3976, .T. ); +#843 = FACE_OUTER_BOUND( '', #3977, .T. ); +#844 = CYLINDRICAL_SURFACE( '', #3978, 0.250000000000000 ); +#845 = SURFACE_STYLE_USAGE( .BOTH., #3979 ); +#846 = FACE_OUTER_BOUND( '', #3980, .T. ); +#847 = FACE_OUTER_BOUND( '', #3981, .T. ); +#848 = CYLINDRICAL_SURFACE( '', #3982, 0.250000000000000 ); +#849 = SURFACE_STYLE_USAGE( .BOTH., #3983 ); +#850 = FACE_OUTER_BOUND( '', #3984, .T. ); +#851 = CYLINDRICAL_SURFACE( '', #3985, 0.200000000000000 ); +#852 = SURFACE_STYLE_USAGE( .BOTH., #3986 ); +#853 = FACE_OUTER_BOUND( '', #3987, .T. ); +#854 = FACE_OUTER_BOUND( '', #3988, .T. ); +#855 = CYLINDRICAL_SURFACE( '', #3989, 0.250000000000000 ); +#856 = SURFACE_STYLE_USAGE( .BOTH., #3990 ); +#857 = FACE_OUTER_BOUND( '', #3991, .T. ); +#858 = FACE_OUTER_BOUND( '', #3992, .T. ); +#859 = CYLINDRICAL_SURFACE( '', #3993, 0.250000000000000 ); +#860 = SURFACE_STYLE_USAGE( .BOTH., #3994 ); +#861 = FACE_OUTER_BOUND( '', #3995, .T. ); +#862 = CYLINDRICAL_SURFACE( '', #3996, 0.200000000000000 ); +#863 = SURFACE_STYLE_USAGE( .BOTH., #3997 ); +#864 = FACE_OUTER_BOUND( '', #3998, .T. ); +#865 = FACE_OUTER_BOUND( '', #3999, .T. ); +#866 = TOROIDAL_SURFACE( '', #4000, 0.700000000042671, 0.250000000000000 ); +#867 = SURFACE_STYLE_USAGE( .BOTH., #4001 ); +#868 = FACE_OUTER_BOUND( '', #4002, .T. ); +#869 = FACE_OUTER_BOUND( '', #4003, .T. ); +#870 = TOROIDAL_SURFACE( '', #4004, 0.460000000000000, 0.250000000000000 ); +#871 = SURFACE_STYLE_USAGE( .BOTH., #4005 ); +#872 = FACE_OUTER_BOUND( '', #4006, .T. ); +#873 = PLANE( '', #4007 ); +#874 = SURFACE_STYLE_USAGE( .BOTH., #4008 ); +#875 = FACE_OUTER_BOUND( '', #4009, .T. ); +#876 = PLANE( '', #4010 ); +#877 = SURFACE_STYLE_USAGE( .BOTH., #4011 ); +#878 = FACE_OUTER_BOUND( '', #4012, .T. ); +#879 = PLANE( '', #4013 ); +#880 = SURFACE_STYLE_USAGE( .BOTH., #4014 ); +#881 = FACE_OUTER_BOUND( '', #4015, .T. ); +#882 = FACE_OUTER_BOUND( '', #4016, .T. ); +#883 = CYLINDRICAL_SURFACE( '', #4017, 0.250000000000000 ); +#884 = SURFACE_STYLE_USAGE( .BOTH., #4018 ); +#885 = FACE_OUTER_BOUND( '', #4019, .T. ); +#886 = FACE_OUTER_BOUND( '', #4020, .T. ); +#887 = ( B_SPLINE_SURFACE( 3, 3, ( ( #4022, #4023, #4024, #4025, #4026, #4027, #4028, #4029, #4030, #4031, #4032, #4033, #4034, #4035, #4036, #4037, #4038, #4039, #4040, #4041, #4042, #4043, #4044, #4045, #4046, #4047 ), ( #4048, #4049, #4050, #4051, #4052, #4053, #4054, #4055, #4056, #4057, #4058, #4059, #4060, #4061, #4062, #4063, #4064, #4065, #4066, #4067, #4068, #4069, #4070, #4071, #4072, #4073 ), ( #4074, #4075, #4076, #4077, #4078, #4079, #4080, #4081, #4082, #4083, #4084, #4085, #4086, #4087, #4088, #4089, #4090, #4091, #4092, #4093, #4094, #4095, #4096, #4097, #4098, #4099 ), ( #4100, #4101, #4102, #4103, #4104, #4105, #4106, #4107, #4108, #4109, #4110, #4111, #4112, #4113, #4114, #4115, #4116, #4117, #4118, #4119, #4120, #4121, #4122, #4123, #4124, #4125 ), ( #4126, #4127, #4128, #4129, #4130, #4131, #4132, #4133, #4134, #4135, #4136, #4137, #4138, #4139, #4140, #4141, #4142, #4143, #4144, #4145, #4146, #4147, #4148, #4149, #4150, #4151 ), ( #4152, #4153, #4154, #4155, #4156, #4157, #4158, #4159, #4160, #4161, #4162, #4163, #4164, #4165, #4166, #4167, #4168, #4169, #4170, #4171, #4172, #4173, #4174, #4175, #4176, #4177 ), ( #4178, #4179, #4180, #4181, #4182, #4183, #4184, #4185, #4186, #4187, #4188, #4189, #4190, #4191, #4192, #4193, #4194, #4195, #4196, #4197, #4198, #4199, #4200, #4201, #4202, #4203 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.798860555629336, 0.799095686756527, 0.799330817883717, 0.799801080138098, 0.800741604646859, 0.802622653664381, 0.806384751699426, 0.810146849734471, 0.812027898751993, 0.812968423260754, 0.813438685515135, 0.813673816642325, 0.813908947769516 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#888 = SURFACE_STYLE_USAGE( .BOTH., #4210 ); +#889 = FACE_OUTER_BOUND( '', #4211, .T. ); +#890 = FACE_OUTER_BOUND( '', #4212, .T. ); +#891 = CYLINDRICAL_SURFACE( '', #4213, 0.250000000000000 ); +#892 = SURFACE_STYLE_USAGE( .BOTH., #4214 ); +#893 = FACE_OUTER_BOUND( '', #4215, .T. ); +#894 = PLANE( '', #4216 ); +#895 = SURFACE_STYLE_USAGE( .BOTH., #4217 ); +#896 = FACE_OUTER_BOUND( '', #4218, .T. ); +#897 = CYLINDRICAL_SURFACE( '', #4219, 0.200000000000000 ); +#898 = SURFACE_STYLE_USAGE( .BOTH., #4220 ); +#899 = FACE_OUTER_BOUND( '', #4221, .T. ); +#900 = FACE_OUTER_BOUND( '', #4222, .T. ); +#901 = TOROIDAL_SURFACE( '', #4223, 0.460000000000000, 0.250000000000000 ); +#902 = SURFACE_STYLE_USAGE( .BOTH., #4224 ); +#903 = FACE_OUTER_BOUND( '', #4225, .T. ); +#904 = FACE_OUTER_BOUND( '', #4226, .T. ); +#905 = CYLINDRICAL_SURFACE( '', #4227, 0.250000000000000 ); +#906 = SURFACE_STYLE_USAGE( .BOTH., #4228 ); +#907 = FACE_OUTER_BOUND( '', #4229, .T. ); +#908 = PLANE( '', #4230 ); +#909 = SURFACE_STYLE_USAGE( .BOTH., #4231 ); +#910 = FACE_OUTER_BOUND( '', #4232, .T. ); +#911 = FACE_BOUND( '', #4233, .T. ); +#912 = PLANE( '', #4234 ); +#913 = SURFACE_STYLE_USAGE( .BOTH., #4235 ); +#914 = FACE_OUTER_BOUND( '', #4236, .T. ); +#915 = CYLINDRICAL_SURFACE( '', #4237, 0.200000000000000 ); +#916 = SURFACE_STYLE_USAGE( .BOTH., #4238 ); +#917 = FACE_OUTER_BOUND( '', #4239, .T. ); +#918 = FACE_OUTER_BOUND( '', #4240, .T. ); +#919 = CYLINDRICAL_SURFACE( '', #4241, 0.250000000000000 ); +#920 = SURFACE_STYLE_USAGE( .BOTH., #4242 ); +#921 = FACE_OUTER_BOUND( '', #4243, .T. ); +#922 = FACE_OUTER_BOUND( '', #4244, .T. ); +#923 = TOROIDAL_SURFACE( '', #4245, 0.460000000000000, 0.250000000000000 ); +#924 = SURFACE_STYLE_USAGE( .BOTH., #4246 ); +#925 = FACE_OUTER_BOUND( '', #4247, .T. ); +#926 = FACE_OUTER_BOUND( '', #4248, .T. ); +#927 = CYLINDRICAL_SURFACE( '', #4249, 0.250000000000000 ); +#928 = SURFACE_STYLE_USAGE( .BOTH., #4250 ); +#929 = FACE_OUTER_BOUND( '', #4251, .T. ); +#930 = FACE_OUTER_BOUND( '', #4252, .T. ); +#931 = CYLINDRICAL_SURFACE( '', #4253, 0.250000000000000 ); +#932 = SURFACE_STYLE_USAGE( .BOTH., #4254 ); +#933 = FACE_OUTER_BOUND( '', #4255, .T. ); +#934 = FACE_OUTER_BOUND( '', #4256, .T. ); +#935 = TOROIDAL_SURFACE( '', #4257, 0.460000000000000, 0.250000000000000 ); +#936 = SURFACE_STYLE_USAGE( .BOTH., #4258 ); +#937 = FACE_OUTER_BOUND( '', #4259, .T. ); +#938 = FACE_OUTER_BOUND( '', #4260, .T. ); +#939 = ( B_SPLINE_SURFACE( 3, 3, ( ( #4262, #4263, #4264, #4265, #4266, #4267, #4268, #4269, #4270, #4271, #4272, #4273, #4274, #4275, #4276, #4277, #4278, #4279, #4280, #4281, #4282, #4283, #4284, #4285, #4286, #4287 ), ( #4288, #4289, #4290, #4291, #4292, #4293, #4294, #4295, #4296, #4297, #4298, #4299, #4300, #4301, #4302, #4303, #4304, #4305, #4306, #4307, #4308, #4309, #4310, #4311, #4312, #4313 ), ( #4314, #4315, #4316, #4317, #4318, #4319, #4320, #4321, #4322, #4323, #4324, #4325, #4326, #4327, #4328, #4329, #4330, #4331, #4332, #4333, #4334, #4335, #4336, #4337, #4338, #4339 ), ( #4340, #4341, #4342, #4343, #4344, #4345, #4346, #4347, #4348, #4349, #4350, #4351, #4352, #4353, #4354, #4355, #4356, #4357, #4358, #4359, #4360, #4361, #4362, #4363, #4364, #4365 ), ( #4366, #4367, #4368, #4369, #4370, #4371, #4372, #4373, #4374, #4375, #4376, #4377, #4378, #4379, #4380, #4381, #4382, #4383, #4384, #4385, #4386, #4387, #4388, #4389, #4390, #4391 ), ( #4392, #4393, #4394, #4395, #4396, #4397, #4398, #4399, #4400, #4401, #4402, #4403, #4404, #4405, #4406, #4407, #4408, #4409, #4410, #4411, #4412, #4413, #4414, #4415, #4416, #4417 ), ( #4418, #4419, #4420, #4421, #4422, #4423, #4424, #4425, #4426, #4427, #4428, #4429, #4430, #4431, #4432, #4433, #4434, #4435, #4436, #4437, #4438, #4439, #4440, #4441, #4442, #4443 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.460407651488743, 0.460642782615933, 0.460877913743123, 0.461348175997504, 0.462288700506265, 0.464169749523787, 0.467931847558832, 0.471693945593877, 0.473574994611399, 0.474515519120161, 0.474985781374541, 0.475220912501732, 0.475456043628922 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#940 = SURFACE_STYLE_USAGE( .BOTH., #4450 ); +#941 = FACE_OUTER_BOUND( '', #4451, .T. ); +#942 = TOROIDAL_SURFACE( '', #4452, 1.30000000000000, 0.200000000000000 ); +#943 = SURFACE_STYLE_USAGE( .BOTH., #4453 ); +#944 = FACE_OUTER_BOUND( '', #4454, .T. ); +#945 = FACE_OUTER_BOUND( '', #4455, .T. ); +#946 = TOROIDAL_SURFACE( '', #4456, 0.460000000000000, 0.250000000000000 ); +#947 = SURFACE_STYLE_USAGE( .BOTH., #4457 ); +#948 = FACE_OUTER_BOUND( '', #4458, .T. ); +#949 = FACE_OUTER_BOUND( '', #4459, .T. ); +#950 = CYLINDRICAL_SURFACE( '', #4460, 0.250000000000000 ); +#951 = SURFACE_STYLE_USAGE( .BOTH., #4461 ); +#952 = FACE_OUTER_BOUND( '', #4462, .T. ); +#953 = CYLINDRICAL_SURFACE( '', #4463, 1.50000000000000 ); +#954 = SURFACE_STYLE_USAGE( .BOTH., #4464 ); +#955 = FACE_OUTER_BOUND( '', #4465, .T. ); +#956 = CYLINDRICAL_SURFACE( '', #4466, 0.200000000000000 ); +#957 = SURFACE_STYLE_USAGE( .BOTH., #4467 ); +#958 = FACE_OUTER_BOUND( '', #4468, .T. ); +#959 = FACE_OUTER_BOUND( '', #4469, .T. ); +#960 = TOROIDAL_SURFACE( '', #4470, 0.460000000000000, 0.250000000000000 ); +#961 = SURFACE_STYLE_USAGE( .BOTH., #4471 ); +#962 = FACE_OUTER_BOUND( '', #4472, .T. ); +#963 = FACE_OUTER_BOUND( '', #4473, .T. ); +#964 = TOROIDAL_SURFACE( '', #4474, 0.460000000000000, 0.250000000000000 ); +#965 = SURFACE_STYLE_USAGE( .BOTH., #4475 ); +#966 = FACE_OUTER_BOUND( '', #4476, .T. ); +#967 = TOROIDAL_SURFACE( '', #4477, 0.500000000000000, 0.200000000000000 ); +#968 = SURFACE_STYLE_USAGE( .BOTH., #4478 ); +#969 = FACE_OUTER_BOUND( '', #4479, .T. ); +#970 = TOROIDAL_SURFACE( '', #4480, 1.30000000000000, 0.200000000000000 ); +#971 = SURFACE_STYLE_USAGE( .BOTH., #4481 ); +#972 = FACE_OUTER_BOUND( '', #4482, .T. ); +#973 = FACE_OUTER_BOUND( '', #4483, .T. ); +#974 = TOROIDAL_SURFACE( '', #4484, 0.460000000000000, 0.250000000000000 ); +#975 = SURFACE_STYLE_USAGE( .BOTH., #4485 ); +#976 = FACE_OUTER_BOUND( '', #4486, .T. ); +#977 = TOROIDAL_SURFACE( '', #4487, 0.500000000000000, 0.200000000000000 ); +#978 = SURFACE_STYLE_USAGE( .BOTH., #4488 ); +#979 = FACE_OUTER_BOUND( '', #4489, .T. ); +#980 = FACE_OUTER_BOUND( '', #4490, .T. ); +#981 = CYLINDRICAL_SURFACE( '', #4491, 0.250000000000000 ); +#982 = SURFACE_STYLE_USAGE( .BOTH., #4492 ); +#983 = FACE_OUTER_BOUND( '', #4493, .T. ); +#984 = TOROIDAL_SURFACE( '', #4494, 1.30000000000000, 0.200000000000000 ); +#985 = SURFACE_STYLE_USAGE( .BOTH., #4495 ); +#986 = FACE_OUTER_BOUND( '', #4496, .T. ); +#987 = FACE_OUTER_BOUND( '', #4497, .T. ); +#988 = CYLINDRICAL_SURFACE( '', #4498, 0.250000000000000 ); +#989 = SURFACE_STYLE_USAGE( .BOTH., #4499 ); +#990 = FACE_OUTER_BOUND( '', #4500, .T. ); +#991 = CYLINDRICAL_SURFACE( '', #4501, 0.200000000000000 ); +#992 = SURFACE_STYLE_USAGE( .BOTH., #4502 ); +#993 = FACE_OUTER_BOUND( '', #4503, .T. ); +#994 = FACE_OUTER_BOUND( '', #4504, .T. ); +#995 = TOROIDAL_SURFACE( '', #4505, 0.460000000000000, 0.250000000000000 ); +#996 = SURFACE_STYLE_USAGE( .BOTH., #4506 ); +#997 = FACE_OUTER_BOUND( '', #4507, .T. ); +#998 = CYLINDRICAL_SURFACE( '', #4508, 0.200000000000000 ); +#999 = SURFACE_STYLE_USAGE( .BOTH., #4509 ); +#1000 = FACE_OUTER_BOUND( '', #4510, .T. ); +#1001 = FACE_OUTER_BOUND( '', #4511, .T. ); +#1002 = ( B_SPLINE_SURFACE( 3, 3, ( ( #4513, #4514, #4515, #4516, #4517, #4518, #4519, #4520, #4521, #4522, #4523, #4524, #4525, #4526, #4527, #4528, #4529, #4530, #4531, #4532, #4533, #4534, #4535, #4536, #4537, #4538 ), ( #4539, #4540, #4541, #4542, #4543, #4544, #4545, #4546, #4547, #4548, #4549, #4550, #4551, #4552, #4553, #4554, #4555, #4556, #4557, #4558, #4559, #4560, #4561, #4562, #4563, #4564 ), ( #4565, #4566, #4567, #4568, #4569, #4570, #4571, #4572, #4573, #4574, #4575, #4576, #4577, #4578, #4579, #4580, #4581, #4582, #4583, #4584, #4585, #4586, #4587, #4588, #4589, #4590 ), ( #4591, #4592, #4593, #4594, #4595, #4596, #4597, #4598, #4599, #4600, #4601, #4602, #4603, #4604, #4605, #4606, #4607, #4608, #4609, #4610, #4611, #4612, #4613, #4614, #4615, #4616 ), ( #4617, #4618, #4619, #4620, #4621, #4622, #4623, #4624, #4625, #4626, #4627, #4628, #4629, #4630, #4631, #4632, #4633, #4634, #4635, #4636, #4637, #4638, #4639, #4640, #4641, #4642 ), ( #4643, #4644, #4645, #4646, #4647, #4648, #4649, #4650, #4651, #4652, #4653, #4654, #4655, #4656, #4657, #4658, #4659, #4660, #4661, #4662, #4663, #4664, #4665, #4666, #4667, #4668 ), ( #4669, #4670, #4671, #4672, #4673, #4674, #4675, #4676, #4677, #4678, #4679, #4680, #4681, #4682, #4683, #4684, #4685, #4686, #4687, #4688, #4689, #4690, #4691, #4692, #4693, #4694 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.831083867301834, 0.831318998443235, 0.831554129584635, 0.832024391867437, 0.832964916433040, 0.834845965564246, 0.838608063826659, 0.842370162089072, 0.844251211220278, 0.845191735785881, 0.845661998068683, 0.845897129210084, 0.846132260351485 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#1003 = SURFACE_STYLE_USAGE( .BOTH., #4701 ); +#1004 = FACE_OUTER_BOUND( '', #4702, .T. ); +#1005 = FACE_OUTER_BOUND( '', #4703, .T. ); +#1006 = CYLINDRICAL_SURFACE( '', #4704, 0.250000000000000 ); +#1007 = SURFACE_STYLE_USAGE( .BOTH., #4705 ); +#1008 = FACE_OUTER_BOUND( '', #4706, .T. ); +#1009 = FACE_OUTER_BOUND( '', #4707, .T. ); +#1010 = CYLINDRICAL_SURFACE( '', #4708, 0.250000000000000 ); +#1011 = SURFACE_STYLE_USAGE( .BOTH., #4709 ); +#1012 = FACE_OUTER_BOUND( '', #4710, .T. ); +#1013 = CYLINDRICAL_SURFACE( '', #4711, 0.200000000000000 ); +#1014 = SURFACE_STYLE_USAGE( .BOTH., #4712 ); +#1015 = FACE_OUTER_BOUND( '', #4713, .T. ); +#1016 = FACE_OUTER_BOUND( '', #4714, .T. ); +#1017 = TOROIDAL_SURFACE( '', #4715, 0.460000000000000, 0.250000000000000 ); +#1018 = SURFACE_STYLE_USAGE( .BOTH., #4716 ); +#1019 = FACE_OUTER_BOUND( '', #4717, .T. ); +#1020 = FACE_OUTER_BOUND( '', #4718, .T. ); +#1021 = CYLINDRICAL_SURFACE( '', #4719, 0.250000000000000 ); +#1022 = SURFACE_STYLE_USAGE( .BOTH., #4720 ); +#1023 = FACE_OUTER_BOUND( '', #4721, .T. ); +#1024 = CYLINDRICAL_SURFACE( '', #4722, 0.200000000000000 ); +#1025 = SURFACE_STYLE_USAGE( .BOTH., #4723 ); +#1026 = FACE_OUTER_BOUND( '', #4724, .T. ); +#1027 = FACE_OUTER_BOUND( '', #4725, .T. ); +#1028 = CYLINDRICAL_SURFACE( '', #4726, 0.250000000000000 ); +#1029 = SURFACE_STYLE_USAGE( .BOTH., #4727 ); +#1030 = FACE_OUTER_BOUND( '', #4728, .T. ); +#1031 = FACE_OUTER_BOUND( '', #4729, .T. ); +#1032 = CYLINDRICAL_SURFACE( '', #4730, 0.250000000000000 ); +#1033 = SURFACE_STYLE_USAGE( .BOTH., #4731 ); +#1034 = FACE_OUTER_BOUND( '', #4732, .T. ); +#1035 = FACE_OUTER_BOUND( '', #4733, .T. ); +#1036 = TOROIDAL_SURFACE( '', #4734, 0.460000000000000, 0.250000000000000 ); +#1037 = SURFACE_STYLE_USAGE( .BOTH., #4735 ); +#1038 = FACE_OUTER_BOUND( '', #4736, .T. ); +#1039 = FACE_OUTER_BOUND( '', #4737, .T. ); +#1040 = CYLINDRICAL_SURFACE( '', #4738, 0.250000000000000 ); +#1041 = SURFACE_STYLE_USAGE( .BOTH., #4739 ); +#1042 = FACE_OUTER_BOUND( '', #4740, .T. ); +#1043 = FACE_OUTER_BOUND( '', #4741, .T. ); +#1044 = TOROIDAL_SURFACE( '', #4742, 0.460000000000000, 0.250000000000000 ); +#1045 = SURFACE_STYLE_USAGE( .BOTH., #4743 ); +#1046 = FACE_OUTER_BOUND( '', #4744, .T. ); +#1047 = FACE_OUTER_BOUND( '', #4745, .T. ); +#1048 = ( B_SPLINE_SURFACE( 3, 3, ( ( #4747, #4748, #4749, #4750, #4751, #4752, #4753, #4754, #4755, #4756, #4757, #4758, #4759, #4760, #4761, #4762, #4763, #4764, #4765, #4766, #4767, #4768, #4769, #4770, #4771, #4772, #4773, #4774 ), ( #4775, #4776, #4777, #4778, #4779, #4780, #4781, #4782, #4783, #4784, #4785, #4786, #4787, #4788, #4789, #4790, #4791, #4792, #4793, #4794, #4795, #4796, #4797, #4798, #4799, #4800, #4801, #4802 ), ( #4803, #4804, #4805, #4806, #4807, #4808, #4809, #4810, #4811, #4812, #4813, #4814, #4815, #4816, #4817, #4818, #4819, #4820, #4821, #4822, #4823, #4824, #4825, #4826, #4827, #4828, #4829, #4830 ), ( #4831, #4832, #4833, #4834, #4835, #4836, #4837, #4838, #4839, #4840, #4841, #4842, #4843, #4844, #4845, #4846, #4847, #4848, #4849, #4850, #4851, #4852, #4853, #4854, #4855, #4856, #4857, #4858 ), ( #4859, #4860, #4861, #4862, #4863, #4864, #4865, #4866, #4867, #4868, #4869, #4870, #4871, #4872, #4873, #4874, #4875, #4876, #4877, #4878, #4879, #4880, #4881, #4882, #4883, #4884, #4885, #4886 ), ( #4887, #4888, #4889, #4890, #4891, #4892, #4893, #4894, #4895, #4896, #4897, #4898, #4899, #4900, #4901, #4902, #4903, #4904, #4905, #4906, #4907, #4908, #4909, #4910, #4911, #4912, #4913, #4914 ), ( #4915, #4916, #4917, #4918, #4919, #4920, #4921, #4922, #4923, #4924, #4925, #4926, #4927, #4928, #4929, #4930, #4931, #4932, #4933, #4934, #4935, #4936, #4937, #4938, #4939, #4940, #4941, #4942 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.121954747348149, 0.122072312911744, 0.122189878475339, 0.122425009602529, 0.122895271856910, 0.123835796365671, 0.125716845383193, 0.129478943418238, 0.133241041453283, 0.135122090470805, 0.136062614979567, 0.136532877233947, 0.136768008361138, 0.137003139488328 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#1049 = SURFACE_STYLE_USAGE( .BOTH., #4949 ); +#1050 = FACE_OUTER_BOUND( '', #4950, .T. ); +#1051 = FACE_OUTER_BOUND( '', #4951, .T. ); +#1052 = TOROIDAL_SURFACE( '', #4952, 0.460000000000000, 0.250000000000000 ); +#1053 = SURFACE_STYLE_USAGE( .BOTH., #4953 ); +#1054 = FACE_OUTER_BOUND( '', #4954, .T. ); +#1055 = FACE_OUTER_BOUND( '', #4955, .T. ); +#1056 = TOROIDAL_SURFACE( '', #4956, 0.700000000000000, 0.250000000000000 ); +#1057 = SURFACE_STYLE_USAGE( .BOTH., #4957 ); +#1058 = FACE_OUTER_BOUND( '', #4958, .T. ); +#1059 = TOROIDAL_SURFACE( '', #4959, 0.500000000000000, 0.200000000000000 ); +#1060 = SURFACE_STYLE_USAGE( .BOTH., #4960 ); +#1061 = FACE_OUTER_BOUND( '', #4961, .T. ); +#1062 = CYLINDRICAL_SURFACE( '', #4962, 0.300000000000000 ); +#1063 = SURFACE_STYLE_USAGE( .BOTH., #4963 ); +#1064 = FACE_OUTER_BOUND( '', #4964, .T. ); +#1065 = TOROIDAL_SURFACE( '', #4965, 1.30000000000000, 0.200000000000000 ); +#1066 = SURFACE_STYLE_USAGE( .BOTH., #4966 ); +#1067 = FACE_OUTER_BOUND( '', #4967, .T. ); +#1068 = PLANE( '', #4968 ); +#1069 = SURFACE_STYLE_USAGE( .BOTH., #4969 ); +#1070 = FACE_OUTER_BOUND( '', #4970, .T. ); +#1071 = PLANE( '', #4971 ); +#1072 = SURFACE_STYLE_USAGE( .BOTH., #4972 ); +#1073 = FACE_OUTER_BOUND( '', #4973, .T. ); +#1074 = FACE_OUTER_BOUND( '', #4974, .T. ); +#1075 = CYLINDRICAL_SURFACE( '', #4975, 0.250000000000000 ); +#1076 = SURFACE_STYLE_USAGE( .BOTH., #4976 ); +#1077 = FACE_OUTER_BOUND( '', #4977, .T. ); +#1078 = FACE_OUTER_BOUND( '', #4978, .T. ); +#1079 = ( B_SPLINE_SURFACE( 3, 3, ( ( #4980, #4981, #4982, #4983, #4984, #4985, #4986, #4987, #4988, #4989, #4990, #4991, #4992, #4993, #4994, #4995, #4996, #4997, #4998, #4999, #5000, #5001, #5002, #5003, #5004, #5005 ), ( #5006, #5007, #5008, #5009, #5010, #5011, #5012, #5013, #5014, #5015, #5016, #5017, #5018, #5019, #5020, #5021, #5022, #5023, #5024, #5025, #5026, #5027, #5028, #5029, #5030, #5031 ), ( #5032, #5033, #5034, #5035, #5036, #5037, #5038, #5039, #5040, #5041, #5042, #5043, #5044, #5045, #5046, #5047, #5048, #5049, #5050, #5051, #5052, #5053, #5054, #5055, #5056, #5057 ), ( #5058, #5059, #5060, #5061, #5062, #5063, #5064, #5065, #5066, #5067, #5068, #5069, #5070, #5071, #5072, #5073, #5074, #5075, #5076, #5077, #5078, #5079, #5080, #5081, #5082, #5083 ), ( #5084, #5085, #5086, #5087, #5088, #5089, #5090, #5091, #5092, #5093, #5094, #5095, #5096, #5097, #5098, #5099, #5100, #5101, #5102, #5103, #5104, #5105, #5106, #5107, #5108, #5109 ), ( #5110, #5111, #5112, #5113, #5114, #5115, #5116, #5117, #5118, #5119, #5120, #5121, #5122, #5123, #5124, #5125, #5126, #5127, #5128, #5129, #5130, #5131, #5132, #5133, #5134, #5135 ), ( #5136, #5137, #5138, #5139, #5140, #5141, #5142, #5143, #5144, #5145, #5146, #5147, #5148, #5149, #5150, #5151, #5152, #5153, #5154, #5155, #5156, #5157, #5158, #5159, #5160, #5161 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.492630963161240, 0.492866094302641, 0.493101225444041, 0.493571487726843, 0.494512012292446, 0.496393061423653, 0.500155159686065, 0.503917257948478, 0.505798307079684, 0.506738831645287, 0.507209093928089, 0.507444225069490, 0.507679356210891 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#1080 = SURFACE_STYLE_USAGE( .BOTH., #5168 ); +#1081 = FACE_OUTER_BOUND( '', #5169, .T. ); +#1082 = FACE_OUTER_BOUND( '', #5170, .T. ); +#1083 = ( B_SPLINE_SURFACE( 3, 3, ( ( #5172, #5173, #5174, #5175, #5176, #5177, #5178, #5179, #5180, #5181, #5182, #5183, #5184, #5185, #5186, #5187, #5188, #5189, #5190, #5191, #5192, #5193, #5194, #5195, #5196, #5197, #5198, #5199 ), ( #5200, #5201, #5202, #5203, #5204, #5205, #5206, #5207, #5208, #5209, #5210, #5211, #5212, #5213, #5214, #5215, #5216, #5217, #5218, #5219, #5220, #5221, #5222, #5223, #5224, #5225, #5226, #5227 ), ( #5228, #5229, #5230, #5231, #5232, #5233, #5234, #5235, #5236, #5237, #5238, #5239, #5240, #5241, #5242, #5243, #5244, #5245, #5246, #5247, #5248, #5249, #5250, #5251, #5252, #5253, #5254, #5255 ), ( #5256, #5257, #5258, #5259, #5260, #5261, #5262, #5263, #5264, #5265, #5266, #5267, #5268, #5269, #5270, #5271, #5272, #5273, #5274, #5275, #5276, #5277, #5278, #5279, #5280, #5281, #5282, #5283 ), ( #5284, #5285, #5286, #5287, #5288, #5289, #5290, #5291, #5292, #5293, #5294, #5295, #5296, #5297, #5298, #5299, #5300, #5301, #5302, #5303, #5304, #5305, #5306, #5307, #5308, #5309, #5310, #5311 ), ( #5312, #5313, #5314, #5315, #5316, #5317, #5318, #5319, #5320, #5321, #5322, #5323, #5324, #5325, #5326, #5327, #5328, #5329, #5330, #5331, #5332, #5333, #5334, #5335, #5336, #5337, #5338, #5339 ), ( #5340, #5341, #5342, #5343, #5344, #5345, #5346, #5347, #5348, #5349, #5350, #5351, #5352, #5353, #5354, #5355, #5356, #5357, #5358, #5359, #5360, #5361, #5362, #5363, #5364, #5365, #5366, #5367 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.154178059020646, 0.154413190162047, 0.154648321303447, 0.155118583586249, 0.156059108151853, 0.157940157283058, 0.161702255545471, 0.165464353807884, 0.167345402939091, 0.168285927504693, 0.168756189787496, 0.168991320928896, 0.169108886499596, 0.169226452070297 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#1084 = SURFACE_STYLE_USAGE( .BOTH., #5374 ); +#1085 = FACE_OUTER_BOUND( '', #5375, .T. ); +#1086 = FACE_OUTER_BOUND( '', #5376, .T. ); +#1087 = CYLINDRICAL_SURFACE( '', #5377, 0.250000000000000 ); +#1088 = SURFACE_STYLE_USAGE( .BOTH., #5378 ); +#1089 = FACE_OUTER_BOUND( '', #5379, .T. ); +#1090 = FACE_OUTER_BOUND( '', #5380, .T. ); +#1091 = TOROIDAL_SURFACE( '', #5381, 0.460000000000000, 0.250000000000000 ); +#1092 = SURFACE_STYLE_USAGE( .BOTH., #5382 ); +#1093 = FACE_OUTER_BOUND( '', #5383, .T. ); +#1094 = FACE_OUTER_BOUND( '', #5384, .T. ); +#1095 = CYLINDRICAL_SURFACE( '', #5385, 0.250000000000000 ); +#1096 = SURFACE_STYLE_USAGE( .BOTH., #5386 ); +#1097 = FACE_OUTER_BOUND( '', #5387, .T. ); +#1098 = PLANE( '', #5388 ); +#1099 = SURFACE_STYLE_USAGE( .BOTH., #5389 ); +#1100 = FACE_OUTER_BOUND( '', #5390, .T. ); +#1101 = FACE_OUTER_BOUND( '', #5391, .T. ); +#1102 = ( B_SPLINE_SURFACE( 3, 3, ( ( #5393, #5394, #5395, #5396, #5397, #5398, #5399, #5400, #5401, #5402, #5403, #5404, #5405, #5406, #5407, #5408, #5409, #5410, #5411, #5412, #5413, #5414, #5415, #5416, #5417, #5418, #5419, #5420 ), ( #5421, #5422, #5423, #5424, #5425, #5426, #5427, #5428, #5429, #5430, #5431, #5432, #5433, #5434, #5435, #5436, #5437, #5438, #5439, #5440, #5441, #5442, #5443, #5444, #5445, #5446, #5447, #5448 ), ( #5449, #5450, #5451, #5452, #5453, #5454, #5455, #5456, #5457, #5458, #5459, #5460, #5461, #5462, #5463, #5464, #5465, #5466, #5467, #5468, #5469, #5470, #5471, #5472, #5473, #5474, #5475, #5476 ), ( #5477, #5478, #5479, #5480, #5481, #5482, #5483, #5484, #5485, #5486, #5487, #5488, #5489, #5490, #5491, #5492, #5493, #5494, #5495, #5496, #5497, #5498, #5499, #5500, #5501, #5502, #5503, #5504 ), ( #5505, #5506, #5507, #5508, #5509, #5510, #5511, #5512, #5513, #5514, #5515, #5516, #5517, #5518, #5519, #5520, #5521, #5522, #5523, #5524, #5525, #5526, #5527, #5528, #5529, #5530, #5531, #5532 ), ( #5533, #5534, #5535, #5536, #5537, #5538, #5539, #5540, #5541, #5542, #5543, #5544, #5545, #5546, #5547, #5548, #5549, #5550, #5551, #5552, #5553, #5554, #5555, #5556, #5557, #5558, #5559, #5560 ), ( #5561, #5562, #5563, #5564, #5565, #5566, #5567, #5568, #5569, #5570, #5571, #5572, #5573, #5574, #5575, #5576, #5577, #5578, #5579, #5580, #5581, #5582, #5583, #5584, #5585, #5586, #5587, #5588 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.121954747348149, 0.122072312911744, 0.122189878475339, 0.122425009602529, 0.122895271856910, 0.123835796365671, 0.125716845383193, 0.129478943418238, 0.133241041453283, 0.135122090470805, 0.136062614979567, 0.136532877233947, 0.136768008361137, 0.137003139488328 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#1103 = SURFACE_STYLE_USAGE( .BOTH., #5595 ); +#1104 = FACE_OUTER_BOUND( '', #5596, .T. ); +#1105 = FACE_OUTER_BOUND( '', #5597, .T. ); +#1106 = TOROIDAL_SURFACE( '', #5598, 0.460000000000000, 0.250000000000000 ); +#1107 = SURFACE_STYLE_USAGE( .BOTH., #5599 ); +#1108 = FACE_OUTER_BOUND( '', #5600, .T. ); +#1109 = FACE_OUTER_BOUND( '', #5601, .T. ); +#1110 = CYLINDRICAL_SURFACE( '', #5602, 0.250000000000000 ); +#1111 = SURFACE_STYLE_USAGE( .BOTH., #5603 ); +#1112 = FACE_OUTER_BOUND( '', #5604, .T. ); +#1113 = TOROIDAL_SURFACE( '', #5605, 0.500000000000000, 0.200000000000000 ); +#1114 = SURFACE_STYLE_USAGE( .BOTH., #5606 ); +#1115 = FACE_OUTER_BOUND( '', #5607, .T. ); +#1116 = FACE_OUTER_BOUND( '', #5608, .T. ); +#1117 = TOROIDAL_SURFACE( '', #5609, 0.460000000000000, 0.250000000000000 ); +#1118 = SURFACE_STYLE_USAGE( .BOTH., #5610 ); +#1119 = FACE_OUTER_BOUND( '', #5611, .T. ); +#1120 = FACE_OUTER_BOUND( '', #5612, .T. ); +#1121 = ( B_SPLINE_SURFACE( 3, 3, ( ( #5614, #5615, #5616, #5617, #5618, #5619, #5620, #5621, #5622, #5623, #5624, #5625, #5626, #5627, #5628, #5629, #5630, #5631, #5632, #5633, #5634, #5635, #5636, #5637, #5638, #5639, #5640, #5641 ), ( #5642, #5643, #5644, #5645, #5646, #5647, #5648, #5649, #5650, #5651, #5652, #5653, #5654, #5655, #5656, #5657, #5658, #5659, #5660, #5661, #5662, #5663, #5664, #5665, #5666, #5667, #5668, #5669 ), ( #5670, #5671, #5672, #5673, #5674, #5675, #5676, #5677, #5678, #5679, #5680, #5681, #5682, #5683, #5684, #5685, #5686, #5687, #5688, #5689, #5690, #5691, #5692, #5693, #5694, #5695, #5696, #5697 ), ( #5698, #5699, #5700, #5701, #5702, #5703, #5704, #5705, #5706, #5707, #5708, #5709, #5710, #5711, #5712, #5713, #5714, #5715, #5716, #5717, #5718, #5719, #5720, #5721, #5722, #5723, #5724, #5725 ), ( #5726, #5727, #5728, #5729, #5730, #5731, #5732, #5733, #5734, #5735, #5736, #5737, #5738, #5739, #5740, #5741, #5742, #5743, #5744, #5745, #5746, #5747, #5748, #5749, #5750, #5751, #5752, #5753 ), ( #5754, #5755, #5756, #5757, #5758, #5759, #5760, #5761, #5762, #5763, #5764, #5765, #5766, #5767, #5768, #5769, #5770, #5771, #5772, #5773, #5774, #5775, #5776, #5777, #5778, #5779, #5780, #5781 ), ( #5782, #5783, #5784, #5785, #5786, #5787, #5788, #5789, #5790, #5791, #5792, #5793, #5794, #5795, #5796, #5797, #5798, #5799, #5800, #5801, #5802, #5803, #5804, #5805, #5806, #5807, #5808, #5809 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.629634103559039, 0.629751669122634, 0.629869234686230, 0.630104365813420, 0.630574628067800, 0.631515152576562, 0.633396201594084, 0.637158299629129, 0.640920397664174, 0.642801446681696, 0.643741971190457, 0.644212233444838, 0.644447364572028, 0.644682495699219 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#1122 = SURFACE_STYLE_USAGE( .BOTH., #5816 ); +#1123 = FACE_OUTER_BOUND( '', #5817, .T. ); +#1124 = TOROIDAL_SURFACE( '', #5818, 1.30000000000000, 0.200000000000000 ); +#1125 = SURFACE_STYLE_USAGE( .BOTH., #5819 ); +#1126 = FACE_OUTER_BOUND( '', #5820, .T. ); +#1127 = FACE_OUTER_BOUND( '', #5821, .T. ); +#1128 = CYLINDRICAL_SURFACE( '', #5822, 0.250000000000000 ); +#1129 = SURFACE_STYLE_USAGE( .BOTH., #5823 ); +#1130 = FACE_OUTER_BOUND( '', #5824, .T. ); +#1131 = FACE_OUTER_BOUND( '', #5825, .T. ); +#1132 = CYLINDRICAL_SURFACE( '', #5826, 0.250000000000000 ); +#1133 = SURFACE_STYLE_USAGE( .BOTH., #5827 ); +#1134 = FACE_OUTER_BOUND( '', #5828, .T. ); +#1135 = FACE_OUTER_BOUND( '', #5829, .T. ); +#1136 = CYLINDRICAL_SURFACE( '', #5830, 0.250000000000000 ); +#1137 = SURFACE_STYLE_USAGE( .BOTH., #5831 ); +#1138 = FACE_OUTER_BOUND( '', #5832, .T. ); +#1139 = FACE_OUTER_BOUND( '', #5833, .T. ); +#1140 = ( B_SPLINE_SURFACE( 3, 3, ( ( #5835, #5836, #5837, #5838, #5839, #5840, #5841, #5842, #5843, #5844, #5845, #5846, #5847, #5848, #5849, #5850, #5851, #5852, #5853, #5854, #5855, #5856, #5857, #5858, #5859, #5860 ), ( #5861, #5862, #5863, #5864, #5865, #5866, #5867, #5868, #5869, #5870, #5871, #5872, #5873, #5874, #5875, #5876, #5877, #5878, #5879, #5880, #5881, #5882, #5883, #5884, #5885, #5886 ), ( #5887, #5888, #5889, #5890, #5891, #5892, #5893, #5894, #5895, #5896, #5897, #5898, #5899, #5900, #5901, #5902, #5903, #5904, #5905, #5906, #5907, #5908, #5909, #5910, #5911, #5912 ), ( #5913, #5914, #5915, #5916, #5917, #5918, #5919, #5920, #5921, #5922, #5923, #5924, #5925, #5926, #5927, #5928, #5929, #5930, #5931, #5932, #5933, #5934, #5935, #5936, #5937, #5938 ), ( #5939, #5940, #5941, #5942, #5943, #5944, #5945, #5946, #5947, #5948, #5949, #5950, #5951, #5952, #5953, #5954, #5955, #5956, #5957, #5958, #5959, #5960, #5961, #5962, #5963, #5964 ), ( #5965, #5966, #5967, #5968, #5969, #5970, #5971, #5972, #5973, #5974, #5975, #5976, #5977, #5978, #5979, #5980, #5981, #5982, #5983, #5984, #5985, #5986, #5987, #5988, #5989, #5990 ), ( #5991, #5992, #5993, #5994, #5995, #5996, #5997, #5998, #5999, #6000, #6001, #6002, #6003, #6004, #6005, #6006, #6007, #6008, #6009, #6010, #6011, #6012, #6013, #6014, #6015, #6016 ) ), .UNSPECIFIED., .T., .F., .F. )B_SPLINE_SURFACE_WITH_KNOTS( ( 4, 3, 4 ), ( 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4 ), ( 0.000000000000000, 0.500000000000000, 1.00000000000000 ), ( 0.323404511090943, 0.323639642232343, 0.323874773373744, 0.324345035656546, 0.325285560222149, 0.327166609353356, 0.330928707615768, 0.334690805878181, 0.336571855009387, 0.337512379574991, 0.337982641857792, 0.338217772999193, 0.338452904140594 ), .UNSPECIFIED. )RATIONAL_B_SPLINE_SURFACE( ( ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334, 0.333333333333334 ), ( 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000, 1.00000000000000 ) ) )BOUNDED_SURFACE( )REPRESENTATION_ITEM( '' )GEOMETRIC_REPRESENTATION_ITEM( )SURFACE( ) ); +#1141 = SURFACE_STYLE_USAGE( .BOTH., #6023 ); +#1142 = FACE_OUTER_BOUND( '', #6024, .T. ); +#1143 = FACE_OUTER_BOUND( '', #6025, .T. ); +#1144 = CYLINDRICAL_SURFACE( '', #6026, 0.250000000000000 ); +#1145 = PRODUCT_CONTEXT( '', #184, 'mechanical' ); +#1146 = PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( ' ', 'NONE', #533, .NOT_KNOWN. ); +#1147 = REPRESENTATION_MAP( #539, #193 ); +#1148 = AXIS2_PLACEMENT_3D( '', #6027, #6028, #6029 ); +#1149 = REPRESENTATION_MAP( #539, #198 ); +#1150 = AXIS2_PLACEMENT_3D( '', #6030, #6031, #6032 ); +#1151 = REPRESENTATION_MAP( #539, #203 ); +#1152 = AXIS2_PLACEMENT_3D( '', #6033, #6034, #6035 ); +#1153 = REPRESENTATION_MAP( #539, #208 ); +#1154 = AXIS2_PLACEMENT_3D( '', #6036, #6037, #6038 ); +#1155 = CARTESIAN_POINT( '', ( 0.000000000000000, 0.000000000000000, 0.000000000000000 ) ); +#1156 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#1157 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#1158 = PRODUCT_CONTEXT( '', #189, 'mechanical' ); +#1159 = PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( ' ', 'NONE', #540, .NOT_KNOWN. ); +#1160 = CLOSED_SHELL( '', ( #6039, #6040, #6041, #6042, #6043, #6044, #6045, #6046, #6047, #6048, #6049, #6050, #6051, #6052, #6053, #6054, #6055, #6056, #6057, #6058, #6059, #6060, #6061, #6062, #6063, #6064, #6065, #6066, #6067, #6068, #6069, #6070, #6071, #6072, #6073, #6074, #6075, #6076, #6077, #6078, #6079, #6080, #6081, #6082, #6083, #6084, #6085, #6086, #6087, #6088, #6089, #6090, #6091, #6092, #6093, #6094, #6095, #6096, #6097, #6098, #6099, #6100, #6101, #6102, #6103, #6104, #6105, #6106, #6107, #6108, #6109, #6110, #6111, #6112, #6113, #6114, #6115, #6116, #6117, #6118, #6119, #6120, #6121, #6122, #6123, #6124, #6125, #6126, #6127, #6128, #6129, #6130, #6131, #6132, #6133, #6134, #6135, #6136, #6137, #6138, #6139, #6140, #6141, #6142, #6143, #6144, #6145, #6146, #6147, #6148, #6149, #6150, #6151, #6152 ) ); +#1161 = PRODUCT_CONTEXT( '', #194, 'mechanical' ); +#1162 = PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( ' ', 'NONE', #543, .NOT_KNOWN. ); +#1163 = CLOSED_SHELL( '', ( #496, #228, #306, #466, #412, #312, #518, #414, #456, #532, #266, #364, #452, #390, #356, #282, #276, #362, #520, #368, #512, #366, #230, #458, #498, #460, #326, #394, #434, #330, #250, #342, #280, #332, #260, #374, #310, #426, #232, #270, #500, #284, #510, #320, #424, #350, #382, #240, #296, #484, #334, #504, #292, #476 ) ); +#1164 = PRODUCT_CONTEXT( '', #199, 'mechanical' ); +#1165 = PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( ' ', 'NONE', #546, .NOT_KNOWN. ); +#1166 = CLOSED_SHELL( '', ( #486, #404, #328, #462, #522, #378, #286, #396, #488, #386, #454, #300, #406, #490, #226, #508, #468, #446, #268, #438, #258, #322, #428, #354, #398, #436, #224, #372, #336, #338, #360, #450, #234, #290, #344, #422, #430, #442, #318, #408, #314, #516, #384, #218, #340, #308, #492, #262, #346, #494 ) ); +#1167 = PRODUCT_CONTEXT( '', #204, 'mechanical' ); +#1168 = PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( ' ', 'NONE', #549, .NOT_KNOWN. ); +#1169 = CLOSED_SHELL( '', ( #254, #402, #388, #246, #236, #464, #324, #440, #444, #316, #252, #392, #448, #400, #524, #432, #288, #264, #256, #302, #472, #474, #470, #352, #526, #238, #304, #420, #528, #348, #220, #478, #416, #530, #502, #248, #298, #244, #514, #482, #376, #242, #370, #480, #294, #278, #358, #222, #380, #274, #506, #418, #272, #410 ) ); +#1170 = ( NAMED_UNIT( #557 )LENGTH_UNIT( )SI_UNIT( .MILLI., .METRE. ) ); +#1171 = SURFACE_SIDE_STYLE( '', ( #6154 ) ); +#1172 = EDGE_LOOP( '', ( #6155, #6156, #6157, #6158 ) ); +#1173 = AXIS2_PLACEMENT_3D( '', #6159, #6160, #6161 ); +#1174 = SURFACE_SIDE_STYLE( '', ( #6162 ) ); +#1175 = EDGE_LOOP( '', ( #6163 ) ); +#1176 = EDGE_LOOP( '', ( #6164 ) ); +#1177 = AXIS2_PLACEMENT_3D( '', #6165, #6166, #6167 ); +#1178 = SURFACE_SIDE_STYLE( '', ( #6168 ) ); +#1179 = EDGE_LOOP( '', ( #6169 ) ); +#1180 = EDGE_LOOP( '', ( #6170 ) ); +#1181 = AXIS2_PLACEMENT_3D( '', #6171, #6172, #6173 ); +#1182 = SURFACE_SIDE_STYLE( '', ( #6174 ) ); +#1183 = EDGE_LOOP( '', ( #6175, #6176, #6177, #6178 ) ); +#1184 = AXIS2_PLACEMENT_3D( '', #6179, #6180, #6181 ); +#1185 = SURFACE_SIDE_STYLE( '', ( #6182 ) ); +#1186 = EDGE_LOOP( '', ( #6183, #6184, #6185, #6186 ) ); +#1187 = AXIS2_PLACEMENT_3D( '', #6187, #6188, #6189 ); +#1188 = SURFACE_SIDE_STYLE( '', ( #6190 ) ); +#1189 = EDGE_LOOP( '', ( #6191 ) ); +#1190 = AXIS2_PLACEMENT_3D( '', #6192, #6193, #6194 ); +#1191 = SURFACE_SIDE_STYLE( '', ( #6195 ) ); +#1192 = EDGE_LOOP( '', ( #6196 ) ); +#1193 = EDGE_LOOP( '', ( #6197 ) ); +#1194 = AXIS2_PLACEMENT_3D( '', #6198, #6199, #6200 ); +#1195 = SURFACE_SIDE_STYLE( '', ( #6201 ) ); +#1196 = EDGE_LOOP( '', ( #6202 ) ); +#1197 = EDGE_LOOP( '', ( #6203 ) ); +#1198 = AXIS2_PLACEMENT_3D( '', #6204, #6205, #6206 ); +#1199 = SURFACE_SIDE_STYLE( '', ( #6207 ) ); +#1200 = EDGE_LOOP( '', ( #6208, #6209, #6210, #6211 ) ); +#1201 = AXIS2_PLACEMENT_3D( '', #6212, #6213, #6214 ); +#1202 = SURFACE_SIDE_STYLE( '', ( #6215 ) ); +#1203 = EDGE_LOOP( '', ( #6216 ) ); +#1204 = EDGE_LOOP( '', ( #6217 ) ); +#1205 = AXIS2_PLACEMENT_3D( '', #6218, #6219, #6220 ); +#1206 = SURFACE_SIDE_STYLE( '', ( #6221 ) ); +#1207 = EDGE_LOOP( '', ( #6222 ) ); +#1208 = EDGE_LOOP( '', ( #6223 ) ); +#1210 = CARTESIAN_POINT( '', ( -0.186358487231092, -2.18515903516378, -1.65620514726617 ) ); +#1211 = CARTESIAN_POINT( '', ( -0.188026326771346, -2.18974159747886, -1.65620514726617 ) ); +#1212 = CARTESIAN_POINT( '', ( -0.190331967553711, -2.19607658493200, -1.65613917136909 ) ); +#1213 = CARTESIAN_POINT( '', ( -0.194305234790768, -2.20699354922552, -1.65587518370686 ) ); +#1214 = CARTESIAN_POINT( '', ( -0.196291661572725, -2.21245146306732, -1.65567717206087 ) ); +#1215 = CARTESIAN_POINT( '', ( -0.202248993081996, -2.22881984996179, -1.65488496672304 ) ); +#1216 = CARTESIAN_POINT( '', ( -0.206217983306389, -2.23972506271891, -1.65409258982364 ) ); +#1217 = CARTESIAN_POINT( '', ( -0.218106169341649, -2.27238908824712, -1.65092217005733 ) ); +#1218 = CARTESIAN_POINT( '', ( -0.226006814799784, -2.29409693199789, -1.64775040432708 ) ); +#1219 = CARTESIAN_POINT( '', ( -0.249537791801908, -2.35875073462354, -1.63506759920828 ) ); +#1220 = CARTESIAN_POINT( '', ( -0.264998172822827, -2.40122973678961, -1.62237856445331 ) ); +#1221 = CARTESIAN_POINT( '', ( -0.309849546474766, -2.52446354298989, -1.57197356779033 ) ); +#1222 = CARTESIAN_POINT( '', ( -0.337662014163152, -2.60088118583981, -1.52186049074972 ) ); +#1223 = CARTESIAN_POINT( '', ( -0.384944024397719, -2.73079341843304, -1.39352577726495 ) ); +#1224 = CARTESIAN_POINT( '', ( -0.404343548231317, -2.78409562449347, -1.31533388540255 ) ); +#1225 = CARTESIAN_POINT( '', ( -0.424266429529707, -2.83883580953059, -1.18487144190957 ) ); +#1226 = CARTESIAN_POINT( '', ( -0.429377179132360, -2.85287812473276, -1.13927595516434 ) ); +#1227 = CARTESIAN_POINT( '', ( -0.434519216224370, -2.86700640556066, -1.06919780876895 ) ); +#1228 = CARTESIAN_POINT( '', ( -0.435814125122577, -2.87056430221388, -1.04555894454896 ) ); +#1229 = CARTESIAN_POINT( '', ( -0.437112153541445, -2.87413077007401, -1.00986208449329 ) ); +#1230 = CARTESIAN_POINT( '', ( -0.437437525293613, -2.87502476274771, -0.997923207819696 ) ); +#1231 = CARTESIAN_POINT( '', ( -0.437763260891477, -2.87591975512522, -0.979976153482700 ) ); +#1232 = CARTESIAN_POINT( '', ( -0.437844790307299, -2.87614376566071, -0.973987313498350 ) ); +#1233 = CARTESIAN_POINT( '', ( -0.437953554427824, -2.87644260637525, -0.962000056552370 ) ); +#1234 = CARTESIAN_POINT( '', ( -0.437980790532585, -2.87651744040111, -0.954912670111770 ) ); +#1235 = CARTESIAN_POINT( '', ( -0.437980790532585, -2.87651744040111, -0.950000000000000 ) ); +#1236 = CARTESIAN_POINT( '', ( 0.276358323243568, -2.35356643246444, -1.74299282979414 ) ); +#1237 = CARTESIAN_POINT( '', ( 0.274578107906319, -2.35845775895017, -1.74299282979414 ) ); +#1238 = CARTESIAN_POINT( '', ( 0.271935315924795, -2.36571910433072, -1.74291939019162 ) ); +#1239 = CARTESIAN_POINT( '', ( 0.267512514387994, -2.37787121078966, -1.74262553503216 ) ); +#1240 = CARTESIAN_POINT( '', ( 0.265301328314011, -2.38394667412393, -1.74240511965234 ) ); +#1241 = CARTESIAN_POINT( '', ( 0.258669845288566, -2.40216736230886, -1.74152326568476 ) ); +#1242 = CARTESIAN_POINT( '', ( 0.254251585793011, -2.41430698903785, -1.74064120837810 ) ); +#1243 = CARTESIAN_POINT( '', ( 0.241016970734899, -2.45067046811129, -1.73711171393100 ) ); +#1244 = CARTESIAN_POINT( '', ( 0.232220512301763, -2.47483965102658, -1.73358051995313 ) ); +#1245 = CARTESIAN_POINT( '', ( 0.206015640900297, -2.54684025615524, -1.71945652975740 ) ); +#1246 = CARTESIAN_POINT( '', ( 0.188790376837005, -2.59416845773485, -1.70532223408752 ) ); +#1247 = CARTESIAN_POINT( '', ( 0.138777114104453, -2.73158509016302, -1.64911618676925 ) ); +#1248 = CARTESIAN_POINT( '', ( 0.107700201220795, -2.81697213514692, -1.59317318831180 ) ); +#1249 = CARTESIAN_POINT( '', ( 0.0547398303555009, -2.96248625317916, -1.44942603687987 ) ); +#1250 = CARTESIAN_POINT( '', ( 0.0329376440834699, -3.02239002376695, -1.36163614611871 ) ); +#1251 = CARTESIAN_POINT( '', ( 0.0105139049828276, -3.08400157528947, -1.21479714837403 ) ); +#1252 = CARTESIAN_POINT( '', ( 0.00475445623603371, -3.09982625874714, -1.16342825342158 ) ); +#1253 = CARTESIAN_POINT( '', ( -0.00104209664642467, -3.11575288967016, -1.08443004854779 ) ); +#1254 = CARTESIAN_POINT( '', ( -0.00250233247671776, -3.11976503923584, -1.05777497439063 ) ); +#1255 = CARTESIAN_POINT( '', ( -0.00396619379688424, -3.12378715021137, -1.01751756994194 ) ); +#1256 = CARTESIAN_POINT( '', ( -0.00433316664977886, -3.12479544622892, -1.00405246238913 ) ); +#1257 = CARTESIAN_POINT( '', ( -0.00470055642328240, -3.12580488777911, -0.983810387009059 ) ); +#1258 = CARTESIAN_POINT( '', ( -0.00479251365822835, -3.12605754983040, -0.977055589842053 ) ); +#1259 = CARTESIAN_POINT( '', ( -0.00491518951062144, -3.12639461447276, -0.963535073856614 ) ); +#1260 = CARTESIAN_POINT( '', ( -0.00494590973036061, -3.12647902146629, -0.955296526135558 ) ); +#1261 = CARTESIAN_POINT( '', ( -0.00494590973036061, -3.12647902146629, -0.949999999999999 ) ); +#1262 = CARTESIAN_POINT( '', ( 0.357912507008083, -2.38324836213688, -1.25058253526180 ) ); +#1263 = CARTESIAN_POINT( '', ( 0.356769882140866, -2.38638784260271, -1.25058253526180 ) ); +#1264 = CARTESIAN_POINT( '', ( 0.356039996650732, -2.38839327877369, -1.25055144274454 ) ); +#1265 = CARTESIAN_POINT( '', ( 0.354167733513980, -2.39353751614623, -1.25042704784352 ) ); +#1266 = CARTESIAN_POINT( '', ( 0.353231772056687, -2.39610916743464, -1.25033374530802 ) ); +#1267 = CARTESIAN_POINT( '', ( 0.350425245948741, -2.40382038932868, -1.24996053389076 ) ); +#1268 = CARTESIAN_POINT( '', ( 0.348556021151348, -2.40895627854835, -1.24958729943160 ) ); +#1269 = CARTESIAN_POINT( '', ( 0.342960687295347, -2.42433003930288, -1.24809509949651 ) ); +#1270 = CARTESIAN_POINT( '', ( 0.339246834112353, -2.43453423654687, -1.24660320607511 ) ); +#1271 = CARTESIAN_POINT( '', ( 0.328212930414827, -2.46485103264871, -1.24065611780293 ) ); +#1272 = CARTESIAN_POINT( '', ( 0.321001144686173, -2.48466616276575, -1.23472184928050 ) ); +#1273 = CARTESIAN_POINT( '', ( 0.300275071661796, -2.54161320046857, -1.21142942493914 ) ); +#1274 = CARTESIAN_POINT( '', ( 0.287719755083870, -2.57611023643353, -1.18856385450316 ) ); +#1275 = CARTESIAN_POINT( '', ( 0.266976896533470, -2.63310339411176, -1.13226278859807 ) ); +#1276 = CARTESIAN_POINT( '', ( 0.258806779750057, -2.65555163830908, -1.09892939819501 ) ); +#1277 = CARTESIAN_POINT( '', ( 0.250572244590788, -2.67817687868280, -1.04500662620512 ) ); +#1278 = CARTESIAN_POINT( '', ( 0.248493342752596, -2.68388887734364, -1.02639451831004 ) ); +#1279 = CARTESIAN_POINT( '', ( 0.246410338887216, -2.68961214675013, -0.998006359639280 ) ); +#1280 = CARTESIAN_POINT( '', ( 0.245888124224832, -2.69104698575860, -0.988464460765472 ) ); +#1281 = CARTESIAN_POINT( '', ( 0.245365154807663, -2.69248389853420, -0.974082375744397 ) ); +#1282 = CARTESIAN_POINT( '', ( 0.245234215567671, -2.69284366769201, -0.969276697443161 ) ); +#1283 = CARTESIAN_POINT( '', ( 0.245103160540026, -2.69320375498840, -0.962055962820908 ) ); +#1284 = CARTESIAN_POINT( '', ( 0.245070367985334, -2.69329385593740, -0.959647003576108 ) ); +#1285 = CARTESIAN_POINT( '', ( 0.245026623610160, -2.69341404815034, -0.954825792759590 ) ); +#1286 = CARTESIAN_POINT( '', ( 0.245015671334816, -2.69344414066406, -0.953118628855363 ) ); +#1287 = CARTESIAN_POINT( '', ( 0.245015671334816, -2.69344414066406, -0.949999999999999 ) ); +#1288 = CARTESIAN_POINT( '', ( -0.104804303466576, -2.21484096483622, -1.16379485273383 ) ); +#1289 = CARTESIAN_POINT( '', ( -0.105834552536800, -2.21767168113140, -1.16379485273383 ) ); +#1290 = CARTESIAN_POINT( '', ( -0.106227286827773, -2.21875075937497, -1.16377122392200 ) ); +#1291 = CARTESIAN_POINT( '', ( -0.107650015664782, -2.22265985458209, -1.16367669651822 ) ); +#1292 = CARTESIAN_POINT( '', ( -0.108361217830050, -2.22461395637803, -1.16360579771656 ) ); +#1293 = CARTESIAN_POINT( '', ( -0.110493592421820, -2.23047287698162, -1.16332223492904 ) ); +#1294 = CARTESIAN_POINT( '', ( -0.111913547948051, -2.23437435222941, -1.16303868087714 ) ); +#1295 = CARTESIAN_POINT( '', ( -0.116162452781201, -2.24604865943871, -1.16190555562283 ) ); +#1296 = CARTESIAN_POINT( '', ( -0.118980492989195, -2.25379151751817, -1.16077309044906 ) ); +#1297 = CARTESIAN_POINT( '', ( -0.127340502287374, -2.27676151111701, -1.15626718725380 ) ); +#1298 = CARTESIAN_POINT( '', ( -0.132787404973662, -2.29172744182052, -1.15177817964630 ) ); +#1299 = CARTESIAN_POINT( '', ( -0.148351588917415, -2.33449165329545, -1.13428680596022 ) ); +#1300 = CARTESIAN_POINT( '', ( -0.157642460300069, -2.36001928712640, -1.11725115694108 ) ); +#1301 = CARTESIAN_POINT( '', ( -0.172706958219756, -2.40141055936565, -1.07636252898315 ) ); +#1302 = CARTESIAN_POINT( '', ( -0.178474412564723, -2.41725723903560, -1.05262713747885 ) ); +#1303 = CARTESIAN_POINT( '', ( -0.184208089921750, -2.43301111292392, -1.01508091974065 ) ); +#1304 = CARTESIAN_POINT( '', ( -0.185638292615793, -2.43694074332926, -1.00224222005280 ) ); +#1305 = CARTESIAN_POINT( '', ( -0.187066780690731, -2.44086566264061, -0.982774119860437 ) ); +#1306 = CARTESIAN_POINT( '', ( -0.187423668421027, -2.44184624873664, -0.976248430923806 ) ); +#1307 = CARTESIAN_POINT( '', ( -0.187780804936897, -2.44282751839684, -0.966426890295748 ) ); +#1308 = CARTESIAN_POINT( '', ( -0.187870143076162, -2.44307298421081, -0.963147442873731 ) ); +#1309 = CARTESIAN_POINT( '', ( -0.187959543928168, -2.44331862233452, -0.958221729294549 ) ); +#1310 = CARTESIAN_POINT( '', ( -0.187981908663737, -2.44338007176771, -0.956578727232406 ) ); +#1311 = CARTESIAN_POINT( '', ( -0.188011741307042, -2.44346204005284, -0.953290775455345 ) ); +#1312 = CARTESIAN_POINT( '', ( -0.188019209467408, -2.44348255959889, -0.952734772831574 ) ); +#1313 = CARTESIAN_POINT( '', ( -0.188019209467408, -2.44348255959889, -0.950000000000000 ) ); +#1314 = CARTESIAN_POINT( '', ( -0.567521113941234, -2.04643356753555, -1.07700717020586 ) ); +#1315 = CARTESIAN_POINT( '', ( -0.568438987214464, -2.04895551966009, -1.07700717020586 ) ); +#1316 = CARTESIAN_POINT( '', ( -0.568494570306279, -2.04910823997625, -1.07699100509947 ) ); +#1317 = CARTESIAN_POINT( '', ( -0.569467764843544, -2.05178219301794, -1.07692634519292 ) ); +#1318 = CARTESIAN_POINT( '', ( -0.569954207716786, -2.05311874532142, -1.07687785012510 ) ); +#1319 = CARTESIAN_POINT( '', ( -0.571412430792382, -2.05712536463456, -1.07668393596732 ) ); +#1320 = CARTESIAN_POINT( '', ( -0.572383117047450, -2.05979242591047, -1.07649006232268 ) ); +#1321 = CARTESIAN_POINT( '', ( -0.575285592857749, -2.06776727957454, -1.07571601174916 ) ); +#1322 = CARTESIAN_POINT( '', ( -0.577207820090743, -2.07304879848948, -1.07494297482301 ) ); +#1323 = CARTESIAN_POINT( '', ( -0.582893934989575, -2.08867198958531, -1.07187825670467 ) ); +#1324 = CARTESIAN_POINT( '', ( -0.586575954633496, -2.09878872087528, -1.06883451001210 ) ); +#1325 = CARTESIAN_POINT( '', ( -0.596978249496627, -2.12737010612232, -1.05714418698129 ) ); +#1326 = CARTESIAN_POINT( '', ( -0.603004675684008, -2.14392833781927, -1.04593845937900 ) ); +#1327 = CARTESIAN_POINT( '', ( -0.612390812972982, -2.16971772461953, -1.02046226936823 ) ); +#1328 = CARTESIAN_POINT( '', ( -0.615755604879502, -2.17896283976211, -1.00632487676269 ) ); +#1329 = CARTESIAN_POINT( '', ( -0.618988424434288, -2.18784534716503, -0.985155213276188 ) ); +#1330 = CARTESIAN_POINT( '', ( -0.619769927984184, -2.18999260931488, -0.978089921795557 ) ); +#1331 = CARTESIAN_POINT( '', ( -0.620543900268676, -2.19211917853110, -0.967541880081590 ) ); +#1332 = CARTESIAN_POINT( '', ( -0.620735461066885, -2.19264551171466, -0.964032401082139 ) ); +#1333 = CARTESIAN_POINT( '', ( -0.620926764681457, -2.19317113825949, -0.958771404847099 ) ); +#1334 = CARTESIAN_POINT( '', ( -0.620974501719996, -2.19330230072960, -0.957018188304298 ) ); +#1335 = CARTESIAN_POINT( '', ( -0.621022248396363, -2.19343348968063, -0.954387495768190 ) ); +#1336 = CARTESIAN_POINT( '', ( -0.621034185312808, -2.19346628759802, -0.953510450888701 ) ); +#1337 = CARTESIAN_POINT( '', ( -0.621050106224244, -2.19351003195533, -0.951755758151099 ) ); +#1338 = CARTESIAN_POINT( '', ( -0.621054090269634, -2.19352097853371, -0.952350916807785 ) ); +#1339 = CARTESIAN_POINT( '', ( -0.621054090269634, -2.19352097853371, -0.949999999999999 ) ); +#1340 = CARTESIAN_POINT( '', ( -0.649075297705750, -2.01675163786312, -1.56941746473820 ) ); +#1341 = CARTESIAN_POINT( '', ( -0.650630761449012, -2.02102543600754, -1.56941746473820 ) ); +#1342 = CARTESIAN_POINT( '', ( -0.652599251032216, -2.02643406553328, -1.56935895254655 ) ); +#1343 = CARTESIAN_POINT( '', ( -0.656122983969529, -2.03611588766137, -1.56912483238156 ) ); +#1344 = CARTESIAN_POINT( '', ( -0.657884651459461, -2.04095625201070, -1.56894922446942 ) ); +#1345 = CARTESIAN_POINT( '', ( -0.663167831452558, -2.05547233761473, -1.56824666776132 ) ); +#1346 = CARTESIAN_POINT( '', ( -0.666687552405787, -2.06514313639997, -1.56754397126918 ) ); +#1347 = CARTESIAN_POINT( '', ( -0.677229309418197, -2.09410770838295, -1.56473262618365 ) ); +#1348 = CARTESIAN_POINT( '', ( -0.684234141901330, -2.11335421296919, -1.56192028870103 ) ); +#1349 = CARTESIAN_POINT( '', ( -0.705091224504112, -2.17066121309184, -1.55067866865915 ) ); +#1350 = CARTESIAN_POINT( '', ( -0.718786722482658, -2.20829101584438, -1.53943489481911 ) ); +#1351 = CARTESIAN_POINT( '', ( -0.758476207053984, -2.31734199581676, -1.49483094881140 ) ); +#1352 = CARTESIAN_POINT( '', ( -0.783024229547096, -2.38479023653269, -1.45054779318764 ) ); +#1353 = CARTESIAN_POINT( '', ( -0.824627879150938, -2.49910058368691, -1.33762551765002 ) ); +#1354 = CARTESIAN_POINT( '', ( -0.841624740546101, -2.54580122521998, -1.26903162468639 ) ); +#1355 = CARTESIAN_POINT( '', ( -0.859046764042242, -2.59367004377170, -1.15494573544511 ) ); +#1356 = CARTESIAN_POINT( '', ( -0.863508814500754, -2.60592999071838, -1.11512365690709 ) ); +#1357 = CARTESIAN_POINT( '', ( -0.867996335802315, -2.61825992145114, -1.05396556899011 ) ); +#1358 = CARTESIAN_POINT( '', ( -0.869125917768434, -2.62136356519191, -1.03334291470730 ) ); +#1359 = CARTESIAN_POINT( '', ( -0.870258113286004, -2.62447438993666, -1.00220659904464 ) ); +#1360 = CARTESIAN_POINT( '', ( -0.870541883937445, -2.62525407926650, -0.991793953250263 ) ); +#1361 = CARTESIAN_POINT( '', ( -0.870825965359672, -2.62603462247134, -0.976141919956340 ) ); +#1362 = CARTESIAN_POINT( '', ( -0.870897066956369, -2.62622998149101, -0.970919037154646 ) ); +#1363 = CARTESIAN_POINT( '', ( -0.870991919345026, -2.62649059827775, -0.960465039248125 ) ); +#1364 = CARTESIAN_POINT( '', ( -0.871015671334810, -2.62655585933593, -0.954528814087979 ) ); +#1365 = CARTESIAN_POINT( '', ( -0.871015671334810, -2.62655585933593, -0.949999999999999 ) ); +#1366 = CARTESIAN_POINT( '', ( -0.186358487231092, -2.18515903516378, -1.65620514726617 ) ); +#1367 = CARTESIAN_POINT( '', ( -0.188026326771346, -2.18974159747886, -1.65620514726617 ) ); +#1368 = CARTESIAN_POINT( '', ( -0.190331967553711, -2.19607658493200, -1.65613917136909 ) ); +#1369 = CARTESIAN_POINT( '', ( -0.194305234790768, -2.20699354922552, -1.65587518370686 ) ); +#1370 = CARTESIAN_POINT( '', ( -0.196291661572725, -2.21245146306732, -1.65567717206087 ) ); +#1371 = CARTESIAN_POINT( '', ( -0.202248993081996, -2.22881984996179, -1.65488496672304 ) ); +#1372 = CARTESIAN_POINT( '', ( -0.206217983306389, -2.23972506271891, -1.65409258982364 ) ); +#1373 = CARTESIAN_POINT( '', ( -0.218106169341649, -2.27238908824712, -1.65092217005733 ) ); +#1374 = CARTESIAN_POINT( '', ( -0.226006814799784, -2.29409693199789, -1.64775040432708 ) ); +#1375 = CARTESIAN_POINT( '', ( -0.249537791801908, -2.35875073462354, -1.63506759920828 ) ); +#1376 = CARTESIAN_POINT( '', ( -0.264998172822827, -2.40122973678961, -1.62237856445331 ) ); +#1377 = CARTESIAN_POINT( '', ( -0.309849546474766, -2.52446354298989, -1.57197356779033 ) ); +#1378 = CARTESIAN_POINT( '', ( -0.337662014163152, -2.60088118583981, -1.52186049074972 ) ); +#1379 = CARTESIAN_POINT( '', ( -0.384944024397719, -2.73079341843304, -1.39352577726495 ) ); +#1380 = CARTESIAN_POINT( '', ( -0.404343548231317, -2.78409562449347, -1.31533388540255 ) ); +#1381 = CARTESIAN_POINT( '', ( -0.424266429529707, -2.83883580953059, -1.18487144190957 ) ); +#1382 = CARTESIAN_POINT( '', ( -0.429377179132360, -2.85287812473276, -1.13927595516434 ) ); +#1383 = CARTESIAN_POINT( '', ( -0.434519216224370, -2.86700640556066, -1.06919780876895 ) ); +#1384 = CARTESIAN_POINT( '', ( -0.435814125122577, -2.87056430221388, -1.04555894454896 ) ); +#1385 = CARTESIAN_POINT( '', ( -0.437112153541445, -2.87413077007401, -1.00986208449329 ) ); +#1386 = CARTESIAN_POINT( '', ( -0.437437525293613, -2.87502476274771, -0.997923207819696 ) ); +#1387 = CARTESIAN_POINT( '', ( -0.437763260891477, -2.87591975512522, -0.979976153482700 ) ); +#1388 = CARTESIAN_POINT( '', ( -0.437844790307299, -2.87614376566071, -0.973987313498350 ) ); +#1389 = CARTESIAN_POINT( '', ( -0.437953554427824, -2.87644260637525, -0.962000056552370 ) ); +#1390 = CARTESIAN_POINT( '', ( -0.437980790532585, -2.87651744040111, -0.954912670111770 ) ); +#1391 = CARTESIAN_POINT( '', ( -0.437980790532585, -2.87651744040111, -0.950000000000000 ) ); +#1398 = SURFACE_SIDE_STYLE( '', ( #6224 ) ); +#1399 = EDGE_LOOP( '', ( #6225 ) ); +#1400 = EDGE_LOOP( '', ( #6226 ) ); +#1401 = AXIS2_PLACEMENT_3D( '', #6227, #6228, #6229 ); +#1402 = SURFACE_SIDE_STYLE( '', ( #6230 ) ); +#1403 = EDGE_LOOP( '', ( #6231 ) ); +#1404 = EDGE_LOOP( '', ( #6232 ) ); +#1406 = CARTESIAN_POINT( '', ( 1.32717653623651, -2.28034561928629, -1.49550156199547 ) ); +#1407 = CARTESIAN_POINT( '', ( 1.32571678144916, -2.28435644713651, -1.49550156199547 ) ); +#1408 = CARTESIAN_POINT( '', ( 1.32403543901082, -2.28897611006615, -1.49544940654143 ) ); +#1409 = CARTESIAN_POINT( '', ( 1.32089456839464, -2.29760597821282, -1.49524072412240 ) ); +#1410 = CARTESIAN_POINT( '', ( 1.31932432537286, -2.30192038395956, -1.49508419716925 ) ); +#1411 = CARTESIAN_POINT( '', ( 1.31461531116518, -2.31485888945031, -1.49445799299419 ) ); +#1412 = CARTESIAN_POINT( '', ( 1.31147822681182, -2.32347835444677, -1.49383167609956 ) ); +#1413 = CARTESIAN_POINT( '', ( 1.30208320530155, -2.34929215156762, -1.49132615009354 ) ); +#1414 = CARTESIAN_POINT( '', ( 1.29584132468908, -2.36644236666899, -1.48881993281852 ) ); +#1415 = CARTESIAN_POINT( '', ( 1.27726156200785, -2.41749219384427, -1.47880575073646 ) ); +#1416 = CARTESIAN_POINT( '', ( 1.26506919119566, -2.45099199863174, -1.46879288619271 ) ); +#1417 = CARTESIAN_POINT( '', ( 1.22977601817613, -2.54796365612950, -1.42912961705930 ) ); +#1418 = CARTESIAN_POINT( '', ( 1.20800827978017, -2.60777277760082, -1.38981172714944 ) ); +#1419 = CARTESIAN_POINT( '', ( 1.17124081360577, -2.70879520862315, -1.29001601786117 ) ); +#1420 = CARTESIAN_POINT( '', ( 1.15629026751653, -2.74987338637632, -1.22959661155810 ) ); +#1421 = CARTESIAN_POINT( '', ( 1.14099819099787, -2.79188995444677, -1.12945841346456 ) ); +#1422 = CARTESIAN_POINT( '', ( 1.13708862888163, -2.80263188230607, -1.09455346909939 ) ); +#1423 = CARTESIAN_POINT( '', ( 1.13315854988178, -2.81343018243346, -1.04099247509878 ) ); +#1424 = CARTESIAN_POINT( '', ( 1.13216977464165, -2.81614694507406, -1.02293868628022 ) ); +#1425 = CARTESIAN_POINT( '', ( 1.13117881677725, -2.81886970470149, -0.995686524981290 ) ); +#1426 = CARTESIAN_POINT( '', ( 1.13093047722416, -2.81955204340967, -0.986573749513471 ) ); +#1427 = CARTESIAN_POINT( '', ( 1.13068187210348, -2.82023511179239, -0.972876354795800 ) ); +#1428 = CARTESIAN_POINT( '', ( 1.13061965174017, -2.82040606870113, -0.968305827431760 ) ); +#1429 = CARTESIAN_POINT( '', ( 1.13055739877497, -2.82057711518700, -0.961444721089019 ) ); +#1430 = CARTESIAN_POINT( '', ( 1.13054182693340, -2.82061990043861, -0.959156792515874 ) ); +#1431 = CARTESIAN_POINT( '', ( 1.13052105934579, -2.82067696154193, -0.954579449708811 ) ); +#1432 = CARTESIAN_POINT( '', ( 1.13051586317068, -2.82069123857258, -0.952100944535861 ) ); +#1433 = CARTESIAN_POINT( '', ( 1.13051586317068, -2.82069123857258, -0.950000000000000 ) ); +#1434 = CARTESIAN_POINT( '', ( 1.48786777480909, -2.33882975611561, -1.02565272291492 ) ); +#1435 = CARTESIAN_POINT( '', ( 1.48701639711190, -2.34116900474046, -1.02565272291492 ) ); +#1436 = CARTESIAN_POINT( '', ( 1.48716031483613, -2.34077357584962, -1.02564097427013 ) ); +#1437 = CARTESIAN_POINT( '', ( 1.48645312101267, -2.34271666431026, -1.02559398771040 ) ); +#1438 = CARTESIAN_POINT( '', ( 1.48609967384664, -2.34368779709870, -1.02555874949350 ) ); +#1439 = CARTESIAN_POINT( '', ( 1.48504036312237, -2.34659836330596, -1.02541788267719 ) ); +#1440 = CARTESIAN_POINT( '', ( 1.48433552075705, -2.34853499089119, -1.02527707517758 ) ); +#1441 = CARTESIAN_POINT( '', ( 1.48222976075102, -2.35432078515696, -1.02471549809374 ) ); +#1442 = CARTESIAN_POINT( '', ( 1.48083760851100, -2.35814586798896, -1.02415514387162 ) ); +#1443 = CARTESIAN_POINT( '', ( 1.47673370395576, -2.36942177187874, -1.02194321109002 ) ); +#1444 = CARTESIAN_POINT( '', ( 1.47409600984900, -2.37666911031903, -1.01975466150971 ) ); +#1445 = CARTESIAN_POINT( '', ( 1.46674813414985, -2.39685816176082, -1.01149696556871 ) ); +#1446 = CARTESIAN_POINT( '', ( 1.46265336201556, -2.40810897337578, -1.00374094860959 ) ); +#1447 = CARTESIAN_POINT( '', ( 1.45662725305341, -2.42466633346349, -0.987384684481457 ) ); +#1448 = CARTESIAN_POINT( '', ( 1.45468417674013, -2.43000513739134, -0.978926668420928 ) ); +#1449 = CARTESIAN_POINT( '', ( 1.45293117743686, -2.43482168499777, -0.967447422602349 ) ); +#1450 = CARTESIAN_POINT( '', ( 1.45253352543175, -2.43591427517156, -0.963798401482104 ) ); +#1451 = CARTESIAN_POINT( '', ( 1.45214684654744, -2.43697671555657, -0.958528581972223 ) ); +#1452 = CARTESIAN_POINT( '', ( 1.45205311383926, -2.43723425590491, -0.956803870001065 ) ); +#1453 = CARTESIAN_POINT( '', ( 1.45195993770939, -2.43749026699659, -0.954241462204230 ) ); +#1454 = CARTESIAN_POINT( '', ( 1.45193681708498, -2.43755379331285, -0.953391354731261 ) ); +#1455 = CARTESIAN_POINT( '', ( 1.45191371822850, -2.43761725981947, -0.952118683676483 ) ); +#1456 = CARTESIAN_POINT( '', ( 1.45190795171403, -2.43763310391674, -0.951694874862506 ) ); +#1457 = CARTESIAN_POINT( '', ( 1.45190218497081, -2.43764894864253, -0.951059306165623 ) ); +#1458 = CARTESIAN_POINT( '', ( 1.45190074343057, -2.43765290942401, -0.950847475670047 ) ); +#1459 = CARTESIAN_POINT( '', ( 1.45189882110098, -2.43765819122415, -0.950423780987866 ) ); +#1460 = CARTESIAN_POINT( '', ( 1.45189834031585, -2.43765951223123, -0.951061889788123 ) ); +#1461 = CARTESIAN_POINT( '', ( 1.45189834031585, -2.43765951223123, -0.949999999999999 ) ); +#1462 = CARTESIAN_POINT( '', ( 1.04635191163841, -2.17813851754303, -0.854649598923981 ) ); +#1463 = CARTESIAN_POINT( '', ( 1.04572195490544, -2.17986938907772, -0.854649598923981 ) ); +#1464 = CARTESIAN_POINT( '', ( 1.04653018243302, -2.17764870002431, -0.854652556478371 ) ); +#1465 = CARTESIAN_POINT( '', ( 1.04670873376783, -2.17715811169224, -0.854664419690685 ) ); +#1466 = CARTESIAN_POINT( '', ( 1.04679814369814, -2.17691244862492, -0.854673324932438 ) ); +#1467 = CARTESIAN_POINT( '', ( 1.04706715528818, -2.17617331134877, -0.854709098340890 ) ); +#1468 = CARTESIAN_POINT( '', ( 1.04724753587897, -2.17567769694597, -0.854744993679225 ) ); +#1469 = CARTESIAN_POINT( '', ( 1.04779472802506, -2.17417422970750, -0.854890923586834 ) ); +#1470 = CARTESIAN_POINT( '', ( 1.04816765134386, -2.17314958416703, -0.855038773010718 ) ); +#1471 = CARTESIAN_POINT( '', ( 1.04933228585076, -2.16994962993084, -0.855666496079161 ) ); +#1472 = CARTESIAN_POINT( '', ( 1.05017204966121, -2.16764229166569, -0.856325633223912 ) ); +#1473 = CARTESIAN_POINT( '', ( 1.05299496240539, -2.15988604578711, -0.859498105200642 ) ); +#1474 = CARTESIAN_POINT( '', ( 1.05533232799193, -2.15346389114038, -0.863229142001479 ) ); +#1475 = CARTESIAN_POINT( '', ( 1.06049464322447, -2.13927989401585, -0.877240955007213 ) ); +#1476 = CARTESIAN_POINT( '', ( 1.06328568091104, -2.13161122816775, -0.887694468186115 ) ); +#1477 = CARTESIAN_POINT( '', ( 1.06646027598966, -2.12288869855878, -0.908482957323430 ) ); +#1478 = CARTESIAN_POINT( '', ( 1.06734079592035, -2.12046937862146, -0.916209638500462 ) ); +#1479 = CARTESIAN_POINT( '', ( 1.06824374986878, -2.11798841889090, -0.928515560404070 ) ); +#1480 = CARTESIAN_POINT( '', ( 1.06847577101235, -2.11735091670729, -0.932733872913418 ) ); +#1481 = CARTESIAN_POINT( '', ( 1.06870934567655, -2.11670914606445, -0.939157387030718 ) ); +#1482 = CARTESIAN_POINT( '', ( 1.06876819426688, -2.11654745345204, -0.941314506397737 ) ); +#1483 = CARTESIAN_POINT( '', ( 1.06882716920191, -2.11638541369445, -0.944563856862128 ) ); +#1484 = CARTESIAN_POINT( '', ( 1.06884194926265, -2.11634480394288, -0.945649260729745 ) ); +#1485 = CARTESIAN_POINT( '', ( 1.06885674087697, -2.11630416244669, -0.947279498176062 ) ); +#1486 = CARTESIAN_POINT( '', ( 1.06886044210919, -2.11629399292683, -0.947823270818018 ) ); +#1487 = CARTESIAN_POINT( '', ( 1.06886537857700, -2.11628042946896, -0.948911310860359 ) ); +#1488 = CARTESIAN_POINT( '', ( 1.06886661397450, -2.11627703508606, -0.950683722188074 ) ); +#1489 = CARTESIAN_POINT( '', ( 1.06886661397450, -2.11627703508606, -0.949999999999999 ) ); +#1490 = CARTESIAN_POINT( '', ( 0.885660673065830, -2.11965438071371, -1.32449843800453 ) ); +#1491 = CARTESIAN_POINT( '', ( 0.884422339242696, -2.12305683147376, -1.32449843800453 ) ); +#1492 = CARTESIAN_POINT( '', ( 0.883405306607704, -2.12585123424083, -1.32446098874966 ) ); +#1493 = CARTESIAN_POINT( '', ( 0.881150181149808, -2.13204742559479, -1.32431115610269 ) ); +#1494 = CARTESIAN_POINT( '', ( 0.880022795224367, -2.13514503548579, -1.32419877260819 ) ); +#1495 = CARTESIAN_POINT( '', ( 0.876642103330997, -2.14443383749312, -1.32374920865789 ) ); +#1496 = CARTESIAN_POINT( '', ( 0.874390241933748, -2.15062106050154, -1.32329959460121 ) ); +#1497 = CARTESIAN_POINT( '', ( 0.867648172575604, -2.16914559611817, -1.32150157558663 ) ); +#1498 = CARTESIAN_POINT( '', ( 0.863171367521942, -2.18144608284707, -1.31970356195762 ) ); +#1499 = CARTESIAN_POINT( '', ( 0.849860143902847, -2.21802005189636, -1.31252903572560 ) ); +#1500 = CARTESIAN_POINT( '', ( 0.841145231007870, -2.24196517997839, -1.30536385790692 ) ); +#1501 = CARTESIAN_POINT( '', ( 0.816022846431678, -2.31099154015580, -1.27713075669123 ) ); +#1502 = CARTESIAN_POINT( '', ( 0.800687245756537, -2.35312769536543, -1.24929992054134 ) ); +#1503 = CARTESIAN_POINT( '', ( 0.775108203776832, -2.42340876917550, -1.17987228838693 ) ); +#1504 = CARTESIAN_POINT( '', ( 0.764891771687445, -2.45147947715272, -1.13836441132328 ) ); +#1505 = CARTESIAN_POINT( '', ( 0.754527289550667, -2.47995696800777, -1.07049394818564 ) ); +#1506 = CARTESIAN_POINT( '', ( 0.751895899370227, -2.48718698575596, -1.04696470611775 ) ); +#1507 = CARTESIAN_POINT( '', ( 0.749255453203118, -2.49444188576780, -1.01097945353062 ) ); +#1508 = CARTESIAN_POINT( '', ( 0.748592431814739, -2.49626360587645, -0.998868689192576 ) ); +#1509 = CARTESIAN_POINT( '', ( 0.747928224744414, -2.49808858376936, -0.980602449807779 ) ); +#1510 = CARTESIAN_POINT( '', ( 0.747761854406064, -2.49854570354885, -0.974496901179946 ) ); +#1511 = CARTESIAN_POINT( '', ( 0.747595323076885, -2.49900326566736, -0.965321527981445 ) ); +#1512 = CARTESIAN_POINT( '', ( 0.747553649288797, -2.49911776872728, -0.962260213298997 ) ); +#1513 = CARTESIAN_POINT( '', ( 0.747511954681128, -2.49923232899117, -0.957664913099457 ) ); +#1514 = CARTESIAN_POINT( '', ( 0.747501525612020, -2.49926098394143, -0.956132587663846 ) ); +#1515 = CARTESIAN_POINT( '', ( 0.747487616821808, -2.49929919978674, -0.953066979581304 ) ); +#1516 = CARTESIAN_POINT( '', ( 0.747484136829329, -2.49930876142742, -0.951722776935812 ) ); +#1517 = CARTESIAN_POINT( '', ( 0.747484136829329, -2.49930876142742, -0.950000000000000 ) ); +#1518 = CARTESIAN_POINT( '', ( 0.724969434493246, -2.06117024388438, -1.79434727708508 ) ); +#1519 = CARTESIAN_POINT( '', ( 0.723122723579952, -2.06624427386979, -1.79434727708508 ) ); +#1520 = CARTESIAN_POINT( '', ( 0.720280430782389, -2.07405376845735, -1.79426942102096 ) ); +#1521 = CARTESIAN_POINT( '', ( 0.715591628531785, -2.08693673949735, -1.79395789251469 ) ); +#1522 = CARTESIAN_POINT( '', ( 0.713247446750589, -2.09337762234664, -1.79372422028394 ) ); +#1523 = CARTESIAN_POINT( '', ( 0.706217051373811, -2.11269436363746, -1.79278931897489 ) ); +#1524 = CARTESIAN_POINT( '', ( 0.701532947988522, -2.12556442405711, -1.79185419552320 ) ); +#1525 = CARTESIAN_POINT( '', ( 0.687501617126142, -2.16411696252883, -1.78811222758643 ) ); +#1526 = CARTESIAN_POINT( '', ( 0.678175083700021, -2.18974258152710, -1.78436835090452 ) ); +#1527 = CARTESIAN_POINT( '', ( 0.650388001954932, -2.26609047386188, -1.76939157537204 ) ); +#1528 = CARTESIAN_POINT( '', ( 0.632118412354527, -2.31628806829108, -1.75440208258992 ) ); +#1529 = CARTESIAN_POINT( '', ( 0.579050730457960, -2.46209703452448, -1.69476340818182 ) ); +#1530 = CARTESIAN_POINT( '', ( 0.546042163521138, -2.55279149959048, -1.63537069908119 ) ); +#1531 = CARTESIAN_POINT( '', ( 0.489721764329194, -2.70753764433515, -1.48250362176664 ) ); +#1532 = CARTESIAN_POINT( '', ( 0.466497862463847, -2.77134772613768, -1.38903435446045 ) ); +#1533 = CARTESIAN_POINT( '', ( 0.442594303111674, -2.83702523745676, -1.23250493904785 ) ); +#1534 = CARTESIAN_POINT( '', ( 0.436451002820108, -2.85390459289046, -1.17771977373504 ) ); +#1535 = CARTESIAN_POINT( '', ( 0.430267156537458, -2.87089535264469, -1.09344334665718 ) ); +#1536 = CARTESIAN_POINT( '', ( 0.428709092617128, -2.87517629504560, -1.06500350547173 ) ); +#1537 = CARTESIAN_POINT( '', ( 0.427147103812278, -2.87946802147426, -1.02204751258484 ) ); +#1538 = CARTESIAN_POINT( '', ( 0.426755514545249, -2.88054395364565, -1.00767929596215 ) ); +#1539 = CARTESIAN_POINT( '', ( 0.426363476951862, -2.88162111764026, -0.986079199100761 ) ); +#1540 = CARTESIAN_POINT( '', ( 0.426265349314941, -2.88189073351167, -0.978871165868248 ) ); +#1541 = CARTESIAN_POINT( '', ( 0.426167168485288, -2.88216049553564, -0.968050328022851 ) ); +#1542 = CARTESIAN_POINT( '', ( 0.426142609114846, -2.88222797495603, -0.964441904509673 ) ); +#1543 = CARTESIAN_POINT( '', ( 0.426109855066616, -2.88231797010451, -0.957222648302249 ) ); +#1544 = CARTESIAN_POINT( '', ( 0.426101659684162, -2.88234048776877, -0.952761831683548 ) ); +#1545 = CARTESIAN_POINT( '', ( 0.426101659684162, -2.88234048776877, -0.949999999999999 ) ); +#1546 = CARTESIAN_POINT( '', ( 1.16648529766392, -2.22186148245697, -1.96535040107602 ) ); +#1547 = CARTESIAN_POINT( '', ( 1.16441716578642, -2.22754388953254, -1.96535040107602 ) ); +#1548 = CARTESIAN_POINT( '', ( 1.16091056318550, -2.23717864428266, -1.96525783881272 ) ); +#1549 = CARTESIAN_POINT( '', ( 1.15533601577662, -2.25249529211537, -1.96488746053441 ) ); +#1550 = CARTESIAN_POINT( '', ( 1.15254897689909, -2.26015297082042, -1.96460964484500 ) ); +#1551 = CARTESIAN_POINT( '', ( 1.14419025920800, -2.28311941559465, -1.96349810331119 ) ); +#1552 = CARTESIAN_POINT( '', ( 1.13862093286660, -2.29842171800233, -1.96238627702155 ) ); +#1553 = CARTESIAN_POINT( '', ( 1.12193664985209, -2.34426351797829, -1.95793680209334 ) ); +#1554 = CARTESIAN_POINT( '', ( 1.11084504086716, -2.37473886534902, -1.95348472176542 ) ); +#1555 = CARTESIAN_POINT( '', ( 1.07778942005993, -2.46556261580979, -1.93566829038290 ) ); +#1556 = CARTESIAN_POINT( '', ( 1.05604237254232, -2.52531488694443, -1.91783111087572 ) ); +#1557 = CARTESIAN_POINT( '', ( 0.992803902202405, -2.69906915049818, -1.84676226854989 ) ); +#1558 = CARTESIAN_POINT( '', ( 0.953363197544765, -2.80743658182587, -1.77588250568930 ) ); +#1559 = CARTESIAN_POINT( '', ( 0.885854374158135, -2.99292408378280, -1.59264735124089 ) ); +#1560 = CARTESIAN_POINT( '', ( 0.857896358292936, -3.06974163536128, -1.48026655469527 ) ); +#1561 = CARTESIAN_POINT( '', ( 0.829065204558876, -3.14895822389574, -1.29146940432677 ) ); +#1562 = CARTESIAN_POINT( '', ( 0.821643732331515, -3.16934948944058, -1.22530853671669 ) ); +#1563 = CARTESIAN_POINT( '', ( 0.814170253216121, -3.18988364931035, -1.12345636822533 ) ); +#1564 = CARTESIAN_POINT( '', ( 0.812286435444043, -3.19505963424321, -1.08907350255938 ) ); +#1565 = CARTESIAN_POINT( '', ( 0.810397695845119, -3.20024914240640, -1.03713158775835 ) ); +#1566 = CARTESIAN_POINT( '', ( 0.809924137363346, -3.20155029350647, -1.01975614429568 ) ); +#1567 = CARTESIAN_POINT( '', ( 0.809450025978453, -3.20285296376529, -0.993634025915116 ) ); +#1568 = CARTESIAN_POINT( '', ( 0.809331351766318, -3.20317903348553, -0.984916780001011 ) ); +#1569 = CARTESIAN_POINT( '', ( 0.809212612579127, -3.20350528173148, -0.971830136012413 ) ); +#1570 = CARTESIAN_POINT( '', ( 0.809182910436225, -3.20358689145320, -0.967466109361701 ) ); +#1571 = CARTESIAN_POINT( '', ( 0.809143297590598, -3.20369573185971, -0.958735118429757 ) ); +#1572 = CARTESIAN_POINT( '', ( 0.809133386025514, -3.20372296491393, -0.953139999283597 ) ); +#1573 = CARTESIAN_POINT( '', ( 0.809133386025514, -3.20372296491393, -0.949999999999999 ) ); +#1574 = CARTESIAN_POINT( '', ( 1.32717653623651, -2.28034561928629, -1.49550156199547 ) ); +#1575 = CARTESIAN_POINT( '', ( 1.32571678144916, -2.28435644713651, -1.49550156199547 ) ); +#1576 = CARTESIAN_POINT( '', ( 1.32403543901082, -2.28897611006615, -1.49544940654143 ) ); +#1577 = CARTESIAN_POINT( '', ( 1.32089456839464, -2.29760597821282, -1.49524072412240 ) ); +#1578 = CARTESIAN_POINT( '', ( 1.31932432537286, -2.30192038395956, -1.49508419716925 ) ); +#1579 = CARTESIAN_POINT( '', ( 1.31461531116518, -2.31485888945031, -1.49445799299419 ) ); +#1580 = CARTESIAN_POINT( '', ( 1.31147822681182, -2.32347835444677, -1.49383167609956 ) ); +#1581 = CARTESIAN_POINT( '', ( 1.30208320530155, -2.34929215156762, -1.49132615009354 ) ); +#1582 = CARTESIAN_POINT( '', ( 1.29584132468908, -2.36644236666899, -1.48881993281852 ) ); +#1583 = CARTESIAN_POINT( '', ( 1.27726156200785, -2.41749219384427, -1.47880575073646 ) ); +#1584 = CARTESIAN_POINT( '', ( 1.26506919119566, -2.45099199863174, -1.46879288619271 ) ); +#1585 = CARTESIAN_POINT( '', ( 1.22977601817613, -2.54796365612950, -1.42912961705930 ) ); +#1586 = CARTESIAN_POINT( '', ( 1.20800827978017, -2.60777277760082, -1.38981172714944 ) ); +#1587 = CARTESIAN_POINT( '', ( 1.17124081360577, -2.70879520862315, -1.29001601786117 ) ); +#1588 = CARTESIAN_POINT( '', ( 1.15629026751653, -2.74987338637632, -1.22959661155810 ) ); +#1589 = CARTESIAN_POINT( '', ( 1.14099819099787, -2.79188995444677, -1.12945841346456 ) ); +#1590 = CARTESIAN_POINT( '', ( 1.13708862888163, -2.80263188230607, -1.09455346909939 ) ); +#1591 = CARTESIAN_POINT( '', ( 1.13315854988178, -2.81343018243346, -1.04099247509878 ) ); +#1592 = CARTESIAN_POINT( '', ( 1.13216977464165, -2.81614694507406, -1.02293868628022 ) ); +#1593 = CARTESIAN_POINT( '', ( 1.13117881677725, -2.81886970470149, -0.995686524981290 ) ); +#1594 = CARTESIAN_POINT( '', ( 1.13093047722416, -2.81955204340967, -0.986573749513471 ) ); +#1595 = CARTESIAN_POINT( '', ( 1.13068187210348, -2.82023511179239, -0.972876354795800 ) ); +#1596 = CARTESIAN_POINT( '', ( 1.13061965174017, -2.82040606870113, -0.968305827431760 ) ); +#1597 = CARTESIAN_POINT( '', ( 1.13055739877497, -2.82057711518700, -0.961444721089019 ) ); +#1598 = CARTESIAN_POINT( '', ( 1.13054182693340, -2.82061990043861, -0.959156792515874 ) ); +#1599 = CARTESIAN_POINT( '', ( 1.13052105934579, -2.82067696154193, -0.954579449708811 ) ); +#1600 = CARTESIAN_POINT( '', ( 1.13051586317068, -2.82069123857258, -0.952100944535861 ) ); +#1601 = CARTESIAN_POINT( '', ( 1.13051586317068, -2.82069123857258, -0.950000000000000 ) ); +#1608 = SURFACE_SIDE_STYLE( '', ( #6233 ) ); +#1609 = EDGE_LOOP( '', ( #6234 ) ); +#1610 = EDGE_LOOP( '', ( #6235 ) ); +#1611 = AXIS2_PLACEMENT_3D( '', #6236, #6237, #6238 ); +#1612 = SURFACE_SIDE_STYLE( '', ( #6239 ) ); +#1613 = EDGE_LOOP( '', ( #6240 ) ); +#1614 = EDGE_LOOP( '', ( #6241 ) ); +#1616 = CARTESIAN_POINT( '', ( -1.79993579632285, -1.02547029663075, -0.950000000000000 ) ); +#1617 = CARTESIAN_POINT( '', ( -1.79993579632285, -1.02547029663075, -0.951556553841270 ) ); +#1618 = CARTESIAN_POINT( '', ( -1.79993852196945, -1.02547778562781, -0.952402175349198 ) ); +#1619 = CARTESIAN_POINT( '', ( -1.79994941598409, -1.02550771806419, -0.954803302447753 ) ); +#1620 = CARTESIAN_POINT( '', ( -1.79995758455418, -1.02553016205868, -0.956003503531886 ) ); +#1621 = CARTESIAN_POINT( '', ( -1.79999024276229, -1.02561989387651, -0.959602871665441 ) ); +#1622 = CARTESIAN_POINT( '', ( -1.80002288532978, -1.02570958272009, -0.962000813931690 ) ); +#1623 = CARTESIAN_POINT( '', ( -1.80015334123028, -1.02606802385243, -0.969188538628215 ) ); +#1624 = CARTESIAN_POINT( '', ( -1.80028368210690, -1.02642614894476, -0.973972264740247 ) ); +#1625 = CARTESIAN_POINT( '', ( -1.80080426629346, -1.02785650805161, -0.988288754263080 ) ); +#1626 = CARTESIAN_POINT( '', ( -1.80132410300566, -1.02928481339502, -0.997787270254917 ) ); +#1627 = CARTESIAN_POINT( '', ( -1.80339769277942, -1.03498221660727, -1.02604712967687 ) ); +#1628 = CARTESIAN_POINT( '', ( -1.80546726420861, -1.04066857900060, -1.04457619748375 ) ); +#1629 = CARTESIAN_POINT( '', ( -1.81366582897111, -1.06319498697419, -1.09826342246810 ) ); +#1630 = CARTESIAN_POINT( '', ( -1.82180138781722, -1.08554827965041, -1.13145876340099 ) ); +#1631 = CARTESIAN_POINT( '', ( -1.84246257366700, -1.14231703310351, -1.18753814995292 ) ); +#1632 = CARTESIAN_POINT( '', ( -1.85497093727092, -1.17668506089696, -1.21031986762203 ) ); +#1633 = CARTESIAN_POINT( '', ( -1.87562276592877, -1.23342810449368, -1.23352885415683 ) ); +#1634 = CARTESIAN_POINT( '', ( -1.88280916699668, -1.25317348761955, -1.23944233509621 ) ); +#1635 = CARTESIAN_POINT( '', ( -1.89380461144058, -1.28338461292867, -1.24536869434843 ) ); +#1636 = CARTESIAN_POINT( '', ( -1.89750557991724, -1.29355340810419, -1.24685541798563 ) ); +#1637 = CARTESIAN_POINT( '', ( -1.90308154771019, -1.30887395858957, -1.24834245321344 ) ); +#1638 = CARTESIAN_POINT( '', ( -1.90494431053751, -1.31399209287552, -1.24871439776321 ) ); +#1639 = CARTESIAN_POINT( '', ( -1.90774114012058, -1.32167667256061, -1.24908631972705 ) ); +#1640 = CARTESIAN_POINT( '', ( -1.90867386879568, -1.32423944144429, -1.24917930002007 ) ); +#1641 = CARTESIAN_POINT( '', ( -1.91053966613917, -1.32936591337848, -1.24930326532392 ) ); +#1642 = CARTESIAN_POINT( '', ( -1.91126470229504, -1.33135802550004, -1.24933425048733 ) ); +#1643 = CARTESIAN_POINT( '', ( -1.91240571080398, -1.33449306485368, -1.24933425048733 ) ); +#1644 = CARTESIAN_POINT( '', ( -1.97087638958435, -0.555598703985055, -0.949999999999999 ) ); +#1645 = CARTESIAN_POINT( '', ( -1.97087638958435, -0.555598703985055, -0.952403710046193 ) ); +#1646 = CARTESIAN_POINT( '', ( -1.97088295975577, -0.555616756213219, -0.955790351527183 ) ); +#1647 = CARTESIAN_POINT( '', ( -1.97090921857851, -0.555688905055270, -0.961578007386685 ) ); +#1648 = CARTESIAN_POINT( '', ( -1.97092890778517, -0.555743003194978, -0.964470880946695 ) ); +#1649 = CARTESIAN_POINT( '', ( -1.97100762001722, -0.555959273225526, -0.973146019027052 ) ); +#1650 = CARTESIAN_POINT( '', ( -1.97108629021441, -0.556175427760945, -0.978924840282947 ) ); +#1651 = CARTESIAN_POINT( '', ( -1.97140060459525, -0.557039039158765, -0.996242620043096 ) ); +#1652 = CARTESIAN_POINT( '', ( -1.97171456968400, -0.557901690840310, -1.00776301767225 ) ); +#1653 = CARTESIAN_POINT( '', ( -1.97296712815680, -0.561343225302309, -1.04220941677723 ) ); +#1654 = CARTESIAN_POINT( '', ( -1.97421670584838, -0.564776569758432, -1.06502126302661 ) ); +#1655 = CARTESIAN_POINT( '', ( -1.97917928044995, -0.578411758759521, -1.13265360893393 ) ); +#1656 = CARTESIAN_POINT( '', ( -1.98411216248539, -0.591965364352141, -1.17666607904709 ) ); +#1657 = CARTESIAN_POINT( '', ( -2.00334933092005, -0.644821482095868, -1.30263821918167 ) ); +#1658 = CARTESIAN_POINT( '', ( -2.02209006640622, -0.696313598767161, -1.37819842711050 ) ); +#1659 = CARTESIAN_POINT( '', ( -2.06781512183850, -0.821947936376636, -1.50230719043530 ) ); +#1660 = CARTESIAN_POINT( '', ( -2.09473250839158, -0.895906250867481, -1.55082175571236 ) ); +#1661 = CARTESIAN_POINT( '', ( -2.13816853866988, -1.01525125418812, -1.59963615611081 ) ); +#1662 = CARTESIAN_POINT( '', ( -2.15314500462430, -1.05640064946220, -1.61192891308411 ) ); +#1663 = CARTESIAN_POINT( '', ( -2.17594282360653, -1.11904002430160, -1.62421655785699 ) ); +#1664 = CARTESIAN_POINT( '', ( -2.18359784509392, -1.14007299068867, -1.62728977147576 ) ); +#1665 = CARTESIAN_POINT( '', ( -2.19511685217192, -1.17172265869850, -1.63036173605808 ) ); +#1666 = CARTESIAN_POINT( '', ( -2.19896265671590, -1.18228940600783, -1.63112952335817 ) ); +#1667 = CARTESIAN_POINT( '', ( -2.20473514179511, -1.19814990814240, -1.63189714780751 ) ); +#1668 = CARTESIAN_POINT( '', ( -2.20665994154859, -1.20343849532450, -1.63208901654049 ) ); +#1669 = CARTESIAN_POINT( '', ( -2.21050995041374, -1.21401679444279, -1.63234481478895 ) ); +#1670 = CARTESIAN_POINT( '', ( -2.21272314730157, -1.22009778269689, -1.63240874420118 ) ); +#1671 = CARTESIAN_POINT( '', ( -2.21436017435813, -1.22459568451363, -1.63240874420118 ) ); +#1672 = CARTESIAN_POINT( '', ( -1.50100479693866, -0.384658110723548, -0.949999999999999 ) ); +#1673 = CARTESIAN_POINT( '', ( -1.50100479693866, -0.384658110723548, -0.953114323719781 ) ); +#1674 = CARTESIAN_POINT( '', ( -1.50101459198393, -0.384685023627483, -0.958632429890790 ) ); +#1675 = CARTESIAN_POINT( '', ( -1.50105373915321, -0.384792584539883, -0.967260782223445 ) ); +#1676 = CARTESIAN_POINT( '', ( -1.50108309212887, -0.384873234888360, -0.971573507517145 ) ); +#1677 = CARTESIAN_POINT( '', ( -1.50120043551175, -0.385195648017036, -0.984506315561122 ) ); +#1678 = CARTESIAN_POINT( '', ( -1.50131771471971, -0.385517884818444, -0.993121094134965 ) ); +#1679 = CARTESIAN_POINT( '', ( -1.50178625372804, -0.386805244394049, -1.01893619186386 ) ); +#1680 = CARTESIAN_POINT( '', ( -1.50225424693483, -0.388091104323265, -1.03610746104035 ) ); +#1681 = CARTESIAN_POINT( '', ( -1.50412080189788, -0.393219657895854, -1.08743928093794 ) ); +#1682 = CARTESIAN_POINT( '', ( -1.50598250273172, -0.398334874244424, -1.12141864796691 ) ); +#1683 = CARTESIAN_POINT( '', ( -1.51336842276768, -0.418628456451860, -1.22207752085608 ) ); +#1684 = CARTESIAN_POINT( '', ( -1.52070311412320, -0.438781282540506, -1.28746604183588 ) ); +#1685 = CARTESIAN_POINT( '', ( -1.54919971152878, -0.517078642824213, -1.47407239417541 ) ); +#1686 = CARTESIAN_POINT( '', ( -1.57683630764546, -0.593013060269419, -1.58516920514412 ) ); +#1687 = CARTESIAN_POINT( '', ( -1.64358550046220, -0.776413398360109, -1.76634253939415 ) ); +#1688 = CARTESIAN_POINT( '', ( -1.68258949946202, -0.883580935548045, -1.83644239586586 ) ); +#1689 = CARTESIAN_POINT( '', ( -1.74513743037827, -1.05543787033402, -1.90673519316880 ) ); +#1690 = CARTESIAN_POINT( '', ( -1.76664837792454, -1.11454143228221, -1.92437903032182 ) ); +#1691 = CARTESIAN_POINT( '', ( -1.79934629405421, -1.20438235199950, -1.94200266442741 ) ); +#1692 = CARTESIAN_POINT( '', ( -1.81031806411663, -1.23452842948731, -1.94640666151605 ) ); +#1693 = CARTESIAN_POINT( '', ( -1.82682222618034, -1.27987532844829, -1.95080810047917 ) ); +#1694 = CARTESIAN_POINT( '', ( -1.83233145070632, -1.29501249487750, -1.95190792957902 ) ); +#1695 = CARTESIAN_POINT( '', ( -1.84059998252540, -1.31773114460405, -1.95300747817087 ) ); +#1696 = CARTESIAN_POINT( '', ( -1.84335695380683, -1.32530620946678, -1.95328229675085 ) ); +#1697 = CARTESIAN_POINT( '', ( -1.84887136391273, -1.34045762381525, -1.95364867943761 ) ); +#1698 = CARTESIAN_POINT( '', ( -1.85233286348487, -1.34996845331057, -1.95374024322652 ) ); +#1699 = CARTESIAN_POINT( '', ( -1.85438596205248, -1.35560955480626, -1.95374024322652 ) ); +#1700 = CARTESIAN_POINT( '', ( -1.33006420367715, -0.854529703369245, -0.950000000000000 ) ); +#1701 = CARTESIAN_POINT( '', ( -1.33006420367715, -0.854529703369245, -0.952267167514859 ) ); +#1702 = CARTESIAN_POINT( '', ( -1.33007015419761, -0.854546053042076, -0.955244253712805 ) ); +#1703 = CARTESIAN_POINT( '', ( -1.33009393655878, -0.854611397548798, -0.960486077284512 ) ); +#1704 = CARTESIAN_POINT( '', ( -1.33011176889787, -0.854660393752063, -0.963106130102336 ) ); +#1705 = CARTESIAN_POINT( '', ( -1.33018305825682, -0.854856268668021, -0.970963168199510 ) ); +#1706 = CARTESIAN_POINT( '', ( -1.33025430983507, -0.855052039777586, -0.976197067783706 ) ); +#1707 = CARTESIAN_POINT( '', ( -1.33053899036306, -0.855834229087716, -0.991882110448978 ) ); +#1708 = CARTESIAN_POINT( '', ( -1.33082335935773, -0.856615562427714, -1.00231670810835 ) ); +#1709 = CARTESIAN_POINT( '', ( -1.33195794003454, -0.859732940645149, -1.03351861842379 ) ); +#1710 = CARTESIAN_POINT( '', ( -1.33308989988899, -0.862843117881010, -1.05418465519521 ) ); +#1711 = CARTESIAN_POINT( '', ( -1.33758683509716, -0.875198914299611, -1.11547104159901 ) ); +#1712 = CARTESIAN_POINT( '', ( -1.34205821584642, -0.887484497188967, -1.15537616027254 ) ); +#1713 = CARTESIAN_POINT( '', ( -1.35951620957985, -0.935452147702526, -1.26969759746183 ) ); +#1714 = CARTESIAN_POINT( '', ( -1.37654762905646, -0.982247741152667, -1.33842954143461 ) ); +#1715 = CARTESIAN_POINT( '', ( -1.41823295229070, -1.09678249508698, -1.45157349891177 ) ); +#1716 = CARTESIAN_POINT( '', ( -1.44282792834135, -1.16435974557752, -1.49594050777553 ) ); +#1717 = CARTESIAN_POINT( '', ( -1.48259165763715, -1.27361472063957, -1.54062789121482 ) ); +#1718 = CARTESIAN_POINT( '', ( -1.49631254029693, -1.31131427043955, -1.55189245233392 ) ); +#1719 = CARTESIAN_POINT( '', ( -1.51720808188825, -1.36872694062656, -1.56315480091885 ) ); +#1720 = CARTESIAN_POINT( '', ( -1.52422579893995, -1.38800884690283, -1.56597230802592 ) ); +#1721 = CARTESIAN_POINT( '', ( -1.53478692171860, -1.41702662833935, -1.56878881763454 ) ); +#1722 = CARTESIAN_POINT( '', ( -1.53831310452793, -1.42671518174519, -1.56949280398408 ) ); +#1723 = CARTESIAN_POINT( '', ( -1.54360598085086, -1.44125790902225, -1.57019665009041 ) ); +#1724 = CARTESIAN_POINT( '', ( -1.54537088105392, -1.44610715558657, -1.57037258023044 ) ); +#1725 = CARTESIAN_POINT( '', ( -1.54890107963816, -1.45580674275094, -1.57060712997259 ) ); +#1726 = CARTESIAN_POINT( '', ( -1.55087441847834, -1.46122869611372, -1.57066574951268 ) ); +#1727 = CARTESIAN_POINT( '', ( -1.55243149849834, -1.46550693514632, -1.57066574951268 ) ); +#1728 = CARTESIAN_POINT( '', ( -1.15912361041564, -1.32440129601494, -0.949999999999999 ) ); +#1729 = CARTESIAN_POINT( '', ( -1.15912361041564, -1.32440129601494, -0.951420011309936 ) ); +#1730 = CARTESIAN_POINT( '', ( -1.15912571641129, -1.32440708245667, -0.951856077534820 ) ); +#1731 = CARTESIAN_POINT( '', ( -1.15913413396435, -1.32443021055771, -0.953711372345579 ) ); +#1732 = CARTESIAN_POINT( '', ( -1.15914044566687, -1.32444755261576, -0.954638752687528 ) ); +#1733 = CARTESIAN_POINT( '', ( -1.15916568100189, -1.32451688931901, -0.957420020837897 ) ); +#1734 = CARTESIAN_POINT( '', ( -1.15919090495043, -1.32458619473673, -0.959273041432447 ) ); +#1735 = CARTESIAN_POINT( '', ( -1.15929172699808, -1.32486321378138, -0.964828029034096 ) ); +#1736 = CARTESIAN_POINT( '', ( -1.15939247178063, -1.32514002053216, -0.968525955176344 ) ); +#1737 = CARTESIAN_POINT( '', ( -1.15979507817121, -1.32624622339444, -0.979597955909642 ) ); +#1738 = CARTESIAN_POINT( '', ( -1.16019729704626, -1.32735136151759, -0.986950662423515 ) ); +#1739 = CARTESIAN_POINT( '', ( -1.16180524742663, -1.33176937214736, -1.00886456234195 ) ); +#1740 = CARTESIAN_POINT( '', ( -1.16341331756963, -1.33618771183743, -1.02328627870919 ) ); +#1741 = CARTESIAN_POINT( '', ( -1.16983270763092, -1.35382565258084, -1.06532280074825 ) ); +#1742 = CARTESIAN_POINT( '', ( -1.17625895046746, -1.37148242203591, -1.09168987772510 ) ); +#1743 = CARTESIAN_POINT( '', ( -1.19288040411920, -1.41715159181386, -1.13680445842939 ) ); +#1744 = CARTESIAN_POINT( '', ( -1.20306635722068, -1.44513855560701, -1.15543861968520 ) ); +#1745 = CARTESIAN_POINT( '', ( -1.22004588489604, -1.49179157094512, -1.17452058926082 ) ); +#1746 = CARTESIAN_POINT( '', ( -1.22597670266931, -1.50808710859690, -1.17940587434602 ) ); +#1747 = CARTESIAN_POINT( '', ( -1.23506986972228, -1.53307152925363, -1.18430693741029 ) ); +#1748 = CARTESIAN_POINT( '', ( -1.23813353376327, -1.54148926431834, -1.18553795453579 ) ); +#1749 = CARTESIAN_POINT( '', ( -1.24275161725686, -1.55417792823042, -1.18676953478990 ) ); +#1750 = CARTESIAN_POINT( '', ( -1.24429475834954, -1.55841786861289, -1.18707767838912 ) ); +#1751 = CARTESIAN_POINT( '', ( -1.24661197917632, -1.56478467344045, -1.18738582200994 ) ); +#1752 = CARTESIAN_POINT( '', ( -1.24738480830101, -1.56690810170637, -1.18746286371001 ) ); +#1753 = CARTESIAN_POINT( '', ( -1.24893079536359, -1.57115586168662, -1.18756558050755 ) ); +#1754 = CARTESIAN_POINT( '', ( -1.24941597347181, -1.57248893891686, -1.18759125579882 ) ); +#1755 = CARTESIAN_POINT( '', ( -1.25047703494420, -1.57540431548637, -1.18759125579882 ) ); +#1756 = CARTESIAN_POINT( '', ( -1.62899520306134, -1.49534188927645, -0.949999999999999 ) ); +#1757 = CARTESIAN_POINT( '', ( -1.62899520306134, -1.49534188927645, -0.950709397636346 ) ); +#1758 = CARTESIAN_POINT( '', ( -1.62899408418313, -1.49533881504241, -0.949013999171212 ) ); +#1759 = CARTESIAN_POINT( '', ( -1.62898961338966, -1.49532653107310, -0.948028597508821 ) ); +#1760 = CARTESIAN_POINT( '', ( -1.62898626132317, -1.49531732092238, -0.947536126117076 ) ); +#1761 = CARTESIAN_POINT( '', ( -1.62897286550736, -1.49528051452750, -0.946059724303828 ) ); +#1762 = CARTESIAN_POINT( '', ( -1.62895948044514, -1.49524373767923, -0.945076787580430 ) ); +#1763 = CARTESIAN_POINT( '', ( -1.62890607786529, -1.49509700854610, -0.942134457213332 ) ); +#1764 = CARTESIAN_POINT( '', ( -1.62885279452980, -1.49495060704921, -0.940181511808243 ) ); +#1765 = CARTESIAN_POINT( '', ( -1.62864140443012, -1.49436979080090, -0.934368091748930 ) ); +#1766 = CARTESIAN_POINT( '', ( -1.62843150016292, -1.49379305703160, -0.930553277483219 ) ); +#1767 = CARTESIAN_POINT( '', ( -1.62761610510889, -1.49155267445502, -0.919440650419807 ) ); +#1768 = CARTESIAN_POINT( '', ( -1.62682236593183, -1.48937179364906, -0.912486315920404 ) ); +#1769 = CARTESIAN_POINT( '', ( -1.62398232702218, -1.48156849185251, -0.893888625754523 ) ); +#1770 = CARTESIAN_POINT( '', ( -1.62151270922822, -1.47478296053366, -0.884719099691484 ) ); +#1771 = CARTESIAN_POINT( '', ( -1.61711002549549, -1.46268612983038, -0.872769109470537 ) ); +#1772 = CARTESIAN_POINT( '', ( -1.61520936615025, -1.45746387092644, -0.869817979531691 ) ); +#1773 = CARTESIAN_POINT( '', ( -1.61307699318764, -1.45160495479923, -0.867421552202839 ) ); +#1774 = CARTESIAN_POINT( '', ( -1.61247332936906, -1.44994632577690, -0.866955757108311 ) ); +#1775 = CARTESIAN_POINT( '', ( -1.61166639927461, -1.44772920155573, -0.866520830839864 ) ); +#1776 = CARTESIAN_POINT( '', ( -1.61141331474057, -1.44703382551971, -0.866421064495507 ) ); +#1777 = CARTESIAN_POINT( '', ( -1.61104624324844, -1.44602525848063, -0.866323170368800 ) ); +#1778 = CARTESIAN_POINT( '', ( -1.61092596435913, -1.44569477974321, -0.866299272168261 ) ); +#1779 = CARTESIAN_POINT( '', ( -1.61074713844604, -1.44520343697881, -0.866275491646577 ) ); +#1780 = CARTESIAN_POINT( '', ( -1.61068779604277, -1.44504038756409, -0.866269583499646 ) ); +#1781 = CARTESIAN_POINT( '', ( -1.61056938186460, -1.44471503231416, -0.866261715858892 ) ); +#1782 = CARTESIAN_POINT( '', ( -1.60980625728851, -1.44261826830318, -0.866259756773476 ) ); +#1783 = CARTESIAN_POINT( '', ( -1.61045124724984, -1.44439044519374, -0.866259756773476 ) ); +#1784 = CARTESIAN_POINT( '', ( -1.79993579632285, -1.02547029663075, -0.950000000000000 ) ); +#1785 = CARTESIAN_POINT( '', ( -1.79993579632285, -1.02547029663075, -0.951556553841270 ) ); +#1786 = CARTESIAN_POINT( '', ( -1.79993852196945, -1.02547778562781, -0.952402175349198 ) ); +#1787 = CARTESIAN_POINT( '', ( -1.79994941598409, -1.02550771806419, -0.954803302447753 ) ); +#1788 = CARTESIAN_POINT( '', ( -1.79995758455418, -1.02553016205868, -0.956003503531886 ) ); +#1789 = CARTESIAN_POINT( '', ( -1.79999024276229, -1.02561989387651, -0.959602871665441 ) ); +#1790 = CARTESIAN_POINT( '', ( -1.80002288532978, -1.02570958272009, -0.962000813931690 ) ); +#1791 = CARTESIAN_POINT( '', ( -1.80015334123028, -1.02606802385243, -0.969188538628215 ) ); +#1792 = CARTESIAN_POINT( '', ( -1.80028368210690, -1.02642614894476, -0.973972264740247 ) ); +#1793 = CARTESIAN_POINT( '', ( -1.80080426629346, -1.02785650805161, -0.988288754263080 ) ); +#1794 = CARTESIAN_POINT( '', ( -1.80132410300566, -1.02928481339502, -0.997787270254917 ) ); +#1795 = CARTESIAN_POINT( '', ( -1.80339769277942, -1.03498221660727, -1.02604712967687 ) ); +#1796 = CARTESIAN_POINT( '', ( -1.80546726420861, -1.04066857900060, -1.04457619748375 ) ); +#1797 = CARTESIAN_POINT( '', ( -1.81366582897111, -1.06319498697419, -1.09826342246810 ) ); +#1798 = CARTESIAN_POINT( '', ( -1.82180138781722, -1.08554827965041, -1.13145876340099 ) ); +#1799 = CARTESIAN_POINT( '', ( -1.84246257366700, -1.14231703310351, -1.18753814995292 ) ); +#1800 = CARTESIAN_POINT( '', ( -1.85497093727092, -1.17668506089696, -1.21031986762203 ) ); +#1801 = CARTESIAN_POINT( '', ( -1.87562276592877, -1.23342810449368, -1.23352885415683 ) ); +#1802 = CARTESIAN_POINT( '', ( -1.88280916699668, -1.25317348761955, -1.23944233509621 ) ); +#1803 = CARTESIAN_POINT( '', ( -1.89380461144058, -1.28338461292867, -1.24536869434843 ) ); +#1804 = CARTESIAN_POINT( '', ( -1.89750557991724, -1.29355340810419, -1.24685541798563 ) ); +#1805 = CARTESIAN_POINT( '', ( -1.90308154771019, -1.30887395858957, -1.24834245321344 ) ); +#1806 = CARTESIAN_POINT( '', ( -1.90494431053751, -1.31399209287552, -1.24871439776321 ) ); +#1807 = CARTESIAN_POINT( '', ( -1.90774114012058, -1.32167667256061, -1.24908631972705 ) ); +#1808 = CARTESIAN_POINT( '', ( -1.90867386879568, -1.32423944144429, -1.24917930002007 ) ); +#1809 = CARTESIAN_POINT( '', ( -1.91053966613917, -1.32936591337848, -1.24930326532392 ) ); +#1810 = CARTESIAN_POINT( '', ( -1.91126470229504, -1.33135802550004, -1.24933425048733 ) ); +#1811 = CARTESIAN_POINT( '', ( -1.91240571080398, -1.33449306485368, -1.24933425048733 ) ); +#1818 = SURFACE_SIDE_STYLE( '', ( #6242 ) ); +#1819 = EDGE_LOOP( '', ( #6243 ) ); +#1820 = EDGE_LOOP( '', ( #6244 ) ); +#1822 = CARTESIAN_POINT( '', ( 1.13051586317068, -0.779308761427417, -0.950000000000000 ) ); +#1823 = CARTESIAN_POINT( '', ( 1.13051586317068, -0.779308761427417, -0.954781289682011 ) ); +#1824 = CARTESIAN_POINT( '', ( 1.13048981955632, -0.779380318962077, -0.961474674303377 ) ); +#1825 = CARTESIAN_POINT( '', ( 1.13038581693339, -0.779666076967586, -0.972937150818238 ) ); +#1826 = CARTESIAN_POINT( '', ( 1.13030785659398, -0.779880281095036, -0.978663830712863 ) ); +#1827 = CARTESIAN_POINT( '', ( 1.12999637775723, -0.780736101541042, -0.995825380432815 ) ); +#1828 = CARTESIAN_POINT( '', ( 1.12968524459959, -0.781590972197818, -1.00724188223361 ) ); +#1829 = CARTESIAN_POINT( '', ( 1.12844397494719, -0.785001489453635, -1.04137782926667 ) ); +#1830 = CARTESIAN_POINT( '', ( 1.12720565163297, -0.788403911339328, -1.06398435164487 ) ); +#1831 = CARTESIAN_POINT( '', ( 1.12228763219059, -0.801916680414262, -1.13100947823230 ) ); +#1832 = CARTESIAN_POINT( '', ( 1.11739890935549, -0.815348954018669, -1.17462893241991 ) ); +#1833 = CARTESIAN_POINT( '', ( 1.09833198298611, -0.867737314011240, -1.29948626368838 ) ); +#1834 = CARTESIAN_POINT( '', ( 1.07975480506823, -0.918780039280811, -1.37439310252569 ) ); +#1835 = CARTESIAN_POINT( '', ( 1.03441629534846, -1.04335230240858, -1.49745268771662 ) ); +#1836 = CARTESIAN_POINT( '', ( 1.00772113090774, -1.11670003857159, -1.54557039001603 ) ); +#1837 = CARTESIAN_POINT( '', ( 0.964636488327953, -1.23507956770457, -1.59398989277463 ) ); +#1838 = CARTESIAN_POINT( '', ( 0.949780164084789, -1.27589886115224, -1.60618426582551 ) ); +#1839 = CARTESIAN_POINT( '', ( 0.927164366368662, -1.33803811366299, -1.61837380413340 ) ); +#1840 = CARTESIAN_POINT( '', ( 0.919570325977325, -1.35890352815676, -1.62142255022538 ) ); +#1841 = CARTESIAN_POINT( '', ( 0.908142974992072, -1.39030136153478, -1.62447007134957 ) ); +#1842 = CARTESIAN_POINT( '', ( 0.904327753765279, -1.40078407800456, -1.62523175379353 ) ); +#1843 = CARTESIAN_POINT( '', ( 0.898601160517340, -1.41651848756758, -1.62599327554997 ) ); +#1844 = CARTESIAN_POINT( '', ( 0.896691660908161, -1.42176503601454, -1.62618361918314 ) ); +#1845 = CARTESIAN_POINT( '', ( 0.892872253401429, -1.43225925472312, -1.62643738424757 ) ); +#1846 = CARTESIAN_POINT( '', ( 0.890682007564662, -1.43827718258133, -1.62650080557950 ) ); +#1847 = CARTESIAN_POINT( '', ( 0.889052630318216, -1.44275406575048, -1.62650080557950 ) ); +#1848 = CARTESIAN_POINT( '', ( 1.45189834031585, -1.16234048776877, -0.949999999999999 ) ); +#1849 = CARTESIAN_POINT( '', ( 1.45189834031585, -1.16234048776877, -0.953675471740589 ) ); +#1850 = CARTESIAN_POINT( '', ( 1.45188233378961, -1.16238446736163, -0.957052574423408 ) ); +#1851 = CARTESIAN_POINT( '', ( 1.45181840828243, -1.16256010933023, -0.964098016282721 ) ); +#1852 = CARTESIAN_POINT( '', ( 1.45177048855327, -1.16269177376181, -0.967618115724542 ) ); +#1853 = CARTESIAN_POINT( '', ( 1.45157900766086, -1.16321788739590, -0.978168135851807 ) ); +#1854 = CARTESIAN_POINT( '', ( 1.45138771954895, -1.16374347134555, -0.985187849795888 ) ); +#1855 = CARTESIAN_POINT( '', ( 1.45062418369208, -1.16584136539319, -1.00618571494642 ) ); +#1856 = CARTESIAN_POINT( '', ( 1.44986213657281, -1.16793516897967, -1.02010309630591 ) ); +#1857 = CARTESIAN_POINT( '', ( 1.44682965561583, -1.17626722528319, -1.06143118986629 ) ); +#1858 = CARTESIAN_POINT( '', ( 1.44380971460743, -1.18456482677592, -1.08841852631989 ) ); +#1859 = CARTESIAN_POINT( '', ( 1.43194729545761, -1.21715805511092, -1.16609804338901 ) ); +#1860 = CARTESIAN_POINT( '', ( 1.42029174222499, -1.24918289785868, -1.21335482799912 ) ); +#1861 = CARTESIAN_POINT( '', ( 1.39131153602985, -1.32880902350665, -1.29201403877144 ) ); +#1862 = CARTESIAN_POINT( '', ( 1.37402063257603, -1.37631757612734, -1.32333681918786 ) ); +#1863 = CARTESIAN_POINT( '', ( 1.34580643465952, -1.45383901449224, -1.35504457157298 ) ); +#1864 = CARTESIAN_POINT( '', ( 1.33603441096582, -1.48068866425769, -1.36307541619157 ) ); +#1865 = CARTESIAN_POINT( '', ( 1.32112160677086, -1.52166314223741, -1.37111316784052 ) ); +#1866 = CARTESIAN_POINT( '', ( 1.31610823729663, -1.53543789542539, -1.37312646788648 ) ); +#1867 = CARTESIAN_POINT( '', ( 1.30855969840637, -1.55617828982037, -1.37513956153087 ) ); +#1868 = CARTESIAN_POINT( '', ( 1.30603873855435, -1.56310488877481, -1.37564289137543 ) ); +#1869 = CARTESIAN_POINT( '', ( 1.30225425067966, -1.57350316216850, -1.37614615207836 ) ); +#1870 = CARTESIAN_POINT( '', ( 1.30099224086709, -1.57697066516790, -1.37627195471516 ) ); +#1871 = CARTESIAN_POINT( '', ( 1.29846785822560, -1.58390666859182, -1.37643967706717 ) ); +#1872 = CARTESIAN_POINT( '', ( 1.29724888240229, -1.58725593123732, -1.37648159685004 ) ); +#1873 = CARTESIAN_POINT( '', ( 1.29594323896435, -1.59084332215308, -1.37648159685004 ) ); +#1874 = CARTESIAN_POINT( '', ( 1.06886661397450, -1.48372296491394, -0.949999999999999 ) ); +#1875 = CARTESIAN_POINT( '', ( 1.06886661397450, -1.48372296491394, -0.951760335088820 ) ); +#1876 = CARTESIAN_POINT( '', ( 1.06886799041395, -1.48371918300363, -0.949394057374006 ) ); +#1877 = CARTESIAN_POINT( '', ( 1.06887347339655, -1.48370411793959, -0.948789754511239 ) ); +#1878 = CARTESIAN_POINT( '', ( 1.06887758020047, -1.48369283406941, -0.948488336014211 ) ); +#1879 = CARTESIAN_POINT( '', ( 1.06889392055306, -1.48364793725397, -0.947588024063366 ) ); +#1880 = CARTESIAN_POINT( '', ( 1.06891018888514, -1.48360323832238, -0.946993058177225 ) ); +#1881 = CARTESIAN_POINT( '', ( 1.06897402746971, -1.48342783518268, -0.945237429099908 ) ); +#1882 = CARTESIAN_POINT( '', ( 1.06903683041223, -1.48325527757704, -0.944106318466289 ) ); +#1883 = CARTESIAN_POINT( '', ( 1.06926986335809, -1.48261499536156, -0.940930404677561 ) ); +#1884 = CARTESIAN_POINT( '', ( 1.06948641584143, -1.48201999492810, -0.939113019236328 ) ); +#1885 = CARTESIAN_POINT( '', ( 1.07010129093445, -1.48033056176522, -0.935086535942167 ) ); +#1886 = CARTESIAN_POINT( '', ( 1.07043311521487, -1.47941884010011, -0.934456927783294 ) ); +#1887 = CARTESIAN_POINT( '', ( 1.06978341937526, -1.48120394687988, -0.936220312202816 ) ); +#1888 = CARTESIAN_POINT( '', ( 1.06877950514835, -1.48396230545860, -0.938456414553235 ) ); +#1889 = CARTESIAN_POINT( '', ( 1.06631903443770, -1.49072270421633, -0.941221531395394 ) ); +#1890 = CARTESIAN_POINT( '', ( 1.06535237550265, -1.49337870001232, -0.942041671970690 ) ); +#1891 = CARTESIAN_POINT( '', ( 1.06378018070473, -1.49769846846663, -0.942889054840991 ) ); +#1892 = CARTESIAN_POINT( '', ( 1.06323620648480, -1.49919309411885, -0.943109093447270 ) ); +#1893 = CARTESIAN_POINT( '', ( 1.06240527899347, -1.50147615367969, -0.943330689679708 ) ); +#1894 = CARTESIAN_POINT( '', ( 1.06212581595837, -1.50224400738636, -0.943386585535661 ) ); +#1895 = CARTESIAN_POINT( '', ( 1.06170480867358, -1.50340076861614, -0.943442570795880 ) ); +#1896 = CARTESIAN_POINT( '', ( 1.06156416920117, -1.50378719016972, -0.943456596599380 ) ); +#1897 = CARTESIAN_POINT( '', ( 1.06128260564540, -1.50456081527502, -0.943475303868542 ) ); +#1898 = CARTESIAN_POINT( '', ( 1.06174574649960, -1.50328828768841, -0.943479985691035 ) ); +#1899 = CARTESIAN_POINT( '', ( 1.06100076902560, -1.50533519065212, -0.943479985691035 ) ); +#1900 = CARTESIAN_POINT( '', ( 0.747484136829329, -1.10069123857258, -0.950000000000000 ) ); +#1901 = CARTESIAN_POINT( '', ( 0.747484136829329, -1.10069123857258, -0.952866153030243 ) ); +#1902 = CARTESIAN_POINT( '', ( 0.747475476180659, -1.10071503460407, -0.953816157253975 ) ); +#1903 = CARTESIAN_POINT( '', ( 0.747440882047508, -1.10081008557695, -0.957628889046755 ) ); +#1904 = CARTESIAN_POINT( '', ( 0.747414948241181, -1.10088134140264, -0.959534051002532 ) ); +#1905 = CARTESIAN_POINT( '', ( 0.747311290649443, -1.10116615139911, -0.965245268644373 ) ); +#1906 = CARTESIAN_POINT( '', ( 0.747207713935786, -1.10145073917465, -0.969047090614944 ) ); +#1907 = CARTESIAN_POINT( '', ( 0.746793818724820, -1.10258795924312, -0.980429543420161 ) ); +#1908 = CARTESIAN_POINT( '', ( 0.746380345472389, -1.10372401993670, -0.987987573805253 ) ); +#1909 = CARTESIAN_POINT( '', ( 0.744727839932851, -1.10826445049263, -1.01050869304356 ) ); +#1910 = CARTESIAN_POINT( '', ( 0.743075610589491, -1.11280412217085, -1.02532342533635 ) ); +#1911 = CARTESIAN_POINT( '', ( 0.736485978462947, -1.13090982066555, -1.06847475624154 ) ); +#1912 = CARTESIAN_POINT( '', ( 0.729896178058118, -1.14901598152224, -1.09549520230986 ) ); +#1913 = CARTESIAN_POINT( '', ( 0.712888178693875, -1.19574722578181, -1.14165896114799 ) ); +#1914 = CARTESIAN_POINT( '', ( 0.702480003480056, -1.22434476790286, -1.16068998538142 ) ); +#1915 = CARTESIAN_POINT( '', ( 0.685149088106128, -1.27196325742866, -1.18016685259704 ) ); +#1916 = CARTESIAN_POINT( '', ( 0.679098128621617, -1.28858889690687, -1.18515052160463 ) ); +#1917 = CARTESIAN_POINT( '', ( 0.669822940302535, -1.31407343989221, -1.19014969113387 ) ); +#1918 = CARTESIAN_POINT( '', ( 0.666698295165493, -1.32265872685022, -1.19140517578617 ) ); +#1919 = CARTESIAN_POINT( '', ( 0.661988555579173, -1.33559922539410, -1.19266119949840 ) ); +#1920 = CARTESIAN_POINT( '', ( 0.660414831169302, -1.33992319661611, -1.19297544795376 ) ); +#1921 = CARTESIAN_POINT( '', ( 0.658051718511251, -1.34641609401522, -1.19328969426749 ) ); +#1922 = CARTESIAN_POINT( '', ( 0.657263589242236, -1.34858156101636, -1.19336826106736 ) ); +#1923 = CARTESIAN_POINT( '', ( 0.655687000821235, -1.35291340140632, -1.19347301104894 ) ); +#1924 = CARTESIAN_POINT( '', ( 0.655178871661969, -1.35430953903242, -1.19349919442050 ) ); +#1925 = CARTESIAN_POINT( '', ( 0.654110160379467, -1.35724593424952, -1.19349919442050 ) ); +#1926 = CARTESIAN_POINT( '', ( 0.426101659684162, -0.717659512231231, -0.949999999999999 ) ); +#1927 = CARTESIAN_POINT( '', ( 0.426101659684162, -0.717659512231231, -0.953971970971665 ) ); +#1928 = CARTESIAN_POINT( '', ( 0.426082961947372, -0.717710886204516, -0.958238257133944 ) ); +#1929 = CARTESIAN_POINT( '', ( 0.426008290698461, -0.717916053214308, -0.966468023582270 ) ); +#1930 = CARTESIAN_POINT( '', ( 0.425952316281894, -0.718069848735864, -0.970579765990852 ) ); +#1931 = CARTESIAN_POINT( '', ( 0.425728660745819, -0.718684365544249, -0.982902513225381 ) ); +#1932 = CARTESIAN_POINT( '', ( 0.425505238986432, -0.719298240026913, -0.991101123052661 ) ); +#1933 = CARTESIAN_POINT( '', ( 0.424613609979926, -0.721748083303573, -1.01562165774041 ) ); +#1934 = CARTESIAN_POINT( '', ( 0.423723860532552, -0.724192762296349, -1.03186882914422 ) ); +#1935 = CARTESIAN_POINT( '', ( 0.420185816507611, -0.733913905623693, -1.08008698140957 ) ); +#1936 = CARTESIAN_POINT( '', ( 0.416664805337554, -0.743588249413598, -1.11153383143637 ) ); +#1937 = CARTESIAN_POINT( '', ( 0.402870665991443, -0.781489079565873, -1.20186297654091 ) ); +#1938 = CARTESIAN_POINT( '', ( 0.389359240901364, -0.818613122944368, -1.25653347683643 ) ); +#1939 = CARTESIAN_POINT( '', ( 0.355992938012485, -0.910290504683745, -1.34709761009317 ) ); +#1940 = CARTESIAN_POINT( '', ( 0.336180501811764, -0.964727230347113, -1.38292355620960 ) ); +#1941 = CARTESIAN_POINT( '', ( 0.303979141774557, -1.05320381064099, -1.41911217379869 ) ); +#1942 = CARTESIAN_POINT( '', ( 0.292843881740588, -1.08379909380142, -1.42825937123857 ) ); +#1943 = CARTESIAN_POINT( '', ( 0.275865699900333, -1.13044841131779, -1.43741032742675 ) ); +#1944 = CARTESIAN_POINT( '', ( 0.270160383846185, -1.14612435958159, -1.43970125812507 ) ); +#1945 = CARTESIAN_POINT( '', ( 0.261571832164874, -1.16972229710851, -1.44199170931709 ) ); +#1946 = CARTESIAN_POINT( '', ( 0.258703846380234, -1.17760238584586, -1.44256431037185 ) ); +#1947 = CARTESIAN_POINT( '', ( 0.254398628348926, -1.18943141941431, -1.44313681773910 ) ); +#1948 = CARTESIAN_POINT( '', ( 0.252963009283301, -1.19337593186299, -1.44327992553535 ) ); +#1949 = CARTESIAN_POINT( '', ( 0.250091395997066, -1.20126598753763, -1.44347071822933 ) ); +#1950 = CARTESIAN_POINT( '', ( 0.248611996824338, -1.20533079037643, -1.44351840314996 ) ); +#1951 = CARTESIAN_POINT( '', ( 0.247219551733337, -1.20915667784692, -1.44351840314996 ) ); +#1952 = CARTESIAN_POINT( '', ( 0.809133386025514, -0.396277035086065, -0.949999999999999 ) ); +#1953 = CARTESIAN_POINT( '', ( 0.809133386025514, -0.396277035086065, -0.955887107623432 ) ); +#1954 = CARTESIAN_POINT( '', ( 0.809097305323035, -0.396376170562521, -0.965896774183346 ) ); +#1955 = CARTESIAN_POINT( '', ( 0.808953225584340, -0.396772044604945, -0.981776285353755 ) ); +#1956 = CARTESIAN_POINT( '', ( 0.808845224634691, -0.397068788428263, -0.989709545701183 ) ); +#1957 = CARTESIAN_POINT( '', ( 0.808413747853610, -0.398254315686180, -1.01348262501382 ) ); +#1958 = CARTESIAN_POINT( '', ( 0.807982769650239, -0.399438473050084, -1.02929591467132 ) ); +#1959 = CARTESIAN_POINT( '', ( 0.806263766202290, -0.404161613514082, -1.07656994358692 ) ); +#1960 = CARTESIAN_POINT( '', ( 0.804549166693132, -0.408872653698981, -1.10786560698384 ) ); +#1961 = CARTESIAN_POINT( '', ( 0.797745608765352, -0.427566135545328, -1.20058776659830 ) ); +#1962 = CARTESIAN_POINT( '', ( 0.790988104103551, -0.446133081261422, -1.26083933851993 ) ); +#1963 = CARTESIAN_POINT( '', ( 0.764716670514611, -0.518316572911560, -1.43287448398776 ) ); +#1964 = CARTESIAN_POINT( '', ( 0.739217867911480, -0.588377180702938, -1.53543137705226 ) ); +#1965 = CARTESIAN_POINT( '', ( 0.677521054667067, -0.757895581310512, -1.70289133666180 ) ); +#1966 = CARTESIAN_POINT( '', ( 0.641421629239441, -0.857082501015845, -1.76780396084421 ) ); +#1967 = CARTESIAN_POINT( '', ( 0.583466541996382, -1.01632012091690, -1.83293521397628 ) ); +#1968 = CARTESIAN_POINT( '', ( 0.563525917203760, -1.07110905804679, -1.84929311545945 ) ); +#1969 = CARTESIAN_POINT( '', ( 0.533207125966459, -1.15441308508858, -1.86563444042627 ) ); +#1970 = CARTESIAN_POINT( '', ( 0.523032414658017, -1.18236916088813, -1.86971863256428 ) ); +#1971 = CARTESIAN_POINT( '', ( 0.507726251577774, -1.22442443324918, -1.87380058116826 ) ); +#1972 = CARTESIAN_POINT( '', ( 0.502616768976211, -1.23846326723431, -1.87482061621161 ) ); +#1973 = CARTESIAN_POINT( '', ( 0.494948070355015, -1.25953381296667, -1.87584039902157 ) ); +#1974 = CARTESIAN_POINT( '', ( 0.492391080949225, -1.26655940686117, -1.87609528365112 ) ); +#1975 = CARTESIAN_POINT( '', ( 0.487276648577260, -1.28061184085443, -1.87643509142796 ) ); +#1976 = CARTESIAN_POINT( '', ( 0.484115132727031, -1.28929843392534, -1.87652001430896 ) ); +#1977 = CARTESIAN_POINT( '', ( 0.482162021672086, -1.29466480934788, -1.87652001430896 ) ); +#1978 = CARTESIAN_POINT( '', ( 1.13051586317068, -0.779308761427417, -0.950000000000000 ) ); +#1979 = CARTESIAN_POINT( '', ( 1.13051586317068, -0.779308761427417, -0.954781289682011 ) ); +#1980 = CARTESIAN_POINT( '', ( 1.13048981955632, -0.779380318962077, -0.961474674303377 ) ); +#1981 = CARTESIAN_POINT( '', ( 1.13038581693339, -0.779666076967586, -0.972937150818238 ) ); +#1982 = CARTESIAN_POINT( '', ( 1.13030785659398, -0.779880281095036, -0.978663830712863 ) ); +#1983 = CARTESIAN_POINT( '', ( 1.12999637775723, -0.780736101541042, -0.995825380432815 ) ); +#1984 = CARTESIAN_POINT( '', ( 1.12968524459959, -0.781590972197818, -1.00724188223361 ) ); +#1985 = CARTESIAN_POINT( '', ( 1.12844397494719, -0.785001489453635, -1.04137782926667 ) ); +#1986 = CARTESIAN_POINT( '', ( 1.12720565163297, -0.788403911339328, -1.06398435164487 ) ); +#1987 = CARTESIAN_POINT( '', ( 1.12228763219059, -0.801916680414262, -1.13100947823230 ) ); +#1988 = CARTESIAN_POINT( '', ( 1.11739890935549, -0.815348954018669, -1.17462893241991 ) ); +#1989 = CARTESIAN_POINT( '', ( 1.09833198298611, -0.867737314011240, -1.29948626368838 ) ); +#1990 = CARTESIAN_POINT( '', ( 1.07975480506823, -0.918780039280811, -1.37439310252569 ) ); +#1991 = CARTESIAN_POINT( '', ( 1.03441629534846, -1.04335230240858, -1.49745268771662 ) ); +#1992 = CARTESIAN_POINT( '', ( 1.00772113090774, -1.11670003857159, -1.54557039001603 ) ); +#1993 = CARTESIAN_POINT( '', ( 0.964636488327953, -1.23507956770457, -1.59398989277463 ) ); +#1994 = CARTESIAN_POINT( '', ( 0.949780164084789, -1.27589886115224, -1.60618426582551 ) ); +#1995 = CARTESIAN_POINT( '', ( 0.927164366368662, -1.33803811366299, -1.61837380413340 ) ); +#1996 = CARTESIAN_POINT( '', ( 0.919570325977325, -1.35890352815676, -1.62142255022538 ) ); +#1997 = CARTESIAN_POINT( '', ( 0.908142974992072, -1.39030136153478, -1.62447007134957 ) ); +#1998 = CARTESIAN_POINT( '', ( 0.904327753765279, -1.40078407800456, -1.62523175379353 ) ); +#1999 = CARTESIAN_POINT( '', ( 0.898601160517340, -1.41651848756758, -1.62599327554997 ) ); +#2000 = CARTESIAN_POINT( '', ( 0.896691660908161, -1.42176503601454, -1.62618361918314 ) ); +#2001 = CARTESIAN_POINT( '', ( 0.892872253401429, -1.43225925472312, -1.62643738424757 ) ); +#2002 = CARTESIAN_POINT( '', ( 0.890682007564662, -1.43827718258133, -1.62650080557950 ) ); +#2003 = CARTESIAN_POINT( '', ( 0.889052630318216, -1.44275406575048, -1.62650080557950 ) ); +#2010 = SURFACE_SIDE_STYLE( '', ( #6245 ) ); +#2011 = EDGE_LOOP( '', ( #6246 ) ); +#2012 = EDGE_LOOP( '', ( #6247 ) ); +#2013 = AXIS2_PLACEMENT_3D( '', #6248, #6249, #6250 ); +#2014 = SURFACE_SIDE_STYLE( '', ( #6251 ) ); +#2015 = EDGE_LOOP( '', ( #6252 ) ); +#2016 = EDGE_LOOP( '', ( #6253 ) ); +#2017 = AXIS2_PLACEMENT_3D( '', #6254, #6255, #6256 ); +#2018 = SURFACE_SIDE_STYLE( '', ( #6257 ) ); +#2019 = EDGE_LOOP( '', ( #6258 ) ); +#2020 = EDGE_LOOP( '', ( #6259 ) ); +#2021 = AXIS2_PLACEMENT_3D( '', #6260, #6261, #6262 ); +#2022 = SURFACE_SIDE_STYLE( '', ( #6263 ) ); +#2023 = EDGE_LOOP( '', ( #6264 ) ); +#2024 = EDGE_LOOP( '', ( #6265 ) ); +#2025 = AXIS2_PLACEMENT_3D( '', #6266, #6267, #6268 ); +#2026 = SURFACE_SIDE_STYLE( '', ( #6269 ) ); +#2027 = EDGE_LOOP( '', ( #6270, #6271, #6272, #6273 ) ); +#2028 = AXIS2_PLACEMENT_3D( '', #6274, #6275, #6276 ); +#2029 = SURFACE_SIDE_STYLE( '', ( #6277 ) ); +#2030 = EDGE_LOOP( '', ( #6278 ) ); +#2031 = EDGE_LOOP( '', ( #6279 ) ); +#2033 = CARTESIAN_POINT( '', ( 1.13051586317068, 0.779308761427416, -0.950000000000000 ) ); +#2034 = CARTESIAN_POINT( '', ( 1.13051586317068, 0.779308761427416, -0.954781289682011 ) ); +#2035 = CARTESIAN_POINT( '', ( 1.13048981955632, 0.779380318962077, -0.961474674303377 ) ); +#2036 = CARTESIAN_POINT( '', ( 1.13038581693338, 0.779666076967586, -0.972937150818239 ) ); +#2037 = CARTESIAN_POINT( '', ( 1.13030785659398, 0.779880281095037, -0.978663830712863 ) ); +#2038 = CARTESIAN_POINT( '', ( 1.12999637775723, 0.780736101541038, -0.995825380432813 ) ); +#2039 = CARTESIAN_POINT( '', ( 1.12968524459959, 0.781590972197814, -1.00724188223361 ) ); +#2040 = CARTESIAN_POINT( '', ( 1.12844397494718, 0.785001489453642, -1.04137782926667 ) ); +#2041 = CARTESIAN_POINT( '', ( 1.12720565163297, 0.788403911339321, -1.06398435164487 ) ); +#2042 = CARTESIAN_POINT( '', ( 1.12228763219058, 0.801916680414274, -1.13100947823231 ) ); +#2043 = CARTESIAN_POINT( '', ( 1.11739890935548, 0.815348954018662, -1.17462893241991 ) ); +#2044 = CARTESIAN_POINT( '', ( 1.09833198298612, 0.867737314011253, -1.29948626368837 ) ); +#2045 = CARTESIAN_POINT( '', ( 1.07975480506823, 0.918780039280809, -1.37439310252567 ) ); +#2046 = CARTESIAN_POINT( '', ( 1.03441629534846, 1.04335230240858, -1.49745268771663 ) ); +#2047 = CARTESIAN_POINT( '', ( 1.00772113090774, 1.11670003857160, -1.54557039001605 ) ); +#2048 = CARTESIAN_POINT( '', ( 0.964636488327948, 1.23507956770456, -1.59398989277462 ) ); +#2049 = CARTESIAN_POINT( '', ( 0.949780164084786, 1.27589886115223, -1.60618426582551 ) ); +#2050 = CARTESIAN_POINT( '', ( 0.927164366368662, 1.33803811366299, -1.61837380413340 ) ); +#2051 = CARTESIAN_POINT( '', ( 0.919570325977325, 1.35890352815676, -1.62142255022538 ) ); +#2052 = CARTESIAN_POINT( '', ( 0.908142974992070, 1.39030136153478, -1.62447007134957 ) ); +#2053 = CARTESIAN_POINT( '', ( 0.904327753765278, 1.40078407800456, -1.62523175379352 ) ); +#2054 = CARTESIAN_POINT( '', ( 0.898601160517340, 1.41651848756758, -1.62599327554997 ) ); +#2055 = CARTESIAN_POINT( '', ( 0.896691660908159, 1.42176503601453, -1.62618361918314 ) ); +#2056 = CARTESIAN_POINT( '', ( 0.892872253401429, 1.43225925472312, -1.62643738424757 ) ); +#2057 = CARTESIAN_POINT( '', ( 0.890682007564662, 1.43827718258133, -1.62650080557950 ) ); +#2058 = CARTESIAN_POINT( '', ( 0.889052630318216, 1.44275406575048, -1.62650080557950 ) ); +#2059 = CARTESIAN_POINT( '', ( 0.809133386025513, 0.396277035086064, -0.949999999999999 ) ); +#2060 = CARTESIAN_POINT( '', ( 0.809133386025513, 0.396277035086064, -0.955887107623432 ) ); +#2061 = CARTESIAN_POINT( '', ( 0.809097305323035, 0.396376170562523, -0.965896774183346 ) ); +#2062 = CARTESIAN_POINT( '', ( 0.808953225584340, 0.396772044604944, -0.981776285353755 ) ); +#2063 = CARTESIAN_POINT( '', ( 0.808845224634691, 0.397068788428263, -0.989709545701183 ) ); +#2064 = CARTESIAN_POINT( '', ( 0.808413747853609, 0.398254315686178, -1.01348262501382 ) ); +#2065 = CARTESIAN_POINT( '', ( 0.807982769650237, 0.399438473050079, -1.02929591467132 ) ); +#2066 = CARTESIAN_POINT( '', ( 0.806263766202290, 0.404161613514092, -1.07656994358692 ) ); +#2067 = CARTESIAN_POINT( '', ( 0.804549166693139, 0.408872653698974, -1.10786560698383 ) ); +#2068 = CARTESIAN_POINT( '', ( 0.797745608765336, 0.427566135545340, -1.20058776659831 ) ); +#2069 = CARTESIAN_POINT( '', ( 0.790988104103545, 0.446133081261408, -1.26083933851994 ) ); +#2070 = CARTESIAN_POINT( '', ( 0.764716670514620, 0.518316572911585, -1.43287448398773 ) ); +#2071 = CARTESIAN_POINT( '', ( 0.739217867911465, 0.588377180702937, -1.53543137705224 ) ); +#2072 = CARTESIAN_POINT( '', ( 0.677521054667079, 0.757895581310510, -1.70289133666181 ) ); +#2073 = CARTESIAN_POINT( '', ( 0.641421629239438, 0.857082501015871, -1.76780396084425 ) ); +#2074 = CARTESIAN_POINT( '', ( 0.583466541996384, 1.01632012091689, -1.83293521397626 ) ); +#2075 = CARTESIAN_POINT( '', ( 0.563525917203763, 1.07110905804679, -1.84929311545944 ) ); +#2076 = CARTESIAN_POINT( '', ( 0.533207125966459, 1.15441308508857, -1.86563444042627 ) ); +#2077 = CARTESIAN_POINT( '', ( 0.523032414658018, 1.18236916088812, -1.86971863256428 ) ); +#2078 = CARTESIAN_POINT( '', ( 0.507726251577771, 1.22442443324919, -1.87380058116826 ) ); +#2079 = CARTESIAN_POINT( '', ( 0.502616768976208, 1.23846326723431, -1.87482061621161 ) ); +#2080 = CARTESIAN_POINT( '', ( 0.494948070355015, 1.25953381296667, -1.87584039902157 ) ); +#2081 = CARTESIAN_POINT( '', ( 0.492391080949225, 1.26655940686117, -1.87609528365112 ) ); +#2082 = CARTESIAN_POINT( '', ( 0.487276648577260, 1.28061184085442, -1.87643509142796 ) ); +#2083 = CARTESIAN_POINT( '', ( 0.484115132727031, 1.28929843392534, -1.87652001430896 ) ); +#2084 = CARTESIAN_POINT( '', ( 0.482162021672085, 1.29466480934788, -1.87652001430896 ) ); +#2085 = CARTESIAN_POINT( '', ( 0.426101659684161, 0.717659512231231, -0.949999999999999 ) ); +#2086 = CARTESIAN_POINT( '', ( 0.426101659684161, 0.717659512231231, -0.953971970971665 ) ); +#2087 = CARTESIAN_POINT( '', ( 0.426082961947373, 0.717710886204517, -0.958238257133944 ) ); +#2088 = CARTESIAN_POINT( '', ( 0.426008290698460, 0.717916053214308, -0.966468023582272 ) ); +#2089 = CARTESIAN_POINT( '', ( 0.425952316281895, 0.718069848735864, -0.970579765990852 ) ); +#2090 = CARTESIAN_POINT( '', ( 0.425728660745817, 0.718684365544249, -0.982902513225379 ) ); +#2091 = CARTESIAN_POINT( '', ( 0.425505238986431, 0.719298240026910, -0.991101123052660 ) ); +#2092 = CARTESIAN_POINT( '', ( 0.424613609979925, 0.721748083303578, -1.01562165774041 ) ); +#2093 = CARTESIAN_POINT( '', ( 0.423723860532557, 0.724192762296342, -1.03186882914421 ) ); +#2094 = CARTESIAN_POINT( '', ( 0.420185816507598, 0.733913905623705, -1.08008698140957 ) ); +#2095 = CARTESIAN_POINT( '', ( 0.416664805337551, 0.743588249413600, -1.11153383143637 ) ); +#2096 = CARTESIAN_POINT( '', ( 0.402870665991446, 0.781489079565865, -1.20186297654091 ) ); +#2097 = CARTESIAN_POINT( '', ( 0.389359240901357, 0.818613122944387, -1.25653347683642 ) ); +#2098 = CARTESIAN_POINT( '', ( 0.355992938012490, 0.910290504683724, -1.34709761009318 ) ); +#2099 = CARTESIAN_POINT( '', ( 0.336180501811751, 0.964727230347112, -1.38292355620961 ) ); +#2100 = CARTESIAN_POINT( '', ( 0.303979141774563, 1.05320381064099, -1.41911217379869 ) ); +#2101 = CARTESIAN_POINT( '', ( 0.292843881740596, 1.08379909380142, -1.42825937123856 ) ); +#2102 = CARTESIAN_POINT( '', ( 0.275865699900329, 1.13044841131779, -1.43741032742675 ) ); +#2103 = CARTESIAN_POINT( '', ( 0.270160383846186, 1.14612435958158, -1.43970125812507 ) ); +#2104 = CARTESIAN_POINT( '', ( 0.261571832164872, 1.16972229710851, -1.44199170931709 ) ); +#2105 = CARTESIAN_POINT( '', ( 0.258703846380231, 1.17760238584586, -1.44256431037185 ) ); +#2106 = CARTESIAN_POINT( '', ( 0.254398628348925, 1.18943141941430, -1.44313681773910 ) ); +#2107 = CARTESIAN_POINT( '', ( 0.252963009283300, 1.19337593186299, -1.44327992553534 ) ); +#2108 = CARTESIAN_POINT( '', ( 0.250091395997067, 1.20126598753763, -1.44347071822933 ) ); +#2109 = CARTESIAN_POINT( '', ( 0.248611996824338, 1.20533079037643, -1.44351840314996 ) ); +#2110 = CARTESIAN_POINT( '', ( 0.247219551733337, 1.20915667784691, -1.44351840314996 ) ); +#2111 = CARTESIAN_POINT( '', ( 0.747484136829328, 1.10069123857258, -0.950000000000000 ) ); +#2112 = CARTESIAN_POINT( '', ( 0.747484136829328, 1.10069123857258, -0.952866153030243 ) ); +#2113 = CARTESIAN_POINT( '', ( 0.747475476180659, 1.10071503460407, -0.953816157253975 ) ); +#2114 = CARTESIAN_POINT( '', ( 0.747440882047506, 1.10081008557695, -0.957628889046756 ) ); +#2115 = CARTESIAN_POINT( '', ( 0.747414948241182, 1.10088134140264, -0.959534051002533 ) ); +#2116 = CARTESIAN_POINT( '', ( 0.747311290649441, 1.10116615139911, -0.965245268644372 ) ); +#2117 = CARTESIAN_POINT( '', ( 0.747207713935785, 1.10145073917465, -0.969047090614944 ) ); +#2118 = CARTESIAN_POINT( '', ( 0.746793818724819, 1.10258795924313, -0.980429543420160 ) ); +#2119 = CARTESIAN_POINT( '', ( 0.746380345472391, 1.10372401993669, -0.987987573805251 ) ); +#2120 = CARTESIAN_POINT( '', ( 0.744727839932844, 1.10826445049264, -1.01050869304357 ) ); +#2121 = CARTESIAN_POINT( '', ( 0.743075610589489, 1.11280412217085, -1.02532342533635 ) ); +#2122 = CARTESIAN_POINT( '', ( 0.736485978462946, 1.13090982066553, -1.06847475624154 ) ); +#2123 = CARTESIAN_POINT( '', ( 0.729896178058118, 1.14901598152225, -1.09549520230985 ) ); +#2124 = CARTESIAN_POINT( '', ( 0.712888178693874, 1.19574722578180, -1.14165896114801 ) ); +#2125 = CARTESIAN_POINT( '', ( 0.702480003480055, 1.22434476790284, -1.16068998538142 ) ); +#2126 = CARTESIAN_POINT( '', ( 0.685149088106128, 1.27196325742867, -1.18016685259704 ) ); +#2127 = CARTESIAN_POINT( '', ( 0.679098128621620, 1.28858889690686, -1.18515052160462 ) ); +#2128 = CARTESIAN_POINT( '', ( 0.669822940302532, 1.31407343989221, -1.19014969113387 ) ); +#2129 = CARTESIAN_POINT( '', ( 0.666698295165492, 1.32265872685022, -1.19140517578617 ) ); +#2130 = CARTESIAN_POINT( '', ( 0.661988555579172, 1.33559922539410, -1.19266119949840 ) ); +#2131 = CARTESIAN_POINT( '', ( 0.660414831169300, 1.33992319661611, -1.19297544795376 ) ); +#2132 = CARTESIAN_POINT( '', ( 0.658051718511250, 1.34641609401522, -1.19328969426749 ) ); +#2133 = CARTESIAN_POINT( '', ( 0.657263589242234, 1.34858156101636, -1.19336826106736 ) ); +#2134 = CARTESIAN_POINT( '', ( 0.655687000821235, 1.35291340140632, -1.19347301104894 ) ); +#2135 = CARTESIAN_POINT( '', ( 0.655178871661968, 1.35430953903242, -1.19349919442050 ) ); +#2136 = CARTESIAN_POINT( '', ( 0.654110160379467, 1.35724593424952, -1.19349919442050 ) ); +#2137 = CARTESIAN_POINT( '', ( 1.06886661397450, 1.48372296491393, -0.949999999999999 ) ); +#2138 = CARTESIAN_POINT( '', ( 1.06886661397450, 1.48372296491393, -0.951760335088820 ) ); +#2139 = CARTESIAN_POINT( '', ( 1.06886799041395, 1.48371918300362, -0.949394057374006 ) ); +#2140 = CARTESIAN_POINT( '', ( 1.06887347339655, 1.48370411793959, -0.948789754511239 ) ); +#2141 = CARTESIAN_POINT( '', ( 1.06887758020047, 1.48369283406941, -0.948488336014213 ) ); +#2142 = CARTESIAN_POINT( '', ( 1.06889392055306, 1.48364793725397, -0.947588024063365 ) ); +#2143 = CARTESIAN_POINT( '', ( 1.06891018888514, 1.48360323832238, -0.946993058177227 ) ); +#2144 = CARTESIAN_POINT( '', ( 1.06897402746971, 1.48342783518268, -0.945237429099907 ) ); +#2145 = CARTESIAN_POINT( '', ( 1.06903683041222, 1.48325527757703, -0.944106318466289 ) ); +#2146 = CARTESIAN_POINT( '', ( 1.06926986335809, 1.48261499536157, -0.940930404677563 ) ); +#2147 = CARTESIAN_POINT( '', ( 1.06948641584143, 1.48201999492811, -0.939113019236326 ) ); +#2148 = CARTESIAN_POINT( '', ( 1.07010129093445, 1.48033056176520, -0.935086535942170 ) ); +#2149 = CARTESIAN_POINT( '', ( 1.07043311521488, 1.47941884010012, -0.934456927783282 ) ); +#2150 = CARTESIAN_POINT( '', ( 1.06978341937526, 1.48120394687988, -0.936220312202828 ) ); +#2151 = CARTESIAN_POINT( '', ( 1.06877950514836, 1.48396230545858, -0.938456414553229 ) ); +#2152 = CARTESIAN_POINT( '', ( 1.06631903443769, 1.49072270421634, -0.941221531395397 ) ); +#2153 = CARTESIAN_POINT( '', ( 1.06535237550264, 1.49337870001230, -0.942041671970684 ) ); +#2154 = CARTESIAN_POINT( '', ( 1.06378018070473, 1.49769846846663, -0.942889054840994 ) ); +#2155 = CARTESIAN_POINT( '', ( 1.06323620648480, 1.49919309411885, -0.943109093447276 ) ); +#2156 = CARTESIAN_POINT( '', ( 1.06240527899347, 1.50147615367969, -0.943330689679706 ) ); +#2157 = CARTESIAN_POINT( '', ( 1.06212581595837, 1.50224400738636, -0.943386585535666 ) ); +#2158 = CARTESIAN_POINT( '', ( 1.06170480867357, 1.50340076861614, -0.943442570795876 ) ); +#2159 = CARTESIAN_POINT( '', ( 1.06156416920117, 1.50378719016972, -0.943456596599382 ) ); +#2160 = CARTESIAN_POINT( '', ( 1.06128260564540, 1.50456081527502, -0.943475303868542 ) ); +#2161 = CARTESIAN_POINT( '', ( 1.06174574649960, 1.50328828768841, -0.943479985691036 ) ); +#2162 = CARTESIAN_POINT( '', ( 1.06100076902560, 1.50533519065212, -0.943479985691036 ) ); +#2163 = CARTESIAN_POINT( '', ( 1.45189834031585, 1.16234048776877, -0.949999999999999 ) ); +#2164 = CARTESIAN_POINT( '', ( 1.45189834031585, 1.16234048776877, -0.953675471740589 ) ); +#2165 = CARTESIAN_POINT( '', ( 1.45188233378961, 1.16238446736163, -0.957052574423408 ) ); +#2166 = CARTESIAN_POINT( '', ( 1.45181840828243, 1.16256010933023, -0.964098016282723 ) ); +#2167 = CARTESIAN_POINT( '', ( 1.45177048855326, 1.16269177376181, -0.967618115724542 ) ); +#2168 = CARTESIAN_POINT( '', ( 1.45157900766085, 1.16321788739590, -0.978168135851806 ) ); +#2169 = CARTESIAN_POINT( '', ( 1.45138771954895, 1.16374347134555, -0.985187849795888 ) ); +#2170 = CARTESIAN_POINT( '', ( 1.45062418369208, 1.16584136539319, -1.00618571494642 ) ); +#2171 = CARTESIAN_POINT( '', ( 1.44986213657281, 1.16793516897967, -1.02010309630591 ) ); +#2172 = CARTESIAN_POINT( '', ( 1.44682965561583, 1.17626722528321, -1.06143118986630 ) ); +#2173 = CARTESIAN_POINT( '', ( 1.44380971460742, 1.18456482677591, -1.08841852631989 ) ); +#2174 = CARTESIAN_POINT( '', ( 1.43194729545762, 1.21715805511092, -1.16609804338901 ) ); +#2175 = CARTESIAN_POINT( '', ( 1.42029174222499, 1.24918289785868, -1.21335482799910 ) ); +#2176 = CARTESIAN_POINT( '', ( 1.39131153602985, 1.32880902350665, -1.29201403877145 ) ); +#2177 = CARTESIAN_POINT( '', ( 1.37402063257605, 1.37631757612734, -1.32333681918785 ) ); +#2178 = CARTESIAN_POINT( '', ( 1.34580643465951, 1.45383901449223, -1.35504457157298 ) ); +#2179 = CARTESIAN_POINT( '', ( 1.33603441096581, 1.48068866425767, -1.36307541619157 ) ); +#2180 = CARTESIAN_POINT( '', ( 1.32112160677087, 1.52166314223741, -1.37111316784052 ) ); +#2181 = CARTESIAN_POINT( '', ( 1.31610823729663, 1.53543789542539, -1.37312646788648 ) ); +#2182 = CARTESIAN_POINT( '', ( 1.30855969840637, 1.55617828982037, -1.37513956153087 ) ); +#2183 = CARTESIAN_POINT( '', ( 1.30603873855435, 1.56310488877481, -1.37564289137543 ) ); +#2184 = CARTESIAN_POINT( '', ( 1.30225425067966, 1.57350316216850, -1.37614615207836 ) ); +#2185 = CARTESIAN_POINT( '', ( 1.30099224086709, 1.57697066516790, -1.37627195471516 ) ); +#2186 = CARTESIAN_POINT( '', ( 1.29846785822560, 1.58390666859182, -1.37643967706717 ) ); +#2187 = CARTESIAN_POINT( '', ( 1.29724888240229, 1.58725593123732, -1.37648159685004 ) ); +#2188 = CARTESIAN_POINT( '', ( 1.29594323896435, 1.59084332215308, -1.37648159685004 ) ); +#2189 = CARTESIAN_POINT( '', ( 1.13051586317068, 0.779308761427416, -0.950000000000000 ) ); +#2190 = CARTESIAN_POINT( '', ( 1.13051586317068, 0.779308761427416, -0.954781289682011 ) ); +#2191 = CARTESIAN_POINT( '', ( 1.13048981955632, 0.779380318962077, -0.961474674303377 ) ); +#2192 = CARTESIAN_POINT( '', ( 1.13038581693338, 0.779666076967586, -0.972937150818239 ) ); +#2193 = CARTESIAN_POINT( '', ( 1.13030785659398, 0.779880281095037, -0.978663830712863 ) ); +#2194 = CARTESIAN_POINT( '', ( 1.12999637775723, 0.780736101541038, -0.995825380432813 ) ); +#2195 = CARTESIAN_POINT( '', ( 1.12968524459959, 0.781590972197814, -1.00724188223361 ) ); +#2196 = CARTESIAN_POINT( '', ( 1.12844397494718, 0.785001489453642, -1.04137782926667 ) ); +#2197 = CARTESIAN_POINT( '', ( 1.12720565163297, 0.788403911339321, -1.06398435164487 ) ); +#2198 = CARTESIAN_POINT( '', ( 1.12228763219058, 0.801916680414274, -1.13100947823231 ) ); +#2199 = CARTESIAN_POINT( '', ( 1.11739890935548, 0.815348954018662, -1.17462893241991 ) ); +#2200 = CARTESIAN_POINT( '', ( 1.09833198298612, 0.867737314011253, -1.29948626368837 ) ); +#2201 = CARTESIAN_POINT( '', ( 1.07975480506823, 0.918780039280809, -1.37439310252567 ) ); +#2202 = CARTESIAN_POINT( '', ( 1.03441629534846, 1.04335230240858, -1.49745268771663 ) ); +#2203 = CARTESIAN_POINT( '', ( 1.00772113090774, 1.11670003857160, -1.54557039001605 ) ); +#2204 = CARTESIAN_POINT( '', ( 0.964636488327948, 1.23507956770456, -1.59398989277462 ) ); +#2205 = CARTESIAN_POINT( '', ( 0.949780164084786, 1.27589886115223, -1.60618426582551 ) ); +#2206 = CARTESIAN_POINT( '', ( 0.927164366368662, 1.33803811366299, -1.61837380413340 ) ); +#2207 = CARTESIAN_POINT( '', ( 0.919570325977325, 1.35890352815676, -1.62142255022538 ) ); +#2208 = CARTESIAN_POINT( '', ( 0.908142974992070, 1.39030136153478, -1.62447007134957 ) ); +#2209 = CARTESIAN_POINT( '', ( 0.904327753765278, 1.40078407800456, -1.62523175379352 ) ); +#2210 = CARTESIAN_POINT( '', ( 0.898601160517340, 1.41651848756758, -1.62599327554997 ) ); +#2211 = CARTESIAN_POINT( '', ( 0.896691660908159, 1.42176503601453, -1.62618361918314 ) ); +#2212 = CARTESIAN_POINT( '', ( 0.892872253401429, 1.43225925472312, -1.62643738424757 ) ); +#2213 = CARTESIAN_POINT( '', ( 0.890682007564662, 1.43827718258133, -1.62650080557950 ) ); +#2214 = CARTESIAN_POINT( '', ( 0.889052630318216, 1.44275406575048, -1.62650080557950 ) ); +#2221 = SURFACE_SIDE_STYLE( '', ( #6280 ) ); +#2222 = EDGE_LOOP( '', ( #6281, #6282, #6283, #6284 ) ); +#2223 = AXIS2_PLACEMENT_3D( '', #6285, #6286, #6287 ); +#2224 = SURFACE_SIDE_STYLE( '', ( #6288 ) ); +#2225 = EDGE_LOOP( '', ( #6289 ) ); +#2226 = EDGE_LOOP( '', ( #6290 ) ); +#2228 = CARTESIAN_POINT( '', ( -0.951528309913574, -2.13450769272237, -1.57071672478645 ) ); +#2229 = CARTESIAN_POINT( '', ( -0.953085455985238, -2.13878611323877, -1.57071672478645 ) ); +#2230 = CARTESIAN_POINT( '', ( -0.955058992910077, -2.14420861085973, -1.57065810085897 ) ); +#2231 = CARTESIAN_POINT( '', ( -0.958589455626767, -2.15390892375543, -1.57042353356087 ) ); +#2232 = CARTESIAN_POINT( '', ( -0.960354487888750, -2.15875853316534, -1.57024759025233 ) ); +#2233 = CARTESIAN_POINT( '', ( -0.965647760306323, -2.17330234875357, -1.56954369145421 ) ); +#2234 = CARTESIAN_POINT( '', ( -0.969174207071304, -2.18299162740495, -1.56883965239632 ) ); +#2235 = CARTESIAN_POINT( '', ( -0.979736120877698, -2.21201158227237, -1.56602293176239 ) ); +#2236 = CARTESIAN_POINT( '', ( -0.986754364181077, -2.23129493447973, -1.56320521343828 ) ); +#2237 = CARTESIAN_POINT( '', ( -1.00765147647547, -2.28871192033652, -1.55194201805140 ) ); +#2238 = CARTESIAN_POINT( '', ( -1.02137339573820, -2.32641431831079, -1.54067660784880 ) ); +#2239 = CARTESIAN_POINT( '', ( -1.06114015667022, -2.43567762310804, -1.49598581687489 ) ); +#2240 = CARTESIAN_POINT( '', ( -1.08573704972998, -2.50326014078024, -1.45161538407352 ) ); +#2241 = CARTESIAN_POINT( '', ( -1.12742570756183, -2.61780405686771, -1.33846237573729 ) ); +#2242 = CARTESIAN_POINT( '', ( -1.14445853815476, -2.66460352750639, -1.26972479537304 ) ); +#2243 = CARTESIAN_POINT( '', ( -1.16191800088804, -2.71257521424969, -1.15539373997399 ) ); +#2244 = CARTESIAN_POINT( '', ( -1.16638976273481, -2.72486184424398, -1.11548523029268 ) ); +#2245 = CARTESIAN_POINT( '', ( -1.17088708250309, -2.73721869728141, -1.05419360412151 ) ); +#2246 = CARTESIAN_POINT( '', ( -1.17201913950565, -2.74032914144182, -1.03352579549244 ) ); +#2247 = CARTESIAN_POINT( '', ( -1.17315381763432, -2.74344678741834, -1.00232120593474 ) ); +#2248 = CARTESIAN_POINT( '', ( -1.17343821107745, -2.74422818793304, -0.991885711612227 ) ); +#2249 = CARTESIAN_POINT( '', ( -1.17372291608594, -2.74501044450586, -0.976199320572321 ) ); +#2250 = CARTESIAN_POINT( '', ( -1.17379417379291, -2.74520623245472, -0.970964970964079 ) ); +#2251 = CARTESIAN_POINT( '', ( -1.17386546928420, -2.74540212421993, -0.963107257226476 ) ); +#2252 = CARTESIAN_POINT( '', ( -1.17388330315735, -2.74545112463818, -0.960486979093430 ) ); +#2253 = CARTESIAN_POINT( '', ( -1.17390708756448, -2.74551647476639, -0.955244704729869 ) ); +#2254 = CARTESIAN_POINT( '', ( -1.17391303859689, -2.74553282584585, -0.952267280313452 ) ); +#2255 = CARTESIAN_POINT( '', ( -1.17391303859689, -2.74553282584585, -0.950000000000000 ) ); +#2256 = CARTESIAN_POINT( '', ( -0.649478043666612, -2.24443994078667, -1.95370567697132 ) ); +#2257 = CARTESIAN_POINT( '', ( -0.651531097538644, -2.25008091947660, -1.95370567697132 ) ); +#2258 = CARTESIAN_POINT( '', ( -0.654992462928690, -2.25959138029270, -1.95361411614956 ) ); +#2259 = CARTESIAN_POINT( '', ( -0.660506694141264, -2.27474230311318, -1.95324774533815 ) ); +#2260 = CARTESIAN_POINT( '', ( -0.663263575974975, -2.28231712220901, -1.95297293566633 ) ); +#2261 = CARTESIAN_POINT( '', ( -0.671531839488898, -2.30503503473928, -1.95187342272378 ) ); +#2262 = CARTESIAN_POINT( '', ( -0.677040885194176, -2.32017170984003, -1.95077362928915 ) ); +#2263 = CARTESIAN_POINT( '', ( -0.693544511299302, -2.36551713619918, -1.94637233315161 ) ); +#2264 = CARTESIAN_POINT( '', ( -0.704515924714549, -2.39566223376183, -1.94196847905416 ) ); +#2265 = CARTESIAN_POINT( '', ( -0.737212776147384, -2.48550022811402, -1.92434541846017 ) ); +#2266 = CARTESIAN_POINT( '', ( -0.758723020751167, -2.54460185865476, -1.90670215661646 ) ); +#2267 = CARTESIAN_POINT( '', ( -0.821268895408719, -2.71645314365638, -1.83641166938009 ) ); +#2268 = CARTESIAN_POINT( '', ( -0.860271593628719, -2.82361710681678, -1.76631413533898 ) ); +#2269 = CARTESIAN_POINT( '', ( -0.927018523841330, -3.00701122816764, -1.58514694240815 ) ); +#2270 = CARTESIAN_POINT( '', ( -0.954654162839227, -3.08294301582957, -1.47405395590520 ) ); +#2271 = CARTESIAN_POINT( '', ( -0.983149764357948, -3.16123763981082, -1.28745412721295 ) ); +#2272 = CARTESIAN_POINT( '', ( -0.990484197476315, -3.18138975636605, -1.22206790577104 ) ); +#2273 = CARTESIAN_POINT( '', ( -0.997869857035060, -3.20168262288528, -1.12141258476946 ) ); +#2274 = CARTESIAN_POINT( '', ( -0.999731492091161, -3.20679765850268, -1.08743441861314 ) ); +#2275 = CARTESIAN_POINT( '', ( -1.00159798108578, -3.21192603082017, -1.03610441416247 ) ); +#2276 = CARTESIAN_POINT( '', ( -1.00206595774619, -3.21321184528646, -1.01893375249596 ) ); +#2277 = CARTESIAN_POINT( '', ( -1.00253448018840, -3.21449915934494, -0.993119568214823 ) ); +#2278 = CARTESIAN_POINT( '', ( -1.00265175524949, -3.21482138475240, -0.984505094489210 ) ); +#2279 = CARTESIAN_POINT( '', ( -1.00276909448330, -3.21514378648108, -0.971572744099444 ) ); +#2280 = CARTESIAN_POINT( '', ( -1.00279844642110, -3.21522443397792, -0.967260171421355 ) ); +#2281 = CARTESIAN_POINT( '', ( -1.00283759220623, -3.21533199108723, -0.958632124420352 ) ); +#2282 = CARTESIAN_POINT( '', ( -1.00284738690519, -3.21535890303963, -0.953114247371787 ) ); +#2283 = CARTESIAN_POINT( '', ( -1.00284738690519, -3.21535890303963, -0.949999999999999 ) ); +#2284 = CARTESIAN_POINT( '', ( -0.289584214537134, -2.37542455534193, -1.63227222739843 ) ); +#2285 = CARTESIAN_POINT( '', ( -0.291221064876316, -2.37992197160933, -1.63227222739843 ) ); +#2286 = CARTESIAN_POINT( '', ( -0.293433731490022, -2.38600150288022, -1.63220830972273 ) ); +#2287 = CARTESIAN_POINT( '', ( -0.297283033343282, -2.39657785940994, -1.63195255844149 ) ); +#2288 = CARTESIAN_POINT( '', ( -0.299207479600251, -2.40186547532366, -1.63176072493912 ) ); +#2289 = CARTESIAN_POINT( '', ( -0.304978904380071, -2.41772306417556, -1.63099324146745 ) ); +#2290 = CARTESIAN_POINT( '', ( -0.308824002306012, -2.42828786997846, -1.63022559519730 ) ); +#2291 = CARTESIAN_POINT( '', ( -0.320340891666758, -2.45993171934027, -1.62715419530698 ) ); +#2292 = CARTESIAN_POINT( '', ( -0.327994504141386, -2.48096081431847, -1.62408154695373 ) ); +#2293 = CARTESIAN_POINT( '', ( -0.350788117285770, -2.54358863318163, -1.61179616881945 ) ); +#2294 = CARTESIAN_POINT( '', ( -0.365761807071223, -2.58473040064326, -1.59950568501849 ) ); +#2295 = CARTESIAN_POINT( '', ( -0.409189717460517, -2.70405309372567, -1.55070040938082 ) ); +#2296 = CARTESIAN_POINT( '', ( -0.436101968632056, -2.77799729822261, -1.50219501488270 ) ); +#2297 = CARTESIAN_POINT( '', ( -0.481818091335133, -2.90360709223094, -1.37811049718158 ) ); +#2298 = CARTESIAN_POINT( '', ( -0.500555047325757, -2.95508882434581, -1.30256538804049 ) ); +#2299 = CARTESIAN_POINT( '', ( -0.519788282033326, -3.00793413376597, -1.17661900891518 ) ); +#2300 = CARTESIAN_POINT( '', ( -0.524720143754848, -3.02148493594012, -1.13261562040282 ) ); +#2301 = CARTESIAN_POINT( '', ( -0.529681688943991, -3.03511729652371, -1.06499730515582 ) ); +#2302 = CARTESIAN_POINT( '', ( -0.530931006623466, -3.03854992656954, -1.04219020310103 ) ); +#2303 = CARTESIAN_POINT( '', ( -0.532183304295493, -3.04199074445436, -1.00775097708203 ) ); +#2304 = CARTESIAN_POINT( '', ( -0.532497203961058, -3.04285321637891, -0.996232979964928 ) ); +#2305 = CARTESIAN_POINT( '', ( -0.532811452836168, -3.04371664779295, -0.978918809847433 ) ); +#2306 = CARTESIAN_POINT( '', ( -0.532890106634710, -3.04393275727137, -0.973141193291806 ) ); +#2307 = CARTESIAN_POINT( '', ( -0.532968802458819, -3.04414898221940, -0.964467863834963 ) ); +#2308 = CARTESIAN_POINT( '', ( -0.532988487560985, -3.04420306908159, -0.961575593414168 ) ); +#2309 = CARTESIAN_POINT( '', ( -0.533014740909679, -3.04427520288311, -0.955789144250684 ) ); +#2310 = CARTESIAN_POINT( '', ( -0.533021309711410, -3.04429325134793, -0.952403408216552 ) ); +#2311 = CARTESIAN_POINT( '', ( -0.533021309711410, -3.04429325134793, -0.949999999999999 ) ); +#2312 = CARTESIAN_POINT( '', ( -0.591634480784095, -2.26549230727763, -1.24928327521355 ) ); +#2313 = CARTESIAN_POINT( '', ( -0.592775423322909, -2.26862716537149, -1.24928327521355 ) ); +#2314 = CARTESIAN_POINT( '', ( -0.593500261471410, -2.27061873344726, -1.24925229443213 ) ); +#2315 = CARTESIAN_POINT( '', ( -0.595365794828787, -2.27574448005218, -1.24912834666422 ) ); +#2316 = CARTESIAN_POINT( '', ( -0.596298391514028, -2.27830688628000, -1.24903537952512 ) ); +#2317 = CARTESIAN_POINT( '', ( -0.599094825197496, -2.28599037818986, -1.24866351019787 ) ); +#2318 = CARTESIAN_POINT( '', ( -0.600957324183139, -2.29110778754337, -1.24829161830447 ) ); +#2319 = CARTESIAN_POINT( '', ( -0.606532501245156, -2.30642616541346, -1.24680479391777 ) ); +#2320 = CARTESIAN_POINT( '', ( -0.610232943607916, -2.31659351503637, -1.24531828133785 ) ); +#2321 = CARTESIAN_POINT( '', ( -0.621226817613850, -2.34680032540413, -1.23939276841067 ) ); +#2322 = CARTESIAN_POINT( '', ( -0.628412182058254, -2.36654286029929, -1.23348013625084 ) ); +#2323 = CARTESIAN_POINT( '', ( -0.649060978722019, -2.42327757317734, -1.21027455687563 ) ); +#2324 = CARTESIAN_POINT( '', ( -0.661567424733312, -2.45764033218608, -1.18749626361724 ) ); +#2325 = CARTESIAN_POINT( '', ( -0.682225275055632, -2.51439992093100, -1.13142593051071 ) ); +#2326 = CARTESIAN_POINT( '', ( -0.690359422641297, -2.53674933602264, -1.09823622750833 ) ); +#2327 = CARTESIAN_POINT( '', ( -0.698556518563416, -2.55927170820484, -1.04455862167621 ) ); +#2328 = CARTESIAN_POINT( '', ( -0.700625709013341, -2.56495702381806, -1.02603294492446 ) ); +#2329 = CARTESIAN_POINT( '', ( -0.702698914412019, -2.57065337091984, -0.997778324507868 ) ); +#2330 = CARTESIAN_POINT( '', ( -0.703218654037956, -2.57208140950867, -0.988281579980333 ) ); +#2331 = CARTESIAN_POINT( '', ( -0.703739140844026, -2.57351150105252, -0.973967768854296 ) ); +#2332 = CARTESIAN_POINT( '', ( -0.703869457292325, -2.57386955902548, -0.969184939081196 ) ); +#2333 = CARTESIAN_POINT( '', ( -0.703999888733707, -2.57422793295388, -0.961998562204930 ) ); +#2334 = CARTESIAN_POINT( '', ( -0.704032525178127, -2.57431760497370, -0.959601069766676 ) ); +#2335 = CARTESIAN_POINT( '', ( -0.704065177259715, -2.57440731995825, -0.956002376961996 ) ); +#2336 = CARTESIAN_POINT( '', ( -0.704073344297236, -2.57442975974185, -0.954802401086242 ) ); +#2337 = CARTESIAN_POINT( '', ( -0.704084236267926, -2.57445968656228, -0.952401724560201 ) ); +#2338 = CARTESIAN_POINT( '', ( -0.704086961403109, -2.57446717415415, -0.951556441158218 ) ); +#2339 = CARTESIAN_POINT( '', ( -0.704086961403109, -2.57446717415415, -0.950000000000000 ) ); +#2340 = CARTESIAN_POINT( '', ( -0.893684747031057, -2.15556005921333, -0.866294323028677 ) ); +#2341 = CARTESIAN_POINT( '', ( -0.894329781769502, -2.15733235913366, -0.866294323028677 ) ); +#2342 = CARTESIAN_POINT( '', ( -0.893566791452797, -2.15523596401428, -0.866296279141528 ) ); +#2343 = CARTESIAN_POINT( '', ( -0.893448556314290, -2.15491110069442, -0.866304134886940 ) ); +#2344 = CARTESIAN_POINT( '', ( -0.893389303427805, -2.15474829723634, -0.866310034111113 ) ); +#2345 = CARTESIAN_POINT( '', ( -0.893210746014919, -2.15425769220414, -0.866333778928303 ) ); +#2346 = CARTESIAN_POINT( '', ( -0.893090646060265, -2.15392770510828, -0.866357641411633 ) ); +#2347 = CARTESIAN_POINT( '', ( -0.892724110823554, -2.15292061148666, -0.866455392528551 ) ); +#2348 = CARTESIAN_POINT( '', ( -0.892471383074446, -2.15222621575426, -0.866555015721972 ) ); +#2349 = CARTESIAN_POINT( '', ( -0.891665517941930, -2.15001201762661, -0.866989368001900 ) ); +#2350 = CARTESIAN_POINT( '', ( -0.891062557045283, -2.14835531995532, -0.867454587483187 ) ); +#2351 = CARTESIAN_POINT( '', ( -0.888932239983520, -2.14250205262900, -0.869848704370426 ) ); +#2352 = CARTESIAN_POINT( '', ( -0.887032880834567, -2.13728336614954, -0.872797512351785 ) ); +#2353 = CARTESIAN_POINT( '', ( -0.882632458776129, -2.12519274963105, -0.884741363839853 ) ); +#2354 = CARTESIAN_POINT( '', ( -0.880163797956837, -2.11840984769946, -0.893907066976168 ) ); +#2355 = CARTESIAN_POINT( '', ( -0.877324755093505, -2.11060928264371, -0.912498234437251 ) ); +#2356 = CARTESIAN_POINT( '', ( -0.876531274271834, -2.10842911169600, -0.919450269446094 ) ); +#2357 = CARTESIAN_POINT( '', ( -0.875716139880046, -2.10618944531598, -0.930559343859913 ) ); +#2358 = CARTESIAN_POINT( '', ( -0.875506301452447, -2.10561289244780, -0.934372956859638 ) ); +#2359 = CARTESIAN_POINT( '', ( -0.875294977392557, -2.10503225765069, -0.940184560626565 ) ); +#2360 = CARTESIAN_POINT( '', ( -0.875241710623591, -2.10488590167205, -0.942136898197462 ) ); +#2361 = CARTESIAN_POINT( '', ( -0.875188324631243, -2.10473921811480, -0.945078314562425 ) ); +#2362 = CARTESIAN_POINT( '', ( -0.875174943721545, -2.10470245267601, -0.946060946241544 ) ); +#2363 = CARTESIAN_POINT( '', ( -0.875161552060611, -2.10466565769709, -0.947536890089028 ) ); +#2364 = CARTESIAN_POINT( '', ( -0.875158201033488, -2.10465645040212, -0.948029208758315 ) ); +#2365 = CARTESIAN_POINT( '', ( -0.875153731626172, -2.10464417024144, -0.949014304869716 ) ); +#2366 = CARTESIAN_POINT( '', ( -0.875152613094805, -2.10464109696037, -0.950709474099881 ) ); +#2367 = CARTESIAN_POINT( '', ( -0.875152613094805, -2.10464109696037, -0.949999999999999 ) ); +#2368 = CARTESIAN_POINT( '', ( -1.25357857616053, -2.02457544465807, -1.18772777260157 ) ); +#2369 = CARTESIAN_POINT( '', ( -1.25463981443183, -2.02749130700093, -1.18772777260157 ) ); +#2370 = CARTESIAN_POINT( '', ( -1.25512552289146, -2.02882584142676, -1.18770208556836 ) ); +#2371 = CARTESIAN_POINT( '', ( -1.25667221711227, -2.03307554439766, -1.18759932178360 ) ); +#2372 = CARTESIAN_POINT( '', ( -1.25744539980253, -2.03519994412169, -1.18752224483832 ) ); +#2373 = CARTESIAN_POINT( '', ( -1.25976368112375, -2.04156966276786, -1.18721396018464 ) ); +#2374 = CARTESIAN_POINT( '', ( -1.26130752894843, -2.04581154496986, -1.18690567550348 ) ); +#2375 = CARTESIAN_POINT( '', ( -1.26592773045609, -2.05850602834556, -1.18567353037318 ) ); +#2376 = CARTESIAN_POINT( '', ( -1.26899280364760, -2.06692763519762, -1.18444194782240 ) ); +#2377 = CARTESIAN_POINT( '', ( -1.27809017680355, -2.09192361255900, -1.17953861764262 ) ); +#2378 = CARTESIAN_POINT( '', ( -1.28402377072523, -2.10822677796682, -1.17465105908115 ) ); +#2379 = CARTESIAN_POINT( '', ( -1.30101141793172, -2.15490210255971, -1.15555996436970 ) ); +#2380 = CARTESIAN_POINT( '', ( -1.31120250583123, -2.18290317474370, -1.13691663280806 ) ); +#2381 = CARTESIAN_POINT( '', ( -1.32783289128233, -2.22859688556777, -1.09177780906644 ) ); +#2382 = CARTESIAN_POINT( '', ( -1.33426291347029, -2.24626403918322, -1.06539563484087 ) ); +#2383 = CARTESIAN_POINT( '', ( -1.34068623741813, -2.26391278868856, -1.02333335273503 ) ); +#2384 = CARTESIAN_POINT( '', ( -1.34229532799330, -2.26833393212192, -1.00890255481431 ) ); +#2385 = CARTESIAN_POINT( '', ( -1.34390430797111, -2.27275477167754, -0.986974623473557 ) ); +#2386 = CARTESIAN_POINT( '', ( -1.34430678692014, -2.27386062438095, -0.979617172371746 ) ); +#2387 = CARTESIAN_POINT( '', ( -1.34470965418285, -2.27496754401651, -0.968537997707009 ) ); +#2388 = CARTESIAN_POINT( '', ( -1.34481046440872, -2.27524453057960, -0.964837670728493 ) ); +#2389 = CARTESIAN_POINT( '', ( -1.34491135198348, -2.27552172966679, -0.959279072929816 ) ); +#2390 = CARTESIAN_POINT( '', ( -1.34493659233633, -2.27559108015705, -0.957424847438947 ) ); +#2391 = CARTESIAN_POINT( '', ( -1.34496184408509, -2.27566046195877, -0.954641770353508 ) ); +#2392 = CARTESIAN_POINT( '', ( -1.34496815989360, -2.27567781529845, -0.953713786765504 ) ); +#2393 = CARTESIAN_POINT( '', ( -1.34497658292273, -2.27570095844556, -0.951857285039384 ) ); +#2394 = CARTESIAN_POINT( '', ( -1.34497869028858, -2.27570674865207, -0.951420313255117 ) ); +#2395 = CARTESIAN_POINT( '', ( -1.34497869028858, -2.27570674865207, -0.949999999999999 ) ); +#2396 = CARTESIAN_POINT( '', ( -0.951528309913574, -2.13450769272237, -1.57071672478645 ) ); +#2397 = CARTESIAN_POINT( '', ( -0.953085455985238, -2.13878611323877, -1.57071672478645 ) ); +#2398 = CARTESIAN_POINT( '', ( -0.955058992910077, -2.14420861085973, -1.57065810085897 ) ); +#2399 = CARTESIAN_POINT( '', ( -0.958589455626767, -2.15390892375543, -1.57042353356087 ) ); +#2400 = CARTESIAN_POINT( '', ( -0.960354487888750, -2.15875853316534, -1.57024759025233 ) ); +#2401 = CARTESIAN_POINT( '', ( -0.965647760306323, -2.17330234875357, -1.56954369145421 ) ); +#2402 = CARTESIAN_POINT( '', ( -0.969174207071304, -2.18299162740495, -1.56883965239632 ) ); +#2403 = CARTESIAN_POINT( '', ( -0.979736120877698, -2.21201158227237, -1.56602293176239 ) ); +#2404 = CARTESIAN_POINT( '', ( -0.986754364181077, -2.23129493447973, -1.56320521343828 ) ); +#2405 = CARTESIAN_POINT( '', ( -1.00765147647547, -2.28871192033652, -1.55194201805140 ) ); +#2406 = CARTESIAN_POINT( '', ( -1.02137339573820, -2.32641431831079, -1.54067660784880 ) ); +#2407 = CARTESIAN_POINT( '', ( -1.06114015667022, -2.43567762310804, -1.49598581687489 ) ); +#2408 = CARTESIAN_POINT( '', ( -1.08573704972998, -2.50326014078024, -1.45161538407352 ) ); +#2409 = CARTESIAN_POINT( '', ( -1.12742570756183, -2.61780405686771, -1.33846237573729 ) ); +#2410 = CARTESIAN_POINT( '', ( -1.14445853815476, -2.66460352750639, -1.26972479537304 ) ); +#2411 = CARTESIAN_POINT( '', ( -1.16191800088804, -2.71257521424969, -1.15539373997399 ) ); +#2412 = CARTESIAN_POINT( '', ( -1.16638976273481, -2.72486184424398, -1.11548523029268 ) ); +#2413 = CARTESIAN_POINT( '', ( -1.17088708250309, -2.73721869728141, -1.05419360412151 ) ); +#2414 = CARTESIAN_POINT( '', ( -1.17201913950565, -2.74032914144182, -1.03352579549244 ) ); +#2415 = CARTESIAN_POINT( '', ( -1.17315381763432, -2.74344678741834, -1.00232120593474 ) ); +#2416 = CARTESIAN_POINT( '', ( -1.17343821107745, -2.74422818793304, -0.991885711612227 ) ); +#2417 = CARTESIAN_POINT( '', ( -1.17372291608594, -2.74501044450586, -0.976199320572321 ) ); +#2418 = CARTESIAN_POINT( '', ( -1.17379417379291, -2.74520623245472, -0.970964970964079 ) ); +#2419 = CARTESIAN_POINT( '', ( -1.17386546928420, -2.74540212421993, -0.963107257226476 ) ); +#2420 = CARTESIAN_POINT( '', ( -1.17388330315735, -2.74545112463818, -0.960486979093430 ) ); +#2421 = CARTESIAN_POINT( '', ( -1.17390708756448, -2.74551647476639, -0.955244704729869 ) ); +#2422 = CARTESIAN_POINT( '', ( -1.17391303859689, -2.74553282584585, -0.952267280313452 ) ); +#2423 = CARTESIAN_POINT( '', ( -1.17391303859689, -2.74553282584585, -0.950000000000000 ) ); +#2430 = SURFACE_SIDE_STYLE( '', ( #6291 ) ); +#2431 = EDGE_LOOP( '', ( #6292 ) ); +#2432 = EDGE_LOOP( '', ( #6293 ) ); +#2434 = CARTESIAN_POINT( '', ( -1.17391303859689, 0.854467174154151, -0.950000000000000 ) ); +#2435 = CARTESIAN_POINT( '', ( -1.17391303859689, 0.854467174154151, -0.953823868511147 ) ); +#2436 = CARTESIAN_POINT( '', ( -1.17393039206407, 0.854514854607116, -0.957646004242789 ) ); +#2437 = CARTESIAN_POINT( '', ( -1.17399969577532, 0.854705273749832, -0.965284196186696 ) ); +#2438 = CARTESIAN_POINT( '', ( -1.17405164684579, 0.854848014710244, -0.969100410749220 ) ); +#2439 = CARTESIAN_POINT( '', ( -1.17425923102857, 0.855418373806711, -0.980537674249237 ) ); +#2440 = CARTESIAN_POINT( '', ( -1.17446660191242, 0.855988146842187, -0.988147421230676 ) ); +#2441 = CARTESIAN_POINT( '', ( -1.17529424791780, 0.858262190179647, -1.01090836947983 ) ); +#2442 = CARTESIAN_POINT( '', ( -1.17612020958083, 0.860531605611645, -1.02599180215557 ) ); +#2443 = CARTESIAN_POINT( '', ( -1.17940572298694, 0.869558894842461, -1.07076834466156 ) ); +#2444 = CARTESIAN_POINT( '', ( -1.18267644776143, 0.878545550772369, -1.09998765119524 ) ); +#2445 = CARTESIAN_POINT( '', ( -1.19550568573809, 0.913795214222658, -1.18399826039705 ) ); +#2446 = CARTESIAN_POINT( '', ( -1.20809009598401, 0.948372188061242, -1.23496558233830 ) ); +#2447 = CARTESIAN_POINT( '', ( -1.23926552738207, 1.03402992289302, -1.31958316286431 ) ); +#2448 = CARTESIAN_POINT( '', ( -1.25781844866689, 1.08500600054457, -1.35315976108910 ) ); +#2449 = CARTESIAN_POINT( '', ( -1.28802820650529, 1.16801044700152, -1.38711016996665 ) ); +#2450 = CARTESIAN_POINT( '', ( -1.29848252495459, 1.19673477245328, -1.39569974505101 ) ); +#2451 = CARTESIAN_POINT( '', ( -1.31442904303620, 1.24054948667112, -1.40429465146221 ) ); +#2452 = CARTESIAN_POINT( '', ( -1.31978872921921, 1.25527578097586, -1.40644690462474 ) ); +#2453 = CARTESIAN_POINT( '', ( -1.32785779067195, 1.27744636515910, -1.40859881469779 ) ); +#2454 = CARTESIAN_POINT( '', ( -1.33055243572212, 1.28485018222987, -1.40913681452733 ) ); +#2455 = CARTESIAN_POINT( '', ( -1.33459754711780, 1.29596454580904, -1.40967473293010 ) ); +#2456 = CARTESIAN_POINT( '', ( -1.33594644772059, 1.29967079027678, -1.40980919673532 ) ); +#2457 = CARTESIAN_POINT( '', ( -1.33864461801789, 1.30708429333008, -1.40998846570832 ) ); +#2458 = CARTESIAN_POINT( '', ( -1.33999393476618, 1.31079168120076, -1.41003327092135 ) ); +#2459 = CARTESIAN_POINT( '', ( -1.34134302211103, 1.31449843876170, -1.41003327092135 ) ); +#2460 = CARTESIAN_POINT( '', ( -1.34497869028859, 1.32429325134793, -0.949999999999999 ) ); +#2461 = CARTESIAN_POINT( '', ( -1.34497869028859, 1.32429325134793, -0.951612402565694 ) ); +#2462 = CARTESIAN_POINT( '', ( -1.34497597112199, 1.32428578015536, -0.948802484052344 ) ); +#2463 = CARTESIAN_POINT( '', ( -1.34496512676059, 1.32425598414641, -0.947607285476224 ) ); +#2464 = CARTESIAN_POINT( '', ( -1.34495700122710, 1.32423365839944, -0.947010678230993 ) ); +#2465 = CARTESIAN_POINT( '', ( -1.34492460796198, 1.32414465454002, -0.945225898577338 ) ); +#2466 = CARTESIAN_POINT( '', ( -1.34489230717164, 1.32405590476462, -0.944042745525004 ) ); +#2467 = CARTESIAN_POINT( '', ( -1.34476455900204, 1.32370490340406, -0.940529549013539 ) ); +#2468 = CARTESIAN_POINT( '', ( -1.34463804146729, 1.32335728334053, -0.938236034962000 ) ); +#2469 = CARTESIAN_POINT( '', ( -1.34415276766409, 1.32202394317838, -0.931622460424456 ) ); +#2470 = CARTESIAN_POINT( '', ( -1.34368621597162, 1.32074204395946, -0.927580087443068 ) ); +#2471 = CARTESIAN_POINT( '', ( -1.34210754666714, 1.31640448612607, -0.917242318331675 ) ); +#2472 = CARTESIAN_POINT( '', ( -1.34084977122833, 1.31294861750821, -0.912913780961033 ) ); +#2473 = CARTESIAN_POINT( '', ( -1.33931110945150, 1.30872098451127, -0.908737435910185 ) ); +#2474 = CARTESIAN_POINT( '', ( -1.33905695396927, 1.30802266593383, -0.908726771341015 ) ); +#2475 = CARTESIAN_POINT( '', ( -1.33952810770772, 1.30931720975193, -0.909256247662243 ) ); +#2476 = CARTESIAN_POINT( '', ( -1.33981460639175, 1.31010439463457, -0.909519405715327 ) ); +#2477 = CARTESIAN_POINT( '', ( -1.34035632119423, 1.31159281230273, -0.909811376837540 ) ); +#2478 = CARTESIAN_POINT( '', ( -1.34055506212952, 1.31213887365850, -0.909892897030966 ) ); +#2479 = CARTESIAN_POINT( '', ( -1.34086709547160, 1.31299621766547, -0.909976111110829 ) ); +#2480 = CARTESIAN_POINT( '', ( -1.34097341666881, 1.31328834619454, -0.909997445444089 ) ); +#2481 = CARTESIAN_POINT( '', ( -1.34113461577245, 1.31373125746972, -0.910018881428291 ) ); +#2482 = CARTESIAN_POINT( '', ( -1.34118863628551, 1.31387968443852, -0.910024273159151 ) ); +#2483 = CARTESIAN_POINT( '', ( -1.34129695586625, 1.31417730373384, -0.910031469930004 ) ); +#2484 = CARTESIAN_POINT( '', ( -1.34070388184824, 1.31254777128820, -0.910033275349171 ) ); +#2485 = CARTESIAN_POINT( '', ( -1.34140555132613, 1.31447568103574, -0.910033275349171 ) ); +#2486 = CARTESIAN_POINT( '', ( -0.875152613094807, 1.49535890303963, -0.949999999999999 ) ); +#2487 = CARTESIAN_POINT( '', ( -0.875152613094807, 1.49535890303963, -0.951612108255653 ) ); +#2488 = CARTESIAN_POINT( '', ( -0.875149891256869, 1.49535142450727, -0.948801307124075 ) ); +#2489 = CARTESIAN_POINT( '', ( -0.875139036229072, 1.49532159919128, -0.947604932967779 ) ); +#2490 = CARTESIAN_POINT( '', ( -0.875130902700364, 1.49529925147662, -0.947007738447954 ) ); +#2491 = CARTESIAN_POINT( '', ( -0.875098477498165, 1.49521015986675, -0.945221199156052 ) ); +#2492 = CARTESIAN_POINT( '', ( -0.875066144811427, 1.49512132245271, -0.944036875912165 ) ); +#2493 = CARTESIAN_POINT( '', ( -0.874938269494450, 1.49476997174152, -0.940520182740653 ) ); +#2494 = CARTESIAN_POINT( '', ( -0.874811625200252, 1.49442200339326, -0.938224356100981 ) ); +#2495 = CARTESIAN_POINT( '', ( -0.874325849566798, 1.49308728440036, -0.931603942377206 ) ); +#2496 = CARTESIAN_POINT( '', ( -0.873858800503798, 1.49180401860426, -0.927557142808889 ) ); +#2497 = CARTESIAN_POINT( '', ( -0.872278213741891, 1.48746119235752, -0.917206817467481 ) ); +#2498 = CARTESIAN_POINT( '', ( -0.871018596133982, 1.48400026218879, -0.912870921120035 ) ); +#2499 = CARTESIAN_POINT( '', ( -0.869475580645045, 1.47976066691570, -0.908682759046215 ) ); +#2500 = CARTESIAN_POINT( '', ( -0.869218922247694, 1.47905547131912, -0.908667624560302 ) ); +#2501 = CARTESIAN_POINT( '', ( -0.869686118263071, 1.48033914088214, -0.909192653100604 ) ); +#2502 = CARTESIAN_POINT( '', ( -0.869971263776166, 1.48112260778712, -0.909454703043412 ) ); +#2503 = CARTESIAN_POINT( '', ( -0.870510928450630, 1.48260539251570, -0.909745569180394 ) ); +#2504 = CARTESIAN_POINT( '', ( -0.870708982548310, 1.48314956671379, -0.909826813793039 ) ); +#2505 = CARTESIAN_POINT( '', ( -0.871019983556483, 1.48400407427618, -0.909909752563214 ) ); +#2506 = CARTESIAN_POINT( '', ( -0.871125960290012, 1.48429525635552, -0.909931018136728 ) ); +#2507 = CARTESIAN_POINT( '', ( -0.871286642508286, 1.48473674743449, -0.909952385385542 ) ); +#2508 = CARTESIAN_POINT( '', ( -0.871340490693931, 1.48488470091582, -0.909957759939016 ) ); +#2509 = CARTESIAN_POINT( '', ( -0.871448465607790, 1.48518137320310, -0.909964933809865 ) ); +#2510 = CARTESIAN_POINT( '', ( -0.870855133089259, 1.48355113050042, -0.909966733506461 ) ); +#2511 = CARTESIAN_POINT( '', ( -0.871556716406387, 1.48547880351234, -0.909966733506461 ) ); +#2512 = CARTESIAN_POINT( '', ( -0.704086961403110, 1.02553282584585, -0.950000000000000 ) ); +#2513 = CARTESIAN_POINT( '', ( -0.704086961403110, 1.02553282584585, -0.953823574201107 ) ); +#2514 = CARTESIAN_POINT( '', ( -0.704104312198953, 1.02558049895903, -0.957644827314520 ) ); +#2515 = CARTESIAN_POINT( '', ( -0.704173605243797, 1.02577088879470, -0.965281843678251 ) ); +#2516 = CARTESIAN_POINT( '', ( -0.704225548319056, 1.02591360778743, -0.969097470966180 ) ); +#2517 = CARTESIAN_POINT( '', ( -0.704433100564756, 1.02648387913344, -0.980532974827951 ) ); +#2518 = CARTESIAN_POINT( '', ( -0.704640439552208, 1.02705356453027, -0.988141551617837 ) ); +#2519 = CARTESIAN_POINT( '', ( -0.705467958410209, 1.02932725851711, -1.01089900320695 ) ); +#2520 = CARTESIAN_POINT( '', ( -0.706293793313803, 1.03159632566437, -1.02598012329455 ) ); +#2521 = CARTESIAN_POINT( '', ( -0.709578804889647, 1.04062223606443, -1.07074982661431 ) ); +#2522 = CARTESIAN_POINT( '', ( -0.712849032293602, 1.04960752541717, -1.09996470656105 ) ); +#2523 = CARTESIAN_POINT( '', ( -0.725676352812857, 1.08485192045411, -1.18396275953286 ) ); +#2524 = CARTESIAN_POINT( '', ( -0.738258920889672, 1.11942383274181, -1.23492272249730 ) ); +#2525 = CARTESIAN_POINT( '', ( -0.769429998575605, 1.20506960529746, -1.31952848600034 ) ); +#2526 = CARTESIAN_POINT( '', ( -0.787980416945315, 1.25603880592985, -1.35310061430838 ) ); +#2527 = CARTESIAN_POINT( '', ( -0.818186217060648, 1.33903237813174, -1.38704657540501 ) ); +#2528 = CARTESIAN_POINT( '', ( -0.828639182339013, 1.36775298560583, -1.39563504237910 ) ); +#2529 = CARTESIAN_POINT( '', ( -0.844583650292607, 1.41156206688409, -1.40422884380507 ) ); +#2530 = CARTESIAN_POINT( '', ( -0.849942649637994, 1.42628647403115, -1.40638082138682 ) ); +#2531 = CARTESIAN_POINT( '', ( -0.858010678756833, 1.44845422176982, -1.40853245615018 ) ); +#2532 = CARTESIAN_POINT( '', ( -0.860704979343328, 1.45585709239085, -1.40907038721996 ) ); +#2533 = CARTESIAN_POINT( '', ( -0.864749573853635, 1.46697003577381, -1.40960823688735 ) ); +#2534 = CARTESIAN_POINT( '', ( -0.866098302129013, 1.47067580675408, -1.40974268351518 ) ); +#2535 = CARTESIAN_POINT( '', ( -0.868796127759437, 1.47808836279933, -1.40992192958818 ) ); +#2536 = CARTESIAN_POINT( '', ( -0.870145186007198, 1.48179504041299, -1.40996672907865 ) ); +#2537 = CARTESIAN_POINT( '', ( -0.871494187191293, 1.48550156123830, -1.40996672907865 ) ); +#2538 = CARTESIAN_POINT( '', ( -0.533021309711412, 0.555706748652070, -0.949999999999999 ) ); +#2539 = CARTESIAN_POINT( '', ( -0.533021309711412, 0.555706748652070, -0.956035040146559 ) ); +#2540 = CARTESIAN_POINT( '', ( -0.533058733141036, 0.555809573410785, -0.966488347504964 ) ); +#2541 = CARTESIAN_POINT( '', ( -0.533208174258522, 0.556220178398119, -0.982958754388721 ) ); +#2542 = CARTESIAN_POINT( '', ( -0.533320193937748, 0.556527964098234, -0.991187203484407 ) ); +#2543 = CARTESIAN_POINT( '', ( -0.533767723631347, 0.557757598400134, -1.01584475049985 ) ); +#2544 = CARTESIAN_POINT( '', ( -0.534214734292987, 0.558985806607840, -1.03224622732351 ) ); +#2545 = CARTESIAN_POINT( '', ( -0.535997647325967, 0.563884545292692, -1.08127782367324 ) ); +#2546 = CARTESIAN_POINT( '', ( -0.537775961427352, 0.568770647935492, -1.11373589048812 ) ); +#2547 = CARTESIAN_POINT( '', ( -0.544831760212495, 0.588157187728509, -1.20989571085142 ) ); +#2548 = CARTESIAN_POINT( '', ( -0.551839264083405, 0.607411032230077, -1.27237227031322 ) ); +#2549 = CARTESIAN_POINT( '', ( -0.579074491883823, 0.682242648550690, -1.45071870159823 ) ); +#2550 = CARTESIAN_POINT( '', ( -0.605499245645361, 0.754847403294837, -1.55697452387456 ) ); +#2551 = CARTESIAN_POINT( '', ( -0.669384416506165, 0.930378543679218, -1.73037421295447 ) ); +#2552 = CARTESIAN_POINT( '', ( -0.706741911642936, 1.03302214054059, -1.79753360405646 ) ); +#2553 = CARTESIAN_POINT( '', ( -0.766686315858224, 1.19772561538134, -1.86490049770942 ) ); +#2554 = CARTESIAN_POINT( '', ( -0.787307100901860, 1.25438336342454, -1.88181538171478 ) ); +#2555 = CARTESIAN_POINT( '', ( -0.818656372134584, 1.34051874125248, -1.89871211842974 ) ); +#2556 = CARTESIAN_POINT( '', ( -0.829176316727677, 1.36942338134852, -1.90293482898060 ) ); +#2557 = CARTESIAN_POINT( '', ( -0.845001373957181, 1.41290436926345, -1.90715515973714 ) ); +#2558 = CARTESIAN_POINT( '', ( -0.850283998396644, 1.42741892842618, -1.90820975630320 ) ); +#2559 = CARTESIAN_POINT( '', ( -0.858212505198984, 1.44920332411313, -1.90926408838916 ) ); +#2560 = CARTESIAN_POINT( '', ( -0.860856113564093, 1.45646691259234, -1.90952760709135 ) ); +#2561 = CARTESIAN_POINT( '', ( -0.866143789911083, 1.47099535239557, -1.90987892536650 ) ); +#2562 = CARTESIAN_POINT( '', ( -0.869435238925135, 1.48003895032556, -1.90996672465083 ) ); +#2563 = CARTESIAN_POINT( '', ( -0.871431657976198, 1.48552431896426, -1.90996672465083 ) ); +#2564 = CARTESIAN_POINT( '', ( -1.00284738690519, 0.384641096960373, -0.949999999999999 ) ); +#2565 = CARTESIAN_POINT( '', ( -1.00284738690519, 0.384641096960373, -0.956035334456600 ) ); +#2566 = CARTESIAN_POINT( '', ( -1.00288481300615, 0.384743929058872, -0.966489524433233 ) ); +#2567 = CARTESIAN_POINT( '', ( -1.00303426479004, 0.385154563353250, -0.982961106897166 ) ); +#2568 = CARTESIAN_POINT( '', ( -1.00314629246449, 0.385462371021051, -0.991190143267447 ) ); +#2569 = CARTESIAN_POINT( '', ( -1.00359385409516, 0.386692093073405, -1.01584944992114 ) ); +#2570 = CARTESIAN_POINT( '', ( -1.00404089665320, 0.387920388919752, -1.03225209693635 ) ); +#2571 = CARTESIAN_POINT( '', ( -1.00582393683355, 0.392819476955235, -1.08128718994613 ) ); +#2572 = CARTESIAN_POINT( '', ( -1.00760237769438, 0.397705927882762, -1.11374756934914 ) ); +#2573 = CARTESIAN_POINT( '', ( -1.01465867830979, 0.417093846506538, -1.20991422889867 ) ); +#2574 = CARTESIAN_POINT( '', ( -1.02166667955124, 0.436349057585279, -1.27239521494740 ) ); +#2575 = CARTESIAN_POINT( '', ( -1.04890382480904, 0.511185942319245, -1.45075420246242 ) ); +#2576 = CARTESIAN_POINT( '', ( -1.07533042073970, 0.583795758614272, -1.55701738371556 ) ); +#2577 = CARTESIAN_POINT( '', ( -1.13921994531264, 0.759338861274779, -1.73042888981844 ) ); +#2578 = CARTESIAN_POINT( '', ( -1.17657994336451, 0.861989335155305, -1.79759275083718 ) ); +#2579 = CARTESIAN_POINT( '', ( -1.23652830530287, 1.02670368425112, -1.86496409227106 ) ); +#2580 = CARTESIAN_POINT( '', ( -1.25715044351744, 1.08336515027199, -1.88188008438670 ) ); +#2581 = CARTESIAN_POINT( '', ( -1.28850176487818, 1.16950616103951, -1.89877792608689 ) ); +#2582 = CARTESIAN_POINT( '', ( -1.29902239630889, 1.19841268829323, -1.90300091221852 ) ); +#2583 = CARTESIAN_POINT( '', ( -1.31484848587230, 1.24189651265273, -1.90722151828476 ) ); +#2584 = CARTESIAN_POINT( '', ( -1.32013145477544, 1.25641201826519, -1.90827618361056 ) ); +#2585 = CARTESIAN_POINT( '', ( -1.32806047846314, 1.27819783414836, -1.90933058443191 ) ); +#2586 = CARTESIAN_POINT( '', ( -1.33070425915567, 1.28546189611504, -1.90959412031149 ) ); +#2587 = CARTESIAN_POINT( '', ( -1.33599228016954, 1.29999128292631, -1.90994546148664 ) ); +#2588 = CARTESIAN_POINT( '', ( -1.33928398768412, 1.30903559111333, -1.91003326649354 ) ); +#2589 = CARTESIAN_POINT( '', ( -1.34128049289594, 1.31452119648766, -1.91003326649354 ) ); +#2590 = CARTESIAN_POINT( '', ( -1.17391303859689, 0.854467174154151, -0.950000000000000 ) ); +#2591 = CARTESIAN_POINT( '', ( -1.17391303859689, 0.854467174154151, -0.953823868511147 ) ); +#2592 = CARTESIAN_POINT( '', ( -1.17393039206407, 0.854514854607116, -0.957646004242789 ) ); +#2593 = CARTESIAN_POINT( '', ( -1.17399969577532, 0.854705273749832, -0.965284196186696 ) ); +#2594 = CARTESIAN_POINT( '', ( -1.17405164684579, 0.854848014710244, -0.969100410749220 ) ); +#2595 = CARTESIAN_POINT( '', ( -1.17425923102857, 0.855418373806711, -0.980537674249237 ) ); +#2596 = CARTESIAN_POINT( '', ( -1.17446660191242, 0.855988146842187, -0.988147421230676 ) ); +#2597 = CARTESIAN_POINT( '', ( -1.17529424791780, 0.858262190179647, -1.01090836947983 ) ); +#2598 = CARTESIAN_POINT( '', ( -1.17612020958083, 0.860531605611645, -1.02599180215557 ) ); +#2599 = CARTESIAN_POINT( '', ( -1.17940572298694, 0.869558894842461, -1.07076834466156 ) ); +#2600 = CARTESIAN_POINT( '', ( -1.18267644776143, 0.878545550772369, -1.09998765119524 ) ); +#2601 = CARTESIAN_POINT( '', ( -1.19550568573809, 0.913795214222658, -1.18399826039705 ) ); +#2602 = CARTESIAN_POINT( '', ( -1.20809009598401, 0.948372188061242, -1.23496558233830 ) ); +#2603 = CARTESIAN_POINT( '', ( -1.23926552738207, 1.03402992289302, -1.31958316286431 ) ); +#2604 = CARTESIAN_POINT( '', ( -1.25781844866689, 1.08500600054457, -1.35315976108910 ) ); +#2605 = CARTESIAN_POINT( '', ( -1.28802820650529, 1.16801044700152, -1.38711016996665 ) ); +#2606 = CARTESIAN_POINT( '', ( -1.29848252495459, 1.19673477245328, -1.39569974505101 ) ); +#2607 = CARTESIAN_POINT( '', ( -1.31442904303620, 1.24054948667112, -1.40429465146221 ) ); +#2608 = CARTESIAN_POINT( '', ( -1.31978872921921, 1.25527578097586, -1.40644690462474 ) ); +#2609 = CARTESIAN_POINT( '', ( -1.32785779067195, 1.27744636515910, -1.40859881469779 ) ); +#2610 = CARTESIAN_POINT( '', ( -1.33055243572212, 1.28485018222987, -1.40913681452733 ) ); +#2611 = CARTESIAN_POINT( '', ( -1.33459754711780, 1.29596454580904, -1.40967473293010 ) ); +#2612 = CARTESIAN_POINT( '', ( -1.33594644772059, 1.29967079027678, -1.40980919673532 ) ); +#2613 = CARTESIAN_POINT( '', ( -1.33864461801789, 1.30708429333008, -1.40998846570832 ) ); +#2614 = CARTESIAN_POINT( '', ( -1.33999393476618, 1.31079168120076, -1.41003327092135 ) ); +#2615 = CARTESIAN_POINT( '', ( -1.34134302211103, 1.31449843876170, -1.41003327092135 ) ); +#2622 = SURFACE_SIDE_STYLE( '', ( #6294 ) ); +#2623 = EDGE_LOOP( '', ( #6295, #6296, #6297, #6298, #6299, #6300, #6301, #6302 ) ); +#2624 = EDGE_LOOP( '', ( #6303, #6304, #6305, #6306, #6307, #6308, #6309, #6310 ) ); +#2625 = AXIS2_PLACEMENT_3D( '', #6311, #6312, #6313 ); +#2626 = SURFACE_SIDE_STYLE( '', ( #6314 ) ); +#2627 = EDGE_LOOP( '', ( #6315 ) ); +#2628 = EDGE_LOOP( '', ( #6316 ) ); +#2629 = AXIS2_PLACEMENT_3D( '', #6317, #6318, #6319 ); +#2630 = SURFACE_SIDE_STYLE( '', ( #6320 ) ); +#2631 = EDGE_LOOP( '', ( #6321 ) ); +#2632 = AXIS2_PLACEMENT_3D( '', #6322, #6323, #6324 ); +#2633 = SURFACE_SIDE_STYLE( '', ( #6325 ) ); +#2634 = EDGE_LOOP( '', ( #6326 ) ); +#2635 = EDGE_LOOP( '', ( #6327 ) ); +#2636 = AXIS2_PLACEMENT_3D( '', #6328, #6329, #6330 ); +#2637 = SURFACE_SIDE_STYLE( '', ( #6331 ) ); +#2638 = EDGE_LOOP( '', ( #6332 ) ); +#2639 = EDGE_LOOP( '', ( #6333 ) ); +#2641 = CARTESIAN_POINT( '', ( -0.951528309913575, 2.13450769272237, -1.57071672478645 ) ); +#2642 = CARTESIAN_POINT( '', ( -0.953085455985240, 2.13878611323877, -1.57071672478645 ) ); +#2643 = CARTESIAN_POINT( '', ( -0.955058992910079, 2.14420861085973, -1.57065810085897 ) ); +#2644 = CARTESIAN_POINT( '', ( -0.958589455626771, 2.15390892375543, -1.57042353356087 ) ); +#2645 = CARTESIAN_POINT( '', ( -0.960354487888752, 2.15875853316535, -1.57024759025233 ) ); +#2646 = CARTESIAN_POINT( '', ( -0.965647760306325, 2.17330234875357, -1.56954369145421 ) ); +#2647 = CARTESIAN_POINT( '', ( -0.969174207071305, 2.18299162740495, -1.56883965239631 ) ); +#2648 = CARTESIAN_POINT( '', ( -0.979736120877703, 2.21201158227236, -1.56602293176239 ) ); +#2649 = CARTESIAN_POINT( '', ( -0.986754364181082, 2.23129493447974, -1.56320521343828 ) ); +#2650 = CARTESIAN_POINT( '', ( -1.00765147647547, 2.28871192033651, -1.55194201805140 ) ); +#2651 = CARTESIAN_POINT( '', ( -1.02137339573820, 2.32641431831081, -1.54067660784880 ) ); +#2652 = CARTESIAN_POINT( '', ( -1.06114015667022, 2.43567762310801, -1.49598581687491 ) ); +#2653 = CARTESIAN_POINT( '', ( -1.08573704972998, 2.50326014078024, -1.45161538407351 ) ); +#2654 = CARTESIAN_POINT( '', ( -1.12742570756183, 2.61780405686771, -1.33846237573730 ) ); +#2655 = CARTESIAN_POINT( '', ( -1.14445853815475, 2.66460352750639, -1.26972479537305 ) ); +#2656 = CARTESIAN_POINT( '', ( -1.16191800088805, 2.71257521424970, -1.15539373997398 ) ); +#2657 = CARTESIAN_POINT( '', ( -1.16638976273481, 2.72486184424397, -1.11548523029266 ) ); +#2658 = CARTESIAN_POINT( '', ( -1.17088708250309, 2.73721869728142, -1.05419360412150 ) ); +#2659 = CARTESIAN_POINT( '', ( -1.17201913950565, 2.74032914144182, -1.03352579549244 ) ); +#2660 = CARTESIAN_POINT( '', ( -1.17315381763432, 2.74344678741834, -1.00232120593474 ) ); +#2661 = CARTESIAN_POINT( '', ( -1.17343821107746, 2.74422818793304, -0.991885711612215 ) ); +#2662 = CARTESIAN_POINT( '', ( -1.17372291608594, 2.74501044450586, -0.976199320572317 ) ); +#2663 = CARTESIAN_POINT( '', ( -1.17379417379291, 2.74520623245472, -0.970964970964077 ) ); +#2664 = CARTESIAN_POINT( '', ( -1.17386546928420, 2.74540212421993, -0.963107257226477 ) ); +#2665 = CARTESIAN_POINT( '', ( -1.17388330315735, 2.74545112463818, -0.960486979093438 ) ); +#2666 = CARTESIAN_POINT( '', ( -1.17390708756448, 2.74551647476639, -0.955244704729874 ) ); +#2667 = CARTESIAN_POINT( '', ( -1.17391303859689, 2.74553282584585, -0.952267280313454 ) ); +#2668 = CARTESIAN_POINT( '', ( -1.17391303859689, 2.74553282584585, -0.950000000000000 ) ); +#2669 = CARTESIAN_POINT( '', ( -1.25357857616054, 2.02457544465807, -1.18772777260157 ) ); +#2670 = CARTESIAN_POINT( '', ( -1.25463981443183, 2.02749130700093, -1.18772777260157 ) ); +#2671 = CARTESIAN_POINT( '', ( -1.25512552289147, 2.02882584142676, -1.18770208556836 ) ); +#2672 = CARTESIAN_POINT( '', ( -1.25667221711227, 2.03307554439766, -1.18759932178360 ) ); +#2673 = CARTESIAN_POINT( '', ( -1.25744539980253, 2.03519994412169, -1.18752224483832 ) ); +#2674 = CARTESIAN_POINT( '', ( -1.25976368112375, 2.04156966276786, -1.18721396018464 ) ); +#2675 = CARTESIAN_POINT( '', ( -1.26130752894843, 2.04581154496986, -1.18690567550348 ) ); +#2676 = CARTESIAN_POINT( '', ( -1.26592773045610, 2.05850602834555, -1.18567353037318 ) ); +#2677 = CARTESIAN_POINT( '', ( -1.26899280364761, 2.06692763519763, -1.18444194782240 ) ); +#2678 = CARTESIAN_POINT( '', ( -1.27809017680355, 2.09192361255900, -1.17953861764262 ) ); +#2679 = CARTESIAN_POINT( '', ( -1.28402377072523, 2.10822677796683, -1.17465105908115 ) ); +#2680 = CARTESIAN_POINT( '', ( -1.30101141793172, 2.15490210255968, -1.15555996436971 ) ); +#2681 = CARTESIAN_POINT( '', ( -1.31120250583123, 2.18290317474371, -1.13691663280805 ) ); +#2682 = CARTESIAN_POINT( '', ( -1.32783289128233, 2.22859688556776, -1.09177780906644 ) ); +#2683 = CARTESIAN_POINT( '', ( -1.33426291347029, 2.24626403918322, -1.06539563484087 ) ); +#2684 = CARTESIAN_POINT( '', ( -1.34068623741814, 2.26391278868856, -1.02333335273503 ) ); +#2685 = CARTESIAN_POINT( '', ( -1.34229532799330, 2.26833393212190, -1.00890255481431 ) ); +#2686 = CARTESIAN_POINT( '', ( -1.34390430797111, 2.27275477167755, -0.986974623473554 ) ); +#2687 = CARTESIAN_POINT( '', ( -1.34430678692014, 2.27386062438096, -0.979617172371748 ) ); +#2688 = CARTESIAN_POINT( '', ( -1.34470965418285, 2.27496754401650, -0.968537997707011 ) ); +#2689 = CARTESIAN_POINT( '', ( -1.34481046440872, 2.27524453057960, -0.964837670728489 ) ); +#2690 = CARTESIAN_POINT( '', ( -1.34491135198348, 2.27552172966679, -0.959279072929814 ) ); +#2691 = CARTESIAN_POINT( '', ( -1.34493659233633, 2.27559108015704, -0.957424847438947 ) ); +#2692 = CARTESIAN_POINT( '', ( -1.34496184408509, 2.27566046195877, -0.954641770353508 ) ); +#2693 = CARTESIAN_POINT( '', ( -1.34496815989360, 2.27567781529845, -0.953713786765505 ) ); +#2694 = CARTESIAN_POINT( '', ( -1.34497658292273, 2.27570095844556, -0.951857285039386 ) ); +#2695 = CARTESIAN_POINT( '', ( -1.34497869028858, 2.27570674865207, -0.951420313255119 ) ); +#2696 = CARTESIAN_POINT( '', ( -1.34497869028858, 2.27570674865207, -0.949999999999999 ) ); +#2697 = CARTESIAN_POINT( '', ( -0.893684747031058, 2.15556005921333, -0.866294323028675 ) ); +#2698 = CARTESIAN_POINT( '', ( -0.894329781769504, 2.15733235913366, -0.866294323028675 ) ); +#2699 = CARTESIAN_POINT( '', ( -0.893566791452798, 2.15523596401428, -0.866296279141525 ) ); +#2700 = CARTESIAN_POINT( '', ( -0.893448556314290, 2.15491110069442, -0.866304134886940 ) ); +#2701 = CARTESIAN_POINT( '', ( -0.893389303427805, 2.15474829723634, -0.866310034111113 ) ); +#2702 = CARTESIAN_POINT( '', ( -0.893210746014922, 2.15425769220414, -0.866333778928300 ) ); +#2703 = CARTESIAN_POINT( '', ( -0.893090646060265, 2.15392770510828, -0.866357641411633 ) ); +#2704 = CARTESIAN_POINT( '', ( -0.892724110823557, 2.15292061148664, -0.866455392528551 ) ); +#2705 = CARTESIAN_POINT( '', ( -0.892471383074442, 2.15222621575426, -0.866555015721968 ) ); +#2706 = CARTESIAN_POINT( '', ( -0.891665517941941, 2.15001201762661, -0.866989368001906 ) ); +#2707 = CARTESIAN_POINT( '', ( -0.891062557045289, 2.14835531995533, -0.867454587483180 ) ); +#2708 = CARTESIAN_POINT( '', ( -0.888932239983511, 2.14250205262897, -0.869848704370441 ) ); +#2709 = CARTESIAN_POINT( '', ( -0.887032880834581, 2.13728336614954, -0.872797512351778 ) ); +#2710 = CARTESIAN_POINT( '', ( -0.882632458776117, 2.12519274963105, -0.884741363839859 ) ); +#2711 = CARTESIAN_POINT( '', ( -0.880163797956823, 2.11840984769946, -0.893907066976151 ) ); +#2712 = CARTESIAN_POINT( '', ( -0.877324755093511, 2.11060928264371, -0.912498234437260 ) ); +#2713 = CARTESIAN_POINT( '', ( -0.876531274271836, 2.10842911169598, -0.919450269446096 ) ); +#2714 = CARTESIAN_POINT( '', ( -0.875716139880046, 2.10618944531598, -0.930559343859914 ) ); +#2715 = CARTESIAN_POINT( '', ( -0.875506301452435, 2.10561289244782, -0.934372956859634 ) ); +#2716 = CARTESIAN_POINT( '', ( -0.875294977392565, 2.10503225765068, -0.940184560626565 ) ); +#2717 = CARTESIAN_POINT( '', ( -0.875241710623591, 2.10488590167205, -0.942136898197465 ) ); +#2718 = CARTESIAN_POINT( '', ( -0.875188324631245, 2.10473921811480, -0.945078314562425 ) ); +#2719 = CARTESIAN_POINT( '', ( -0.875174943721546, 2.10470245267601, -0.946060946241545 ) ); +#2720 = CARTESIAN_POINT( '', ( -0.875161552060612, 2.10466565769709, -0.947536890089028 ) ); +#2721 = CARTESIAN_POINT( '', ( -0.875158201033488, 2.10465645040212, -0.948029208758314 ) ); +#2722 = CARTESIAN_POINT( '', ( -0.875153731626172, 2.10464417024144, -0.949014304869716 ) ); +#2723 = CARTESIAN_POINT( '', ( -0.875152613094807, 2.10464109696037, -0.950709474099883 ) ); +#2724 = CARTESIAN_POINT( '', ( -0.875152613094807, 2.10464109696037, -0.949999999999999 ) ); +#2725 = CARTESIAN_POINT( '', ( -0.591634480784097, 2.26549230727764, -1.24928327521355 ) ); +#2726 = CARTESIAN_POINT( '', ( -0.592775423322910, 2.26862716537150, -1.24928327521355 ) ); +#2727 = CARTESIAN_POINT( '', ( -0.593500261471412, 2.27061873344726, -1.24925229443213 ) ); +#2728 = CARTESIAN_POINT( '', ( -0.595365794828789, 2.27574448005218, -1.24912834666422 ) ); +#2729 = CARTESIAN_POINT( '', ( -0.596298391514029, 2.27830688628000, -1.24903537952512 ) ); +#2730 = CARTESIAN_POINT( '', ( -0.599094825197499, 2.28599037818985, -1.24866351019787 ) ); +#2731 = CARTESIAN_POINT( '', ( -0.600957324183139, 2.29110778754338, -1.24829161830446 ) ); +#2732 = CARTESIAN_POINT( '', ( -0.606532501245161, 2.30642616541346, -1.24680479391777 ) ); +#2733 = CARTESIAN_POINT( '', ( -0.610232943607916, 2.31659351503637, -1.24531828133785 ) ); +#2734 = CARTESIAN_POINT( '', ( -0.621226817613856, 2.34680032540412, -1.23939276841068 ) ); +#2735 = CARTESIAN_POINT( '', ( -0.628412182058262, 2.36654286029931, -1.23348013625083 ) ); +#2736 = CARTESIAN_POINT( '', ( -0.649060978722007, 2.42327757317729, -1.21027455687563 ) ); +#2737 = CARTESIAN_POINT( '', ( -0.661567424733327, 2.45764033218608, -1.18749626361724 ) ); +#2738 = CARTESIAN_POINT( '', ( -0.682225275055619, 2.51439992093100, -1.13142593051071 ) ); +#2739 = CARTESIAN_POINT( '', ( -0.690359422641298, 2.53674933602264, -1.09823622750833 ) ); +#2740 = CARTESIAN_POINT( '', ( -0.698556518563417, 2.55927170820484, -1.04455862167621 ) ); +#2741 = CARTESIAN_POINT( '', ( -0.700625709013343, 2.56495702381805, -1.02603294492445 ) ); +#2742 = CARTESIAN_POINT( '', ( -0.702698914412021, 2.57065337091985, -0.997778324507865 ) ); +#2743 = CARTESIAN_POINT( '', ( -0.703218654037948, 2.57208140950869, -0.988281579980331 ) ); +#2744 = CARTESIAN_POINT( '', ( -0.703739140844032, 2.57351150105252, -0.973967768854299 ) ); +#2745 = CARTESIAN_POINT( '', ( -0.703869457292327, 2.57386955902548, -0.969184939081191 ) ); +#2746 = CARTESIAN_POINT( '', ( -0.703999888733708, 2.57422793295388, -0.961998562204928 ) ); +#2747 = CARTESIAN_POINT( '', ( -0.704032525178127, 2.57431760497369, -0.959601069766675 ) ); +#2748 = CARTESIAN_POINT( '', ( -0.704065177259717, 2.57440731995825, -0.956002376961997 ) ); +#2749 = CARTESIAN_POINT( '', ( -0.704073344297238, 2.57442975974185, -0.954802401086246 ) ); +#2750 = CARTESIAN_POINT( '', ( -0.704084236267927, 2.57445968656228, -0.952401724560204 ) ); +#2751 = CARTESIAN_POINT( '', ( -0.704086961403109, 2.57446717415415, -0.951556441158219 ) ); +#2752 = CARTESIAN_POINT( '', ( -0.704086961403109, 2.57446717415415, -0.950000000000000 ) ); +#2753 = CARTESIAN_POINT( '', ( -0.289584214537136, 2.37542455534193, -1.63227222739843 ) ); +#2754 = CARTESIAN_POINT( '', ( -0.291221064876318, 2.37992197160933, -1.63227222739843 ) ); +#2755 = CARTESIAN_POINT( '', ( -0.293433731490025, 2.38600150288022, -1.63220830972273 ) ); +#2756 = CARTESIAN_POINT( '', ( -0.297283033343286, 2.39657785940994, -1.63195255844149 ) ); +#2757 = CARTESIAN_POINT( '', ( -0.299207479600253, 2.40186547532366, -1.63176072493912 ) ); +#2758 = CARTESIAN_POINT( '', ( -0.304978904380075, 2.41772306417556, -1.63099324146745 ) ); +#2759 = CARTESIAN_POINT( '', ( -0.308824002306012, 2.42828786997846, -1.63022559519730 ) ); +#2760 = CARTESIAN_POINT( '', ( -0.320340891666765, 2.45993171934027, -1.62715419530698 ) ); +#2761 = CARTESIAN_POINT( '', ( -0.327994504141390, 2.48096081431848, -1.62408154695373 ) ); +#2762 = CARTESIAN_POINT( '', ( -0.350788117285770, 2.54358863318163, -1.61179616881945 ) ); +#2763 = CARTESIAN_POINT( '', ( -0.365761807071234, 2.58473040064329, -1.59950568501848 ) ); +#2764 = CARTESIAN_POINT( '', ( -0.409189717460502, 2.70405309372562, -1.55070040938082 ) ); +#2765 = CARTESIAN_POINT( '', ( -0.436101968632072, 2.77799729822260, -1.50219501488271 ) ); +#2766 = CARTESIAN_POINT( '', ( -0.481818091335121, 2.90360709223095, -1.37811049718157 ) ); +#2767 = CARTESIAN_POINT( '', ( -0.500555047325772, 2.95508882434582, -1.30256538804051 ) ); +#2768 = CARTESIAN_POINT( '', ( -0.519788282033322, 3.00793413376597, -1.17661900891517 ) ); +#2769 = CARTESIAN_POINT( '', ( -0.524720143754848, 3.02148493594011, -1.13261562040280 ) ); +#2770 = CARTESIAN_POINT( '', ( -0.529681688943994, 3.03511729652372, -1.06499730515581 ) ); +#2771 = CARTESIAN_POINT( '', ( -0.530931006623460, 3.03854992656954, -1.04219020310103 ) ); +#2772 = CARTESIAN_POINT( '', ( -0.532183304295498, 3.04199074445436, -1.00775097708203 ) ); +#2773 = CARTESIAN_POINT( '', ( -0.532497203961063, 3.04285321637892, -0.996232979964914 ) ); +#2774 = CARTESIAN_POINT( '', ( -0.532811452836169, 3.04371664779295, -0.978918809847429 ) ); +#2775 = CARTESIAN_POINT( '', ( -0.532890106634709, 3.04393275727137, -0.973141193291804 ) ); +#2776 = CARTESIAN_POINT( '', ( -0.532968802458822, 3.04414898221940, -0.964467863834965 ) ); +#2777 = CARTESIAN_POINT( '', ( -0.532988487560986, 3.04420306908159, -0.961575593414178 ) ); +#2778 = CARTESIAN_POINT( '', ( -0.533014740909681, 3.04427520288311, -0.955789144250690 ) ); +#2779 = CARTESIAN_POINT( '', ( -0.533021309711412, 3.04429325134793, -0.952403408216554 ) ); +#2780 = CARTESIAN_POINT( '', ( -0.533021309711412, 3.04429325134793, -0.949999999999999 ) ); +#2781 = CARTESIAN_POINT( '', ( -0.649478043666615, 2.24443994078667, -1.95370567697132 ) ); +#2782 = CARTESIAN_POINT( '', ( -0.651531097538647, 2.25008091947660, -1.95370567697132 ) ); +#2783 = CARTESIAN_POINT( '', ( -0.654992462928693, 2.25959138029270, -1.95361411614956 ) ); +#2784 = CARTESIAN_POINT( '', ( -0.660506694141268, 2.27474230311319, -1.95324774533815 ) ); +#2785 = CARTESIAN_POINT( '', ( -0.663263575974976, 2.28231712220901, -1.95297293566633 ) ); +#2786 = CARTESIAN_POINT( '', ( -0.671531839488903, 2.30503503473928, -1.95187342272378 ) ); +#2787 = CARTESIAN_POINT( '', ( -0.677040885194178, 2.32017170984004, -1.95077362928914 ) ); +#2788 = CARTESIAN_POINT( '', ( -0.693544511299308, 2.36551713619917, -1.94637233315161 ) ); +#2789 = CARTESIAN_POINT( '', ( -0.704515924714554, 2.39566223376184, -1.94196847905416 ) ); +#2790 = CARTESIAN_POINT( '', ( -0.737212776147382, 2.48550022811402, -1.92434541846017 ) ); +#2791 = CARTESIAN_POINT( '', ( -0.758723020751165, 2.54460185865479, -1.90670215661645 ) ); +#2792 = CARTESIAN_POINT( '', ( -0.821268895408729, 2.71645314365633, -1.83641166938010 ) ); +#2793 = CARTESIAN_POINT( '', ( -0.860271593628722, 2.82361710681677, -1.76631413533897 ) ); +#2794 = CARTESIAN_POINT( '', ( -0.927018523841334, 3.00701122816766, -1.58514694240816 ) ); +#2795 = CARTESIAN_POINT( '', ( -0.954654162839210, 3.08294301582957, -1.47405395590522 ) ); +#2796 = CARTESIAN_POINT( '', ( -0.983149764357961, 3.16123763981082, -1.28745412721293 ) ); +#2797 = CARTESIAN_POINT( '', ( -0.990484197476317, 3.18138975636603, -1.22206790577101 ) ); +#2798 = CARTESIAN_POINT( '', ( -0.997869857035063, 3.20168262288529, -1.12141258476946 ) ); +#2799 = CARTESIAN_POINT( '', ( -0.999731492091161, 3.20679765850269, -1.08743441861314 ) ); +#2800 = CARTESIAN_POINT( '', ( -1.00159798108579, 3.21192603082017, -1.03610441416248 ) ); +#2801 = CARTESIAN_POINT( '', ( -1.00206595774619, 3.21321184528646, -1.01893375249594 ) ); +#2802 = CARTESIAN_POINT( '', ( -1.00253448018840, 3.21449915934494, -0.993119568214818 ) ); +#2803 = CARTESIAN_POINT( '', ( -1.00265175524949, 3.21482138475240, -0.984505094489206 ) ); +#2804 = CARTESIAN_POINT( '', ( -1.00276909448330, 3.21514378648108, -0.971572744099444 ) ); +#2805 = CARTESIAN_POINT( '', ( -1.00279844642110, 3.21522443397792, -0.967260171421369 ) ); +#2806 = CARTESIAN_POINT( '', ( -1.00283759220623, 3.21533199108723, -0.958632124420360 ) ); +#2807 = CARTESIAN_POINT( '', ( -1.00284738690519, 3.21535890303963, -0.953114247371790 ) ); +#2808 = CARTESIAN_POINT( '', ( -1.00284738690519, 3.21535890303963, -0.949999999999999 ) ); +#2809 = CARTESIAN_POINT( '', ( -0.951528309913575, 2.13450769272237, -1.57071672478645 ) ); +#2810 = CARTESIAN_POINT( '', ( -0.953085455985240, 2.13878611323877, -1.57071672478645 ) ); +#2811 = CARTESIAN_POINT( '', ( -0.955058992910079, 2.14420861085973, -1.57065810085897 ) ); +#2812 = CARTESIAN_POINT( '', ( -0.958589455626771, 2.15390892375543, -1.57042353356087 ) ); +#2813 = CARTESIAN_POINT( '', ( -0.960354487888752, 2.15875853316535, -1.57024759025233 ) ); +#2814 = CARTESIAN_POINT( '', ( -0.965647760306325, 2.17330234875357, -1.56954369145421 ) ); +#2815 = CARTESIAN_POINT( '', ( -0.969174207071305, 2.18299162740495, -1.56883965239631 ) ); +#2816 = CARTESIAN_POINT( '', ( -0.979736120877703, 2.21201158227236, -1.56602293176239 ) ); +#2817 = CARTESIAN_POINT( '', ( -0.986754364181082, 2.23129493447974, -1.56320521343828 ) ); +#2818 = CARTESIAN_POINT( '', ( -1.00765147647547, 2.28871192033651, -1.55194201805140 ) ); +#2819 = CARTESIAN_POINT( '', ( -1.02137339573820, 2.32641431831081, -1.54067660784880 ) ); +#2820 = CARTESIAN_POINT( '', ( -1.06114015667022, 2.43567762310801, -1.49598581687491 ) ); +#2821 = CARTESIAN_POINT( '', ( -1.08573704972998, 2.50326014078024, -1.45161538407351 ) ); +#2822 = CARTESIAN_POINT( '', ( -1.12742570756183, 2.61780405686771, -1.33846237573730 ) ); +#2823 = CARTESIAN_POINT( '', ( -1.14445853815475, 2.66460352750639, -1.26972479537305 ) ); +#2824 = CARTESIAN_POINT( '', ( -1.16191800088805, 2.71257521424970, -1.15539373997398 ) ); +#2825 = CARTESIAN_POINT( '', ( -1.16638976273481, 2.72486184424397, -1.11548523029266 ) ); +#2826 = CARTESIAN_POINT( '', ( -1.17088708250309, 2.73721869728142, -1.05419360412150 ) ); +#2827 = CARTESIAN_POINT( '', ( -1.17201913950565, 2.74032914144182, -1.03352579549244 ) ); +#2828 = CARTESIAN_POINT( '', ( -1.17315381763432, 2.74344678741834, -1.00232120593474 ) ); +#2829 = CARTESIAN_POINT( '', ( -1.17343821107746, 2.74422818793304, -0.991885711612215 ) ); +#2830 = CARTESIAN_POINT( '', ( -1.17372291608594, 2.74501044450586, -0.976199320572317 ) ); +#2831 = CARTESIAN_POINT( '', ( -1.17379417379291, 2.74520623245472, -0.970964970964077 ) ); +#2832 = CARTESIAN_POINT( '', ( -1.17386546928420, 2.74540212421993, -0.963107257226477 ) ); +#2833 = CARTESIAN_POINT( '', ( -1.17388330315735, 2.74545112463818, -0.960486979093438 ) ); +#2834 = CARTESIAN_POINT( '', ( -1.17390708756448, 2.74551647476639, -0.955244704729874 ) ); +#2835 = CARTESIAN_POINT( '', ( -1.17391303859689, 2.74553282584585, -0.952267280313454 ) ); +#2836 = CARTESIAN_POINT( '', ( -1.17391303859689, 2.74553282584585, -0.950000000000000 ) ); +#2843 = SURFACE_SIDE_STYLE( '', ( #6334 ) ); +#2844 = EDGE_LOOP( '', ( #6335 ) ); +#2845 = EDGE_LOOP( '', ( #6336 ) ); +#2846 = AXIS2_PLACEMENT_3D( '', #6337, #6338, #6339 ); +#2847 = SURFACE_SIDE_STYLE( '', ( #6340 ) ); +#2848 = EDGE_LOOP( '', ( #6341 ) ); +#2849 = EDGE_LOOP( '', ( #6342 ) ); +#2851 = CARTESIAN_POINT( '', ( 0.597889839620540, 2.24275406575049, -1.62650080557950 ) ); +#2852 = CARTESIAN_POINT( '', ( 0.596260462324857, 2.24723094905491, -1.62650080557950 ) ); +#2853 = CARTESIAN_POINT( '', ( 0.594070216424902, 2.25324887708674, -1.62643738424374 ) ); +#2854 = CARTESIAN_POINT( '', ( 0.590250808814584, 2.26374309607995, -1.62618361916515 ) ); +#2855 = CARTESIAN_POINT( '', ( 0.588341309156537, 2.26898964466117, -1.62599327552165 ) ); +#2856 = CARTESIAN_POINT( '', ( 0.582614715770485, 2.28472405460366, -1.62523175372613 ) ); +#2857 = CARTESIAN_POINT( '', ( 0.578799494462961, 2.29520677129527, -1.62447007124536 ) ); +#2858 = CARTESIAN_POINT( '', ( 0.567372143267236, 2.32660460525158, -1.62142254999066 ) ); +#2859 = CARTESIAN_POINT( '', ( 0.559778102777940, 2.34747002001449, -1.61837380378540 ) ); +#2860 = CARTESIAN_POINT( '', ( 0.537162304872185, 2.40960927304628, -1.60618426513843 ) ); +#2861 = CARTESIAN_POINT( '', ( 0.522305980641365, 2.45042856646004, -1.59398989186989 ) ); +#2862 = CARTESIAN_POINT( '', ( 0.479221338310421, 2.56880809490929, -1.54557038883673 ) ); +#2863 = CARTESIAN_POINT( '', ( 0.452526174283924, 2.64215582993413, -1.49745268686234 ) ); +#2864 = CARTESIAN_POINT( '', ( 0.407187665240854, 2.76672809120269, -1.37439310355356 ) ); +#2865 = CARTESIAN_POINT( '', ( 0.388610487435513, 2.81777081616305, -1.29948626586581 ) ); +#2866 = CARTESIAN_POINT( '', ( 0.369543560951223, 2.87015917647127, -1.17462893532170 ) ); +#2867 = CARTESIAN_POINT( '', ( 0.364654838028749, 2.88359145031581, -1.13100948117802 ) ); +#2868 = CARTESIAN_POINT( '', ( 0.359736818448337, 2.89710421976994, -1.06398435402673 ) ); +#2869 = CARTESIAN_POINT( '', ( 0.358498495087825, 2.90050664178286, -1.04137783135523 ) ); +#2870 = CARTESIAN_POINT( '', ( 0.357257225381938, 2.90391715918561, -1.00724188368921 ) ); +#2871 = CARTESIAN_POINT( '', ( 0.356946092209191, 2.90477202988389, -0.995825381645375 ) ); +#2872 = CARTESIAN_POINT( '', ( 0.356634613356409, 2.90562785037397, -0.978663831509627 ) ); +#2873 = CARTESIAN_POINT( '', ( 0.356556653012757, 2.90584205451308, -0.972937151467888 ) ); +#2874 = CARTESIAN_POINT( '', ( 0.356452650384009, 2.90612781253457, -0.961474674641342 ) ); +#2875 = CARTESIAN_POINT( '', ( 0.356426606768076, 2.90619937007355, -0.954781289826493 ) ); +#2876 = CARTESIAN_POINT( '', ( 0.356426606768076, 2.90619937007355, -0.950000000000000 ) ); +#2877 = CARTESIAN_POINT( '', ( 0.190999230974410, 2.09466480934788, -1.87652001430896 ) ); +#2878 = CARTESIAN_POINT( '', ( 0.189046119860445, 2.10003118493258, -1.87652001430896 ) ); +#2879 = CARTESIAN_POINT( '', ( 0.185884603918692, 2.10871777825496, -1.87643509142283 ) ); +#2880 = CARTESIAN_POINT( '', ( 0.180770171408012, 2.12277021262935, -1.87609528362703 ) ); +#2881 = CARTESIAN_POINT( '', ( 0.178213181936781, 2.12979580670367, -1.87584039898365 ) ); +#2882 = CARTESIAN_POINT( '', ( 0.170544483130602, 2.15086635294428, -1.87482061612136 ) ); +#2883 = CARTESIAN_POINT( '', ( 0.165435000420880, 2.16490518722659, -1.87380058102870 ) ); +#2884 = CARTESIAN_POINT( '', ( 0.150128837058506, 2.20696046036282, -1.86971863224985 ) ); +#2885 = CARTESIAN_POINT( '', ( 0.139954125618517, 2.23491653652382, -1.86563443995998 ) ); +#2886 = CARTESIAN_POINT( '', ( 0.109635334125664, 2.31822056426775, -1.84929311453787 ) ); +#2887 = CARTESIAN_POINT( '', ( 0.0896947093478158, 2.37300950135705, -1.83293521276123 ) ); +#2888 = CARTESIAN_POINT( '', ( 0.0317396224342682, 2.53224712035275, -1.76780395925397 ) ); +#2889 = CARTESIAN_POINT( '', ( -0.00435980243767518, 2.63143403853123, -1.70289133549876 ) ); +#2890 = CARTESIAN_POINT( '', ( -0.0660566147608468, 2.80095243660770, -1.53543137845151 ) ); +#2891 = CARTESIAN_POINT( '', ( -0.0915554172046918, 2.87101304396142, -1.43287448697551 ) ); +#2892 = CARTESIAN_POINT( '', ( -0.117826850949116, 2.94319653603870, -1.26083934252438 ) ); +#2893 = CARTESIAN_POINT( '', ( -0.124584355730937, 2.96176348208466, -1.20058777067006 ) ); +#2894 = CARTESIAN_POINT( '', ( -0.131387913849311, 2.98045696445457, -1.10786561028056 ) ); +#2895 = CARTESIAN_POINT( '', ( -0.133102513422519, 2.98516800481548, -1.07656994647877 ) ); +#2896 = CARTESIAN_POINT( '', ( -0.134821516944492, 2.98989114548288, -1.02929591668744 ) ); +#2897 = CARTESIAN_POINT( '', ( -0.135252495168787, 2.99107530290426, -1.01348262669344 ) ); +#2898 = CARTESIAN_POINT( '', ( -0.135683971972083, 2.99226083022322, -0.989709546804942 ) ); +#2899 = CARTESIAN_POINT( '', ( -0.135791972927610, 2.99255757406269, -0.981776286253735 ) ); +#2900 = CARTESIAN_POINT( '', ( -0.135936052674359, 2.99295344812724, -0.965896774651555 ) ); +#2901 = CARTESIAN_POINT( '', ( -0.135972133379017, 2.99305258360969, -0.955887107801329 ) ); +#2902 = CARTESIAN_POINT( '', ( -0.135972133379017, 2.99305258360969, -0.949999999999999 ) ); +#2903 = CARTESIAN_POINT( '', ( -0.0439432389643386, 2.00915667784692, -1.44351840314996 ) ); +#2904 = CARTESIAN_POINT( '', ( -0.0453356840974168, 2.01298256543301, -1.44351840314996 ) ); +#2905 = CARTESIAN_POINT( '', ( -0.0468150833125968, 2.01704736838845, -1.44347071822645 ) ); +#2906 = CARTESIAN_POINT( '', ( -0.0496866966767086, 2.02493742427707, -1.44327992552182 ) ); +#2907 = CARTESIAN_POINT( '', ( -0.0511223157790687, 2.02888193682669, -1.44313681771780 ) ); +#2908 = CARTESIAN_POINT( '', ( -0.0554275339141864, 2.04071097068036, -1.44256431032118 ) ); +#2909 = CARTESIAN_POINT( '', ( -0.0582955197594862, 2.04859105958438, -1.44199170923875 ) ); +#2910 = CARTESIAN_POINT( '', ( -0.0668840715988189, 2.07218899754549, -1.43970125794870 ) ); +#2911 = CARTESIAN_POINT( '', ( -0.0725893877263411, 2.08786494601089, -1.43741032716533 ) ); +#2912 = CARTESIAN_POINT( '', ( -0.0895675697079990, 2.13451426391574, -1.42825937072310 ) ); +#2913 = CARTESIAN_POINT( '', ( -0.100702829731396, 2.16510954704714, -1.41911217312105 ) ); +#2914 = CARTESIAN_POINT( '', ( -0.132904189578774, 2.25358612681949, -1.38292355533107 ) ); +#2915 = CARTESIAN_POINT( '', ( -0.152716625468820, 2.30802285162918, -1.34709760946486 ) ); +#2916 = CARTESIAN_POINT( '', ( -0.186082927859962, 2.39970023200105, -1.25653347759250 ) ); +#2917 = CARTESIAN_POINT( '', ( -0.199594352871719, 2.43682427516436, -1.20186297812527 ) ); +#2918 = CARTESIAN_POINT( '', ( -0.213388492303049, 2.47472510555070, -1.11153383353116 ) ); +#2919 = CARTESIAN_POINT( '', ( -0.216909503536576, 2.48439944951505, -1.08008698353118 ) ); +#2920 = CARTESIAN_POINT( '', ( -0.220447547661091, 2.49412059311594, -1.03186883085651 ) ); +#2921 = CARTESIAN_POINT( '', ( -0.221337297141774, 2.49656527220026, -1.01562165924108 ) ); +#2922 = CARTESIAN_POINT( '', ( -0.222228926186712, 2.49901511558250, -0.991101124098049 ) ); +#2923 = CARTESIAN_POINT( '', ( -0.222452347956951, 2.49962899009499, -0.982902514096110 ) ); +#2924 = CARTESIAN_POINT( '', ( -0.222676003504544, 2.50024350693502, -0.970579766562935 ) ); +#2925 = CARTESIAN_POINT( '', ( -0.222731977924157, 2.50039730246495, -0.966468024048710 ) ); +#2926 = CARTESIAN_POINT( '', ( -0.222806649177245, 2.50060246948621, -0.958238257376587 ) ); +#2927 = CARTESIAN_POINT( '', ( -0.222825346915162, 2.50065384346260, -0.953971971091688 ) ); +#2928 = CARTESIAN_POINT( '', ( -0.222825346915162, 2.50065384346260, -0.949999999999999 ) ); +#2929 = CARTESIAN_POINT( '', ( 0.362947369681791, 2.15724593424952, -1.19349919442050 ) ); +#2930 = CARTESIAN_POINT( '', ( 0.361878658366996, 2.16018232955535, -1.19349919442050 ) ); +#2931 = CARTESIAN_POINT( '', ( 0.361370529193612, 2.16157846722023, -1.19347301104736 ) ); +#2932 = CARTESIAN_POINT( '', ( 0.359793940729863, 2.16591030772766, -1.19336826105994 ) ); +#2933 = CARTESIAN_POINT( '', ( 0.359005811440687, 2.16807577478419, -1.19328969425580 ) ); +#2934 = CARTESIAN_POINT( '', ( 0.356642698725696, 2.17456867233975, -1.19297544792595 ) ); +#2935 = CARTESIAN_POINT( '', ( 0.355068974282595, 2.17889264365306, -1.19266119945541 ) ); +#2936 = CARTESIAN_POINT( '', ( 0.350359234609909, 2.19183314243425, -1.19140517568951 ) ); +#2937 = CARTESIAN_POINT( '', ( 0.347234589433082, 2.20041842950157, -1.19014969099074 ) ); +#2938 = CARTESIAN_POINT( '', ( 0.337959401038523, 2.22590297269428, -1.18515052132365 ) ); +#2939 = CARTESIAN_POINT( '', ( 0.331908441562154, 2.24252861215012, -1.18016685222971 ) ); +#2940 = CARTESIAN_POINT( '', ( 0.314577526297377, 2.29014710137602, -1.16068998491383 ) ); +#2941 = CARTESIAN_POINT( '', ( 0.304169351252782, 2.31874464303207, -1.14165896082843 ) ); +#2942 = CARTESIAN_POINT( '', ( 0.287161352141735, 2.36547588659603, -1.09549520269454 ) ); +#2943 = CARTESIAN_POINT( '', ( 0.280571551768482, 2.38358204736599, -1.06847475701557 ) ); +#2944 = CARTESIAN_POINT( '', ( 0.273981919597293, 2.40168774598327, -1.02532342632847 ) ); +#2945 = CARTESIAN_POINT( '', ( 0.272329690223113, 2.40622741774622, -1.01050869403914 ) ); +#2946 = CARTESIAN_POINT( '', ( 0.270677184636556, 2.41076784843131, -0.987987574602692 ) ); +#2947 = CARTESIAN_POINT( '', ( 0.270263711368571, 2.41190390916764, -0.980429544117544 ) ); +#2948 = CARTESIAN_POINT( '', ( 0.269849816139718, 2.41304112928524, -0.969047091099827 ) ); +#2949 = CARTESIAN_POINT( '', ( 0.269746239421027, 2.41332571707462, -0.965245269048039 ) ); +#2950 = CARTESIAN_POINT( '', ( 0.269642581823948, 2.41361052708577, -0.959534051267621 ) ); +#2951 = CARTESIAN_POINT( '', ( 0.269616648016209, 2.41368178291534, -0.957628889262864 ) ); +#2952 = CARTESIAN_POINT( '', ( 0.269582053881123, 2.41377683389353, -0.953816157366373 ) ); +#2953 = CARTESIAN_POINT( '', ( 0.269573393231931, 2.41380062992646, -0.952866153116852 ) ); +#2954 = CARTESIAN_POINT( '', ( 0.269573393231931, 2.41380062992646, -0.950000000000000 ) ); +#2955 = CARTESIAN_POINT( '', ( 0.769837978327921, 2.30533519065212, -0.943479985691036 ) ); +#2956 = CARTESIAN_POINT( '', ( 0.769093000831408, 2.30738209367769, -0.943479985691036 ) ); +#2957 = CARTESIAN_POINT( '', ( 0.769556141699819, 2.30610956605202, -0.943475303868259 ) ); +#2958 = CARTESIAN_POINT( '', ( 0.769274578136434, 2.30688319117825, -0.943456596598057 ) ); +#2959 = CARTESIAN_POINT( '', ( 0.769133938660442, 2.30726961274168, -0.943442570793792 ) ); +#2960 = CARTESIAN_POINT( '', ( 0.768712931365579, 2.30842637399913, -0.943386585530717 ) ); +#2961 = CARTESIAN_POINT( '', ( 0.768433468324677, 2.30919422772174, -0.943330689672073 ) ); +#2962 = CARTESIAN_POINT( '', ( 0.767602540818639, 2.31147728732300, -0.943109093430319 ) ); +#2963 = CARTESIAN_POINT( '', ( 0.767058566592503, 2.31297191299224, -0.942889054816160 ) ); +#2964 = CARTESIAN_POINT( '', ( 0.765486371785044, 2.31729168147282, -0.942041671924204 ) ); +#2965 = CARTESIAN_POINT( '', ( 0.764519712855705, 2.31994767725311, -0.941221531338376 ) ); +#2966 = CARTESIAN_POINT( '', ( 0.762059242173529, 2.32670807593254, -0.938456414496588 ) ); +#2967 = CARTESIAN_POINT( '', ( 0.761055327974384, 2.32946643443497, -0.936220312192007 ) ); +#2968 = CARTESIAN_POINT( '', ( 0.760405632143434, 2.33125154119101, -0.934456927796592 ) ); +#2969 = CARTESIAN_POINT( '', ( 0.760737456408684, 2.33033981956761, -0.935086535905877 ) ); +#2970 = CARTESIAN_POINT( '', ( 0.761352331497634, 2.32865038641584, -0.939113019125783 ) ); +#2971 = CARTESIAN_POINT( '', ( 0.761568883982803, 2.32805538597738, -0.940930404547097 ) ); +#2972 = CARTESIAN_POINT( '', ( 0.761801916934204, 2.32741510374668, -0.944106318348869 ) ); +#2973 = CARTESIAN_POINT( '', ( 0.761864719878914, 2.32724254613502, -0.945237428994010 ) ); +#2974 = CARTESIAN_POINT( '', ( 0.761928558466148, 2.32706714298798, -0.946993058101603 ) ); +#2975 = CARTESIAN_POINT( '', ( 0.761944826799007, 2.32702244405425, -0.947588023999969 ) ); +#2976 = CARTESIAN_POINT( '', ( 0.761961167152439, 2.32697754723651, -0.948488335972306 ) ); +#2977 = CARTESIAN_POINT( '', ( 0.761965273956577, 2.32696626336572, -0.948789754477018 ) ); +#2978 = CARTESIAN_POINT( '', ( 0.761970756939490, 2.32695119830085, -0.949394057356159 ) ); +#2979 = CARTESIAN_POINT( '', ( 0.761972133379024, 2.32694741639031, -0.951760335142015 ) ); +#2980 = CARTESIAN_POINT( '', ( 0.761972133379024, 2.32694741639031, -0.949999999999999 ) ); +#2981 = CARTESIAN_POINT( '', ( 1.00478044826667, 2.39084332215309, -1.37648159685004 ) ); +#2982 = CARTESIAN_POINT( '', ( 1.00347480478927, 2.39443071317725, -1.37648159685004 ) ); +#2983 = CARTESIAN_POINT( '', ( 1.00225582893111, 2.39777997591852, -1.37643967706464 ) ); +#2984 = CARTESIAN_POINT( '', ( 0.999731446221154, 2.40471597953054, -1.37627195470327 ) ); +#2985 = CARTESIAN_POINT( '', ( 0.998469436376291, 2.40818348261866, -1.37614615205964 ) ); +#2986 = CARTESIAN_POINT( '', ( 0.994684948410367, 2.41858175626305, -1.37564289133090 ) ); +#2987 = CARTESIAN_POINT( '', ( 0.992163988505041, 2.42550835536395, -1.37513956146202 ) ); +#2988 = CARTESIAN_POINT( '', ( 0.984615449475965, 2.44624875014033, -1.37312646773147 ) ); +#2989 = CARTESIAN_POINT( '', ( 0.979602079937362, 2.46002350350517, -1.37111316761082 ) ); +#2990 = CARTESIAN_POINT( '', ( 0.964689275618707, 2.50099798182482, -1.36307541573898 ) ); +#2991 = CARTESIAN_POINT( '', ( 0.954917251934915, 2.52784763156301, -1.35504457097855 ) ); +#2992 = CARTESIAN_POINT( '', ( 0.926703054186574, 2.60536906946582, -1.32333681841949 ) ); +#2993 = CARTESIAN_POINT( '', ( 0.909412151005523, 2.65287762133701, -1.29201403822591 ) ); +#2994 = CARTESIAN_POINT( '', ( 0.880431945242555, 2.73250374579767, -1.21335482865561 ) ); +#2995 = CARTESIAN_POINT( '', ( 0.868776392075716, 2.76452858836468, -1.16609804475611 ) ); +#2996 = CARTESIAN_POINT( '', ( 0.856913972851562, 2.79712181690384, -1.08841852811901 ) ); +#2997 = CARTESIAN_POINT( '', ( 0.853894031788435, 2.80541941854696, -1.06143119168598 ) ); +#2998 = CARTESIAN_POINT( '', ( 0.850861550745987, 2.81375147508531, -1.02010309777291 ) ); +#2999 = CARTESIAN_POINT( '', ( 0.850099503598168, 2.81584527875025, -1.00618571623170 ) ); +#3000 = CARTESIAN_POINT( '', ( 0.849335967708369, 2.81794317288835, -0.985187850690991 ) ); +#3001 = CARTESIAN_POINT( '', ( 0.849144679587170, 2.81846875686353, -0.978168136597304 ) ); +#3002 = CARTESIAN_POINT( '', ( 0.848953198684901, 2.81899487052472, -0.967618116214312 ) ); +#3003 = CARTESIAN_POINT( '', ( 0.848905278953124, 2.81912653496346, -0.964098016682041 ) ); +#3004 = CARTESIAN_POINT( '', ( 0.848841353442376, 2.81930217694188, -0.957052574631129 ) ); +#3005 = CARTESIAN_POINT( '', ( 0.848825346915169, 2.81934615653740, -0.953675471851655 ) ); +#3006 = CARTESIAN_POINT( '', ( 0.848825346915169, 2.81934615653740, -0.949999999999999 ) ); +#3007 = CARTESIAN_POINT( '', ( 0.597889839620540, 2.24275406575049, -1.62650080557950 ) ); +#3008 = CARTESIAN_POINT( '', ( 0.596260462324857, 2.24723094905491, -1.62650080557950 ) ); +#3009 = CARTESIAN_POINT( '', ( 0.594070216424902, 2.25324887708674, -1.62643738424374 ) ); +#3010 = CARTESIAN_POINT( '', ( 0.590250808814584, 2.26374309607995, -1.62618361916515 ) ); +#3011 = CARTESIAN_POINT( '', ( 0.588341309156537, 2.26898964466117, -1.62599327552165 ) ); +#3012 = CARTESIAN_POINT( '', ( 0.582614715770485, 2.28472405460366, -1.62523175372613 ) ); +#3013 = CARTESIAN_POINT( '', ( 0.578799494462961, 2.29520677129527, -1.62447007124536 ) ); +#3014 = CARTESIAN_POINT( '', ( 0.567372143267236, 2.32660460525158, -1.62142254999066 ) ); +#3015 = CARTESIAN_POINT( '', ( 0.559778102777940, 2.34747002001449, -1.61837380378540 ) ); +#3016 = CARTESIAN_POINT( '', ( 0.537162304872185, 2.40960927304628, -1.60618426513843 ) ); +#3017 = CARTESIAN_POINT( '', ( 0.522305980641365, 2.45042856646004, -1.59398989186989 ) ); +#3018 = CARTESIAN_POINT( '', ( 0.479221338310421, 2.56880809490929, -1.54557038883673 ) ); +#3019 = CARTESIAN_POINT( '', ( 0.452526174283924, 2.64215582993413, -1.49745268686234 ) ); +#3020 = CARTESIAN_POINT( '', ( 0.407187665240854, 2.76672809120269, -1.37439310355356 ) ); +#3021 = CARTESIAN_POINT( '', ( 0.388610487435513, 2.81777081616305, -1.29948626586581 ) ); +#3022 = CARTESIAN_POINT( '', ( 0.369543560951223, 2.87015917647127, -1.17462893532170 ) ); +#3023 = CARTESIAN_POINT( '', ( 0.364654838028749, 2.88359145031581, -1.13100948117802 ) ); +#3024 = CARTESIAN_POINT( '', ( 0.359736818448337, 2.89710421976994, -1.06398435402673 ) ); +#3025 = CARTESIAN_POINT( '', ( 0.358498495087825, 2.90050664178286, -1.04137783135523 ) ); +#3026 = CARTESIAN_POINT( '', ( 0.357257225381938, 2.90391715918561, -1.00724188368921 ) ); +#3027 = CARTESIAN_POINT( '', ( 0.356946092209191, 2.90477202988389, -0.995825381645375 ) ); +#3028 = CARTESIAN_POINT( '', ( 0.356634613356409, 2.90562785037397, -0.978663831509627 ) ); +#3029 = CARTESIAN_POINT( '', ( 0.356556653012757, 2.90584205451308, -0.972937151467888 ) ); +#3030 = CARTESIAN_POINT( '', ( 0.356452650384009, 2.90612781253457, -0.961474674641342 ) ); +#3031 = CARTESIAN_POINT( '', ( 0.356426606768076, 2.90619937007355, -0.954781289826493 ) ); +#3032 = CARTESIAN_POINT( '', ( 0.356426606768076, 2.90619937007355, -0.950000000000000 ) ); +#3039 = SURFACE_SIDE_STYLE( '', ( #6343 ) ); +#3040 = EDGE_LOOP( '', ( #6344 ) ); +#3041 = EDGE_LOOP( '', ( #6345 ) ); +#3042 = AXIS2_PLACEMENT_3D( '', #6346, #6347, #6348 ); +#3043 = SURFACE_SIDE_STYLE( '', ( #6349 ) ); +#3044 = EDGE_LOOP( '', ( #6350 ) ); +#3045 = EDGE_LOOP( '', ( #6351 ) ); +#3046 = AXIS2_PLACEMENT_3D( '', #6352, #6353, #6354 ); +#3047 = SURFACE_SIDE_STYLE( '', ( #6355 ) ); +#3048 = EDGE_LOOP( '', ( #6356, #6357, #6358, #6359 ) ); +#3049 = AXIS2_PLACEMENT_3D( '', #6360, #6361, #6362 ); +#3050 = SURFACE_SIDE_STYLE( '', ( #6363 ) ); +#3051 = EDGE_LOOP( '', ( #6364 ) ); +#3052 = EDGE_LOOP( '', ( #6365 ) ); +#3053 = AXIS2_PLACEMENT_3D( '', #6366, #6367, #6368 ); +#3054 = SURFACE_SIDE_STYLE( '', ( #6369 ) ); +#3055 = EDGE_LOOP( '', ( #6370, #6371, #6372, #6373 ) ); +#3056 = AXIS2_PLACEMENT_3D( '', #6374, #6375, #6376 ); +#3057 = SURFACE_SIDE_STYLE( '', ( #6377 ) ); +#3058 = EDGE_LOOP( '', ( #6378 ) ); +#3059 = AXIS2_PLACEMENT_3D( '', #6379, #6380, #6381 ); +#3060 = SURFACE_SIDE_STYLE( '', ( #6382 ) ); +#3061 = EDGE_LOOP( '', ( #6383 ) ); +#3062 = EDGE_LOOP( '', ( #6384 ) ); +#3063 = AXIS2_PLACEMENT_3D( '', #6385, #6386, #6387 ); +#3064 = SURFACE_SIDE_STYLE( '', ( #6388 ) ); +#3065 = EDGE_LOOP( '', ( #6389 ) ); +#3066 = EDGE_LOOP( '', ( #6390 ) ); +#3067 = AXIS2_PLACEMENT_3D( '', #6391, #6392, #6393 ); +#3068 = SURFACE_SIDE_STYLE( '', ( #6394 ) ); +#3069 = EDGE_LOOP( '', ( #6395 ) ); +#3070 = EDGE_LOOP( '', ( #6396 ) ); +#3071 = AXIS2_PLACEMENT_3D( '', #6397, #6398, #6399 ); +#3072 = SURFACE_SIDE_STYLE( '', ( #6400 ) ); +#3073 = EDGE_LOOP( '', ( #6401, #6402, #6403, #6404 ) ); +#3074 = AXIS2_PLACEMENT_3D( '', #6405, #6406, #6407 ); +#3075 = SURFACE_SIDE_STYLE( '', ( #6408 ) ); +#3076 = EDGE_LOOP( '', ( #6409 ) ); +#3077 = EDGE_LOOP( '', ( #6410 ) ); +#3079 = CARTESIAN_POINT( '', ( -0.437980790532585, -0.723482559598888, -0.950000000000000 ) ); +#3080 = CARTESIAN_POINT( '', ( -0.437980790532585, -0.723482559598888, -0.952267280244940 ) ); +#3081 = CARTESIAN_POINT( '', ( -0.437986741564633, -0.723498910677354, -0.955244704573440 ) ); +#3082 = CARTESIAN_POINT( '', ( -0.438010525970377, -0.723564260801765, -0.960486978786559 ) ); +#3083 = CARTESIAN_POINT( '', ( -0.438028359842509, -0.723613261217209, -0.963107256846319 ) ); +#3084 = CARTESIAN_POINT( '', ( -0.438099655329811, -0.723809152971459, -0.970964970370250 ) ); +#3085 = CARTESIAN_POINT( '', ( -0.438170913032902, -0.724004940909664, -0.976199319844055 ) ); +#3086 = CARTESIAN_POINT( '', ( -0.438455618026728, -0.724787197442210, -0.991885710503872 ) ); +#3087 = CARTESIAN_POINT( '', ( -0.438740011456055, -0.725568597918960, -1.00232120460416 ) ); +#3088 = CARTESIAN_POINT( '', ( -0.439874689535825, -0.728686243761151, -1.03352579358308 ) ); +#3089 = CARTESIAN_POINT( '', ( -0.441006746496053, -0.731796687805215, -1.05419360194380 ) ); +#3090 = CARTESIAN_POINT( '', ( -0.445504066138038, -0.744153540495666, -1.11548522759822 ) ); +#3091 = CARTESIAN_POINT( '', ( -0.449975827904688, -0.756440170269838, -1.15539373731821 ) ); +#3092 = CARTESIAN_POINT( '', ( -0.467435290532170, -0.804411856722349, -1.26972479337643 ) ); +#3093 = CARTESIAN_POINT( '', ( -0.484468121227212, -0.851211327641633, -1.33846237479238 ) ); +#3094 = CARTESIAN_POINT( '', ( -0.526156779681173, -0.965755245438452, -1.45161538485896 ) ); +#3095 = CARTESIAN_POINT( '', ( -0.550753673123614, -1.03333776416203, -1.49598581796253 ) ); +#3096 = CARTESIAN_POINT( '', ( -0.590520434286429, -1.14260106959350, -1.54067660868429 ) ); +#3097 = CARTESIAN_POINT( '', ( -0.604242353561003, -1.18030346760028, -1.55194201868617 ) ); +#3098 = CARTESIAN_POINT( '', ( -0.625139465680456, -1.23772045297643, -1.56320521375989 ) ); +#3099 = CARTESIAN_POINT( '', ( -0.632157708893353, -1.25700380493518, -1.56602293197932 ) ); +#3100 = CARTESIAN_POINT( '', ( -0.642719622505265, -1.28602375926823, -1.56883965249264 ) ); +#3101 = CARTESIAN_POINT( '', ( -0.646246069195656, -1.29571303771466, -1.56954369151650 ) ); +#3102 = CARTESIAN_POINT( '', ( -0.651539341485568, -1.31025685295213, -1.57024759027850 ) ); +#3103 = CARTESIAN_POINT( '', ( -0.653304373702365, -1.31510646223790, -1.57042353357750 ) ); +#3104 = CARTESIAN_POINT( '', ( -0.656834836323306, -1.32480677487052, -1.57065810086250 ) ); +#3105 = CARTESIAN_POINT( '', ( -0.658808373191288, -1.33022927233526, -1.57071672478645 ) ); +#3106 = CARTESIAN_POINT( '', ( -0.660365519215899, -1.33450769272237, -1.57071672478645 ) ); +#3107 = CARTESIAN_POINT( '', ( -0.00494590973036017, -0.473520978533710, -0.949999999999999 ) ); +#3108 = CARTESIAN_POINT( '', ( -0.00494590973036017, -0.473520978533710, -0.953114247277681 ) ); +#3109 = CARTESIAN_POINT( '', ( -0.00495570442872364, -0.473547890484484, -0.958632124162889 ) ); +#3110 = CARTESIAN_POINT( '', ( -0.00499485021157956, -0.473655447587541, -0.967260170916290 ) ); +#3111 = CARTESIAN_POINT( '', ( -0.00502420214769622, -0.473736095079744, -0.971572743473765 ) ); +#3112 = CARTESIAN_POINT( '', ( -0.00514154137494516, -0.474058496790400, -0.984505093511894 ) ); +#3113 = CARTESIAN_POINT( '', ( -0.00525881642965269, -0.474380722180332, -0.993119567016293 ) ); +#3114 = CARTESIAN_POINT( '', ( -0.00572733884774325, -0.475668036172519, -1.01893375067214 ) ); +#3115 = CARTESIAN_POINT( '', ( -0.00619531548542923, -0.476953850576388, -1.03610441197327 ) ); +#3116 = CARTESIAN_POINT( '', ( -0.00806180439967522, -0.482082222673053, -1.08743441547327 ) ); +#3117 = CARTESIAN_POINT( '', ( -0.00992343938624428, -0.487197258099396, -1.12141258119036 ) ); +#3118 = CARTESIAN_POINT( '', ( -0.0173090987382119, -0.507490124050497, -1.22206790135169 ) ); +#3119 = CARTESIAN_POINT( '', ( -0.0246435317264204, -0.527642240248128, -1.28745412286806 ) ); +#3120 = CARTESIAN_POINT( '', ( -0.0531391330772122, -0.605936863767865, -1.47405395266731 ) ); +#3121 = CARTESIAN_POINT( '', ( -0.0807747722488372, -0.681868651907160, -1.58514694089440 ) ); +#3122 = CARTESIAN_POINT( '', ( -0.147521703458137, -0.865262775996611, -1.76631413659739 ) ); +#3123 = CARTESIAN_POINT( '', ( -0.186524402277512, -0.972426740803777, -1.83641167109724 ) ); +#3124 = CARTESIAN_POINT( '', ( -0.249070277289415, -1.14427802677907, -1.90670215792728 ) ); +#3125 = CARTESIAN_POINT( '', ( -0.270580521908771, -1.20337965736256, -1.92434541945416 ) ); +#3126 = CARTESIAN_POINT( '', ( -0.303277373065694, -1.29321765095668, -1.94196847955697 ) ); +#3127 = CARTESIAN_POINT( '', ( -0.314248786338993, -1.32336274812932, -1.94637233349064 ) ); +#3128 = CARTESIAN_POINT( '', ( -0.330752412139868, -1.36870817365248, -1.95077362943962 ) ); +#3129 = CARTESIAN_POINT( '', ( -0.336261457728551, -1.38384484843288, -1.95187342282109 ) ); +#3130 = CARTESIAN_POINT( '', ( -0.344529721043016, -1.40656276041513, -1.95297293570722 ) ); +#3131 = CARTESIAN_POINT( '', ( -0.347286602806140, -1.41413757931700, -1.95324774536412 ) ); +#3132 = CARTESIAN_POINT( '', ( -0.352800833869153, -1.42928850172656, -1.95361411615510 ) ); +#3133 = CARTESIAN_POINT( '', ( -0.356262199158944, -1.43879896226720, -1.95370567697132 ) ); +#3134 = CARTESIAN_POINT( '', ( -0.358315252968938, -1.44443994078667, -1.95370567697132 ) ); +#3135 = CARTESIAN_POINT( '', ( 0.245015671334816, -0.906555859335935, -0.949999999999999 ) ); +#3136 = CARTESIAN_POINT( '', ( 0.245015671334816, -0.906555859335935, -0.952403408143927 ) ); +#3137 = CARTESIAN_POINT( '', ( 0.245009102533482, -0.906573907799663, -0.955789144078018 ) ); +#3138 = CARTESIAN_POINT( '', ( 0.244982849186314, -0.906646041596997, -0.961575593075443 ) ); +#3139 = CARTESIAN_POINT( '', ( 0.244963164085279, -0.906700128456070, -0.964467863415346 ) ); +#3140 = CARTESIAN_POINT( '', ( 0.244884468265569, -0.906916353392014, -0.973141192636342 ) ); +#3141 = CARTESIAN_POINT( '', ( 0.244805814471309, -0.907132462858675, -0.978918809043585 ) ); +#3142 = CARTESIAN_POINT( '', ( 0.244491565612379, -0.907995894228248, -0.996232978741580 ) ); +#3143 = CARTESIAN_POINT( '', ( 0.244177665962057, -0.908858366110926, -1.00775097561345 ) ); +#3144 = CARTESIAN_POINT( '', ( 0.242925368343980, -0.912299183847507, -1.04219020099389 ) ); +#3145 = CARTESIAN_POINT( '', ( 0.241676050711219, -0.915731813764984, -1.06499730275288 ) ); +#3146 = CARTESIAN_POINT( '', ( 0.236714505661299, -0.929364173966059, -1.13261561743113 ) ); +#3147 = CARTESIAN_POINT( '', ( 0.231782644027930, -0.942914975897989, -1.17661900598791 ) ); +#3148 = CARTESIAN_POINT( '', ( 0.212549409436177, -0.995760284999923, -1.30256538584439 ) ); +#3149 = CARTESIAN_POINT( '', ( 0.193812453331915, -1.04724201742700, -1.37811049614525 ) ); +#3150 = CARTESIAN_POINT( '', ( 0.148096329946519, -1.17285181331014, -1.50219501574414 ) ); +#3151 = CARTESIAN_POINT( '', ( 0.121184078357486, -1.24679601895414, -1.55070041056965 ) ); +#3152 = CARTESIAN_POINT( '', ( 0.0777561677175184, -1.36611871272532, -1.59950568593037 ) ); +#3153 = CARTESIAN_POINT( '', ( 0.0627824779196295, -1.40726048022110, -1.61179616951195 ) ); +#3154 = CARTESIAN_POINT( '', ( 0.0399888649664109, -1.46988829855903, -1.62408154730447 ) ); +#3155 = CARTESIAN_POINT( '', ( 0.0323352525905345, -1.49091739326590, -1.62715419554353 ) ); +#3156 = CARTESIAN_POINT( '', ( 0.0208183634419173, -1.52256124204486, -1.63022559530233 ) ); +#3157 = CARTESIAN_POINT( '', ( 0.0169732655973176, -1.53312604762427, -1.63099324153537 ) ); +#3158 = CARTESIAN_POINT( '', ( 0.0112018409566957, -1.54898363609371, -1.63176072496766 ) ); +#3159 = CARTESIAN_POINT( '', ( 0.00927739474899568, -1.55427125187206, -1.63195255845962 ) ); +#3160 = CARTESIAN_POINT( '', ( 0.00542809300013517, -1.56484760811493, -1.63220830972659 ) ); +#3161 = CARTESIAN_POINT( '', ( 0.00321542645025921, -1.57092713921044, -1.63227222739843 ) ); +#3162 = CARTESIAN_POINT( '', ( 0.00157857616053998, -1.57542455534194, -1.63227222739843 ) ); +#3163 = CARTESIAN_POINT( '', ( -0.188019209467408, -1.15651744040111, -0.950000000000000 ) ); +#3164 = CARTESIAN_POINT( '', ( -0.188019209467408, -1.15651744040111, -0.951556441111185 ) ); +#3165 = CARTESIAN_POINT( '', ( -0.188021934602427, -1.15652492799253, -0.952401724488567 ) ); +#3166 = CARTESIAN_POINT( '', ( -0.188032826572483, -1.15655485481122, -0.954802400945710 ) ); +#3167 = CARTESIAN_POINT( '', ( -0.188040993609535, -1.15657729459353, -0.956002376787898 ) ); +#3168 = CARTESIAN_POINT( '', ( -0.188073645689296, -1.15666700957307, -0.959601069494698 ) ); +#3169 = CARTESIAN_POINT( '', ( -0.188106282131940, -1.15675668158801, -0.961998561871346 ) ); +#3170 = CARTESIAN_POINT( '', ( -0.188236713566604, -1.15711505549794, -0.969184938573317 ) ); +#3171 = CARTESIAN_POINT( '', ( -0.188367030008569, -1.15747311345350, -0.973967768244341 ) ); +#3172 = CARTESIAN_POINT( '', ( -0.188887516792170, -1.15890320493560, -0.988281579103710 ) ); +#3173 = CARTESIAN_POINT( '', ( -0.189407256398588, -1.16033124347080, -0.997778323506322 ) ); +#3174 = CARTESIAN_POINT( '', ( -0.191480461738531, -1.16602759041123, -1.02603294367765 ) ); +#3175 = CARTESIAN_POINT( '', ( -0.193549652150338, -1.17171290591970, -1.04455862043806 ) ); +#3176 = CARTESIAN_POINT( '', ( -0.201746748018780, -1.19423527795441, -1.09823622655351 ) ); +#3177 = CARTESIAN_POINT( '', ( -0.209880895646466, -1.21658469316148, -1.13142593004322 ) ); +#3178 = CARTESIAN_POINT( '', ( -0.230538746276510, -1.27334428275198, -1.18749626400571 ) ); +#3179 = CARTESIAN_POINT( '', ( -0.243045192488615, -1.30770704231241, -1.21027455743493 ) ); +#3180 = CARTESIAN_POINT( '', ( -0.263693989279496, -1.36444175553976, -1.23348013668739 ) ); +#3181 = CARTESIAN_POINT( '', ( -0.270879353732606, -1.38418429045882, -1.23939276874396 ) ); +#3182 = CARTESIAN_POINT( '', ( -0.281873227648348, -1.41439110057878, -1.24531828150738 ) ); +#3183 = CARTESIAN_POINT( '', ( -0.285573669963823, -1.42455845007176, -1.24680479403222 ) ); +#3184 = CARTESIAN_POINT( '', ( -0.291148846923480, -1.43987682766061, -1.24829161835534 ) ); +#3185 = CARTESIAN_POINT( '', ( -0.293011345869787, -1.44499423690605, -1.24866351023078 ) ); +#3186 = CARTESIAN_POINT( '', ( -0.295807779485856, -1.45267772863071, -1.24903537953895 ) ); +#3187 = CARTESIAN_POINT( '', ( -0.296740376147229, -1.45524013479295, -1.24912834667300 ) ); +#3188 = CARTESIAN_POINT( '', ( -0.298605909454016, -1.46036588125889, -1.24925229443400 ) ); +#3189 = CARTESIAN_POINT( '', ( -0.299330747582085, -1.46235744927850, -1.24928327521355 ) ); +#3190 = CARTESIAN_POINT( '', ( -0.300471690086421, -1.46549230727763, -1.24928327521355 ) ); +#3191 = CARTESIAN_POINT( '', ( -0.621054090269634, -1.40647902146629, -0.949999999999999 ) ); +#3192 = CARTESIAN_POINT( '', ( -0.621054090269634, -1.40647902146629, -0.950709474078443 ) ); +#3193 = CARTESIAN_POINT( '', ( -0.621052971738334, -1.40647594818540, -0.949014304899116 ) ); +#3194 = CARTESIAN_POINT( '', ( -0.621048502331278, -1.40646366802545, -0.948029208815977 ) ); +#3195 = CARTESIAN_POINT( '', ( -0.621045151304349, -1.40645446073100, -0.947536890160452 ) ); +#3196 = CARTESIAN_POINT( '', ( -0.621031759644162, -1.40641766575413, -0.946060946353054 ) ); +#3197 = CARTESIAN_POINT( '', ( -0.621018378735190, -1.40638090031734, -0.945078314699107 ) ); +#3198 = CARTESIAN_POINT( '', ( -0.620964992745588, -1.40623421676763, -0.942136898405053 ) ); +#3199 = CARTESIAN_POINT( '', ( -0.620911725979194, -1.40608786079607, -0.940184560875234 ) ); +#3200 = CARTESIAN_POINT( '', ( -0.620700401928319, -1.40550722602370, -0.934372957213525 ) ); +#3201 = CARTESIAN_POINT( '', ( -0.620490563508394, -1.40493067317662, -0.930559344259764 ) ); +#3202 = CARTESIAN_POINT( '', ( -0.619675429138360, -1.40269100685640, -0.919450269924179 ) ); +#3203 = CARTESIAN_POINT( '', ( -0.618881948328606, -1.40051083594141, -0.912498234888202 ) ); +#3204 = CARTESIAN_POINT( '', ( -0.616042905473737, -1.39271027090889, -0.893907067262635 ) ); +#3205 = CARTESIAN_POINT( '', ( -0.613574244624847, -1.38592736889595, -0.884741363941187 ) ); +#3206 = CARTESIAN_POINT( '', ( -0.609173822499538, -1.37383675219382, -0.872797512267284 ) ); +#3207 = CARTESIAN_POINT( '', ( -0.607274463334716, -1.36861806567067, -0.869848704300205 ) ); +#3208 = CARTESIAN_POINT( '', ( -0.605144146276509, -1.36276479835419, -0.867454587444408 ) ); +#3209 = CARTESIAN_POINT( '', ( -0.604541185384842, -1.36110810069654, -0.866989367975968 ) ); +#3210 = CARTESIAN_POINT( '', ( -0.603735320263108, -1.35889390259854, -0.866555015710294 ) ); +#3211 = CARTESIAN_POINT( '', ( -0.603482592518179, -1.35819950687762, -0.866455392520900 ) ); +#3212 = CARTESIAN_POINT( '', ( -0.603116057288877, -1.35719241327636, -0.866357641408351 ) ); +#3213 = CARTESIAN_POINT( '', ( -0.602995957336891, -1.35686242618783, -0.866333778926191 ) ); +#3214 = CARTESIAN_POINT( '', ( -0.602817399928407, -1.35637182116771, -0.866310034110235 ) ); +#3215 = CARTESIAN_POINT( '', ( -0.602758147043454, -1.35620901771385, -0.866304134886381 ) ); +#3216 = CARTESIAN_POINT( '', ( -0.602639911908168, -1.35588415440284, -0.866296279141411 ) ); +#3217 = CARTESIAN_POINT( '', ( -0.601876921614429, -1.35378775934656, -0.866294323028677 ) ); +#3218 = CARTESIAN_POINT( '', ( -0.602521956333382, -1.35556005921333, -0.866294323028677 ) ); +#3219 = CARTESIAN_POINT( '', ( -0.871015671334810, -0.973444140664064, -0.949999999999999 ) ); +#3220 = CARTESIAN_POINT( '', ( -0.871015671334810, -0.973444140664064, -0.951420313212197 ) ); +#3221 = CARTESIAN_POINT( '', ( -0.871017778700541, -0.973449930870224, -0.951857284983989 ) ); +#3222 = CARTESIAN_POINT( '', ( -0.871026201729172, -0.973473074015989, -0.953713786656826 ) ); +#3223 = CARTESIAN_POINT( '', ( -0.871032517537322, -0.973490427354673, -0.954641770218871 ) ); +#3224 = CARTESIAN_POINT( '', ( -0.871057769284676, -0.973559809152518, -0.957424847228606 ) ); +#3225 = CARTESIAN_POINT( '', ( -0.871083009636149, -0.973629159638996, -0.959279072671816 ) ); +#3226 = CARTESIAN_POINT( '', ( -0.871183897205711, -0.973906358711900, -0.964837670335609 ) ); +#3227 = CARTESIAN_POINT( '', ( -0.871284707426680, -0.974183345261533, -0.968537997235055 ) ); +#3228 = CARTESIAN_POINT( '', ( -0.871687574671973, -0.975290264849249, -0.979617171692899 ) ); +#3229 = CARTESIAN_POINT( '', ( -0.872090053605860, -0.976396117511035, -0.986974622697247 ) ); +#3230 = CARTESIAN_POINT( '', ( -0.873699033537863, -0.980816956940836, -1.00890255384475 ) ); +#3231 = CARTESIAN_POINT( '', ( -0.875308124082955, -0.985238100291550, -1.02333335176835 ) ); +#3232 = CARTESIAN_POINT( '', ( -0.881731447987126, -1.00288684967683, -1.06539563408555 ) ); +#3233 = CARTESIAN_POINT( '', ( -0.888161470205585, -1.02055400337611, -1.09177780869035 ) ); +#3234 = CARTESIAN_POINT( '', ( -0.904791855904207, -1.06624771488029, -1.13691663312052 ) ); +#3235 = CARTESIAN_POINT( '', ( -0.914982943969714, -1.09424878752029, -1.15555996482781 ) ); +#3236 = CARTESIAN_POINT( '', ( -0.931970591283442, -1.14092411240794, -1.17465105944131 ) ); +#3237 = CARTESIAN_POINT( '', ( -0.937904185213235, -1.15722727783800, -1.17953861791817 ) ); +#3238 = CARTESIAN_POINT( '', ( -0.947001558295217, -1.18222325499618, -1.18444194796280 ) ); +#3239 = CARTESIAN_POINT( '', ( -0.950066631447710, -1.19064486174104, -1.18567353046801 ) ); +#3240 = CARTESIAN_POINT( '', ( -0.954686832870661, -1.20333934488397, -1.18690567554565 ) ); +#3241 = CARTESIAN_POINT( '', ( -0.956230680662761, -1.20758122699644, -1.18721396021192 ) ); +#3242 = CARTESIAN_POINT( '', ( -0.958548961928119, -1.21395094548913, -1.18752224484979 ) ); +#3243 = CARTESIAN_POINT( '', ( -0.959322144598590, -1.21607534515880, -1.18759932179088 ) ); +#3244 = CARTESIAN_POINT( '', ( -0.960868838777457, -1.22032504801447, -1.18770208556992 ) ); +#3245 = CARTESIAN_POINT( '', ( -0.961354547223632, -1.22165958240332, -1.18772777260157 ) ); +#3246 = CARTESIAN_POINT( '', ( -0.962415785462860, -1.22457544465807, -1.18772777260157 ) ); +#3247 = CARTESIAN_POINT( '', ( -0.437980790532585, -0.723482559598888, -0.950000000000000 ) ); +#3248 = CARTESIAN_POINT( '', ( -0.437980790532585, -0.723482559598888, -0.952267280244940 ) ); +#3249 = CARTESIAN_POINT( '', ( -0.437986741564633, -0.723498910677354, -0.955244704573440 ) ); +#3250 = CARTESIAN_POINT( '', ( -0.438010525970377, -0.723564260801765, -0.960486978786559 ) ); +#3251 = CARTESIAN_POINT( '', ( -0.438028359842509, -0.723613261217209, -0.963107256846319 ) ); +#3252 = CARTESIAN_POINT( '', ( -0.438099655329811, -0.723809152971459, -0.970964970370250 ) ); +#3253 = CARTESIAN_POINT( '', ( -0.438170913032902, -0.724004940909664, -0.976199319844055 ) ); +#3254 = CARTESIAN_POINT( '', ( -0.438455618026728, -0.724787197442210, -0.991885710503872 ) ); +#3255 = CARTESIAN_POINT( '', ( -0.438740011456055, -0.725568597918960, -1.00232120460416 ) ); +#3256 = CARTESIAN_POINT( '', ( -0.439874689535825, -0.728686243761151, -1.03352579358308 ) ); +#3257 = CARTESIAN_POINT( '', ( -0.441006746496053, -0.731796687805215, -1.05419360194380 ) ); +#3258 = CARTESIAN_POINT( '', ( -0.445504066138038, -0.744153540495666, -1.11548522759822 ) ); +#3259 = CARTESIAN_POINT( '', ( -0.449975827904688, -0.756440170269838, -1.15539373731821 ) ); +#3260 = CARTESIAN_POINT( '', ( -0.467435290532170, -0.804411856722349, -1.26972479337643 ) ); +#3261 = CARTESIAN_POINT( '', ( -0.484468121227212, -0.851211327641633, -1.33846237479238 ) ); +#3262 = CARTESIAN_POINT( '', ( -0.526156779681173, -0.965755245438452, -1.45161538485896 ) ); +#3263 = CARTESIAN_POINT( '', ( -0.550753673123614, -1.03333776416203, -1.49598581796253 ) ); +#3264 = CARTESIAN_POINT( '', ( -0.590520434286429, -1.14260106959350, -1.54067660868429 ) ); +#3265 = CARTESIAN_POINT( '', ( -0.604242353561003, -1.18030346760028, -1.55194201868617 ) ); +#3266 = CARTESIAN_POINT( '', ( -0.625139465680456, -1.23772045297643, -1.56320521375989 ) ); +#3267 = CARTESIAN_POINT( '', ( -0.632157708893353, -1.25700380493518, -1.56602293197932 ) ); +#3268 = CARTESIAN_POINT( '', ( -0.642719622505265, -1.28602375926823, -1.56883965249264 ) ); +#3269 = CARTESIAN_POINT( '', ( -0.646246069195656, -1.29571303771466, -1.56954369151650 ) ); +#3270 = CARTESIAN_POINT( '', ( -0.651539341485568, -1.31025685295213, -1.57024759027850 ) ); +#3271 = CARTESIAN_POINT( '', ( -0.653304373702365, -1.31510646223790, -1.57042353357750 ) ); +#3272 = CARTESIAN_POINT( '', ( -0.656834836323306, -1.32480677487052, -1.57065810086250 ) ); +#3273 = CARTESIAN_POINT( '', ( -0.658808373191288, -1.33022927233526, -1.57071672478645 ) ); +#3274 = CARTESIAN_POINT( '', ( -0.660365519215899, -1.33450769272237, -1.57071672478645 ) ); +#3281 = SURFACE_SIDE_STYLE( '', ( #6411 ) ); +#3282 = EDGE_LOOP( '', ( #6412 ) ); +#3283 = EDGE_LOOP( '', ( #6413 ) ); +#3284 = AXIS2_PLACEMENT_3D( '', #6414, #6415, #6416 ); +#3285 = SURFACE_SIDE_STYLE( '', ( #6417 ) ); +#3286 = EDGE_LOOP( '', ( #6418 ) ); +#3287 = EDGE_LOOP( '', ( #6419 ) ); +#3289 = CARTESIAN_POINT( '', ( -1.79993579632285, 1.02547029663075, -0.950000000000000 ) ); +#3290 = CARTESIAN_POINT( '', ( -1.79993579632285, 1.02547029663075, -0.951556553841270 ) ); +#3291 = CARTESIAN_POINT( '', ( -1.79993852196945, 1.02547778562781, -0.952402175349197 ) ); +#3292 = CARTESIAN_POINT( '', ( -1.79994941598409, 1.02550771806419, -0.954803302447753 ) ); +#3293 = CARTESIAN_POINT( '', ( -1.79995758455418, 1.02553016205868, -0.956003503531885 ) ); +#3294 = CARTESIAN_POINT( '', ( -1.79999024276229, 1.02561989387651, -0.959602871665441 ) ); +#3295 = CARTESIAN_POINT( '', ( -1.80002288532978, 1.02570958272009, -0.962000813931690 ) ); +#3296 = CARTESIAN_POINT( '', ( -1.80015334123028, 1.02606802385243, -0.969188538628213 ) ); +#3297 = CARTESIAN_POINT( '', ( -1.80028368210690, 1.02642614894476, -0.973972264740247 ) ); +#3298 = CARTESIAN_POINT( '', ( -1.80080426629346, 1.02785650805161, -0.988288754263079 ) ); +#3299 = CARTESIAN_POINT( '', ( -1.80132410300566, 1.02928481339501, -0.997787270254918 ) ); +#3300 = CARTESIAN_POINT( '', ( -1.80339769277942, 1.03498221660728, -1.02604712967687 ) ); +#3301 = CARTESIAN_POINT( '', ( -1.80546726420862, 1.04066857900059, -1.04457619748374 ) ); +#3302 = CARTESIAN_POINT( '', ( -1.81366582897110, 1.06319498697421, -1.09826342246812 ) ); +#3303 = CARTESIAN_POINT( '', ( -1.82180138781722, 1.08554827965042, -1.13145876340100 ) ); +#3304 = CARTESIAN_POINT( '', ( -1.84246257366700, 1.14231703310350, -1.18753814995290 ) ); +#3305 = CARTESIAN_POINT( '', ( -1.85497093727090, 1.17668506089694, -1.21031986762202 ) ); +#3306 = CARTESIAN_POINT( '', ( -1.87562276592878, 1.23342810449369, -1.23352885415682 ) ); +#3307 = CARTESIAN_POINT( '', ( -1.88280916699668, 1.25317348761955, -1.23944233509621 ) ); +#3308 = CARTESIAN_POINT( '', ( -1.89380461144058, 1.28338461292866, -1.24536869434843 ) ); +#3309 = CARTESIAN_POINT( '', ( -1.89750557991724, 1.29355340810417, -1.24685541798563 ) ); +#3310 = CARTESIAN_POINT( '', ( -1.90308154771018, 1.30887395858953, -1.24834245321344 ) ); +#3311 = CARTESIAN_POINT( '', ( -1.90494431053752, 1.31399209287552, -1.24871439776321 ) ); +#3312 = CARTESIAN_POINT( '', ( -1.90774114012057, 1.32167667256060, -1.24908631972705 ) ); +#3313 = CARTESIAN_POINT( '', ( -1.90867386879568, 1.32423944144429, -1.24917930002007 ) ); +#3314 = CARTESIAN_POINT( '', ( -1.91053966613917, 1.32936591337847, -1.24930326532392 ) ); +#3315 = CARTESIAN_POINT( '', ( -1.91126470229504, 1.33135802550004, -1.24933425048733 ) ); +#3316 = CARTESIAN_POINT( '', ( -1.91240571080398, 1.33449306485368, -1.24933425048733 ) ); +#3317 = CARTESIAN_POINT( '', ( -1.62899520306134, 1.49534188927645, -0.949999999999999 ) ); +#3318 = CARTESIAN_POINT( '', ( -1.62899520306134, 1.49534188927645, -0.950709397636346 ) ); +#3319 = CARTESIAN_POINT( '', ( -1.62899408418313, 1.49533881504241, -0.949013999171212 ) ); +#3320 = CARTESIAN_POINT( '', ( -1.62898961338966, 1.49532653107310, -0.948028597508821 ) ); +#3321 = CARTESIAN_POINT( '', ( -1.62898626132317, 1.49531732092238, -0.947536126117076 ) ); +#3322 = CARTESIAN_POINT( '', ( -1.62897286550736, 1.49528051452750, -0.946059724303829 ) ); +#3323 = CARTESIAN_POINT( '', ( -1.62895948044514, 1.49524373767923, -0.945076787580430 ) ); +#3324 = CARTESIAN_POINT( '', ( -1.62890607786529, 1.49509700854610, -0.942134457213331 ) ); +#3325 = CARTESIAN_POINT( '', ( -1.62885279452980, 1.49495060704921, -0.940181511808243 ) ); +#3326 = CARTESIAN_POINT( '', ( -1.62864140443013, 1.49436979080091, -0.934368091748930 ) ); +#3327 = CARTESIAN_POINT( '', ( -1.62843150016292, 1.49379305703159, -0.930553277483222 ) ); +#3328 = CARTESIAN_POINT( '', ( -1.62761610510890, 1.49155267445504, -0.919440650419802 ) ); +#3329 = CARTESIAN_POINT( '', ( -1.62682236593184, 1.48937179364905, -0.912486315920398 ) ); +#3330 = CARTESIAN_POINT( '', ( -1.62398232702216, 1.48156849185252, -0.893888625754537 ) ); +#3331 = CARTESIAN_POINT( '', ( -1.62151270922820, 1.47478296053367, -0.884719099691491 ) ); +#3332 = CARTESIAN_POINT( '', ( -1.61711002549551, 1.46268612983037, -0.872769109470529 ) ); +#3333 = CARTESIAN_POINT( '', ( -1.61520936615024, 1.45746387092644, -0.869817979531707 ) ); +#3334 = CARTESIAN_POINT( '', ( -1.61307699318765, 1.45160495479923, -0.867421552202831 ) ); +#3335 = CARTESIAN_POINT( '', ( -1.61247332936907, 1.44994632577690, -0.866955757108313 ) ); +#3336 = CARTESIAN_POINT( '', ( -1.61166639927461, 1.44772920155573, -0.866520830839861 ) ); +#3337 = CARTESIAN_POINT( '', ( -1.61141331474057, 1.44703382551971, -0.866421064495501 ) ); +#3338 = CARTESIAN_POINT( '', ( -1.61104624324844, 1.44602525848063, -0.866323170368804 ) ); +#3339 = CARTESIAN_POINT( '', ( -1.61092596435913, 1.44569477974321, -0.866299272168259 ) ); +#3340 = CARTESIAN_POINT( '', ( -1.61074713844603, 1.44520343697881, -0.866275491646579 ) ); +#3341 = CARTESIAN_POINT( '', ( -1.61068779604277, 1.44504038756409, -0.866269583499647 ) ); +#3342 = CARTESIAN_POINT( '', ( -1.61056938186460, 1.44471503231416, -0.866261715858892 ) ); +#3343 = CARTESIAN_POINT( '', ( -1.60980625728851, 1.44261826830318, -0.866259756773476 ) ); +#3344 = CARTESIAN_POINT( '', ( -1.61045124724984, 1.44439044519374, -0.866259756773476 ) ); +#3345 = CARTESIAN_POINT( '', ( -1.15912361041564, 1.32440129601494, -0.949999999999999 ) ); +#3346 = CARTESIAN_POINT( '', ( -1.15912361041564, 1.32440129601494, -0.951420011309936 ) ); +#3347 = CARTESIAN_POINT( '', ( -1.15912571641130, 1.32440708245667, -0.951856077534818 ) ); +#3348 = CARTESIAN_POINT( '', ( -1.15913413396435, 1.32443021055771, -0.953711372345579 ) ); +#3349 = CARTESIAN_POINT( '', ( -1.15914044566687, 1.32444755261576, -0.954638752687527 ) ); +#3350 = CARTESIAN_POINT( '', ( -1.15916568100189, 1.32451688931901, -0.957420020837899 ) ); +#3351 = CARTESIAN_POINT( '', ( -1.15919090495043, 1.32458619473673, -0.959273041432447 ) ); +#3352 = CARTESIAN_POINT( '', ( -1.15929172699808, 1.32486321378138, -0.964828029034094 ) ); +#3353 = CARTESIAN_POINT( '', ( -1.15939247178063, 1.32514002053216, -0.968525955176344 ) ); +#3354 = CARTESIAN_POINT( '', ( -1.15979507817121, 1.32624622339445, -0.979597955909642 ) ); +#3355 = CARTESIAN_POINT( '', ( -1.16019729704626, 1.32735136151759, -0.986950662423520 ) ); +#3356 = CARTESIAN_POINT( '', ( -1.16180524742663, 1.33176937214737, -1.00886456234194 ) ); +#3357 = CARTESIAN_POINT( '', ( -1.16341331756964, 1.33618771183742, -1.02328627870919 ) ); +#3358 = CARTESIAN_POINT( '', ( -1.16983270763090, 1.35382565258086, -1.06532280074827 ) ); +#3359 = CARTESIAN_POINT( '', ( -1.17625895046746, 1.37148242203592, -1.09168987772510 ) ); +#3360 = CARTESIAN_POINT( '', ( -1.19288040411920, 1.41715159181385, -1.13680445842938 ) ); +#3361 = CARTESIAN_POINT( '', ( -1.20306635722066, 1.44513855560700, -1.15543861968519 ) ); +#3362 = CARTESIAN_POINT( '', ( -1.22004588489605, 1.49179157094513, -1.17452058926083 ) ); +#3363 = CARTESIAN_POINT( '', ( -1.22597670266932, 1.50808710859690, -1.17940587434603 ) ); +#3364 = CARTESIAN_POINT( '', ( -1.23506986972228, 1.53307152925362, -1.18430693741028 ) ); +#3365 = CARTESIAN_POINT( '', ( -1.23813353376327, 1.54148926431832, -1.18553795453579 ) ); +#3366 = CARTESIAN_POINT( '', ( -1.24275161725685, 1.55417792823039, -1.18676953478990 ) ); +#3367 = CARTESIAN_POINT( '', ( -1.24429475834954, 1.55841786861288, -1.18707767838912 ) ); +#3368 = CARTESIAN_POINT( '', ( -1.24661197917632, 1.56478467344045, -1.18738582200994 ) ); +#3369 = CARTESIAN_POINT( '', ( -1.24738480830101, 1.56690810170636, -1.18746286371001 ) ); +#3370 = CARTESIAN_POINT( '', ( -1.24893079536359, 1.57115586168662, -1.18756558050755 ) ); +#3371 = CARTESIAN_POINT( '', ( -1.24941597347181, 1.57248893891686, -1.18759125579882 ) ); +#3372 = CARTESIAN_POINT( '', ( -1.25047703494420, 1.57540431548637, -1.18759125579882 ) ); +#3373 = CARTESIAN_POINT( '', ( -1.33006420367715, 0.854529703369246, -0.950000000000000 ) ); +#3374 = CARTESIAN_POINT( '', ( -1.33006420367715, 0.854529703369246, -0.952267167514859 ) ); +#3375 = CARTESIAN_POINT( '', ( -1.33007015419761, 0.854546053042076, -0.955244253712805 ) ); +#3376 = CARTESIAN_POINT( '', ( -1.33009393655878, 0.854611397548798, -0.960486077284512 ) ); +#3377 = CARTESIAN_POINT( '', ( -1.33011176889787, 0.854660393752063, -0.963106130102335 ) ); +#3378 = CARTESIAN_POINT( '', ( -1.33018305825682, 0.854856268668021, -0.970963168199511 ) ); +#3379 = CARTESIAN_POINT( '', ( -1.33025430983507, 0.855052039777586, -0.976197067783707 ) ); +#3380 = CARTESIAN_POINT( '', ( -1.33053899036306, 0.855834229087714, -0.991882110448976 ) ); +#3381 = CARTESIAN_POINT( '', ( -1.33082335935773, 0.856615562427711, -1.00231670810835 ) ); +#3382 = CARTESIAN_POINT( '', ( -1.33195794003454, 0.859732940645156, -1.03351861842379 ) ); +#3383 = CARTESIAN_POINT( '', ( -1.33308989988899, 0.862843117881008, -1.05418465519522 ) ); +#3384 = CARTESIAN_POINT( '', ( -1.33758683509716, 0.875198914299614, -1.11547104159900 ) ); +#3385 = CARTESIAN_POINT( '', ( -1.34205821584642, 0.887484497188953, -1.15537616027252 ) ); +#3386 = CARTESIAN_POINT( '', ( -1.35951620957984, 0.935452147702552, -1.26969759746186 ) ); +#3387 = CARTESIAN_POINT( '', ( -1.37654762905647, 0.982247741152664, -1.33842954143462 ) ); +#3388 = CARTESIAN_POINT( '', ( -1.41823295229070, 1.09678249508698, -1.45157349891175 ) ); +#3389 = CARTESIAN_POINT( '', ( -1.44282792834132, 1.16435974557749, -1.49594050777550 ) ); +#3390 = CARTESIAN_POINT( '', ( -1.48259165763717, 1.27361472063958, -1.54062789121483 ) ); +#3391 = CARTESIAN_POINT( '', ( -1.49631254029693, 1.31131427043956, -1.55189245233393 ) ); +#3392 = CARTESIAN_POINT( '', ( -1.51720808188824, 1.36872694062655, -1.56315480091884 ) ); +#3393 = CARTESIAN_POINT( '', ( -1.52422579893994, 1.38800884690278, -1.56597230802591 ) ); +#3394 = CARTESIAN_POINT( '', ( -1.53478692171858, 1.41702662833930, -1.56878881763453 ) ); +#3395 = CARTESIAN_POINT( '', ( -1.53831310452793, 1.42671518174519, -1.56949280398408 ) ); +#3396 = CARTESIAN_POINT( '', ( -1.54360598085086, 1.44125790902224, -1.57019665009041 ) ); +#3397 = CARTESIAN_POINT( '', ( -1.54537088105392, 1.44610715558656, -1.57037258023044 ) ); +#3398 = CARTESIAN_POINT( '', ( -1.54890107963816, 1.45580674275093, -1.57060712997259 ) ); +#3399 = CARTESIAN_POINT( '', ( -1.55087441847834, 1.46122869611372, -1.57066574951268 ) ); +#3400 = CARTESIAN_POINT( '', ( -1.55243149849834, 1.46550693514632, -1.57066574951268 ) ); +#3401 = CARTESIAN_POINT( '', ( -1.50100479693866, 0.384658110723549, -0.949999999999999 ) ); +#3402 = CARTESIAN_POINT( '', ( -1.50100479693866, 0.384658110723549, -0.953114323719781 ) ); +#3403 = CARTESIAN_POINT( '', ( -1.50101459198393, 0.384685023627483, -0.958632429890790 ) ); +#3404 = CARTESIAN_POINT( '', ( -1.50105373915321, 0.384792584539884, -0.967260782223443 ) ); +#3405 = CARTESIAN_POINT( '', ( -1.50108309212887, 0.384873234888360, -0.971573507517144 ) ); +#3406 = CARTESIAN_POINT( '', ( -1.50120043551175, 0.385195648017036, -0.984506315561123 ) ); +#3407 = CARTESIAN_POINT( '', ( -1.50131771471971, 0.385517884818445, -0.993121094134966 ) ); +#3408 = CARTESIAN_POINT( '', ( -1.50178625372804, 0.386805244394048, -1.01893619186386 ) ); +#3409 = CARTESIAN_POINT( '', ( -1.50225424693483, 0.388091104323262, -1.03610746104035 ) ); +#3410 = CARTESIAN_POINT( '', ( -1.50412080189788, 0.393219657895862, -1.08743928093794 ) ); +#3411 = CARTESIAN_POINT( '', ( -1.50598250273172, 0.398334874244427, -1.12141864796691 ) ); +#3412 = CARTESIAN_POINT( '', ( -1.51336842276769, 0.418628456451857, -1.22207752085607 ) ); +#3413 = CARTESIAN_POINT( '', ( -1.52070311412320, 0.438781282540488, -1.28746604183586 ) ); +#3414 = CARTESIAN_POINT( '', ( -1.54919971152878, 0.517078642824244, -1.47407239417545 ) ); +#3415 = CARTESIAN_POINT( '', ( -1.57683630764548, 0.593013060269410, -1.58516920514413 ) ); +#3416 = CARTESIAN_POINT( '', ( -1.64358550046219, 0.776413398360107, -1.76634253939413 ) ); +#3417 = CARTESIAN_POINT( '', ( -1.68258949946197, 0.883580935547987, -1.83644239586581 ) ); +#3418 = CARTESIAN_POINT( '', ( -1.74513743037829, 1.05543787033403, -1.90673519316882 ) ); +#3419 = CARTESIAN_POINT( '', ( -1.76664837792455, 1.11454143228221, -1.92437903032183 ) ); +#3420 = CARTESIAN_POINT( '', ( -1.79934629405420, 1.20438235199947, -1.94200266442740 ) ); +#3421 = CARTESIAN_POINT( '', ( -1.81031806411661, 1.23452842948724, -1.94640666151604 ) ); +#3422 = CARTESIAN_POINT( '', ( -1.82682222618031, 1.27987532844820, -1.95080810047917 ) ); +#3423 = CARTESIAN_POINT( '', ( -1.83233145070632, 1.29501249487750, -1.95190792957902 ) ); +#3424 = CARTESIAN_POINT( '', ( -1.84059998252539, 1.31773114460404, -1.95300747817088 ) ); +#3425 = CARTESIAN_POINT( '', ( -1.84335695380683, 1.32530620946676, -1.95328229675085 ) ); +#3426 = CARTESIAN_POINT( '', ( -1.84887136391273, 1.34045762381524, -1.95364867943761 ) ); +#3427 = CARTESIAN_POINT( '', ( -1.85233286348487, 1.34996845331057, -1.95374024322652 ) ); +#3428 = CARTESIAN_POINT( '', ( -1.85438596205248, 1.35560955480626, -1.95374024322652 ) ); +#3429 = CARTESIAN_POINT( '', ( -1.97087638958436, 0.555598703985057, -0.949999999999999 ) ); +#3430 = CARTESIAN_POINT( '', ( -1.97087638958436, 0.555598703985057, -0.952403710046193 ) ); +#3431 = CARTESIAN_POINT( '', ( -1.97088295975577, 0.555616756213219, -0.955790351527183 ) ); +#3432 = CARTESIAN_POINT( '', ( -1.97090921857851, 0.555688905055272, -0.961578007386685 ) ); +#3433 = CARTESIAN_POINT( '', ( -1.97092890778518, 0.555743003194978, -0.964470880946693 ) ); +#3434 = CARTESIAN_POINT( '', ( -1.97100762001722, 0.555959273225526, -0.973146019027054 ) ); +#3435 = CARTESIAN_POINT( '', ( -1.97108629021442, 0.556175427760947, -0.978924840282949 ) ); +#3436 = CARTESIAN_POINT( '', ( -1.97140060459525, 0.557039039158765, -0.996242620043093 ) ); +#3437 = CARTESIAN_POINT( '', ( -1.97171456968400, 0.557901690840307, -1.00776301767225 ) ); +#3438 = CARTESIAN_POINT( '', ( -1.97296712815680, 0.561343225302318, -1.04220941677723 ) ); +#3439 = CARTESIAN_POINT( '', ( -1.97421670584838, 0.564776569758432, -1.06502126302661 ) ); +#3440 = CARTESIAN_POINT( '', ( -1.97917928044995, 0.578411758759525, -1.13265360893393 ) ); +#3441 = CARTESIAN_POINT( '', ( -1.98411216248539, 0.591965364352120, -1.17666607904707 ) ); +#3442 = CARTESIAN_POINT( '', ( -2.00334933092004, 0.644821482095905, -1.30263821918171 ) ); +#3443 = CARTESIAN_POINT( '', ( -2.02209006640623, 0.696313598767164, -1.37819842711052 ) ); +#3444 = CARTESIAN_POINT( '', ( -2.06781512183848, 0.821947936376628, -1.50230719043528 ) ); +#3445 = CARTESIAN_POINT( '', ( -2.09473250839155, 0.895906250867434, -1.55082175571234 ) ); +#3446 = CARTESIAN_POINT( '', ( -2.13816853866989, 1.01525125418814, -1.59963615611082 ) ); +#3447 = CARTESIAN_POINT( '', ( -2.15314500462429, 1.05640064946221, -1.61192891308411 ) ); +#3448 = CARTESIAN_POINT( '', ( -2.17594282360653, 1.11904002430158, -1.62421655785699 ) ); +#3449 = CARTESIAN_POINT( '', ( -2.18359784509391, 1.14007299068862, -1.62728977147575 ) ); +#3450 = CARTESIAN_POINT( '', ( -2.19511685217190, 1.17172265869844, -1.63036173605807 ) ); +#3451 = CARTESIAN_POINT( '', ( -2.19896265671590, 1.18228940600783, -1.63112952335816 ) ); +#3452 = CARTESIAN_POINT( '', ( -2.20473514179511, 1.19814990814240, -1.63189714780752 ) ); +#3453 = CARTESIAN_POINT( '', ( -2.20665994154859, 1.20343849532449, -1.63208901654049 ) ); +#3454 = CARTESIAN_POINT( '', ( -2.21050995041374, 1.21401679444278, -1.63234481478895 ) ); +#3455 = CARTESIAN_POINT( '', ( -2.21272314730157, 1.22009778269689, -1.63240874420118 ) ); +#3456 = CARTESIAN_POINT( '', ( -2.21436017435813, 1.22459568451363, -1.63240874420118 ) ); +#3457 = CARTESIAN_POINT( '', ( -1.79993579632285, 1.02547029663075, -0.950000000000000 ) ); +#3458 = CARTESIAN_POINT( '', ( -1.79993579632285, 1.02547029663075, -0.951556553841270 ) ); +#3459 = CARTESIAN_POINT( '', ( -1.79993852196945, 1.02547778562781, -0.952402175349197 ) ); +#3460 = CARTESIAN_POINT( '', ( -1.79994941598409, 1.02550771806419, -0.954803302447753 ) ); +#3461 = CARTESIAN_POINT( '', ( -1.79995758455418, 1.02553016205868, -0.956003503531885 ) ); +#3462 = CARTESIAN_POINT( '', ( -1.79999024276229, 1.02561989387651, -0.959602871665441 ) ); +#3463 = CARTESIAN_POINT( '', ( -1.80002288532978, 1.02570958272009, -0.962000813931690 ) ); +#3464 = CARTESIAN_POINT( '', ( -1.80015334123028, 1.02606802385243, -0.969188538628213 ) ); +#3465 = CARTESIAN_POINT( '', ( -1.80028368210690, 1.02642614894476, -0.973972264740247 ) ); +#3466 = CARTESIAN_POINT( '', ( -1.80080426629346, 1.02785650805161, -0.988288754263079 ) ); +#3467 = CARTESIAN_POINT( '', ( -1.80132410300566, 1.02928481339501, -0.997787270254918 ) ); +#3468 = CARTESIAN_POINT( '', ( -1.80339769277942, 1.03498221660728, -1.02604712967687 ) ); +#3469 = CARTESIAN_POINT( '', ( -1.80546726420862, 1.04066857900059, -1.04457619748374 ) ); +#3470 = CARTESIAN_POINT( '', ( -1.81366582897110, 1.06319498697421, -1.09826342246812 ) ); +#3471 = CARTESIAN_POINT( '', ( -1.82180138781722, 1.08554827965042, -1.13145876340100 ) ); +#3472 = CARTESIAN_POINT( '', ( -1.84246257366700, 1.14231703310350, -1.18753814995290 ) ); +#3473 = CARTESIAN_POINT( '', ( -1.85497093727090, 1.17668506089694, -1.21031986762202 ) ); +#3474 = CARTESIAN_POINT( '', ( -1.87562276592878, 1.23342810449369, -1.23352885415682 ) ); +#3475 = CARTESIAN_POINT( '', ( -1.88280916699668, 1.25317348761955, -1.23944233509621 ) ); +#3476 = CARTESIAN_POINT( '', ( -1.89380461144058, 1.28338461292866, -1.24536869434843 ) ); +#3477 = CARTESIAN_POINT( '', ( -1.89750557991724, 1.29355340810417, -1.24685541798563 ) ); +#3478 = CARTESIAN_POINT( '', ( -1.90308154771018, 1.30887395858953, -1.24834245321344 ) ); +#3479 = CARTESIAN_POINT( '', ( -1.90494431053752, 1.31399209287552, -1.24871439776321 ) ); +#3480 = CARTESIAN_POINT( '', ( -1.90774114012057, 1.32167667256060, -1.24908631972705 ) ); +#3481 = CARTESIAN_POINT( '', ( -1.90867386879568, 1.32423944144429, -1.24917930002007 ) ); +#3482 = CARTESIAN_POINT( '', ( -1.91053966613917, 1.32936591337847, -1.24930326532392 ) ); +#3483 = CARTESIAN_POINT( '', ( -1.91126470229504, 1.33135802550004, -1.24933425048733 ) ); +#3484 = CARTESIAN_POINT( '', ( -1.91240571080398, 1.33449306485368, -1.24933425048733 ) ); +#3491 = SURFACE_SIDE_STYLE( '', ( #6420 ) ); +#3492 = EDGE_LOOP( '', ( #6421, #6422, #6423, #6424 ) ); +#3493 = AXIS2_PLACEMENT_3D( '', #6425, #6426, #6427 ); +#3494 = SURFACE_SIDE_STYLE( '', ( #6428 ) ); +#3495 = EDGE_LOOP( '', ( #6429 ) ); +#3496 = EDGE_LOOP( '', ( #6430 ) ); +#3497 = AXIS2_PLACEMENT_3D( '', #6431, #6432, #6433 ); +#3498 = SURFACE_SIDE_STYLE( '', ( #6434 ) ); +#3499 = EDGE_LOOP( '', ( #6435 ) ); +#3500 = EDGE_LOOP( '', ( #6436 ) ); +#3501 = AXIS2_PLACEMENT_3D( '', #6437, #6438, #6439 ); +#3502 = SURFACE_SIDE_STYLE( '', ( #6440 ) ); +#3503 = EDGE_LOOP( '', ( #6441, #6442, #6443, #6444 ) ); +#3504 = AXIS2_PLACEMENT_3D( '', #6445, #6446, #6447 ); +#3505 = SURFACE_SIDE_STYLE( '', ( #6448 ) ); +#3506 = EDGE_LOOP( '', ( #6449 ) ); +#3507 = EDGE_LOOP( '', ( #6450 ) ); +#3509 = CARTESIAN_POINT( '', ( -1.63250581280871, -2.11449843876170, -1.41003327092135 ) ); +#3510 = CARTESIAN_POINT( '', ( -1.63385490019433, -2.11820519643464, -1.41003327092135 ) ); +#3511 = CARTESIAN_POINT( '', ( -1.63520421698126, -2.12191258441153, -1.40998846570561 ) ); +#3512 = CARTESIAN_POINT( '', ( -1.63790238735174, -2.12932608766587, -1.40980919672261 ) ); +#3513 = CARTESIAN_POINT( '', ( -1.63925128798906, -2.13303233222849, -1.40967473291009 ) ); +#3514 = CARTESIAN_POINT( '', ( -1.64329639948227, -2.14414669607564, -1.40913681447972 ) ); +#3515 = CARTESIAN_POINT( '', ( -1.64599104458942, -2.15155051330298, -1.40859881462419 ) ); +#3516 = CARTESIAN_POINT( '', ( -1.65406010619059, -2.17372109789403, -1.40644690445904 ) ); +#3517 = CARTESIAN_POINT( '', ( -1.65941979244248, -2.18844739238802, -1.40429465121663 ) ); +#3518 = CARTESIAN_POINT( '', ( -1.67536631065665, -2.23226210697010, -1.39569974456695 ) ); +#3519 = CARTESIAN_POINT( '', ( -1.68582062909570, -2.26098643239370, -1.38711016933059 ) ); +#3520 = CARTESIAN_POINT( '', ( -1.71603038675508, -2.34399087835872, -1.35315976026559 ) ); +#3521 = CARTESIAN_POINT( '', ( -1.73458330774815, -2.39496695520873, -1.31958316227738 ) ); +#3522 = CARTESIAN_POINT( '', ( -1.76575873868126, -2.48062468876296, -1.23496558304461 ) ); +#3523 = CARTESIAN_POINT( '', ( -1.77834314885510, -2.51520166240355, -1.18399826187293 ) ); +#3524 = CARTESIAN_POINT( '', ( -1.79117238691154, -2.55045132607301, -1.09998765314235 ) ); +#3525 = CARTESIAN_POINT( '', ( -1.79444311174515, -2.55943798216535, -1.07076834663235 ) ); +#3526 = CARTESIAN_POINT( '', ( -1.79772862524377, -2.56846527165038, -1.02599180374532 ) ); +#3527 = CARTESIAN_POINT( '', ( -1.79855458693775, -2.57073468716738, -1.01090837087294 ) ); +#3528 = CARTESIAN_POINT( '', ( -1.79938223297881, -2.57300873060286, -0.988147422201016 ) ); +#3529 = CARTESIAN_POINT( '', ( -1.79958960387272, -2.57357850366602, -0.980537675057416 ) ); +#3530 = CARTESIAN_POINT( '', ( -1.79979718806620, -2.57414886279186, -0.969100411280187 ) ); +#3531 = CARTESIAN_POINT( '', ( -1.79984913913950, -2.57429160376004, -0.965284196619634 ) ); +#3532 = CARTESIAN_POINT( '', ( -1.79991844285461, -2.57448202291340, -0.957646004468011 ) ); +#3533 = CARTESIAN_POINT( '', ( -1.79993579632285, -2.57452970336925, -0.953823868626697 ) ); +#3534 = CARTESIAN_POINT( '', ( -1.79993579632285, -2.57452970336925, -0.950000000000000 ) ); +#3535 = CARTESIAN_POINT( '', ( -1.63244328359361, -2.11452119648766, -1.91003326649354 ) ); +#3536 = CARTESIAN_POINT( '', ( -1.63443978886576, -2.12000680202775, -1.91003326649354 ) ); +#3537 = CARTESIAN_POINT( '', ( -1.63773149647564, -2.12905111047663, -1.90994546148134 ) ); +#3538 = CARTESIAN_POINT( '', ( -1.64301951763294, -2.14358049768198, -1.90959412028658 ) ); +#3539 = CARTESIAN_POINT( '', ( -1.64566329839316, -2.15084455983466, -1.90933058439270 ) ); +#3540 = CARTESIAN_POINT( '', ( -1.65359232227213, -2.17263037624334, -1.90827618351724 ) ); +#3541 = CARTESIAN_POINT( '', ( -1.65887529128711, -2.18714588216308, -1.90722151814045 ) ); +#3542 = CARTESIAN_POINT( '', ( -1.67470138114224, -2.23062970732415, -1.90300091189341 ) ); +#3543 = CARTESIAN_POINT( '', ( -1.68522201270900, -2.25953623495168, -1.89877792560474 ) ); +#3544 = CARTESIAN_POINT( '', ( -1.71657333433413, -2.34567724644564, -1.88188008343367 ) ); +#3545 = CARTESIAN_POINT( '', ( -1.73719547253358, -2.40233871242498, -1.86496409101444 ) ); +#3546 = CARTESIAN_POINT( '', ( -1.79714383413160, -2.56705306058561, -1.79759274919185 ) ); +#3547 = CARTESIAN_POINT( '', ( -1.83450383160885, -2.66970353288736, -1.73042888861404 ) ); +#3548 = CARTESIAN_POINT( '', ( -1.89839335522777, -2.84524663292658, -1.55701738516461 ) ); +#3549 = CARTESIAN_POINT( '', ( -1.92481995099286, -2.91785644876677, -1.45075420555888 ) ); +#3550 = CARTESIAN_POINT( '', ( -1.95205709641160, -2.99269333394283, -1.27239521909968 ) ); +#3551 = CARTESIAN_POINT( '', ( -1.95906509777749, -3.01194854536347, -1.20991423312135 ) ); +#3552 = CARTESIAN_POINT( '', ( -1.96612139859048, -3.03133646453019, -1.11374757276845 ) ); +#3553 = CARTESIAN_POINT( '', ( -1.96789983951776, -3.03622291564026, -1.08128719294569 ) ); +#3554 = CARTESIAN_POINT( '', ( -1.96968287977488, -3.04112200388669, -1.03225209902764 ) ); +#3555 = CARTESIAN_POINT( '', ( -1.97012992235462, -3.04235029979267, -1.01584945166337 ) ); +#3556 = CARTESIAN_POINT( '', ( -1.97057748400835, -3.04358002190835, -0.991190144412355 ) ); +#3557 = CARTESIAN_POINT( '', ( -1.97068951168889, -3.04388782959289, -0.982961107830755 ) ); +#3558 = CARTESIAN_POINT( '', ( -1.97083896348113, -3.04429846391023, -0.966489524918950 ) ); +#3559 = CARTESIAN_POINT( '', ( -1.97087638958435, -3.04440129601494, -0.956035334638975 ) ); +#3560 = CARTESIAN_POINT( '', ( -1.97087638958435, -3.04440129601494, -0.949999999999999 ) ); +#3561 = CARTESIAN_POINT( '', ( -1.16259444867387, -2.28552431896426, -1.90996672465083 ) ); +#3562 = CARTESIAN_POINT( '', ( -1.16459086778526, -2.29100968776872, -1.90996672465083 ) ); +#3563 = CARTESIAN_POINT( '', ( -1.16788231689461, -2.30005328596055, -1.90987892536120 ) ); +#3564 = CARTESIAN_POINT( '', ( -1.17316999338502, -2.31458172615783, -1.90952760706645 ) ); +#3565 = CARTESIAN_POINT( '', ( -1.17581360181782, -2.32184531482302, -1.90926408834995 ) ); +#3566 = CARTESIAN_POINT( '', ( -1.18374210881141, -2.34362971103546, -1.90820975620988 ) ); +#3567 = CARTESIAN_POINT( '', ( -1.18902473336270, -2.35814427050545, -1.90715515959284 ) ); +#3568 = CARTESIAN_POINT( '', ( -1.20484979088392, -2.40162525922189, -1.90293482865551 ) ); +#3569 = CARTESIAN_POINT( '', ( -1.21536973561305, -2.43052989969172, -1.89871211794762 ) ); +#3570 = CARTESIAN_POINT( '', ( -1.24671900711015, -2.51666527824605, -1.88181538076182 ) ); +#3571 = CARTESIAN_POINT( '', ( -1.26733979213867, -2.57332302624774, -1.86490049645289 ) ); +#3572 = CARTESIAN_POINT( '', ( -1.32728419601362, -2.73802650015333, -1.79753360241123 ) ); +#3573 = CARTESIAN_POINT( '', ( -1.36464169057582, -2.84067009543605, -1.73037421175016 ) ); +#3574 = CARTESIAN_POINT( '', ( -1.42852686048267, -3.01620123319930, -1.55697452532350 ) ); +#3575 = CARTESIAN_POINT( '', ( -1.45495161407868, -3.08880598748866, -1.45071870469447 ) ); +#3576 = CARTESIAN_POINT( '', ( -1.48218684203998, -3.16363760425134, -1.27237227446521 ) ); +#3577 = CARTESIAN_POINT( '', ( -1.48919434603533, -3.18289144909477, -1.20989571507380 ) ); +#3578 = CARTESIAN_POINT( '', ( -1.49625014501805, -3.20227798943070, -1.11373589390719 ) ); +#3579 = CARTESIAN_POINT( '', ( -1.49802845918588, -3.20716409225603, -1.08127782667259 ) ); +#3580 = CARTESIAN_POINT( '', ( -1.49981137229562, -3.21206283115182, -1.03224622941465 ) ); +#3581 = CARTESIAN_POINT( '', ( -1.50025838297897, -3.21329103941916, -1.01584475224196 ) ); +#3582 = CARTESIAN_POINT( '', ( -1.50070591269561, -3.21452067378437, -0.991187204629234 ) ); +#3583 = CARTESIAN_POINT( '', ( -1.50081793238093, -3.21482845950123, -0.982958755322244 ) ); +#3584 = CARTESIAN_POINT( '', ( -1.50096737350677, -3.21523906451152, -0.966488347990645 ) ); +#3585 = CARTESIAN_POINT( '', ( -1.50100479693866, -3.21534188927645, -0.956035040328925 ) ); +#3586 = CARTESIAN_POINT( '', ( -1.50100479693866, -3.21534188927645, -0.949999999999999 ) ); +#3587 = CARTESIAN_POINT( '', ( -1.16265697788897, -2.28550156123830, -1.40996672907864 ) ); +#3588 = CARTESIAN_POINT( '', ( -1.16400597911383, -2.28920808217562, -1.40996672907864 ) ); +#3589 = CARTESIAN_POINT( '', ( -1.16535503740023, -2.29291475989545, -1.40992192958548 ) ); +#3590 = CARTESIAN_POINT( '', ( -1.16805286310382, -2.30032731614173, -1.40974268350248 ) ); +#3591 = CARTESIAN_POINT( '', ( -1.16940159141372, -2.30403308721686, -1.40960823686735 ) ); +#3592 = CARTESIAN_POINT( '', ( -1.17344618602155, -2.31514603086777, -1.40907038717236 ) ); +#3593 = CARTESIAN_POINT( '', ( -1.17614048666502, -2.32254890164535, -1.40853245607658 ) ); +#3594 = CARTESIAN_POINT( '', ( -1.18420851593227, -2.34471664979178, -1.40638082122114 ) ); +#3595 = CARTESIAN_POINT( '', ( -1.18956751534652, -2.35944105712807, -1.40422884355951 ) ); +#3596 = CARTESIAN_POINT( '', ( -1.20551198343266, -2.40325013877051, -1.39563504189510 ) ); +#3597 = CARTESIAN_POINT( '', ( -1.21596494870078, -2.43197074621645, -1.38704657476905 ) ); +#3598 = CARTESIAN_POINT( '', ( -1.24617074863710, -2.51496431792644, -1.35310061348497 ) ); +#3599 = CARTESIAN_POINT( '', ( -1.26472116671512, -2.56593351775743, -1.31952848541349 ) ); +#3600 = CARTESIAN_POINT( '', ( -1.29589224393615, -2.65157928903569, -1.23492272320351 ) ); +#3601 = CARTESIAN_POINT( '', ( -1.30847481194091, -2.68615120112544, -1.18396276100853 ) ); +#3602 = CARTESIAN_POINT( '', ( -1.32130213253993, -2.72139559638152, -1.09996470850787 ) ); +#3603 = CARTESIAN_POINT( '', ( -1.32457236000300, -2.73038088589666, -1.07074982858480 ) ); +#3604 = CARTESIAN_POINT( '', ( -1.32785737167134, -2.73940679655089, -1.02598012488406 ) ); +#3605 = CARTESIAN_POINT( '', ( -1.32868320660587, -2.74167586378314, -1.01089900459984 ) ); +#3606 = CARTESIAN_POINT( '', ( -1.32951072549954, -2.74394955786799, -0.988141552588028 ) ); +#3607 = CARTESIAN_POINT( '', ( -1.32971806449706, -2.74451924329250, -0.980532975636005 ) ); +#3608 = CARTESIAN_POINT( '', ( -1.32992561675346, -2.74508951466789, -0.969097471497066 ) ); +#3609 = CARTESIAN_POINT( '', ( -1.32997755983154, -2.74523223366838, -0.965281844111122 ) ); +#3610 = CARTESIAN_POINT( '', ( -1.33004685288026, -2.74542262351470, -0.957644827539707 ) ); +#3611 = CARTESIAN_POINT( '', ( -1.33006420367715, -2.74547029663076, -0.953823574316647 ) ); +#3612 = CARTESIAN_POINT( '', ( -1.33006420367715, -2.74547029663076, -0.950000000000000 ) ); +#3613 = CARTESIAN_POINT( '', ( -1.16271950710406, -2.28547880351234, -0.909966733506461 ) ); +#3614 = CARTESIAN_POINT( '', ( -1.16342109044239, -2.28740647658251, -0.909966733506461 ) ); +#3615 = CARTESIAN_POINT( '', ( -1.16282775790585, -2.28577623383034, -0.909964933809754 ) ); +#3616 = CARTESIAN_POINT( '', ( -1.16293573282262, -2.28607290612562, -0.909957759938510 ) ); +#3617 = CARTESIAN_POINT( '', ( -1.16298958100962, -2.28622085961069, -0.909952385384745 ) ); +#3618 = CARTESIAN_POINT( '', ( -1.16315026323169, -2.28666235070007, -0.909931018134842 ) ); +#3619 = CARTESIAN_POINT( '', ( -1.16325623996734, -2.28695353278524, -0.909909752560321 ) ); +#3620 = CARTESIAN_POINT( '', ( -1.16356724098062, -2.28780804036166, -0.909826813786768 ) ); +#3621 = CARTESIAN_POINT( '', ( -1.16376529507999, -2.28835221456441, -0.909745569171409 ) ); +#3622 = CARTESIAN_POINT( '', ( -1.16430495975517, -2.28983499929496, -0.909454703028380 ) ); +#3623 = CARTESIAN_POINT( '', ( -1.16459010526289, -2.29061846618517, -0.909192653085196 ) ); +#3624 = CARTESIAN_POINT( '', ( -1.16505730126058, -2.29190213569955, -0.908667624558706 ) ); +#3625 = CARTESIAN_POINT( '', ( -1.16480064285441, -2.29119694007881, -0.908682759076819 ) ); +#3626 = CARTESIAN_POINT( '', ( -1.16325762738964, -2.28695734487206, -0.912870921083522 ) ); +#3627 = CARTESIAN_POINT( '', ( -1.16199800980315, -2.28349641476222, -0.917206817322577 ) ); +#3628 = CARTESIAN_POINT( '', ( -1.16041742303987, -2.27915358851170, -0.927557142550534 ) ); +#3629 = CARTESIAN_POINT( '', ( -1.15995037397067, -2.27787032269854, -0.931603942095805 ) ); +#3630 = CARTESIAN_POINT( '', ( -1.15946459832462, -2.27653560367108, -0.938224355860934 ) ); +#3631 = CARTESIAN_POINT( '', ( -1.15933795402586, -2.27618763531026, -0.940520182527080 ) ); +#3632 = CARTESIAN_POINT( '', ( -1.15921007870346, -2.27583628458416, -0.944036875761406 ) ); +#3633 = CARTESIAN_POINT( '', ( -1.15917774601516, -2.27574744716584, -0.945221199030046 ) ); +#3634 = CARTESIAN_POINT( '', ( -1.15914532081130, -2.27565835555140, -0.947007738364897 ) ); +#3635 = CARTESIAN_POINT( '', ( -1.15913718728215, -2.27563600783552, -0.947604932900000 ) ); +#3636 = CARTESIAN_POINT( '', ( -1.15912633225375, -2.27560618251786, -0.948801307088767 ) ); +#3637 = CARTESIAN_POINT( '', ( -1.15912361041564, -2.27559870398506, -0.951612108304367 ) ); +#3638 = CARTESIAN_POINT( '', ( -1.15912361041564, -2.27559870398506, -0.949999999999999 ) ); +#3639 = CARTESIAN_POINT( '', ( -1.63256834202380, -2.11447568103574, -0.910033275349171 ) ); +#3640 = CARTESIAN_POINT( '', ( -1.63327001152289, -2.11640359084154, -0.910033275349171 ) ); +#3641 = CARTESIAN_POINT( '', ( -1.63267693748687, -2.11477405834642, -0.910031469929893 ) ); +#3642 = CARTESIAN_POINT( '', ( -1.63278525707053, -2.11507167764977, -0.910024273158644 ) ); +#3643 = CARTESIAN_POINT( '', ( -1.63283927758496, -2.11522010462232, -0.910018881427490 ) ); +#3644 = CARTESIAN_POINT( '', ( -1.63300047669241, -2.11566301590795, -0.909997445442199 ) ); +#3645 = CARTESIAN_POINT( '', ( -1.63310679789174, -2.11595514444287, -0.909976111107926 ) ); +#3646 = CARTESIAN_POINT( '', ( -1.63341883123894, -2.11681248846392, -0.909892897024670 ) ); +#3647 = CARTESIAN_POINT( '', ( -1.63361757217594, -2.11735854982437, -0.909811376828522 ) ); +#3648 = CARTESIAN_POINT( '', ( -1.63415928697916, -2.11884696749456, -0.909519405700234 ) ); +#3649 = CARTESIAN_POINT( '', ( -1.63444578565780, -2.11963415236241, -0.909256247646745 ) ); +#3650 = CARTESIAN_POINT( '', ( -1.63491693937855, -2.12092869613183, -0.908726771339329 ) ); +#3651 = CARTESIAN_POINT( '', ( -1.63466278388745, -2.12023037753011, -0.908737435940715 ) ); +#3652 = CARTESIAN_POINT( '', ( -1.63312412213473, -2.11600274459933, -0.912913780924612 ) ); +#3653 = CARTESIAN_POINT( '', ( -1.63186634671732, -2.11254687604033, -0.917242318186985 ) ); +#3654 = CARTESIAN_POINT( '', ( -1.63028767741149, -2.10820931820319, -0.927580087185008 ) ); +#3655 = CARTESIAN_POINT( '', ( -1.62982112571282, -2.10692741896722, -0.931622460143356 ) ); +#3656 = CARTESIAN_POINT( '', ( -1.62933585189705, -2.10559407877057, -0.938236034722195 ) ); +#3657 = CARTESIAN_POINT( '', ( -1.62920933435774, -2.10524645869449, -0.940529548800181 ) ); +#3658 = CARTESIAN_POINT( '', ( -1.62908158618272, -2.10489545731903, -0.944042745374393 ) ); +#3659 = CARTESIAN_POINT( '', ( -1.62904928539081, -2.10480670753936, -0.945225898451458 ) ); +#3660 = CARTESIAN_POINT( '', ( -1.62901689212404, -2.10471770367537, -0.947010678148018 ) ); +#3661 = CARTESIAN_POINT( '', ( -1.62900876659011, -2.10469537792718, -0.947607285408511 ) ); +#3662 = CARTESIAN_POINT( '', ( -1.62899792222810, -2.10466558191657, -0.948802484017071 ) ); +#3663 = CARTESIAN_POINT( '', ( -1.62899520306134, -2.10465811072355, -0.951612402614417 ) ); +#3664 = CARTESIAN_POINT( '', ( -1.62899520306134, -2.10465811072355, -0.949999999999999 ) ); +#3665 = CARTESIAN_POINT( '', ( -1.63250581280871, -2.11449843876170, -1.41003327092135 ) ); +#3666 = CARTESIAN_POINT( '', ( -1.63385490019433, -2.11820519643464, -1.41003327092135 ) ); +#3667 = CARTESIAN_POINT( '', ( -1.63520421698126, -2.12191258441153, -1.40998846570561 ) ); +#3668 = CARTESIAN_POINT( '', ( -1.63790238735174, -2.12932608766587, -1.40980919672261 ) ); +#3669 = CARTESIAN_POINT( '', ( -1.63925128798906, -2.13303233222849, -1.40967473291009 ) ); +#3670 = CARTESIAN_POINT( '', ( -1.64329639948227, -2.14414669607564, -1.40913681447972 ) ); +#3671 = CARTESIAN_POINT( '', ( -1.64599104458942, -2.15155051330298, -1.40859881462419 ) ); +#3672 = CARTESIAN_POINT( '', ( -1.65406010619059, -2.17372109789403, -1.40644690445904 ) ); +#3673 = CARTESIAN_POINT( '', ( -1.65941979244248, -2.18844739238802, -1.40429465121663 ) ); +#3674 = CARTESIAN_POINT( '', ( -1.67536631065665, -2.23226210697010, -1.39569974456695 ) ); +#3675 = CARTESIAN_POINT( '', ( -1.68582062909570, -2.26098643239370, -1.38711016933059 ) ); +#3676 = CARTESIAN_POINT( '', ( -1.71603038675508, -2.34399087835872, -1.35315976026559 ) ); +#3677 = CARTESIAN_POINT( '', ( -1.73458330774815, -2.39496695520873, -1.31958316227738 ) ); +#3678 = CARTESIAN_POINT( '', ( -1.76575873868126, -2.48062468876296, -1.23496558304461 ) ); +#3679 = CARTESIAN_POINT( '', ( -1.77834314885510, -2.51520166240355, -1.18399826187293 ) ); +#3680 = CARTESIAN_POINT( '', ( -1.79117238691154, -2.55045132607301, -1.09998765314235 ) ); +#3681 = CARTESIAN_POINT( '', ( -1.79444311174515, -2.55943798216535, -1.07076834663235 ) ); +#3682 = CARTESIAN_POINT( '', ( -1.79772862524377, -2.56846527165038, -1.02599180374532 ) ); +#3683 = CARTESIAN_POINT( '', ( -1.79855458693775, -2.57073468716738, -1.01090837087294 ) ); +#3684 = CARTESIAN_POINT( '', ( -1.79938223297881, -2.57300873060286, -0.988147422201016 ) ); +#3685 = CARTESIAN_POINT( '', ( -1.79958960387272, -2.57357850366602, -0.980537675057416 ) ); +#3686 = CARTESIAN_POINT( '', ( -1.79979718806620, -2.57414886279186, -0.969100411280187 ) ); +#3687 = CARTESIAN_POINT( '', ( -1.79984913913950, -2.57429160376004, -0.965284196619634 ) ); +#3688 = CARTESIAN_POINT( '', ( -1.79991844285461, -2.57448202291340, -0.957646004468011 ) ); +#3689 = CARTESIAN_POINT( '', ( -1.79993579632285, -2.57452970336925, -0.953823868626697 ) ); +#3690 = CARTESIAN_POINT( '', ( -1.79993579632285, -2.57452970336925, -0.950000000000000 ) ); +#3697 = SURFACE_SIDE_STYLE( '', ( #6451 ) ); +#3698 = EDGE_LOOP( '', ( #6452, #6453, #6454, #6455 ) ); +#3699 = AXIS2_PLACEMENT_3D( '', #6456, #6457, #6458 ); +#3700 = SURFACE_SIDE_STYLE( '', ( #6459 ) ); +#3701 = EDGE_LOOP( '', ( #6460 ) ); +#3702 = EDGE_LOOP( '', ( #6461 ) ); +#3703 = AXIS2_PLACEMENT_3D( '', #6462, #6463, #6464 ); +#3704 = SURFACE_SIDE_STYLE( '', ( #6465 ) ); +#3705 = EDGE_LOOP( '', ( #6466, #6467, #6468, #6469 ) ); +#3706 = AXIS2_PLACEMENT_3D( '', #6470, #6471, #6472 ); +#3707 = SURFACE_SIDE_STYLE( '', ( #6473 ) ); +#3708 = EDGE_LOOP( '', ( #6474 ) ); +#3709 = EDGE_LOOP( '', ( #6475 ) ); +#3710 = AXIS2_PLACEMENT_3D( '', #6476, #6477, #6478 ); +#3711 = SURFACE_SIDE_STYLE( '', ( #6479 ) ); +#3712 = EDGE_LOOP( '', ( #6480 ) ); +#3713 = EDGE_LOOP( '', ( #6481 ) ); +#3715 = CARTESIAN_POINT( '', ( 0.356426606768076, 0.693800629926453, -0.950000000000000 ) ); +#3716 = CARTESIAN_POINT( '', ( 0.356426606768076, 0.693800629926453, -0.954912669963319 ) ); +#3717 = CARTESIAN_POINT( '', ( 0.356399370664961, 0.693875463947789, -0.962000056198931 ) ); +#3718 = CARTESIAN_POINT( '', ( 0.356290606550513, 0.694174304645634, -0.973987312818957 ) ); +#3719 = CARTESIAN_POINT( '', ( 0.356209077139129, 0.694398315168925, -0.979976152649462 ) ); +#3720 = CARTESIAN_POINT( '', ( 0.355883341558041, 0.695293307500351, -0.997923206551633 ) ); +#3721 = CARTESIAN_POINT( '', ( 0.355557969821667, 0.696187300130640, -1.00986208297109 ) ); +#3722 = CARTESIAN_POINT( '', ( 0.354259941458721, 0.699753767837143, -1.04555894236497 ) ); +#3723 = CARTESIAN_POINT( '', ( 0.352965032608926, 0.703311664357341, -1.06919780627842 ) ); +#3724 = CARTESIAN_POINT( '', ( 0.347822995661168, 0.717439944788888, -1.13927595208485 ) ); +#3725 = CARTESIAN_POINT( '', ( 0.342712246149794, 0.731482259740252, -1.18487143887674 ) ); +#3726 = CARTESIAN_POINT( '', ( 0.322789364971121, 0.786222444448460, -1.31533388312883 ) ); +#3727 = CARTESIAN_POINT( '', ( 0.303389841019392, 0.839524650833351, -1.39352577619295 ) ); +#3728 = CARTESIAN_POINT( '', ( 0.256107830079163, 0.969436885365665, -1.52186049164068 ) ); +#3729 = CARTESIAN_POINT( '', ( 0.228295361959705, 1.04585452939994, -1.57197356901848 ) ); +#3730 = CARTESIAN_POINT( '', ( 0.183443988049330, 1.16908833631022, -1.62237856539491 ) ); +#3731 = CARTESIAN_POINT( '', ( 0.167983607015781, 1.21156733851102, -1.63506759992323 ) ); +#3732 = CARTESIAN_POINT( '', ( 0.144452630211119, 1.27622114059413, -1.64775040468913 ) ); +#3733 = CARTESIAN_POINT( '', ( 0.136551984854933, 1.29792898406479, -1.65092217030152 ) ); +#3734 = CARTESIAN_POINT( '', ( 0.124663799038660, 1.33059300899130, -1.65409258993205 ) ); +#3735 = CARTESIAN_POINT( '', ( 0.120694808898257, 1.34149822151765, -1.65488496679315 ) ); +#3736 = CARTESIAN_POINT( '', ( 0.114737477532665, 1.35786660801735, -1.65567717209034 ) ); +#3737 = CARTESIAN_POINT( '', ( 0.112751050801547, 1.36332452171947, -1.65587518372558 ) ); +#3738 = CARTESIAN_POINT( '', ( 0.108777783672248, 1.37424148571690, -1.65613917137308 ) ); +#3739 = CARTESIAN_POINT( '', ( 0.106472142956438, 1.38057647298718, -1.65620514726617 ) ); +#3740 = CARTESIAN_POINT( '', ( 0.104804303466582, 1.38515903516378, -1.65620514726617 ) ); +#3741 = CARTESIAN_POINT( '', ( -0.135972133379017, 0.606947416390308, -0.949999999999999 ) ); +#3742 = CARTESIAN_POINT( '', ( -0.135972133379017, 0.606947416390308, -0.954528813951128 ) ); +#3743 = CARTESIAN_POINT( '', ( -0.135995885367365, 0.607012677444552, -0.960465038939897 ) ); +#3744 = CARTESIAN_POINT( '', ( -0.136090737750723, 0.607273294216717, -0.970919036562150 ) ); +#3745 = CARTESIAN_POINT( '', ( -0.136161839343549, 0.607468653225762, -0.976141919229668 ) ); +#3746 = CARTESIAN_POINT( '', ( -0.136445920751144, 0.608249196390400, -0.991793952144331 ) ); +#3747 = CARTESIAN_POINT( '', ( -0.136729691388809, 0.609028885682383, -1.00220659771701 ) ); +#3748 = CARTESIAN_POINT( '', ( -0.137861886857591, 0.612139710293101, -1.03334291280214 ) ); +#3749 = CARTESIAN_POINT( '', ( -0.138991468781457, 0.615243353917779, -1.05396556681714 ) ); +#3750 = CARTESIAN_POINT( '', ( -0.143478989957020, 0.627573284304309, -1.11512365421848 ) ); +#3751 = CARTESIAN_POINT( '', ( -0.147941040335582, 0.639833231031331, -1.15494573279504 ) ); +#3752 = CARTESIAN_POINT( '', ( -0.165363063726084, 0.687702049292830, -1.26903162269396 ) ); +#3753 = CARTESIAN_POINT( '', ( -0.182359925223141, 0.734402691105760, -1.33762551670693 ) ); +#3754 = CARTESIAN_POINT( '', ( -0.223963575447784, 0.848713039965865, -1.45054779397142 ) ); +#3755 = CARTESIAN_POINT( '', ( -0.248511598322850, 0.916161281731210, -1.49483094989691 ) ); +#3756 = CARTESIAN_POINT( '', ( -0.288201083124616, 1.02521226233667, -1.53943489565299 ) ); +#3757 = CARTESIAN_POINT( '', ( -0.301896581114949, 1.06284206512162, -1.55067866929269 ) ); +#3758 = CARTESIAN_POINT( '', ( -0.322753663543148, 1.12014906476460, -1.56192028902202 ) ); +#3759 = CARTESIAN_POINT( '', ( -0.329758495935997, 1.13939556910276, -1.56473262640017 ) ); +#3760 = CARTESIAN_POINT( '', ( -0.340300252754286, 1.16836014055240, -1.56754397136532 ) ); +#3761 = CARTESIAN_POINT( '', ( -0.343819973633051, 1.17803093913302, -1.56824666782350 ) ); +#3762 = CARTESIAN_POINT( '', ( -0.349103153498738, 1.19254702438698, -1.56894922449555 ) ); +#3763 = CARTESIAN_POINT( '', ( -0.350864820943584, 1.19738738861244, -1.56912483239816 ) ); +#3764 = CARTESIAN_POINT( '', ( -0.354388553785333, 1.20706921047795, -1.56935895255009 ) ); +#3765 = CARTESIAN_POINT( '', ( -0.356357043311819, 1.21247783984784, -1.56941746473820 ) ); +#3766 = CARTESIAN_POINT( '', ( -0.357912507008076, 1.21675163786312, -1.56941746473820 ) ); +#3767 = CARTESIAN_POINT( '', ( -0.222825346915162, 1.09934615653740, -0.949999999999999 ) ); +#3768 = CARTESIAN_POINT( '', ( -0.222825346915162, 1.09934615653740, -0.952350916736744 ) ); +#3769 = CARTESIAN_POINT( '', ( -0.222829330960309, 1.09935710311512, -0.951755758099387 ) ); +#3770 = CARTESIAN_POINT( '', ( -0.222845251870859, 1.09940084746998, -0.953510450789228 ) ); +#3771 = CARTESIAN_POINT( '', ( -0.222857188786651, 1.09943364538558, -0.954387495646141 ) ); +#3772 = CARTESIAN_POINT( '', ( -0.222904935460555, 1.09956483432985, -0.957018188118251 ) ); +#3773 = CARTESIAN_POINT( '', ( -0.222952672496769, 1.09969599679357, -0.958771404623372 ) ); +#3774 = CARTESIAN_POINT( '', ( -0.223143976103029, 1.10022162331557, -0.964032400759034 ) ); +#3775 = CARTESIAN_POINT( '', ( -0.223335536893952, 1.10074795647912, -0.967541879710414 ) ); +#3776 = CARTESIAN_POINT( '', ( -0.224109509155920, 1.10287452563342, -0.978089921324653 ) ); +#3777 = CARTESIAN_POINT( '', ( -0.224891012690195, 1.10502178774038, -0.985155212797828 ) ); +#3778 = CARTESIAN_POINT( '', ( -0.228123832219270, 1.11390429507262, -1.00632487636621 ) ); +#3779 = CARTESIAN_POINT( '', ( -0.231488624135589, 1.12314941024208, -1.02046226915658 ) ); +#3780 = CARTESIAN_POINT( '', ( -0.240874761563769, 1.14893879742496, -1.04593845955470 ) ); +#3781 = CARTESIAN_POINT( '', ( -0.246901187854464, 1.16549702940578, -1.05714418725741 ) ); +#3782 = CARTESIAN_POINT( '', ( -0.257303482789201, 1.19407841484945, -1.06883451023484 ) ); +#3783 = CARTESIAN_POINT( '', ( -0.260985502440107, 1.20419514615867, -1.07187825687638 ) ); +#3784 = CARTESIAN_POINT( '', ( -0.266671617294194, 1.21981833713154, -1.07494297491102 ) ); +#3785 = CARTESIAN_POINT( '', ( -0.268593844503059, 1.22509985598017, -1.07571601180869 ) ); +#3786 = CARTESIAN_POINT( '', ( -0.271496320260360, 1.23307470949866, -1.07649006234919 ) ); +#3787 = CARTESIAN_POINT( '', ( -0.272467006494984, 1.23574177071839, -1.07668393598448 ) ); +#3788 = CARTESIAN_POINT( '', ( -0.273925229535481, 1.23974838993508, -1.07687785013232 ) ); +#3789 = CARTESIAN_POINT( '', ( -0.274411672396285, 1.24108494220439, -1.07692634519750 ) ); +#3790 = CARTESIAN_POINT( '', ( -0.275384866907168, 1.24375889517358, -1.07699100510044 ) ); +#3791 = CARTESIAN_POINT( '', ( -0.275440449998067, 1.24391161548723, -1.07700717020586 ) ); +#3792 = CARTESIAN_POINT( '', ( -0.276358323243561, 1.24643356753556, -1.07700717020586 ) ); +#3793 = CARTESIAN_POINT( '', ( 0.269573393231931, 1.18619937007355, -0.950000000000000 ) ); +#3794 = CARTESIAN_POINT( '', ( 0.269573393231931, 1.18619937007355, -0.952734772748935 ) ); +#3795 = CARTESIAN_POINT( '', ( 0.269565925072016, 1.18621988961836, -0.953290775358422 ) ); +#3796 = CARTESIAN_POINT( '', ( 0.269536092430376, 1.18630185789890, -0.956578727046037 ) ); +#3797 = CARTESIAN_POINT( '', ( 0.269513727696027, 1.18636330732875, -0.958221729065935 ) ); +#3798 = CARTESIAN_POINT( '', ( 0.269424326848631, 1.18660894543980, -0.963147442525553 ) ); +#3799 = CARTESIAN_POINT( '', ( 0.269334988713708, 1.18685441124183, -0.966426889877456 ) ); +#3800 = CARTESIAN_POINT( '', ( 0.268977852213283, 1.18783568085961, -0.976248430321864 ) ); +#3801 = CARTESIAN_POINT( '', ( 0.268620964496433, 1.18881626691868, -0.982774119171694 ) ); +#3802 = CARTESIAN_POINT( '', ( 0.267192476462266, 1.19274118611801, -1.00224221919102 ) ); +#3803 = CARTESIAN_POINT( '', ( 0.265762273795176, 1.19667081644930, -1.01508091887953 ) ); +#3804 = CARTESIAN_POINT( '', ( 0.260028596477945, 1.21242469022826, -1.05262713680108 ) ); +#3805 = CARTESIAN_POINT( '', ( 0.254261142106941, 1.22827136996967, -1.07636252864259 ) ); +#3806 = CARTESIAN_POINT( '', ( 0.239196643963183, 1.26966264282476, -1.11725115722395 ) ); +#3807 = CARTESIAN_POINT( '', ( 0.229905772428091, 1.29519027707451, -1.13428680637899 ) ); +#3808 = CARTESIAN_POINT( '', ( 0.214341588384745, 1.33795448882300, -1.15177817997676 ) ); +#3809 = CARTESIAN_POINT( '', ( 0.208894685690620, 1.35292041954807, -1.15626718750691 ) ); +#3810 = CARTESIAN_POINT( '', ( 0.200534676460075, 1.37589041296107, -1.16077309057814 ) ); +#3811 = CARTESIAN_POINT( '', ( 0.197716636287873, 1.38363327094219, -1.16190555571004 ) ); +#3812 = CARTESIAN_POINT( '', ( 0.193467731532586, 1.39530757793757, -1.16303868091592 ) ); +#3813 = CARTESIAN_POINT( '', ( 0.192047776036324, 1.39920905310301, -1.16332223495413 ) ); +#3814 = CARTESIAN_POINT( '', ( 0.189915401495922, 1.40506797356545, -1.16360579772711 ) ); +#3815 = CARTESIAN_POINT( '', ( 0.189204199348846, 1.40702207531142, -1.16367669652492 ) ); +#3816 = CARTESIAN_POINT( '', ( 0.187781470550414, 1.41093117041254, -1.16377122392343 ) ); +#3817 = CARTESIAN_POINT( '', ( 0.187388736270190, 1.41201024862657, -1.16379485273383 ) ); +#3818 = CARTESIAN_POINT( '', ( 0.186358487231098, 1.41484096483622, -1.16379485273383 ) ); +#3819 = CARTESIAN_POINT( '', ( 0.761972133379024, 1.27305258360969, -0.949999999999999 ) ); +#3820 = CARTESIAN_POINT( '', ( 0.761972133379024, 1.27305258360969, -0.953118628761124 ) ); +#3821 = CARTESIAN_POINT( '', ( 0.761961181104341, 1.27308267612160, -0.954825792617455 ) ); +#3822 = CARTESIAN_POINT( '', ( 0.761917436731612, 1.27320286832782, -0.959647003302844 ) ); +#3823 = CARTESIAN_POINT( '', ( 0.761884644178705, 1.27329296927191, -0.962055962485727 ) ); +#3824 = CARTESIAN_POINT( '', ( 0.761753589157818, 1.27365305654975, -0.969276696932854 ) ); +#3825 = CARTESIAN_POINT( '', ( 0.761622649924184, 1.27401282569008, -0.974082375131539 ) ); +#3826 = CARTESIAN_POINT( '', ( 0.761099680529595, 1.27544973840365, -0.988464459884693 ) ); +#3827 = CARTESIAN_POINT( '', ( 0.760577465886817, 1.27688457735824, -0.998006358632972 ) ); +#3828 = CARTESIAN_POINT( '', ( 0.758494462080450, 1.28260784660259, -1.02639451705739 ) ); +#3829 = CARTESIAN_POINT( '', ( 0.756415560280548, 1.28831984515822, -1.04500662496123 ) ); +#3830 = CARTESIAN_POINT( '', ( 0.748181025175161, 1.31094508538389, -1.09892939723595 ) ); +#3831 = CARTESIAN_POINT( '', ( 0.740010908349470, 1.33339332969726, -1.13226278812861 ) ); +#3832 = CARTESIAN_POINT( '', ( 0.719268049490133, 1.39038648822456, -1.18856385489320 ) ); +#3833 = CARTESIAN_POINT( '', ( 0.706712732710645, 1.42488352474324, -1.21142942550056 ) ); +#3834 = CARTESIAN_POINT( '', ( 0.685986659558691, 1.48183056279655, -1.23472184971868 ) ); +#3835 = CARTESIAN_POINT( '', ( 0.678774873821346, 1.50164569293747, -1.24065611813744 ) ); +#3836 = CARTESIAN_POINT( '', ( 0.667740970214343, 1.53196248879061, -1.24660320624525 ) ); +#3837 = CARTESIAN_POINT( '', ( 0.664027117078804, 1.54216668590422, -1.24809509961138 ) ); +#3838 = CARTESIAN_POINT( '', ( 0.658431783325531, 1.55754044637647, -1.24958729948265 ) ); +#3839 = CARTESIAN_POINT( '', ( 0.656562558567630, 1.56267633548764, -1.24996053392378 ) ); +#3840 = CARTESIAN_POINT( '', ( 0.653756032527324, 1.57038755719583, -1.25033374532191 ) ); +#3841 = CARTESIAN_POINT( '', ( 0.652820071093977, 1.57295920841845, -1.25042704785234 ) ); +#3842 = CARTESIAN_POINT( '', ( 0.650947808007994, 1.57810344565149, -1.25055144274642 ) ); +#3843 = CARTESIAN_POINT( '', ( 0.650217922538446, 1.58010888176591, -1.25058253526180 ) ); +#3844 = CARTESIAN_POINT( '', ( 0.649075297705756, 1.58324836213688, -1.25058253526180 ) ); +#3845 = CARTESIAN_POINT( '', ( 0.848825346915169, 0.780653843462597, -0.949999999999999 ) ); +#3846 = CARTESIAN_POINT( '', ( 0.848825346915169, 0.780653843462597, -0.955296525975510 ) ); +#3847 = CARTESIAN_POINT( '', ( 0.848794626697286, 0.780738250451026, -0.963535073457966 ) ); +#3848 = CARTESIAN_POINT( '', ( 0.848671950851749, 0.781075315074550, -0.977055589075763 ) ); +#3849 = CARTESIAN_POINT( '', ( 0.848579993621808, 0.781327977112087, -0.983810386069255 ) ); +#3850 = CARTESIAN_POINT( '', ( 0.848212603867227, 0.782337418610300, -1.00405246095893 ) ); +#3851 = CARTESIAN_POINT( '', ( 0.847845631032143, 0.783345714578896, -1.01751756822517 ) ); +#3852 = CARTESIAN_POINT( '', ( 0.846381769775034, 0.787367825381182, -1.05777497192780 ) ); +#3853 = CARTESIAN_POINT( '', ( 0.844921533999309, 0.791379974796903, -1.08443004573970 ) ); +#3854 = CARTESIAN_POINT( '', ( 0.839124981279356, 0.807306605273467, -1.16342824995122 ) ); +#3855 = CARTESIAN_POINT( '', ( 0.833365532635170, 0.823131288449173, -1.21479714495844 ) ); +#3856 = CARTESIAN_POINT( '', ( 0.810941793668326, 0.884742839604089, -1.36163614356370 ) ); +#3857 = CARTESIAN_POINT( '', ( 0.789139607261925, 0.944646610560941, -1.44942603567896 ) ); +#3858 = CARTESIAN_POINT( '', ( 0.736179235606111, 1.09016073076546, -1.59317318930992 ) ); +#3859 = CARTESIAN_POINT( '', ( 0.705102322242259, 1.17554777706867, -1.64911618814005 ) ); +#3860 = CARTESIAN_POINT( '', ( 0.655089059223276, 1.31296441028378, -1.70532223513682 ) ); +#3861 = CARTESIAN_POINT( '', ( 0.637863795146511, 1.36029261190042, -1.71945653055375 ) ); +#3862 = CARTESIAN_POINT( '', ( 0.611658923965386, 1.43229321642367, -1.73358052035624 ) ); +#3863 = CARTESIAN_POINT( '', ( 0.602862465645864, 1.45646239902682, -1.73711171420286 ) ); +#3864 = CARTESIAN_POINT( '', ( 0.589627850831607, 1.49282587743021, -1.74064120849878 ) ); +#3865 = CARTESIAN_POINT( '', ( 0.585209591429563, 1.50496550390228, -1.74152326576280 ) ); +#3866 = CARTESIAN_POINT( '', ( 0.578578108564068, 1.52318619164773, -1.74240511968513 ) ); +#3867 = CARTESIAN_POINT( '', ( 0.576366922546678, 1.52926165482650, -1.74262553505300 ) ); +#3868 = CARTESIAN_POINT( '', ( 0.571944121129830, 1.54141376095586, -1.74291939019606 ) ); +#3869 = CARTESIAN_POINT( '', ( 0.569301329224695, 1.54867510612652, -1.74299282979414 ) ); +#3870 = CARTESIAN_POINT( '', ( 0.567521113941241, 1.55356643246444, -1.74299282979414 ) ); +#3871 = CARTESIAN_POINT( '', ( 0.356426606768076, 0.693800629926453, -0.950000000000000 ) ); +#3872 = CARTESIAN_POINT( '', ( 0.356426606768076, 0.693800629926453, -0.954912669963319 ) ); +#3873 = CARTESIAN_POINT( '', ( 0.356399370664961, 0.693875463947789, -0.962000056198931 ) ); +#3874 = CARTESIAN_POINT( '', ( 0.356290606550513, 0.694174304645634, -0.973987312818957 ) ); +#3875 = CARTESIAN_POINT( '', ( 0.356209077139129, 0.694398315168925, -0.979976152649462 ) ); +#3876 = CARTESIAN_POINT( '', ( 0.355883341558041, 0.695293307500351, -0.997923206551633 ) ); +#3877 = CARTESIAN_POINT( '', ( 0.355557969821667, 0.696187300130640, -1.00986208297109 ) ); +#3878 = CARTESIAN_POINT( '', ( 0.354259941458721, 0.699753767837143, -1.04555894236497 ) ); +#3879 = CARTESIAN_POINT( '', ( 0.352965032608926, 0.703311664357341, -1.06919780627842 ) ); +#3880 = CARTESIAN_POINT( '', ( 0.347822995661168, 0.717439944788888, -1.13927595208485 ) ); +#3881 = CARTESIAN_POINT( '', ( 0.342712246149794, 0.731482259740252, -1.18487143887674 ) ); +#3882 = CARTESIAN_POINT( '', ( 0.322789364971121, 0.786222444448460, -1.31533388312883 ) ); +#3883 = CARTESIAN_POINT( '', ( 0.303389841019392, 0.839524650833351, -1.39352577619295 ) ); +#3884 = CARTESIAN_POINT( '', ( 0.256107830079163, 0.969436885365665, -1.52186049164068 ) ); +#3885 = CARTESIAN_POINT( '', ( 0.228295361959705, 1.04585452939994, -1.57197356901848 ) ); +#3886 = CARTESIAN_POINT( '', ( 0.183443988049330, 1.16908833631022, -1.62237856539491 ) ); +#3887 = CARTESIAN_POINT( '', ( 0.167983607015781, 1.21156733851102, -1.63506759992323 ) ); +#3888 = CARTESIAN_POINT( '', ( 0.144452630211119, 1.27622114059413, -1.64775040468913 ) ); +#3889 = CARTESIAN_POINT( '', ( 0.136551984854933, 1.29792898406479, -1.65092217030152 ) ); +#3890 = CARTESIAN_POINT( '', ( 0.124663799038660, 1.33059300899130, -1.65409258993205 ) ); +#3891 = CARTESIAN_POINT( '', ( 0.120694808898257, 1.34149822151765, -1.65488496679315 ) ); +#3892 = CARTESIAN_POINT( '', ( 0.114737477532665, 1.35786660801735, -1.65567717209034 ) ); +#3893 = CARTESIAN_POINT( '', ( 0.112751050801547, 1.36332452171947, -1.65587518372558 ) ); +#3894 = CARTESIAN_POINT( '', ( 0.108777783672248, 1.37424148571690, -1.65613917137308 ) ); +#3895 = CARTESIAN_POINT( '', ( 0.106472142956438, 1.38057647298718, -1.65620514726617 ) ); +#3896 = CARTESIAN_POINT( '', ( 0.104804303466582, 1.38515903516378, -1.65620514726617 ) ); +#3903 = SURFACE_SIDE_STYLE( '', ( #6482 ) ); +#3904 = EDGE_LOOP( '', ( #6483, #6484, #6485, #6486 ) ); +#3905 = AXIS2_PLACEMENT_3D( '', #6487, #6488, #6489 ); +#3906 = SURFACE_SIDE_STYLE( '', ( #6490 ) ); +#3907 = EDGE_LOOP( '', ( #6491 ) ); +#3908 = EDGE_LOOP( '', ( #6492 ) ); +#3909 = AXIS2_PLACEMENT_3D( '', #6493, #6494, #6495 ); +#3910 = SURFACE_SIDE_STYLE( '', ( #6496 ) ); +#3911 = EDGE_LOOP( '', ( #6497 ) ); +#3912 = EDGE_LOOP( '', ( #6498 ) ); +#3913 = AXIS2_PLACEMENT_3D( '', #6499, #6500, #6501 ); +#3914 = SURFACE_SIDE_STYLE( '', ( #6502 ) ); +#3915 = EDGE_LOOP( '', ( #6503 ) ); +#3916 = EDGE_LOOP( '', ( #6504 ) ); +#3917 = AXIS2_PLACEMENT_3D( '', #6505, #6506, #6507 ); +#3918 = SURFACE_SIDE_STYLE( '', ( #6508 ) ); +#3919 = EDGE_LOOP( '', ( #6509, #6510, #6511, #6512 ) ); +#3920 = AXIS2_PLACEMENT_3D( '', #6513, #6514, #6515 ); +#3921 = SURFACE_SIDE_STYLE( '', ( #6516 ) ); +#3922 = EDGE_LOOP( '', ( #6517, #6518, #6519, #6520 ) ); +#3923 = AXIS2_PLACEMENT_3D( '', #6521, #6522, #6523 ); +#3924 = SURFACE_SIDE_STYLE( '', ( #6524 ) ); +#3925 = EDGE_LOOP( '', ( #6525, #6526, #6527, #6528 ) ); +#3926 = AXIS2_PLACEMENT_3D( '', #6529, #6530, #6531 ); +#3927 = SURFACE_SIDE_STYLE( '', ( #6532 ) ); +#3928 = EDGE_LOOP( '', ( #6533 ) ); +#3929 = EDGE_LOOP( '', ( #6534 ) ); +#3930 = AXIS2_PLACEMENT_3D( '', #6535, #6536, #6537 ); +#3931 = SURFACE_SIDE_STYLE( '', ( #6538 ) ); +#3932 = EDGE_LOOP( '', ( #6539, #6540, #6541, #6542 ) ); +#3933 = AXIS2_PLACEMENT_3D( '', #6543, #6544, #6545 ); +#3934 = SURFACE_SIDE_STYLE( '', ( #6546 ) ); +#3935 = EDGE_LOOP( '', ( #6547, #6548, #6549, #6550 ) ); +#3936 = AXIS2_PLACEMENT_3D( '', #6551, #6552, #6553 ); +#3937 = SURFACE_SIDE_STYLE( '', ( #6554 ) ); +#3938 = EDGE_LOOP( '', ( #6555 ) ); +#3939 = EDGE_LOOP( '', ( #6556 ) ); +#3940 = AXIS2_PLACEMENT_3D( '', #6557, #6558, #6559 ); +#3941 = SURFACE_SIDE_STYLE( '', ( #6560 ) ); +#3942 = EDGE_LOOP( '', ( #6561 ) ); +#3943 = EDGE_LOOP( '', ( #6562 ) ); +#3944 = AXIS2_PLACEMENT_3D( '', #6563, #6564, #6565 ); +#3945 = SURFACE_SIDE_STYLE( '', ( #6566 ) ); +#3946 = EDGE_LOOP( '', ( #6567 ) ); +#3947 = EDGE_LOOP( '', ( #6568 ) ); +#3948 = AXIS2_PLACEMENT_3D( '', #6569, #6570, #6571 ); +#3949 = SURFACE_SIDE_STYLE( '', ( #6572 ) ); +#3950 = EDGE_LOOP( '', ( #6573, #6574, #6575, #6576 ) ); +#3951 = AXIS2_PLACEMENT_3D( '', #6577, #6578, #6579 ); +#3952 = SURFACE_SIDE_STYLE( '', ( #6580 ) ); +#3953 = EDGE_LOOP( '', ( #6581 ) ); +#3954 = EDGE_LOOP( '', ( #6582 ) ); +#3955 = AXIS2_PLACEMENT_3D( '', #6583, #6584, #6585 ); +#3956 = SURFACE_SIDE_STYLE( '', ( #6586 ) ); +#3957 = EDGE_LOOP( '', ( #6587 ) ); +#3958 = EDGE_LOOP( '', ( #6588 ) ); +#3959 = AXIS2_PLACEMENT_3D( '', #6589, #6590, #6591 ); +#3960 = SURFACE_SIDE_STYLE( '', ( #6592 ) ); +#3961 = EDGE_LOOP( '', ( #6593, #6594, #6595, #6596 ) ); +#3962 = AXIS2_PLACEMENT_3D( '', #6597, #6598, #6599 ); +#3963 = SURFACE_SIDE_STYLE( '', ( #6600 ) ); +#3964 = EDGE_LOOP( '', ( #6601 ) ); +#3965 = EDGE_LOOP( '', ( #6602 ) ); +#3966 = AXIS2_PLACEMENT_3D( '', #6603, #6604, #6605 ); +#3967 = SURFACE_SIDE_STYLE( '', ( #6606 ) ); +#3968 = EDGE_LOOP( '', ( #6607 ) ); +#3969 = EDGE_LOOP( '', ( #6608 ) ); +#3970 = AXIS2_PLACEMENT_3D( '', #6609, #6610, #6611 ); +#3971 = SURFACE_SIDE_STYLE( '', ( #6612 ) ); +#3972 = EDGE_LOOP( '', ( #6613 ) ); +#3973 = EDGE_LOOP( '', ( #6614 ) ); +#3974 = AXIS2_PLACEMENT_3D( '', #6615, #6616, #6617 ); +#3975 = SURFACE_SIDE_STYLE( '', ( #6618 ) ); +#3976 = EDGE_LOOP( '', ( #6619 ) ); +#3977 = EDGE_LOOP( '', ( #6620 ) ); +#3978 = AXIS2_PLACEMENT_3D( '', #6621, #6622, #6623 ); +#3979 = SURFACE_SIDE_STYLE( '', ( #6624 ) ); +#3980 = EDGE_LOOP( '', ( #6625 ) ); +#3981 = EDGE_LOOP( '', ( #6626 ) ); +#3982 = AXIS2_PLACEMENT_3D( '', #6627, #6628, #6629 ); +#3983 = SURFACE_SIDE_STYLE( '', ( #6630 ) ); +#3984 = EDGE_LOOP( '', ( #6631, #6632, #6633, #6634 ) ); +#3985 = AXIS2_PLACEMENT_3D( '', #6635, #6636, #6637 ); +#3986 = SURFACE_SIDE_STYLE( '', ( #6638 ) ); +#3987 = EDGE_LOOP( '', ( #6639 ) ); +#3988 = EDGE_LOOP( '', ( #6640 ) ); +#3989 = AXIS2_PLACEMENT_3D( '', #6641, #6642, #6643 ); +#3990 = SURFACE_SIDE_STYLE( '', ( #6644 ) ); +#3991 = EDGE_LOOP( '', ( #6645 ) ); +#3992 = EDGE_LOOP( '', ( #6646 ) ); +#3993 = AXIS2_PLACEMENT_3D( '', #6647, #6648, #6649 ); +#3994 = SURFACE_SIDE_STYLE( '', ( #6650 ) ); +#3995 = EDGE_LOOP( '', ( #6651, #6652, #6653, #6654 ) ); +#3996 = AXIS2_PLACEMENT_3D( '', #6655, #6656, #6657 ); +#3997 = SURFACE_SIDE_STYLE( '', ( #6658 ) ); +#3998 = EDGE_LOOP( '', ( #6659 ) ); +#3999 = EDGE_LOOP( '', ( #6660 ) ); +#4000 = AXIS2_PLACEMENT_3D( '', #6661, #6662, #6663 ); +#4001 = SURFACE_SIDE_STYLE( '', ( #6664 ) ); +#4002 = EDGE_LOOP( '', ( #6665 ) ); +#4003 = EDGE_LOOP( '', ( #6666 ) ); +#4004 = AXIS2_PLACEMENT_3D( '', #6667, #6668, #6669 ); +#4005 = SURFACE_SIDE_STYLE( '', ( #6670 ) ); +#4006 = EDGE_LOOP( '', ( #6671, #6672, #6673, #6674 ) ); +#4007 = AXIS2_PLACEMENT_3D( '', #6675, #6676, #6677 ); +#4008 = SURFACE_SIDE_STYLE( '', ( #6678 ) ); +#4009 = EDGE_LOOP( '', ( #6679, #6680, #6681, #6682 ) ); +#4010 = AXIS2_PLACEMENT_3D( '', #6683, #6684, #6685 ); +#4011 = SURFACE_SIDE_STYLE( '', ( #6686 ) ); +#4012 = EDGE_LOOP( '', ( #6687 ) ); +#4013 = AXIS2_PLACEMENT_3D( '', #6688, #6689, #6690 ); +#4014 = SURFACE_SIDE_STYLE( '', ( #6691 ) ); +#4015 = EDGE_LOOP( '', ( #6692 ) ); +#4016 = EDGE_LOOP( '', ( #6693 ) ); +#4017 = AXIS2_PLACEMENT_3D( '', #6694, #6695, #6696 ); +#4018 = SURFACE_SIDE_STYLE( '', ( #6697 ) ); +#4019 = EDGE_LOOP( '', ( #6698 ) ); +#4020 = EDGE_LOOP( '', ( #6699 ) ); +#4022 = CARTESIAN_POINT( '', ( -1.17391303859689, -0.854467174154151, -0.950000000000000 ) ); +#4023 = CARTESIAN_POINT( '', ( -1.17391303859689, -0.854467174154151, -0.953823868511147 ) ); +#4024 = CARTESIAN_POINT( '', ( -1.17393039206407, -0.854514854607116, -0.957646004242790 ) ); +#4025 = CARTESIAN_POINT( '', ( -1.17399969577532, -0.854705273749833, -0.965284196186696 ) ); +#4026 = CARTESIAN_POINT( '', ( -1.17405164684579, -0.854848014710243, -0.969100410749219 ) ); +#4027 = CARTESIAN_POINT( '', ( -1.17425923102857, -0.855418373806711, -0.980537674249240 ) ); +#4028 = CARTESIAN_POINT( '', ( -1.17446660191242, -0.855988146842188, -0.988147421230674 ) ); +#4029 = CARTESIAN_POINT( '', ( -1.17529424791779, -0.858262190179643, -1.01090836947983 ) ); +#4030 = CARTESIAN_POINT( '', ( -1.17612020958084, -0.860531605611643, -1.02599180215557 ) ); +#4031 = CARTESIAN_POINT( '', ( -1.17940572298693, -0.869558894842466, -1.07076834466156 ) ); +#4032 = CARTESIAN_POINT( '', ( -1.18267644776141, -0.878545550772373, -1.09998765119523 ) ); +#4033 = CARTESIAN_POINT( '', ( -1.19550568573812, -0.913795214222651, -1.18399826039705 ) ); +#4034 = CARTESIAN_POINT( '', ( -1.20809009598400, -0.948372188061236, -1.23496558233830 ) ); +#4035 = CARTESIAN_POINT( '', ( -1.23926552738208, -1.03402992289303, -1.31958316286431 ) ); +#4036 = CARTESIAN_POINT( '', ( -1.25781844866688, -1.08500600054456, -1.35315976108911 ) ); +#4037 = CARTESIAN_POINT( '', ( -1.28802820650530, -1.16801044700153, -1.38711016996665 ) ); +#4038 = CARTESIAN_POINT( '', ( -1.29848252495459, -1.19673477245328, -1.39569974505102 ) ); +#4039 = CARTESIAN_POINT( '', ( -1.31442904303621, -1.24054948667112, -1.40429465146221 ) ); +#4040 = CARTESIAN_POINT( '', ( -1.31978872921921, -1.25527578097586, -1.40644690462474 ) ); +#4041 = CARTESIAN_POINT( '', ( -1.32785779067195, -1.27744636515910, -1.40859881469780 ) ); +#4042 = CARTESIAN_POINT( '', ( -1.33055243572212, -1.28485018222987, -1.40913681452733 ) ); +#4043 = CARTESIAN_POINT( '', ( -1.33459754711780, -1.29596454580904, -1.40967473293010 ) ); +#4044 = CARTESIAN_POINT( '', ( -1.33594644772059, -1.29967079027678, -1.40980919673532 ) ); +#4045 = CARTESIAN_POINT( '', ( -1.33864461801789, -1.30708429333008, -1.40998846570832 ) ); +#4046 = CARTESIAN_POINT( '', ( -1.33999393476618, -1.31079168120076, -1.41003327092135 ) ); +#4047 = CARTESIAN_POINT( '', ( -1.34134302211103, -1.31449843876170, -1.41003327092135 ) ); +#4048 = CARTESIAN_POINT( '', ( -1.00284738690519, -0.384641096960373, -0.949999999999999 ) ); +#4049 = CARTESIAN_POINT( '', ( -1.00284738690519, -0.384641096960373, -0.956035334456600 ) ); +#4050 = CARTESIAN_POINT( '', ( -1.00288481300615, -0.384743929058871, -0.966489524433235 ) ); +#4051 = CARTESIAN_POINT( '', ( -1.00303426479004, -0.385154563353249, -0.982961106897166 ) ); +#4052 = CARTESIAN_POINT( '', ( -1.00314629246448, -0.385462371021050, -0.991190143267445 ) ); +#4053 = CARTESIAN_POINT( '', ( -1.00359385409516, -0.386692093073406, -1.01584944992114 ) ); +#4054 = CARTESIAN_POINT( '', ( -1.00404089665320, -0.387920388919752, -1.03225209693635 ) ); +#4055 = CARTESIAN_POINT( '', ( -1.00582393683355, -0.392819476955232, -1.08128718994613 ) ); +#4056 = CARTESIAN_POINT( '', ( -1.00760237769439, -0.397705927882758, -1.11374756934914 ) ); +#4057 = CARTESIAN_POINT( '', ( -1.01465867830978, -0.417093846506546, -1.20991422889867 ) ); +#4058 = CARTESIAN_POINT( '', ( -1.02166667955122, -0.436349057585281, -1.27239521494740 ) ); +#4059 = CARTESIAN_POINT( '', ( -1.04890382480909, -0.511185942319239, -1.45075420246243 ) ); +#4060 = CARTESIAN_POINT( '', ( -1.07533042073968, -0.583795758614269, -1.55701738371556 ) ); +#4061 = CARTESIAN_POINT( '', ( -1.13921994531265, -0.759338861274781, -1.73042888981845 ) ); +#4062 = CARTESIAN_POINT( '', ( -1.17657994336450, -0.861989335155284, -1.79759275083719 ) ); +#4063 = CARTESIAN_POINT( '', ( -1.23652830530288, -1.02670368425113, -1.86496409227106 ) ); +#4064 = CARTESIAN_POINT( '', ( -1.25715044351744, -1.08336515027199, -1.88188008438671 ) ); +#4065 = CARTESIAN_POINT( '', ( -1.28850176487818, -1.16950616103951, -1.89877792608688 ) ); +#4066 = CARTESIAN_POINT( '', ( -1.29902239630889, -1.19841268829323, -1.90300091221852 ) ); +#4067 = CARTESIAN_POINT( '', ( -1.31484848587229, -1.24189651265274, -1.90722151828476 ) ); +#4068 = CARTESIAN_POINT( '', ( -1.32013145477543, -1.25641201826520, -1.90827618361056 ) ); +#4069 = CARTESIAN_POINT( '', ( -1.32806047846315, -1.27819783414836, -1.90933058443191 ) ); +#4070 = CARTESIAN_POINT( '', ( -1.33070425915567, -1.28546189611504, -1.90959412031149 ) ); +#4071 = CARTESIAN_POINT( '', ( -1.33599228016954, -1.29999128292632, -1.90994546148664 ) ); +#4072 = CARTESIAN_POINT( '', ( -1.33928398768412, -1.30903559111333, -1.91003326649354 ) ); +#4073 = CARTESIAN_POINT( '', ( -1.34128049289593, -1.31452119648766, -1.91003326649354 ) ); +#4074 = CARTESIAN_POINT( '', ( -0.533021309711410, -0.555706748652070, -0.949999999999999 ) ); +#4075 = CARTESIAN_POINT( '', ( -0.533021309711410, -0.555706748652070, -0.956035040146559 ) ); +#4076 = CARTESIAN_POINT( '', ( -0.533058733141036, -0.555809573410785, -0.966488347504964 ) ); +#4077 = CARTESIAN_POINT( '', ( -0.533208174258520, -0.556220178398119, -0.982958754388721 ) ); +#4078 = CARTESIAN_POINT( '', ( -0.533320193937747, -0.556527964098232, -0.991187203484407 ) ); +#4079 = CARTESIAN_POINT( '', ( -0.533767723631347, -0.557757598400136, -1.01584475049985 ) ); +#4080 = CARTESIAN_POINT( '', ( -0.534214734292989, -0.558985806607840, -1.03224622732351 ) ); +#4081 = CARTESIAN_POINT( '', ( -0.535997647325962, -0.563884545292689, -1.08127782367324 ) ); +#4082 = CARTESIAN_POINT( '', ( -0.537775961427355, -0.568770647935488, -1.11373589048812 ) ); +#4083 = CARTESIAN_POINT( '', ( -0.544831760212488, -0.588157187728518, -1.20989571085142 ) ); +#4084 = CARTESIAN_POINT( '', ( -0.551839264083403, -0.607411032230080, -1.27237227031322 ) ); +#4085 = CARTESIAN_POINT( '', ( -0.579074491883826, -0.682242648550687, -1.45071870159823 ) ); +#4086 = CARTESIAN_POINT( '', ( -0.605499245645346, -0.754847403294838, -1.55697452387456 ) ); +#4087 = CARTESIAN_POINT( '', ( -0.669384416506179, -0.930378543679216, -1.73037421295447 ) ); +#4088 = CARTESIAN_POINT( '', ( -0.706741911642913, -1.03302214054057, -1.79753360405646 ) ); +#4089 = CARTESIAN_POINT( '', ( -0.766686315858234, -1.19772561538135, -1.86490049770942 ) ); +#4090 = CARTESIAN_POINT( '', ( -0.787307100901855, -1.25438336342455, -1.88181538171480 ) ); +#4091 = CARTESIAN_POINT( '', ( -0.818656372134586, -1.34051874125248, -1.89871211842973 ) ); +#4092 = CARTESIAN_POINT( '', ( -0.829176316727677, -1.36942338134852, -1.90293482898060 ) ); +#4093 = CARTESIAN_POINT( '', ( -0.845001373957181, -1.41290436926345, -1.90715515973714 ) ); +#4094 = CARTESIAN_POINT( '', ( -0.850283998396640, -1.42741892842618, -1.90820975630320 ) ); +#4095 = CARTESIAN_POINT( '', ( -0.858212505198985, -1.44920332411313, -1.90926408838916 ) ); +#4096 = CARTESIAN_POINT( '', ( -0.860856113564093, -1.45646691259235, -1.90952760709135 ) ); +#4097 = CARTESIAN_POINT( '', ( -0.866143789911083, -1.47099535239558, -1.90987892536650 ) ); +#4098 = CARTESIAN_POINT( '', ( -0.869435238925135, -1.48003895032556, -1.90996672465083 ) ); +#4099 = CARTESIAN_POINT( '', ( -0.871431657976198, -1.48552431896426, -1.90996672465083 ) ); +#4100 = CARTESIAN_POINT( '', ( -0.704086961403109, -1.02553282584585, -0.950000000000000 ) ); +#4101 = CARTESIAN_POINT( '', ( -0.704086961403109, -1.02553282584585, -0.953823574201107 ) ); +#4102 = CARTESIAN_POINT( '', ( -0.704104312198952, -1.02558049895903, -0.957644827314520 ) ); +#4103 = CARTESIAN_POINT( '', ( -0.704173605243796, -1.02577088879470, -0.965281843678251 ) ); +#4104 = CARTESIAN_POINT( '', ( -0.704225548319055, -1.02591360778743, -0.969097470966180 ) ); +#4105 = CARTESIAN_POINT( '', ( -0.704433100564757, -1.02648387913344, -0.980532974827952 ) ); +#4106 = CARTESIAN_POINT( '', ( -0.704640439552208, -1.02705356453028, -0.988141551617836 ) ); +#4107 = CARTESIAN_POINT( '', ( -0.705467958410204, -1.02932725851710, -1.01089900320695 ) ); +#4108 = CARTESIAN_POINT( '', ( -0.706293793313806, -1.03159632566437, -1.02598012329455 ) ); +#4109 = CARTESIAN_POINT( '', ( -0.709578804889639, -1.04062223606444, -1.07074982661431 ) ); +#4110 = CARTESIAN_POINT( '', ( -0.712849032293598, -1.04960752541717, -1.09996470656105 ) ); +#4111 = CARTESIAN_POINT( '', ( -0.725676352812864, -1.08485192045410, -1.18396275953286 ) ); +#4112 = CARTESIAN_POINT( '', ( -0.738258920889664, -1.11942383274180, -1.23492272249730 ) ); +#4113 = CARTESIAN_POINT( '', ( -0.769429998575612, -1.20506960529746, -1.31952848600034 ) ); +#4114 = CARTESIAN_POINT( '', ( -0.787980416945300, -1.25603880592984, -1.35310061430838 ) ); +#4115 = CARTESIAN_POINT( '', ( -0.818186217060654, -1.33903237813175, -1.38704657540501 ) ); +#4116 = CARTESIAN_POINT( '', ( -0.828639182339006, -1.36775298560583, -1.39563504237911 ) ); +#4117 = CARTESIAN_POINT( '', ( -0.844583650292610, -1.41156206688409, -1.40422884380506 ) ); +#4118 = CARTESIAN_POINT( '', ( -0.849942649637993, -1.42628647403115, -1.40638082138682 ) ); +#4119 = CARTESIAN_POINT( '', ( -0.858010678756833, -1.44845422176982, -1.40853245615018 ) ); +#4120 = CARTESIAN_POINT( '', ( -0.860704979343325, -1.45585709239085, -1.40907038721996 ) ); +#4121 = CARTESIAN_POINT( '', ( -0.864749573853636, -1.46697003577381, -1.40960823688735 ) ); +#4122 = CARTESIAN_POINT( '', ( -0.866098302129013, -1.47067580675408, -1.40974268351518 ) ); +#4123 = CARTESIAN_POINT( '', ( -0.868796127759437, -1.47808836279934, -1.40992192958818 ) ); +#4124 = CARTESIAN_POINT( '', ( -0.870145186007197, -1.48179504041299, -1.40996672907864 ) ); +#4125 = CARTESIAN_POINT( '', ( -0.871494187191293, -1.48550156123830, -1.40996672907864 ) ); +#4126 = CARTESIAN_POINT( '', ( -0.875152613094805, -1.49535890303963, -0.949999999999999 ) ); +#4127 = CARTESIAN_POINT( '', ( -0.875152613094805, -1.49535890303963, -0.951612108255653 ) ); +#4128 = CARTESIAN_POINT( '', ( -0.875149891256867, -1.49535142450727, -0.948801307124075 ) ); +#4129 = CARTESIAN_POINT( '', ( -0.875139036229072, -1.49532159919128, -0.947604932967781 ) ); +#4130 = CARTESIAN_POINT( '', ( -0.875130902700363, -1.49529925147662, -0.947007738447954 ) ); +#4131 = CARTESIAN_POINT( '', ( -0.875098477498165, -1.49521015986675, -0.945221199156052 ) ); +#4132 = CARTESIAN_POINT( '', ( -0.875066144811428, -1.49512132245271, -0.944036875912163 ) ); +#4133 = CARTESIAN_POINT( '', ( -0.874938269494445, -1.49476997174151, -0.940520182740655 ) ); +#4134 = CARTESIAN_POINT( '', ( -0.874811625200255, -1.49442200339326, -0.938224356100982 ) ); +#4135 = CARTESIAN_POINT( '', ( -0.874325849566790, -1.49308728440036, -0.931603942377205 ) ); +#4136 = CARTESIAN_POINT( '', ( -0.873858800503794, -1.49180401860426, -0.927557142808880 ) ); +#4137 = CARTESIAN_POINT( '', ( -0.872278213741901, -1.48746119235751, -0.917206817467496 ) ); +#4138 = CARTESIAN_POINT( '', ( -0.871018596133982, -1.48400026218877, -0.912870921120035 ) ); +#4139 = CARTESIAN_POINT( '', ( -0.869475580645045, -1.47976066691571, -0.908682759046215 ) ); +#4140 = CARTESIAN_POINT( '', ( -0.869218922247687, -1.47905547131911, -0.908667624560302 ) ); +#4141 = CARTESIAN_POINT( '', ( -0.869686118263074, -1.48033914088215, -0.909192653100602 ) ); +#4142 = CARTESIAN_POINT( '', ( -0.869971263776156, -1.48112260778712, -0.909454703043419 ) ); +#4143 = CARTESIAN_POINT( '', ( -0.870510928450633, -1.48260539251570, -0.909745569180391 ) ); +#4144 = CARTESIAN_POINT( '', ( -0.870708982548309, -1.48314956671379, -0.909826813793039 ) ); +#4145 = CARTESIAN_POINT( '', ( -0.871019983556483, -1.48400407427619, -0.909909752563214 ) ); +#4146 = CARTESIAN_POINT( '', ( -0.871125960290010, -1.48429525635553, -0.909931018136726 ) ); +#4147 = CARTESIAN_POINT( '', ( -0.871286642508288, -1.48473674743449, -0.909952385385542 ) ); +#4148 = CARTESIAN_POINT( '', ( -0.871340490693931, -1.48488470091582, -0.909957759939016 ) ); +#4149 = CARTESIAN_POINT( '', ( -0.871448465607790, -1.48518137320310, -0.909964933809865 ) ); +#4150 = CARTESIAN_POINT( '', ( -0.870855133089259, -1.48355113050043, -0.909966733506461 ) ); +#4151 = CARTESIAN_POINT( '', ( -0.871556716406387, -1.48547880351234, -0.909966733506461 ) ); +#4152 = CARTESIAN_POINT( '', ( -1.34497869028858, -1.32429325134793, -0.949999999999999 ) ); +#4153 = CARTESIAN_POINT( '', ( -1.34497869028858, -1.32429325134793, -0.951612402565694 ) ); +#4154 = CARTESIAN_POINT( '', ( -1.34497597112199, -1.32428578015536, -0.948802484052344 ) ); +#4155 = CARTESIAN_POINT( '', ( -1.34496512676059, -1.32425598414641, -0.947607285476225 ) ); +#4156 = CARTESIAN_POINT( '', ( -1.34495700122710, -1.32423365839944, -0.947010678230992 ) ); +#4157 = CARTESIAN_POINT( '', ( -1.34492460796198, -1.32414465454002, -0.945225898577339 ) ); +#4158 = CARTESIAN_POINT( '', ( -1.34489230717164, -1.32405590476462, -0.944042745525002 ) ); +#4159 = CARTESIAN_POINT( '', ( -1.34476455900203, -1.32370490340405, -0.940529549013541 ) ); +#4160 = CARTESIAN_POINT( '', ( -1.34463804146729, -1.32335728334053, -0.938236034962000 ) ); +#4161 = CARTESIAN_POINT( '', ( -1.34415276766408, -1.32202394317839, -0.931622460424455 ) ); +#4162 = CARTESIAN_POINT( '', ( -1.34368621597161, -1.32074204395946, -0.927580087443064 ) ); +#4163 = CARTESIAN_POINT( '', ( -1.34210754666716, -1.31640448612606, -0.917242318331684 ) ); +#4164 = CARTESIAN_POINT( '', ( -1.34084977122832, -1.31294861750820, -0.912913780961035 ) ); +#4165 = CARTESIAN_POINT( '', ( -1.33931110945152, -1.30872098451128, -0.908737435910185 ) ); +#4166 = CARTESIAN_POINT( '', ( -1.33905695396927, -1.30802266593384, -0.908726771341029 ) ); +#4167 = CARTESIAN_POINT( '', ( -1.33952810770772, -1.30931720975192, -0.909256247662237 ) ); +#4168 = CARTESIAN_POINT( '', ( -1.33981460639174, -1.31010439463457, -0.909519405715327 ) ); +#4169 = CARTESIAN_POINT( '', ( -1.34035632119423, -1.31159281230273, -0.909811376837540 ) ); +#4170 = CARTESIAN_POINT( '', ( -1.34055506212952, -1.31213887365850, -0.909892897030963 ) ); +#4171 = CARTESIAN_POINT( '', ( -1.34086709547160, -1.31299621766547, -0.909976111110830 ) ); +#4172 = CARTESIAN_POINT( '', ( -1.34097341666880, -1.31328834619454, -0.909997445444090 ) ); +#4173 = CARTESIAN_POINT( '', ( -1.34113461577245, -1.31373125746972, -0.910018881428291 ) ); +#4174 = CARTESIAN_POINT( '', ( -1.34118863628551, -1.31387968443852, -0.910024273159151 ) ); +#4175 = CARTESIAN_POINT( '', ( -1.34129695586625, -1.31417730373384, -0.910031469930004 ) ); +#4176 = CARTESIAN_POINT( '', ( -1.34070388184824, -1.31254777128820, -0.910033275349171 ) ); +#4177 = CARTESIAN_POINT( '', ( -1.34140555132612, -1.31447568103574, -0.910033275349171 ) ); +#4178 = CARTESIAN_POINT( '', ( -1.17391303859689, -0.854467174154151, -0.950000000000000 ) ); +#4179 = CARTESIAN_POINT( '', ( -1.17391303859689, -0.854467174154151, -0.953823868511147 ) ); +#4180 = CARTESIAN_POINT( '', ( -1.17393039206407, -0.854514854607116, -0.957646004242790 ) ); +#4181 = CARTESIAN_POINT( '', ( -1.17399969577532, -0.854705273749833, -0.965284196186696 ) ); +#4182 = CARTESIAN_POINT( '', ( -1.17405164684579, -0.854848014710243, -0.969100410749219 ) ); +#4183 = CARTESIAN_POINT( '', ( -1.17425923102857, -0.855418373806711, -0.980537674249240 ) ); +#4184 = CARTESIAN_POINT( '', ( -1.17446660191242, -0.855988146842188, -0.988147421230674 ) ); +#4185 = CARTESIAN_POINT( '', ( -1.17529424791779, -0.858262190179643, -1.01090836947983 ) ); +#4186 = CARTESIAN_POINT( '', ( -1.17612020958084, -0.860531605611643, -1.02599180215557 ) ); +#4187 = CARTESIAN_POINT( '', ( -1.17940572298693, -0.869558894842466, -1.07076834466156 ) ); +#4188 = CARTESIAN_POINT( '', ( -1.18267644776141, -0.878545550772373, -1.09998765119523 ) ); +#4189 = CARTESIAN_POINT( '', ( -1.19550568573812, -0.913795214222651, -1.18399826039705 ) ); +#4190 = CARTESIAN_POINT( '', ( -1.20809009598400, -0.948372188061236, -1.23496558233830 ) ); +#4191 = CARTESIAN_POINT( '', ( -1.23926552738208, -1.03402992289303, -1.31958316286431 ) ); +#4192 = CARTESIAN_POINT( '', ( -1.25781844866688, -1.08500600054456, -1.35315976108911 ) ); +#4193 = CARTESIAN_POINT( '', ( -1.28802820650530, -1.16801044700153, -1.38711016996665 ) ); +#4194 = CARTESIAN_POINT( '', ( -1.29848252495459, -1.19673477245328, -1.39569974505102 ) ); +#4195 = CARTESIAN_POINT( '', ( -1.31442904303621, -1.24054948667112, -1.40429465146221 ) ); +#4196 = CARTESIAN_POINT( '', ( -1.31978872921921, -1.25527578097586, -1.40644690462474 ) ); +#4197 = CARTESIAN_POINT( '', ( -1.32785779067195, -1.27744636515910, -1.40859881469780 ) ); +#4198 = CARTESIAN_POINT( '', ( -1.33055243572212, -1.28485018222987, -1.40913681452733 ) ); +#4199 = CARTESIAN_POINT( '', ( -1.33459754711780, -1.29596454580904, -1.40967473293010 ) ); +#4200 = CARTESIAN_POINT( '', ( -1.33594644772059, -1.29967079027678, -1.40980919673532 ) ); +#4201 = CARTESIAN_POINT( '', ( -1.33864461801789, -1.30708429333008, -1.40998846570832 ) ); +#4202 = CARTESIAN_POINT( '', ( -1.33999393476618, -1.31079168120076, -1.41003327092135 ) ); +#4203 = CARTESIAN_POINT( '', ( -1.34134302211103, -1.31449843876170, -1.41003327092135 ) ); +#4210 = SURFACE_SIDE_STYLE( '', ( #6700 ) ); +#4211 = EDGE_LOOP( '', ( #6701 ) ); +#4212 = EDGE_LOOP( '', ( #6702 ) ); +#4213 = AXIS2_PLACEMENT_3D( '', #6703, #6704, #6705 ); +#4214 = SURFACE_SIDE_STYLE( '', ( #6706 ) ); +#4215 = EDGE_LOOP( '', ( #6707, #6708, #6709, #6710 ) ); +#4216 = AXIS2_PLACEMENT_3D( '', #6711, #6712, #6713 ); +#4217 = SURFACE_SIDE_STYLE( '', ( #6714 ) ); +#4218 = EDGE_LOOP( '', ( #6715, #6716, #6717, #6718 ) ); +#4219 = AXIS2_PLACEMENT_3D( '', #6719, #6720, #6721 ); +#4220 = SURFACE_SIDE_STYLE( '', ( #6722 ) ); +#4221 = EDGE_LOOP( '', ( #6723 ) ); +#4222 = EDGE_LOOP( '', ( #6724 ) ); +#4223 = AXIS2_PLACEMENT_3D( '', #6725, #6726, #6727 ); +#4224 = SURFACE_SIDE_STYLE( '', ( #6728 ) ); +#4225 = EDGE_LOOP( '', ( #6729 ) ); +#4226 = EDGE_LOOP( '', ( #6730 ) ); +#4227 = AXIS2_PLACEMENT_3D( '', #6731, #6732, #6733 ); +#4228 = SURFACE_SIDE_STYLE( '', ( #6734 ) ); +#4229 = EDGE_LOOP( '', ( #6735, #6736, #6737, #6738 ) ); +#4230 = AXIS2_PLACEMENT_3D( '', #6739, #6740, #6741 ); +#4231 = SURFACE_SIDE_STYLE( '', ( #6742 ) ); +#4232 = EDGE_LOOP( '', ( #6743, #6744, #6745, #6746, #6747, #6748, #6749, #6750 ) ); +#4233 = EDGE_LOOP( '', ( #6751, #6752, #6753, #6754, #6755, #6756, #6757, #6758 ) ); +#4234 = AXIS2_PLACEMENT_3D( '', #6759, #6760, #6761 ); +#4235 = SURFACE_SIDE_STYLE( '', ( #6762 ) ); +#4236 = EDGE_LOOP( '', ( #6763, #6764, #6765, #6766 ) ); +#4237 = AXIS2_PLACEMENT_3D( '', #6767, #6768, #6769 ); +#4238 = SURFACE_SIDE_STYLE( '', ( #6770 ) ); +#4239 = EDGE_LOOP( '', ( #6771 ) ); +#4240 = EDGE_LOOP( '', ( #6772 ) ); +#4241 = AXIS2_PLACEMENT_3D( '', #6773, #6774, #6775 ); +#4242 = SURFACE_SIDE_STYLE( '', ( #6776 ) ); +#4243 = EDGE_LOOP( '', ( #6777 ) ); +#4244 = EDGE_LOOP( '', ( #6778 ) ); +#4245 = AXIS2_PLACEMENT_3D( '', #6779, #6780, #6781 ); +#4246 = SURFACE_SIDE_STYLE( '', ( #6782 ) ); +#4247 = EDGE_LOOP( '', ( #6783 ) ); +#4248 = EDGE_LOOP( '', ( #6784 ) ); +#4249 = AXIS2_PLACEMENT_3D( '', #6785, #6786, #6787 ); +#4250 = SURFACE_SIDE_STYLE( '', ( #6788 ) ); +#4251 = EDGE_LOOP( '', ( #6789 ) ); +#4252 = EDGE_LOOP( '', ( #6790 ) ); +#4253 = AXIS2_PLACEMENT_3D( '', #6791, #6792, #6793 ); +#4254 = SURFACE_SIDE_STYLE( '', ( #6794 ) ); +#4255 = EDGE_LOOP( '', ( #6795 ) ); +#4256 = EDGE_LOOP( '', ( #6796 ) ); +#4257 = AXIS2_PLACEMENT_3D( '', #6797, #6798, #6799 ); +#4258 = SURFACE_SIDE_STYLE( '', ( #6800 ) ); +#4259 = EDGE_LOOP( '', ( #6801 ) ); +#4260 = EDGE_LOOP( '', ( #6802 ) ); +#4262 = CARTESIAN_POINT( '', ( 0.356426606768077, -0.693800629926454, -0.950000000000000 ) ); +#4263 = CARTESIAN_POINT( '', ( 0.356426606768077, -0.693800629926454, -0.954912669963318 ) ); +#4264 = CARTESIAN_POINT( '', ( 0.356399370664963, -0.693875463947790, -0.962000056198932 ) ); +#4265 = CARTESIAN_POINT( '', ( 0.356290606550515, -0.694174304645633, -0.973987312818957 ) ); +#4266 = CARTESIAN_POINT( '', ( 0.356209077139131, -0.694398315168922, -0.979976152649428 ) ); +#4267 = CARTESIAN_POINT( '', ( 0.355883341558043, -0.695293307500351, -0.997923206551602 ) ); +#4268 = CARTESIAN_POINT( '', ( 0.355557969821668, -0.696187300130641, -1.00986208297109 ) ); +#4269 = CARTESIAN_POINT( '', ( 0.354259941458723, -0.699753767837143, -1.04555894236497 ) ); +#4270 = CARTESIAN_POINT( '', ( 0.352965032608930, -0.703311664357338, -1.06919780627842 ) ); +#4271 = CARTESIAN_POINT( '', ( 0.347822995661162, -0.717439944788895, -1.13927595208486 ) ); +#4272 = CARTESIAN_POINT( '', ( 0.342712246149815, -0.731482259740238, -1.18487143887673 ) ); +#4273 = CARTESIAN_POINT( '', ( 0.322789364971083, -0.786222444448485, -1.31533388312884 ) ); +#4274 = CARTESIAN_POINT( '', ( 0.303389841019406, -0.839524650833339, -1.39352577619296 ) ); +#4275 = CARTESIAN_POINT( '', ( 0.256107830079151, -0.969436885365679, -1.52186049164066 ) ); +#4276 = CARTESIAN_POINT( '', ( 0.228295361959721, -1.04585452939991, -1.57197356901848 ) ); +#4277 = CARTESIAN_POINT( '', ( 0.183443988049325, -1.16908833631024, -1.62237856539491 ) ); +#4278 = CARTESIAN_POINT( '', ( 0.167983607015789, -1.21156733851102, -1.63506759992323 ) ); +#4279 = CARTESIAN_POINT( '', ( 0.144452630211117, -1.27622114059413, -1.64775040468913 ) ); +#4280 = CARTESIAN_POINT( '', ( 0.136551984854934, -1.29792898406479, -1.65092217030152 ) ); +#4281 = CARTESIAN_POINT( '', ( 0.124663799038660, -1.33059300899131, -1.65409258993205 ) ); +#4282 = CARTESIAN_POINT( '', ( 0.120694808898243, -1.34149822151768, -1.65488496679315 ) ); +#4283 = CARTESIAN_POINT( '', ( 0.114737477532656, -1.35786660801739, -1.65567717209034 ) ); +#4284 = CARTESIAN_POINT( '', ( 0.112751050801544, -1.36332452171948, -1.65587518372558 ) ); +#4285 = CARTESIAN_POINT( '', ( 0.108777783672249, -1.37424148571691, -1.65613917137308 ) ); +#4286 = CARTESIAN_POINT( '', ( 0.106472142956438, -1.38057647298718, -1.65620514726617 ) ); +#4287 = CARTESIAN_POINT( '', ( 0.104804303466583, -1.38515903516378, -1.65620514726617 ) ); +#4288 = CARTESIAN_POINT( '', ( 0.848825346915169, -0.780653843462599, -0.949999999999999 ) ); +#4289 = CARTESIAN_POINT( '', ( 0.848825346915169, -0.780653843462599, -0.955296525975508 ) ); +#4290 = CARTESIAN_POINT( '', ( 0.848794626697288, -0.780738250451027, -0.963535073457966 ) ); +#4291 = CARTESIAN_POINT( '', ( 0.848671950851749, -0.781075315074549, -0.977055589075765 ) ); +#4292 = CARTESIAN_POINT( '', ( 0.848579993621810, -0.781327977112086, -0.983810386069217 ) ); +#4293 = CARTESIAN_POINT( '', ( 0.848212603867228, -0.782337418610300, -1.00405246095890 ) ); +#4294 = CARTESIAN_POINT( '', ( 0.847845631032143, -0.783345714578896, -1.01751756822518 ) ); +#4295 = CARTESIAN_POINT( '', ( 0.846381769775038, -0.787367825381184, -1.05777497192780 ) ); +#4296 = CARTESIAN_POINT( '', ( 0.844921533999315, -0.791379974796900, -1.08443004573970 ) ); +#4297 = CARTESIAN_POINT( '', ( 0.839124981279347, -0.807306605273475, -1.16342824995123 ) ); +#4298 = CARTESIAN_POINT( '', ( 0.833365532635188, -0.823131288449160, -1.21479714495842 ) ); +#4299 = CARTESIAN_POINT( '', ( 0.810941793668295, -0.884742839604116, -1.36163614356371 ) ); +#4300 = CARTESIAN_POINT( '', ( 0.789139607261943, -0.944646610560924, -1.44942603567897 ) ); +#4301 = CARTESIAN_POINT( '', ( 0.736179235606095, -1.09016073076549, -1.59317318930991 ) ); +#4302 = CARTESIAN_POINT( '', ( 0.705102322242282, -1.17554777706864, -1.64911618814004 ) ); +#4303 = CARTESIAN_POINT( '', ( 0.655089059223268, -1.31296441028379, -1.70532223513683 ) ); +#4304 = CARTESIAN_POINT( '', ( 0.637863795146523, -1.36029261190042, -1.71945653055375 ) ); +#4305 = CARTESIAN_POINT( '', ( 0.611658923965383, -1.43229321642367, -1.73358052035624 ) ); +#4306 = CARTESIAN_POINT( '', ( 0.602862465645862, -1.45646239902682, -1.73711171420286 ) ); +#4307 = CARTESIAN_POINT( '', ( 0.589627850831608, -1.49282587743022, -1.74064120849878 ) ); +#4308 = CARTESIAN_POINT( '', ( 0.585209591429550, -1.50496550390232, -1.74152326576281 ) ); +#4309 = CARTESIAN_POINT( '', ( 0.578578108564057, -1.52318619164777, -1.74240511968514 ) ); +#4310 = CARTESIAN_POINT( '', ( 0.576366922546675, -1.52926165482651, -1.74262553505300 ) ); +#4311 = CARTESIAN_POINT( '', ( 0.571944121129831, -1.54141376095586, -1.74291939019606 ) ); +#4312 = CARTESIAN_POINT( '', ( 0.569301329224695, -1.54867510612652, -1.74299282979414 ) ); +#4313 = CARTESIAN_POINT( '', ( 0.567521113941243, -1.55356643246444, -1.74299282979414 ) ); +#4314 = CARTESIAN_POINT( '', ( 0.761972133379024, -1.27305258360969, -0.949999999999999 ) ); +#4315 = CARTESIAN_POINT( '', ( 0.761972133379024, -1.27305258360969, -0.953118628761124 ) ); +#4316 = CARTESIAN_POINT( '', ( 0.761961181104343, -1.27308267612160, -0.954825792617455 ) ); +#4317 = CARTESIAN_POINT( '', ( 0.761917436731613, -1.27320286832782, -0.959647003302844 ) ); +#4318 = CARTESIAN_POINT( '', ( 0.761884644178705, -1.27329296927191, -0.962055962485714 ) ); +#4319 = CARTESIAN_POINT( '', ( 0.761753589157821, -1.27365305654975, -0.969276696932840 ) ); +#4320 = CARTESIAN_POINT( '', ( 0.761622649924183, -1.27401282569008, -0.974082375131540 ) ); +#4321 = CARTESIAN_POINT( '', ( 0.761099680529599, -1.27544973840365, -0.988464459884690 ) ); +#4322 = CARTESIAN_POINT( '', ( 0.760577465886823, -1.27688457735824, -0.998006358632974 ) ); +#4323 = CARTESIAN_POINT( '', ( 0.758494462080442, -1.28260784660259, -1.02639451705739 ) ); +#4324 = CARTESIAN_POINT( '', ( 0.756415560280560, -1.28831984515821, -1.04500662496122 ) ); +#4325 = CARTESIAN_POINT( '', ( 0.748181025175138, -1.31094508538390, -1.09892939723596 ) ); +#4326 = CARTESIAN_POINT( '', ( 0.740010908349481, -1.33339332969728, -1.13226278812861 ) ); +#4327 = CARTESIAN_POINT( '', ( 0.719268049490124, -1.39038648822454, -1.18856385489319 ) ); +#4328 = CARTESIAN_POINT( '', ( 0.706712732710660, -1.42488352474323, -1.21142942550055 ) ); +#4329 = CARTESIAN_POINT( '', ( 0.685986659558685, -1.48183056279656, -1.23472184971868 ) ); +#4330 = CARTESIAN_POINT( '', ( 0.678774873821355, -1.50164569293747, -1.24065611813744 ) ); +#4331 = CARTESIAN_POINT( '', ( 0.667740970214341, -1.53196248879061, -1.24660320624525 ) ); +#4332 = CARTESIAN_POINT( '', ( 0.664027117078803, -1.54216668590422, -1.24809509961138 ) ); +#4333 = CARTESIAN_POINT( '', ( 0.658431783325534, -1.55754044637648, -1.24958729948265 ) ); +#4334 = CARTESIAN_POINT( '', ( 0.656562558567622, -1.56267633548766, -1.24996053392378 ) ); +#4335 = CARTESIAN_POINT( '', ( 0.653756032527321, -1.57038755719585, -1.25033374532191 ) ); +#4336 = CARTESIAN_POINT( '', ( 0.652820071093975, -1.57295920841845, -1.25042704785234 ) ); +#4337 = CARTESIAN_POINT( '', ( 0.650947808007996, -1.57810344565150, -1.25055144274642 ) ); +#4338 = CARTESIAN_POINT( '', ( 0.650217922538448, -1.58010888176591, -1.25058253526180 ) ); +#4339 = CARTESIAN_POINT( '', ( 0.649075297705758, -1.58324836213688, -1.25058253526180 ) ); +#4340 = CARTESIAN_POINT( '', ( 0.269573393231932, -1.18619937007355, -0.950000000000000 ) ); +#4341 = CARTESIAN_POINT( '', ( 0.269573393231932, -1.18619937007355, -0.952734772748934 ) ); +#4342 = CARTESIAN_POINT( '', ( 0.269565925072017, -1.18621988961836, -0.953290775358421 ) ); +#4343 = CARTESIAN_POINT( '', ( 0.269536092430378, -1.18630185789890, -0.956578727046037 ) ); +#4344 = CARTESIAN_POINT( '', ( 0.269513727696027, -1.18636330732875, -0.958221729065925 ) ); +#4345 = CARTESIAN_POINT( '', ( 0.269424326848633, -1.18660894543980, -0.963147442525544 ) ); +#4346 = CARTESIAN_POINT( '', ( 0.269334988713708, -1.18685441124183, -0.966426889877457 ) ); +#4347 = CARTESIAN_POINT( '', ( 0.268977852213284, -1.18783568085961, -0.976248430321862 ) ); +#4348 = CARTESIAN_POINT( '', ( 0.268620964496437, -1.18881626691868, -0.982774119171696 ) ); +#4349 = CARTESIAN_POINT( '', ( 0.267192476462260, -1.19274118611801, -1.00224221919102 ) ); +#4350 = CARTESIAN_POINT( '', ( 0.265762273795183, -1.19667081644929, -1.01508091887952 ) ); +#4351 = CARTESIAN_POINT( '', ( 0.260028596477932, -1.21242469022827, -1.05262713680108 ) ); +#4352 = CARTESIAN_POINT( '', ( 0.254261142106941, -1.22827136996970, -1.07636252864260 ) ); +#4353 = CARTESIAN_POINT( '', ( 0.239196643963183, -1.26966264282473, -1.11725115722394 ) ); +#4354 = CARTESIAN_POINT( '', ( 0.229905772428093, -1.29519027707450, -1.13428680637898 ) ); +#4355 = CARTESIAN_POINT( '', ( 0.214341588384746, -1.33795448882301, -1.15177817997676 ) ); +#4356 = CARTESIAN_POINT( '', ( 0.208894685690620, -1.35292041954808, -1.15626718750691 ) ); +#4357 = CARTESIAN_POINT( '', ( 0.200534676460076, -1.37589041296107, -1.16077309057814 ) ); +#4358 = CARTESIAN_POINT( '', ( 0.197716636287874, -1.38363327094219, -1.16190555571004 ) ); +#4359 = CARTESIAN_POINT( '', ( 0.193467731532587, -1.39530757793757, -1.16303868091592 ) ); +#4360 = CARTESIAN_POINT( '', ( 0.192047776036316, -1.39920905310303, -1.16332223495413 ) ); +#4361 = CARTESIAN_POINT( '', ( 0.189915401495919, -1.40506797356547, -1.16360579772711 ) ); +#4362 = CARTESIAN_POINT( '', ( 0.189204199348845, -1.40702207531142, -1.16367669652492 ) ); +#4363 = CARTESIAN_POINT( '', ( 0.187781470550414, -1.41093117041254, -1.16377122392343 ) ); +#4364 = CARTESIAN_POINT( '', ( 0.187388736270190, -1.41201024862657, -1.16379485273383 ) ); +#4365 = CARTESIAN_POINT( '', ( 0.186358487231099, -1.41484096483622, -1.16379485273383 ) ); +#4366 = CARTESIAN_POINT( '', ( -0.222825346915162, -1.09934615653740, -0.949999999999999 ) ); +#4367 = CARTESIAN_POINT( '', ( -0.222825346915162, -1.09934615653740, -0.952350916736744 ) ); +#4368 = CARTESIAN_POINT( '', ( -0.222829330960309, -1.09935710311512, -0.951755758099387 ) ); +#4369 = CARTESIAN_POINT( '', ( -0.222845251870858, -1.09940084746998, -0.953510450789228 ) ); +#4370 = CARTESIAN_POINT( '', ( -0.222857188786651, -1.09943364538558, -0.954387495646136 ) ); +#4371 = CARTESIAN_POINT( '', ( -0.222904935460552, -1.09956483432985, -0.957018188118247 ) ); +#4372 = CARTESIAN_POINT( '', ( -0.222952672496766, -1.09969599679357, -0.958771404623372 ) ); +#4373 = CARTESIAN_POINT( '', ( -0.223143976103033, -1.10022162331557, -0.964032400759033 ) ); +#4374 = CARTESIAN_POINT( '', ( -0.223335536893949, -1.10074795647911, -0.967541879710417 ) ); +#4375 = CARTESIAN_POINT( '', ( -0.224109509155922, -1.10287452563343, -0.978089921324648 ) ); +#4376 = CARTESIAN_POINT( '', ( -0.224891012690193, -1.10502178774037, -0.985155212797828 ) ); +#4377 = CARTESIAN_POINT( '', ( -0.228123832219274, -1.11390429507264, -1.00632487636621 ) ); +#4378 = CARTESIAN_POINT( '', ( -0.231488624135599, -1.12314941024211, -1.02046226915659 ) ); +#4379 = CARTESIAN_POINT( '', ( -0.240874761563759, -1.14893879742492, -1.04593845955469 ) ); +#4380 = CARTESIAN_POINT( '', ( -0.246901187854476, -1.16549702940576, -1.05714418725741 ) ); +#4381 = CARTESIAN_POINT( '', ( -0.257303482789193, -1.19407841484946, -1.06883451023484 ) ); +#4382 = CARTESIAN_POINT( '', ( -0.260985502440114, -1.20419514615868, -1.07187825687638 ) ); +#4383 = CARTESIAN_POINT( '', ( -0.266671617294190, -1.21981833713153, -1.07494297491103 ) ); +#4384 = CARTESIAN_POINT( '', ( -0.268593844503056, -1.22509985598016, -1.07571601180869 ) ); +#4385 = CARTESIAN_POINT( '', ( -0.271496320260361, -1.23307470949866, -1.07649006234919 ) ); +#4386 = CARTESIAN_POINT( '', ( -0.272467006494990, -1.23574177071839, -1.07668393598448 ) ); +#4387 = CARTESIAN_POINT( '', ( -0.273925229535482, -1.23974838993509, -1.07687785013232 ) ); +#4388 = CARTESIAN_POINT( '', ( -0.274411672396286, -1.24108494220439, -1.07692634519751 ) ); +#4389 = CARTESIAN_POINT( '', ( -0.275384866907167, -1.24375889517358, -1.07699100510045 ) ); +#4390 = CARTESIAN_POINT( '', ( -0.275440449998066, -1.24391161548724, -1.07700717020586 ) ); +#4391 = CARTESIAN_POINT( '', ( -0.276358323243560, -1.24643356753556, -1.07700717020586 ) ); +#4392 = CARTESIAN_POINT( '', ( -0.135972133379017, -0.606947416390308, -0.949999999999999 ) ); +#4393 = CARTESIAN_POINT( '', ( -0.135972133379017, -0.606947416390308, -0.954528813951128 ) ); +#4394 = CARTESIAN_POINT( '', ( -0.135995885367364, -0.607012677444553, -0.960465038939897 ) ); +#4395 = CARTESIAN_POINT( '', ( -0.136090737750721, -0.607273294216717, -0.970919036562150 ) ); +#4396 = CARTESIAN_POINT( '', ( -0.136161839343547, -0.607468653225759, -0.976141919229638 ) ); +#4397 = CARTESIAN_POINT( '', ( -0.136445920751142, -0.608249196390400, -0.991793952144304 ) ); +#4398 = CARTESIAN_POINT( '', ( -0.136729691388807, -0.609028885682385, -1.00220659771701 ) ); +#4399 = CARTESIAN_POINT( '', ( -0.137861886857593, -0.612139710293103, -1.03334291280214 ) ); +#4400 = CARTESIAN_POINT( '', ( -0.138991468781455, -0.615243353917776, -1.05396556681714 ) ); +#4401 = CARTESIAN_POINT( '', ( -0.143478989957022, -0.627573284304315, -1.11512365421848 ) ); +#4402 = CARTESIAN_POINT( '', ( -0.147941040335558, -0.639833231031316, -1.15494573279503 ) ); +#4403 = CARTESIAN_POINT( '', ( -0.165363063726130, -0.687702049292856, -1.26903162269397 ) ); +#4404 = CARTESIAN_POINT( '', ( -0.182359925223130, -0.734402691105754, -1.33762551670695 ) ); +#4405 = CARTESIAN_POINT( '', ( -0.223963575447794, -0.848713039965872, -1.45054779397141 ) ); +#4406 = CARTESIAN_POINT( '', ( -0.248511598322841, -0.916161281731182, -1.49483094989690 ) ); +#4407 = CARTESIAN_POINT( '', ( -0.288201083124618, -1.02521226233668, -1.53943489565299 ) ); +#4408 = CARTESIAN_POINT( '', ( -0.301896581114945, -1.06284206512163, -1.55067866929270 ) ); +#4409 = CARTESIAN_POINT( '', ( -0.322753663543149, -1.12014906476459, -1.56192028902202 ) ); +#4410 = CARTESIAN_POINT( '', ( -0.329758495935994, -1.13939556910276, -1.56473262640017 ) ); +#4411 = CARTESIAN_POINT( '', ( -0.340300252754288, -1.16836014055240, -1.56754397136532 ) ); +#4412 = CARTESIAN_POINT( '', ( -0.343819973633064, -1.17803093913305, -1.56824666782350 ) ); +#4413 = CARTESIAN_POINT( '', ( -0.349103153498745, -1.19254702438701, -1.56894922449555 ) ); +#4414 = CARTESIAN_POINT( '', ( -0.350864820943587, -1.19738738861245, -1.56912483239816 ) ); +#4415 = CARTESIAN_POINT( '', ( -0.354388553785332, -1.20706921047795, -1.56935895255009 ) ); +#4416 = CARTESIAN_POINT( '', ( -0.356357043311818, -1.21247783984785, -1.56941746473820 ) ); +#4417 = CARTESIAN_POINT( '', ( -0.357912507008076, -1.21675163786312, -1.56941746473820 ) ); +#4418 = CARTESIAN_POINT( '', ( 0.356426606768077, -0.693800629926454, -0.950000000000000 ) ); +#4419 = CARTESIAN_POINT( '', ( 0.356426606768077, -0.693800629926454, -0.954912669963318 ) ); +#4420 = CARTESIAN_POINT( '', ( 0.356399370664963, -0.693875463947790, -0.962000056198932 ) ); +#4421 = CARTESIAN_POINT( '', ( 0.356290606550515, -0.694174304645633, -0.973987312818957 ) ); +#4422 = CARTESIAN_POINT( '', ( 0.356209077139131, -0.694398315168922, -0.979976152649428 ) ); +#4423 = CARTESIAN_POINT( '', ( 0.355883341558043, -0.695293307500351, -0.997923206551602 ) ); +#4424 = CARTESIAN_POINT( '', ( 0.355557969821668, -0.696187300130641, -1.00986208297109 ) ); +#4425 = CARTESIAN_POINT( '', ( 0.354259941458723, -0.699753767837143, -1.04555894236497 ) ); +#4426 = CARTESIAN_POINT( '', ( 0.352965032608930, -0.703311664357338, -1.06919780627842 ) ); +#4427 = CARTESIAN_POINT( '', ( 0.347822995661162, -0.717439944788895, -1.13927595208486 ) ); +#4428 = CARTESIAN_POINT( '', ( 0.342712246149815, -0.731482259740238, -1.18487143887673 ) ); +#4429 = CARTESIAN_POINT( '', ( 0.322789364971083, -0.786222444448485, -1.31533388312884 ) ); +#4430 = CARTESIAN_POINT( '', ( 0.303389841019406, -0.839524650833339, -1.39352577619296 ) ); +#4431 = CARTESIAN_POINT( '', ( 0.256107830079151, -0.969436885365679, -1.52186049164066 ) ); +#4432 = CARTESIAN_POINT( '', ( 0.228295361959721, -1.04585452939991, -1.57197356901848 ) ); +#4433 = CARTESIAN_POINT( '', ( 0.183443988049325, -1.16908833631024, -1.62237856539491 ) ); +#4434 = CARTESIAN_POINT( '', ( 0.167983607015789, -1.21156733851102, -1.63506759992323 ) ); +#4435 = CARTESIAN_POINT( '', ( 0.144452630211117, -1.27622114059413, -1.64775040468913 ) ); +#4436 = CARTESIAN_POINT( '', ( 0.136551984854934, -1.29792898406479, -1.65092217030152 ) ); +#4437 = CARTESIAN_POINT( '', ( 0.124663799038660, -1.33059300899131, -1.65409258993205 ) ); +#4438 = CARTESIAN_POINT( '', ( 0.120694808898243, -1.34149822151768, -1.65488496679315 ) ); +#4439 = CARTESIAN_POINT( '', ( 0.114737477532656, -1.35786660801739, -1.65567717209034 ) ); +#4440 = CARTESIAN_POINT( '', ( 0.112751050801544, -1.36332452171948, -1.65587518372558 ) ); +#4441 = CARTESIAN_POINT( '', ( 0.108777783672249, -1.37424148571691, -1.65613917137308 ) ); +#4442 = CARTESIAN_POINT( '', ( 0.106472142956438, -1.38057647298718, -1.65620514726617 ) ); +#4443 = CARTESIAN_POINT( '', ( 0.104804303466583, -1.38515903516378, -1.65620514726617 ) ); +#4450 = SURFACE_SIDE_STYLE( '', ( #6803 ) ); +#4451 = EDGE_LOOP( '', ( #6804, #6805, #6806, #6807 ) ); +#4452 = AXIS2_PLACEMENT_3D( '', #6808, #6809, #6810 ); +#4453 = SURFACE_SIDE_STYLE( '', ( #6811 ) ); +#4454 = EDGE_LOOP( '', ( #6812 ) ); +#4455 = EDGE_LOOP( '', ( #6813 ) ); +#4456 = AXIS2_PLACEMENT_3D( '', #6814, #6815, #6816 ); +#4457 = SURFACE_SIDE_STYLE( '', ( #6817 ) ); +#4458 = EDGE_LOOP( '', ( #6818 ) ); +#4459 = EDGE_LOOP( '', ( #6819 ) ); +#4460 = AXIS2_PLACEMENT_3D( '', #6820, #6821, #6822 ); +#4461 = SURFACE_SIDE_STYLE( '', ( #6823 ) ); +#4462 = EDGE_LOOP( '', ( #6824, #6825, #6826, #6827 ) ); +#4463 = AXIS2_PLACEMENT_3D( '', #6828, #6829, #6830 ); +#4464 = SURFACE_SIDE_STYLE( '', ( #6831 ) ); +#4465 = EDGE_LOOP( '', ( #6832, #6833, #6834, #6835 ) ); +#4466 = AXIS2_PLACEMENT_3D( '', #6836, #6837, #6838 ); +#4467 = SURFACE_SIDE_STYLE( '', ( #6839 ) ); +#4468 = EDGE_LOOP( '', ( #6840 ) ); +#4469 = EDGE_LOOP( '', ( #6841 ) ); +#4470 = AXIS2_PLACEMENT_3D( '', #6842, #6843, #6844 ); +#4471 = SURFACE_SIDE_STYLE( '', ( #6845 ) ); +#4472 = EDGE_LOOP( '', ( #6846 ) ); +#4473 = EDGE_LOOP( '', ( #6847 ) ); +#4474 = AXIS2_PLACEMENT_3D( '', #6848, #6849, #6850 ); +#4475 = SURFACE_SIDE_STYLE( '', ( #6851 ) ); +#4476 = EDGE_LOOP( '', ( #6852, #6853, #6854, #6855 ) ); +#4477 = AXIS2_PLACEMENT_3D( '', #6856, #6857, #6858 ); +#4478 = SURFACE_SIDE_STYLE( '', ( #6859 ) ); +#4479 = EDGE_LOOP( '', ( #6860, #6861, #6862, #6863 ) ); +#4480 = AXIS2_PLACEMENT_3D( '', #6864, #6865, #6866 ); +#4481 = SURFACE_SIDE_STYLE( '', ( #6867 ) ); +#4482 = EDGE_LOOP( '', ( #6868 ) ); +#4483 = EDGE_LOOP( '', ( #6869 ) ); +#4484 = AXIS2_PLACEMENT_3D( '', #6870, #6871, #6872 ); +#4485 = SURFACE_SIDE_STYLE( '', ( #6873 ) ); +#4486 = EDGE_LOOP( '', ( #6874, #6875, #6876, #6877 ) ); +#4487 = AXIS2_PLACEMENT_3D( '', #6878, #6879, #6880 ); +#4488 = SURFACE_SIDE_STYLE( '', ( #6881 ) ); +#4489 = EDGE_LOOP( '', ( #6882 ) ); +#4490 = EDGE_LOOP( '', ( #6883 ) ); +#4491 = AXIS2_PLACEMENT_3D( '', #6884, #6885, #6886 ); +#4492 = SURFACE_SIDE_STYLE( '', ( #6887 ) ); +#4493 = EDGE_LOOP( '', ( #6888, #6889, #6890, #6891 ) ); +#4494 = AXIS2_PLACEMENT_3D( '', #6892, #6893, #6894 ); +#4495 = SURFACE_SIDE_STYLE( '', ( #6895 ) ); +#4496 = EDGE_LOOP( '', ( #6896 ) ); +#4497 = EDGE_LOOP( '', ( #6897 ) ); +#4498 = AXIS2_PLACEMENT_3D( '', #6898, #6899, #6900 ); +#4499 = SURFACE_SIDE_STYLE( '', ( #6901 ) ); +#4500 = EDGE_LOOP( '', ( #6902, #6903, #6904, #6905 ) ); +#4501 = AXIS2_PLACEMENT_3D( '', #6906, #6907, #6908 ); +#4502 = SURFACE_SIDE_STYLE( '', ( #6909 ) ); +#4503 = EDGE_LOOP( '', ( #6910 ) ); +#4504 = EDGE_LOOP( '', ( #6911 ) ); +#4505 = AXIS2_PLACEMENT_3D( '', #6912, #6913, #6914 ); +#4506 = SURFACE_SIDE_STYLE( '', ( #6915 ) ); +#4507 = EDGE_LOOP( '', ( #6916, #6917, #6918, #6919 ) ); +#4508 = AXIS2_PLACEMENT_3D( '', #6920, #6921, #6922 ); +#4509 = SURFACE_SIDE_STYLE( '', ( #6923 ) ); +#4510 = EDGE_LOOP( '', ( #6924 ) ); +#4511 = EDGE_LOOP( '', ( #6925 ) ); +#4513 = CARTESIAN_POINT( '', ( -1.63250581280871, 2.11449843876170, -1.41003327092135 ) ); +#4514 = CARTESIAN_POINT( '', ( -1.63385490019433, 2.11820519643465, -1.41003327092135 ) ); +#4515 = CARTESIAN_POINT( '', ( -1.63520421698126, 2.12191258441153, -1.40998846570561 ) ); +#4516 = CARTESIAN_POINT( '', ( -1.63790238735174, 2.12932608766588, -1.40980919672261 ) ); +#4517 = CARTESIAN_POINT( '', ( -1.63925128798906, 2.13303233222849, -1.40967473291009 ) ); +#4518 = CARTESIAN_POINT( '', ( -1.64329639948227, 2.14414669607565, -1.40913681447972 ) ); +#4519 = CARTESIAN_POINT( '', ( -1.64599104458942, 2.15155051330298, -1.40859881462419 ) ); +#4520 = CARTESIAN_POINT( '', ( -1.65406010619059, 2.17372109789403, -1.40644690445903 ) ); +#4521 = CARTESIAN_POINT( '', ( -1.65941979244248, 2.18844739238803, -1.40429465121662 ) ); +#4522 = CARTESIAN_POINT( '', ( -1.67536631065665, 2.23226210697010, -1.39569974456697 ) ); +#4523 = CARTESIAN_POINT( '', ( -1.68582062909569, 2.26098643239368, -1.38711016933059 ) ); +#4524 = CARTESIAN_POINT( '', ( -1.71603038675508, 2.34399087835876, -1.35315976026560 ) ); +#4525 = CARTESIAN_POINT( '', ( -1.73458330774816, 2.39496695520872, -1.31958316227736 ) ); +#4526 = CARTESIAN_POINT( '', ( -1.76575873868125, 2.48062468876297, -1.23496558304462 ) ); +#4527 = CARTESIAN_POINT( '', ( -1.77834314885511, 2.51520166240358, -1.18399826187292 ) ); +#4528 = CARTESIAN_POINT( '', ( -1.79117238691154, 2.55045132607300, -1.09998765314235 ) ); +#4529 = CARTESIAN_POINT( '', ( -1.79444311174515, 2.55943798216537, -1.07076834663235 ) ); +#4530 = CARTESIAN_POINT( '', ( -1.79772862524377, 2.56846527165037, -1.02599180374533 ) ); +#4531 = CARTESIAN_POINT( '', ( -1.79855458693775, 2.57073468716737, -1.01090837087294 ) ); +#4532 = CARTESIAN_POINT( '', ( -1.79938223297881, 2.57300873060287, -0.988147422201014 ) ); +#4533 = CARTESIAN_POINT( '', ( -1.79958960387272, 2.57357850366602, -0.980537675057416 ) ); +#4534 = CARTESIAN_POINT( '', ( -1.79979718806620, 2.57414886279186, -0.969100411280187 ) ); +#4535 = CARTESIAN_POINT( '', ( -1.79984913913950, 2.57429160376004, -0.965284196619634 ) ); +#4536 = CARTESIAN_POINT( '', ( -1.79991844285461, 2.57448202291339, -0.957646004468011 ) ); +#4537 = CARTESIAN_POINT( '', ( -1.79993579632285, 2.57452970336925, -0.953823868626697 ) ); +#4538 = CARTESIAN_POINT( '', ( -1.79993579632285, 2.57452970336925, -0.950000000000000 ) ); +#4539 = CARTESIAN_POINT( '', ( -1.63256834202380, 2.11447568103574, -0.910033275349171 ) ); +#4540 = CARTESIAN_POINT( '', ( -1.63327001152289, 2.11640359084154, -0.910033275349171 ) ); +#4541 = CARTESIAN_POINT( '', ( -1.63267693748687, 2.11477405834642, -0.910031469929894 ) ); +#4542 = CARTESIAN_POINT( '', ( -1.63278525707053, 2.11507167764977, -0.910024273158643 ) ); +#4543 = CARTESIAN_POINT( '', ( -1.63283927758496, 2.11522010462232, -0.910018881427490 ) ); +#4544 = CARTESIAN_POINT( '', ( -1.63300047669241, 2.11566301590795, -0.909997445442197 ) ); +#4545 = CARTESIAN_POINT( '', ( -1.63310679789174, 2.11595514444287, -0.909976111107931 ) ); +#4546 = CARTESIAN_POINT( '', ( -1.63341883123894, 2.11681248846392, -0.909892897024661 ) ); +#4547 = CARTESIAN_POINT( '', ( -1.63361757217594, 2.11735854982437, -0.909811376828516 ) ); +#4548 = CARTESIAN_POINT( '', ( -1.63415928697917, 2.11884696749456, -0.909519405700245 ) ); +#4549 = CARTESIAN_POINT( '', ( -1.63444578565781, 2.11963415236240, -0.909256247646736 ) ); +#4550 = CARTESIAN_POINT( '', ( -1.63491693937855, 2.12092869613184, -0.908726771339346 ) ); +#4551 = CARTESIAN_POINT( '', ( -1.63466278388747, 2.12023037753013, -0.908737435940674 ) ); +#4552 = CARTESIAN_POINT( '', ( -1.63312412213472, 2.11600274459932, -0.912913780924652 ) ); +#4553 = CARTESIAN_POINT( '', ( -1.63186634671734, 2.11254687604036, -0.917242318186966 ) ); +#4554 = CARTESIAN_POINT( '', ( -1.63028767741148, 2.10820931820318, -0.927580087185019 ) ); +#4555 = CARTESIAN_POINT( '', ( -1.62982112571282, 2.10692741896724, -0.931622460143356 ) ); +#4556 = CARTESIAN_POINT( '', ( -1.62933585189706, 2.10559407877056, -0.938236034722195 ) ); +#4557 = CARTESIAN_POINT( '', ( -1.62920933435774, 2.10524645869448, -0.940529548800184 ) ); +#4558 = CARTESIAN_POINT( '', ( -1.62908158618272, 2.10489545731903, -0.944042745374392 ) ); +#4559 = CARTESIAN_POINT( '', ( -1.62904928539081, 2.10480670753936, -0.945225898451458 ) ); +#4560 = CARTESIAN_POINT( '', ( -1.62901689212404, 2.10471770367537, -0.947010678148018 ) ); +#4561 = CARTESIAN_POINT( '', ( -1.62900876659011, 2.10469537792718, -0.947607285408511 ) ); +#4562 = CARTESIAN_POINT( '', ( -1.62899792222810, 2.10466558191657, -0.948802484017071 ) ); +#4563 = CARTESIAN_POINT( '', ( -1.62899520306134, 2.10465811072355, -0.951612402614417 ) ); +#4564 = CARTESIAN_POINT( '', ( -1.62899520306134, 2.10465811072355, -0.949999999999999 ) ); +#4565 = CARTESIAN_POINT( '', ( -1.16271950710406, 2.28547880351234, -0.909966733506461 ) ); +#4566 = CARTESIAN_POINT( '', ( -1.16342109044239, 2.28740647658251, -0.909966733506461 ) ); +#4567 = CARTESIAN_POINT( '', ( -1.16282775790585, 2.28577623383034, -0.909964933809755 ) ); +#4568 = CARTESIAN_POINT( '', ( -1.16293573282262, 2.28607290612562, -0.909957759938509 ) ); +#4569 = CARTESIAN_POINT( '', ( -1.16298958100963, 2.28622085961069, -0.909952385384746 ) ); +#4570 = CARTESIAN_POINT( '', ( -1.16315026323169, 2.28666235070007, -0.909931018134841 ) ); +#4571 = CARTESIAN_POINT( '', ( -1.16325623996734, 2.28695353278524, -0.909909752560325 ) ); +#4572 = CARTESIAN_POINT( '', ( -1.16356724098062, 2.28780804036166, -0.909826813786759 ) ); +#4573 = CARTESIAN_POINT( '', ( -1.16376529507999, 2.28835221456440, -0.909745569171404 ) ); +#4574 = CARTESIAN_POINT( '', ( -1.16430495975518, 2.28983499929498, -0.909454703028389 ) ); +#4575 = CARTESIAN_POINT( '', ( -1.16459010526289, 2.29061846618516, -0.909192653085178 ) ); +#4576 = CARTESIAN_POINT( '', ( -1.16505730126057, 2.29190213569957, -0.908667624558743 ) ); +#4577 = CARTESIAN_POINT( '', ( -1.16480064285442, 2.29119694007879, -0.908682759076795 ) ); +#4578 = CARTESIAN_POINT( '', ( -1.16325762738963, 2.28695734487208, -0.912870921083546 ) ); +#4579 = CARTESIAN_POINT( '', ( -1.16199800980315, 2.28349641476223, -0.917206817322565 ) ); +#4580 = CARTESIAN_POINT( '', ( -1.16041742303987, 2.27915358851169, -0.927557142550540 ) ); +#4581 = CARTESIAN_POINT( '', ( -1.15995037397067, 2.27787032269855, -0.931603942095802 ) ); +#4582 = CARTESIAN_POINT( '', ( -1.15946459832463, 2.27653560367108, -0.938224355860936 ) ); +#4583 = CARTESIAN_POINT( '', ( -1.15933795402586, 2.27618763531025, -0.940520182527083 ) ); +#4584 = CARTESIAN_POINT( '', ( -1.15921007870346, 2.27583628458417, -0.944036875761404 ) ); +#4585 = CARTESIAN_POINT( '', ( -1.15917774601516, 2.27574744716584, -0.945221199030048 ) ); +#4586 = CARTESIAN_POINT( '', ( -1.15914532081130, 2.27565835555140, -0.947007738364897 ) ); +#4587 = CARTESIAN_POINT( '', ( -1.15913718728215, 2.27563600783552, -0.947604932899999 ) ); +#4588 = CARTESIAN_POINT( '', ( -1.15912633225375, 2.27560618251786, -0.948801307088767 ) ); +#4589 = CARTESIAN_POINT( '', ( -1.15912361041564, 2.27559870398506, -0.951612108304367 ) ); +#4590 = CARTESIAN_POINT( '', ( -1.15912361041564, 2.27559870398506, -0.949999999999999 ) ); +#4591 = CARTESIAN_POINT( '', ( -1.16265697788897, 2.28550156123831, -1.40996672907865 ) ); +#4592 = CARTESIAN_POINT( '', ( -1.16400597911383, 2.28920808217562, -1.40996672907865 ) ); +#4593 = CARTESIAN_POINT( '', ( -1.16535503740023, 2.29291475989545, -1.40992192958548 ) ); +#4594 = CARTESIAN_POINT( '', ( -1.16805286310382, 2.30032731614173, -1.40974268350248 ) ); +#4595 = CARTESIAN_POINT( '', ( -1.16940159141372, 2.30403308721686, -1.40960823686735 ) ); +#4596 = CARTESIAN_POINT( '', ( -1.17344618602155, 2.31514603086777, -1.40907038717236 ) ); +#4597 = CARTESIAN_POINT( '', ( -1.17614048666502, 2.32254890164535, -1.40853245607659 ) ); +#4598 = CARTESIAN_POINT( '', ( -1.18420851593227, 2.34471664979178, -1.40638082122113 ) ); +#4599 = CARTESIAN_POINT( '', ( -1.18956751534652, 2.35944105712807, -1.40422884355951 ) ); +#4600 = CARTESIAN_POINT( '', ( -1.20551198343267, 2.40325013877051, -1.39563504189511 ) ); +#4601 = CARTESIAN_POINT( '', ( -1.21596494870078, 2.43197074621643, -1.38704657476903 ) ); +#4602 = CARTESIAN_POINT( '', ( -1.24617074863710, 2.51496431792648, -1.35310061348500 ) ); +#4603 = CARTESIAN_POINT( '', ( -1.26472116671512, 2.56593351775739, -1.31952848541348 ) ); +#4604 = CARTESIAN_POINT( '', ( -1.29589224393615, 2.65157928903573, -1.23492272320352 ) ); +#4605 = CARTESIAN_POINT( '', ( -1.30847481194092, 2.68615120112547, -1.18396276100852 ) ); +#4606 = CARTESIAN_POINT( '', ( -1.32130213253993, 2.72139559638151, -1.09996470850787 ) ); +#4607 = CARTESIAN_POINT( '', ( -1.32457236000300, 2.73038088589668, -1.07074982858479 ) ); +#4608 = CARTESIAN_POINT( '', ( -1.32785737167134, 2.73940679655089, -1.02598012488407 ) ); +#4609 = CARTESIAN_POINT( '', ( -1.32868320660587, 2.74167586378314, -1.01089900459984 ) ); +#4610 = CARTESIAN_POINT( '', ( -1.32951072549954, 2.74394955786799, -0.988141552588027 ) ); +#4611 = CARTESIAN_POINT( '', ( -1.32971806449707, 2.74451924329250, -0.980532975636005 ) ); +#4612 = CARTESIAN_POINT( '', ( -1.32992561675346, 2.74508951466789, -0.969097471497065 ) ); +#4613 = CARTESIAN_POINT( '', ( -1.32997755983154, 2.74523223366838, -0.965281844111122 ) ); +#4614 = CARTESIAN_POINT( '', ( -1.33004685288026, 2.74542262351469, -0.957644827539707 ) ); +#4615 = CARTESIAN_POINT( '', ( -1.33006420367715, 2.74547029663076, -0.953823574316647 ) ); +#4616 = CARTESIAN_POINT( '', ( -1.33006420367715, 2.74547029663076, -0.950000000000000 ) ); +#4617 = CARTESIAN_POINT( '', ( -1.16259444867387, 2.28552431896426, -1.90996672465083 ) ); +#4618 = CARTESIAN_POINT( '', ( -1.16459086778527, 2.29100968776872, -1.90996672465083 ) ); +#4619 = CARTESIAN_POINT( '', ( -1.16788231689462, 2.30005328596055, -1.90987892536120 ) ); +#4620 = CARTESIAN_POINT( '', ( -1.17316999338502, 2.31458172615783, -1.90952760706645 ) ); +#4621 = CARTESIAN_POINT( '', ( -1.17581360181782, 2.32184531482302, -1.90926408834995 ) ); +#4622 = CARTESIAN_POINT( '', ( -1.18374210881141, 2.34362971103547, -1.90820975620988 ) ); +#4623 = CARTESIAN_POINT( '', ( -1.18902473336270, 2.35814427050545, -1.90715515959285 ) ); +#4624 = CARTESIAN_POINT( '', ( -1.20484979088392, 2.40162525922190, -1.90293482865550 ) ); +#4625 = CARTESIAN_POINT( '', ( -1.21536973561306, 2.43052989969173, -1.89871211794761 ) ); +#4626 = CARTESIAN_POINT( '', ( -1.24671900711015, 2.51666527824604, -1.88181538076184 ) ); +#4627 = CARTESIAN_POINT( '', ( -1.26733979213866, 2.57332302624771, -1.86490049645288 ) ); +#4628 = CARTESIAN_POINT( '', ( -1.32728419601363, 2.73802650015339, -1.79753360241125 ) ); +#4629 = CARTESIAN_POINT( '', ( -1.36464169057581, 2.84067009543599, -1.73037421175017 ) ); +#4630 = CARTESIAN_POINT( '', ( -1.42852686048268, 3.01620123319936, -1.55697452532349 ) ); +#4631 = CARTESIAN_POINT( '', ( -1.45495161407869, 3.08880598748869, -1.45071870469447 ) ); +#4632 = CARTESIAN_POINT( '', ( -1.48218684203998, 3.16363760425132, -1.27237227446521 ) ); +#4633 = CARTESIAN_POINT( '', ( -1.48919434603534, 3.18289144909479, -1.20989571507378 ) ); +#4634 = CARTESIAN_POINT( '', ( -1.49625014501805, 3.20227798943069, -1.11373589390720 ) ); +#4635 = CARTESIAN_POINT( '', ( -1.49802845918587, 3.20716409225602, -1.08127782667259 ) ); +#4636 = CARTESIAN_POINT( '', ( -1.49981137229563, 3.21206283115182, -1.03224622941465 ) ); +#4637 = CARTESIAN_POINT( '', ( -1.50025838297897, 3.21329103941916, -1.01584475224196 ) ); +#4638 = CARTESIAN_POINT( '', ( -1.50070591269561, 3.21452067378437, -0.991187204629232 ) ); +#4639 = CARTESIAN_POINT( '', ( -1.50081793238093, 3.21482845950123, -0.982958755322242 ) ); +#4640 = CARTESIAN_POINT( '', ( -1.50096737350677, 3.21523906451151, -0.966488347990645 ) ); +#4641 = CARTESIAN_POINT( '', ( -1.50100479693866, 3.21534188927645, -0.956035040328925 ) ); +#4642 = CARTESIAN_POINT( '', ( -1.50100479693866, 3.21534188927645, -0.949999999999999 ) ); +#4643 = CARTESIAN_POINT( '', ( -1.63244328359361, 2.11452119648766, -1.91003326649354 ) ); +#4644 = CARTESIAN_POINT( '', ( -1.63443978886576, 2.12000680202775, -1.91003326649354 ) ); +#4645 = CARTESIAN_POINT( '', ( -1.63773149647564, 2.12905111047663, -1.90994546148133 ) ); +#4646 = CARTESIAN_POINT( '', ( -1.64301951763294, 2.14358049768198, -1.90959412028658 ) ); +#4647 = CARTESIAN_POINT( '', ( -1.64566329839316, 2.15084455983466, -1.90933058439270 ) ); +#4648 = CARTESIAN_POINT( '', ( -1.65359232227213, 2.17263037624335, -1.90827618351724 ) ); +#4649 = CARTESIAN_POINT( '', ( -1.65887529128711, 2.18714588216308, -1.90722151814045 ) ); +#4650 = CARTESIAN_POINT( '', ( -1.67470138114224, 2.23062970732415, -1.90300091189340 ) ); +#4651 = CARTESIAN_POINT( '', ( -1.68522201270901, 2.25953623495169, -1.89877792560473 ) ); +#4652 = CARTESIAN_POINT( '', ( -1.71657333433413, 2.34567724644563, -1.88188008343368 ) ); +#4653 = CARTESIAN_POINT( '', ( -1.73719547253357, 2.40233871242495, -1.86496409101444 ) ); +#4654 = CARTESIAN_POINT( '', ( -1.79714383413162, 2.56705306058568, -1.79759274919186 ) ); +#4655 = CARTESIAN_POINT( '', ( -1.83450383160885, 2.66970353288732, -1.73042888861405 ) ); +#4656 = CARTESIAN_POINT( '', ( -1.89839335522777, 2.84524663292661, -1.55701738516459 ) ); +#4657 = CARTESIAN_POINT( '', ( -1.92481995099288, 2.91785644876680, -1.45075420555887 ) ); +#4658 = CARTESIAN_POINT( '', ( -1.95205709641160, 2.99269333394282, -1.27239521909969 ) ); +#4659 = CARTESIAN_POINT( '', ( -1.95906509777748, 3.01194854536349, -1.20991423312134 ) ); +#4660 = CARTESIAN_POINT( '', ( -1.96612139859048, 3.03133646453018, -1.11374757276845 ) ); +#4661 = CARTESIAN_POINT( '', ( -1.96789983951776, 3.03622291564025, -1.08128719294569 ) ); +#4662 = CARTESIAN_POINT( '', ( -1.96968287977488, 3.04112200388669, -1.03225209902764 ) ); +#4663 = CARTESIAN_POINT( '', ( -1.97012992235462, 3.04235029979268, -1.01584945166337 ) ); +#4664 = CARTESIAN_POINT( '', ( -1.97057748400835, 3.04358002190835, -0.991190144412355 ) ); +#4665 = CARTESIAN_POINT( '', ( -1.97068951168889, 3.04388782959290, -0.982961107830755 ) ); +#4666 = CARTESIAN_POINT( '', ( -1.97083896348113, 3.04429846391022, -0.966489524918950 ) ); +#4667 = CARTESIAN_POINT( '', ( -1.97087638958436, 3.04440129601494, -0.956035334638975 ) ); +#4668 = CARTESIAN_POINT( '', ( -1.97087638958436, 3.04440129601494, -0.949999999999999 ) ); +#4669 = CARTESIAN_POINT( '', ( -1.63250581280871, 2.11449843876170, -1.41003327092135 ) ); +#4670 = CARTESIAN_POINT( '', ( -1.63385490019433, 2.11820519643465, -1.41003327092135 ) ); +#4671 = CARTESIAN_POINT( '', ( -1.63520421698126, 2.12191258441153, -1.40998846570561 ) ); +#4672 = CARTESIAN_POINT( '', ( -1.63790238735174, 2.12932608766588, -1.40980919672261 ) ); +#4673 = CARTESIAN_POINT( '', ( -1.63925128798906, 2.13303233222849, -1.40967473291009 ) ); +#4674 = CARTESIAN_POINT( '', ( -1.64329639948227, 2.14414669607565, -1.40913681447972 ) ); +#4675 = CARTESIAN_POINT( '', ( -1.64599104458942, 2.15155051330298, -1.40859881462419 ) ); +#4676 = CARTESIAN_POINT( '', ( -1.65406010619059, 2.17372109789403, -1.40644690445903 ) ); +#4677 = CARTESIAN_POINT( '', ( -1.65941979244248, 2.18844739238803, -1.40429465121662 ) ); +#4678 = CARTESIAN_POINT( '', ( -1.67536631065665, 2.23226210697010, -1.39569974456697 ) ); +#4679 = CARTESIAN_POINT( '', ( -1.68582062909569, 2.26098643239368, -1.38711016933059 ) ); +#4680 = CARTESIAN_POINT( '', ( -1.71603038675508, 2.34399087835876, -1.35315976026560 ) ); +#4681 = CARTESIAN_POINT( '', ( -1.73458330774816, 2.39496695520872, -1.31958316227736 ) ); +#4682 = CARTESIAN_POINT( '', ( -1.76575873868125, 2.48062468876297, -1.23496558304462 ) ); +#4683 = CARTESIAN_POINT( '', ( -1.77834314885511, 2.51520166240358, -1.18399826187292 ) ); +#4684 = CARTESIAN_POINT( '', ( -1.79117238691154, 2.55045132607300, -1.09998765314235 ) ); +#4685 = CARTESIAN_POINT( '', ( -1.79444311174515, 2.55943798216537, -1.07076834663235 ) ); +#4686 = CARTESIAN_POINT( '', ( -1.79772862524377, 2.56846527165037, -1.02599180374533 ) ); +#4687 = CARTESIAN_POINT( '', ( -1.79855458693775, 2.57073468716737, -1.01090837087294 ) ); +#4688 = CARTESIAN_POINT( '', ( -1.79938223297881, 2.57300873060287, -0.988147422201014 ) ); +#4689 = CARTESIAN_POINT( '', ( -1.79958960387272, 2.57357850366602, -0.980537675057416 ) ); +#4690 = CARTESIAN_POINT( '', ( -1.79979718806620, 2.57414886279186, -0.969100411280187 ) ); +#4691 = CARTESIAN_POINT( '', ( -1.79984913913950, 2.57429160376004, -0.965284196619634 ) ); +#4692 = CARTESIAN_POINT( '', ( -1.79991844285461, 2.57448202291339, -0.957646004468011 ) ); +#4693 = CARTESIAN_POINT( '', ( -1.79993579632285, 2.57452970336925, -0.953823868626697 ) ); +#4694 = CARTESIAN_POINT( '', ( -1.79993579632285, 2.57452970336925, -0.950000000000000 ) ); +#4701 = SURFACE_SIDE_STYLE( '', ( #6926 ) ); +#4702 = EDGE_LOOP( '', ( #6927 ) ); +#4703 = EDGE_LOOP( '', ( #6928 ) ); +#4704 = AXIS2_PLACEMENT_3D( '', #6929, #6930, #6931 ); +#4705 = SURFACE_SIDE_STYLE( '', ( #6932 ) ); +#4706 = EDGE_LOOP( '', ( #6933 ) ); +#4707 = EDGE_LOOP( '', ( #6934 ) ); +#4708 = AXIS2_PLACEMENT_3D( '', #6935, #6936, #6937 ); +#4709 = SURFACE_SIDE_STYLE( '', ( #6938 ) ); +#4710 = EDGE_LOOP( '', ( #6939, #6940, #6941, #6942 ) ); +#4711 = AXIS2_PLACEMENT_3D( '', #6943, #6944, #6945 ); +#4712 = SURFACE_SIDE_STYLE( '', ( #6946 ) ); +#4713 = EDGE_LOOP( '', ( #6947 ) ); +#4714 = EDGE_LOOP( '', ( #6948 ) ); +#4715 = AXIS2_PLACEMENT_3D( '', #6949, #6950, #6951 ); +#4716 = SURFACE_SIDE_STYLE( '', ( #6952 ) ); +#4717 = EDGE_LOOP( '', ( #6953 ) ); +#4718 = EDGE_LOOP( '', ( #6954 ) ); +#4719 = AXIS2_PLACEMENT_3D( '', #6955, #6956, #6957 ); +#4720 = SURFACE_SIDE_STYLE( '', ( #6958 ) ); +#4721 = EDGE_LOOP( '', ( #6959, #6960, #6961, #6962 ) ); +#4722 = AXIS2_PLACEMENT_3D( '', #6963, #6964, #6965 ); +#4723 = SURFACE_SIDE_STYLE( '', ( #6966 ) ); +#4724 = EDGE_LOOP( '', ( #6967 ) ); +#4725 = EDGE_LOOP( '', ( #6968 ) ); +#4726 = AXIS2_PLACEMENT_3D( '', #6969, #6970, #6971 ); +#4727 = SURFACE_SIDE_STYLE( '', ( #6972 ) ); +#4728 = EDGE_LOOP( '', ( #6973 ) ); +#4729 = EDGE_LOOP( '', ( #6974 ) ); +#4730 = AXIS2_PLACEMENT_3D( '', #6975, #6976, #6977 ); +#4731 = SURFACE_SIDE_STYLE( '', ( #6978 ) ); +#4732 = EDGE_LOOP( '', ( #6979 ) ); +#4733 = EDGE_LOOP( '', ( #6980 ) ); +#4734 = AXIS2_PLACEMENT_3D( '', #6981, #6982, #6983 ); +#4735 = SURFACE_SIDE_STYLE( '', ( #6984 ) ); +#4736 = EDGE_LOOP( '', ( #6985 ) ); +#4737 = EDGE_LOOP( '', ( #6986 ) ); +#4738 = AXIS2_PLACEMENT_3D( '', #6987, #6988, #6989 ); +#4739 = SURFACE_SIDE_STYLE( '', ( #6990 ) ); +#4740 = EDGE_LOOP( '', ( #6991 ) ); +#4741 = EDGE_LOOP( '', ( #6992 ) ); +#4742 = AXIS2_PLACEMENT_3D( '', #6993, #6994, #6995 ); +#4743 = SURFACE_SIDE_STYLE( '', ( #6996 ) ); +#4744 = EDGE_LOOP( '', ( #6997 ) ); +#4745 = EDGE_LOOP( '', ( #6998 ) ); +#4747 = CARTESIAN_POINT( '', ( 1.81500000000000, -0.940000000000000, -0.950000000000000 ) ); +#4748 = CARTESIAN_POINT( '', ( 1.81500000000000, -0.940000000000000, -0.952100944472374 ) ); +#4749 = CARTESIAN_POINT( '', ( 1.81499480382521, -0.940014277029790, -0.954579449572182 ) ); +#4750 = CARTESIAN_POINT( '', ( 1.81497403623881, -0.940071338129800, -0.959156792247883 ) ); +#4751 = CARTESIAN_POINT( '', ( 1.81495846439814, -0.940114123378940, -0.961444720757098 ) ); +#4752 = CARTESIAN_POINT( '', ( 1.81489621143641, -0.940285169855249, -0.968305826913263 ) ); +#4753 = CARTESIAN_POINT( '', ( 1.81483399107650, -0.940456126754697, -0.972876354159877 ) ); +#4754 = CARTESIAN_POINT( '', ( 1.81458538596861, -0.941139195102236, -0.986573748545619 ) ); +#4755 = CARTESIAN_POINT( '', ( 1.81433704642758, -0.941821533777270, -0.995686523819346 ) ); +#4756 = CARTESIAN_POINT( '', ( 1.81334608860588, -0.944544293287369, -1.02293868461253 ) ); +#4757 = CARTESIAN_POINT( '', ( 1.81235731340277, -0.947261055826293, -1.04099247319627 ) ); +#4758 = CARTESIAN_POINT( '', ( 1.80842723451338, -0.958059355650125, -1.09455346674367 ) ); +#4759 = CARTESIAN_POINT( '', ( 1.80451767246743, -0.968801283316319, -1.12945841114050 ) ); +#4760 = CARTESIAN_POINT( '', ( 1.78922559604242, -1.01081785112950, -1.22959660980521 ) ); +#4761 = CARTESIAN_POINT( '', ( 1.77427504986513, -1.05189602912455, -1.29001601702789 ) ); +#4762 = CARTESIAN_POINT( '', ( 1.73750758314217, -1.15291846165414, -1.38981172784195 ) ); +#4763 = CARTESIAN_POINT( '', ( 1.71573984440612, -1.21272758405990, -1.42912961802331 ) ); +#4764 = CARTESIAN_POINT( '', ( 1.68044667117999, -1.30969924212528, -1.46879288693484 ) ); +#4765 = CARTESIAN_POINT( '', ( 1.66825430035673, -1.34319904694322, -1.47880575130068 ) ); +#4766 = CARTESIAN_POINT( '', ( 1.64967453783059, -1.39424887369233, -1.48881993310454 ) ); +#4767 = CARTESIAN_POINT( '', ( 1.64343265729848, -1.41139908857288, -1.49132615028648 ) ); +#4768 = CARTESIAN_POINT( '', ( 1.63403763596114, -1.43721288521862, -1.49383167618525 ) ); +#4769 = CARTESIAN_POINT( '', ( 1.63090055167413, -1.44583235003278, -1.49445799304961 ) ); +#4770 = CARTESIAN_POINT( '', ( 1.62619153758000, -1.45877085521151, -1.49508419719254 ) ); +#4771 = CARTESIAN_POINT( '', ( 1.62462129459841, -1.46308526084784, -1.49524072413720 ) ); +#4772 = CARTESIAN_POINT( '', ( 1.62148042406742, -1.47171512876046, -1.49544940654458 ) ); +#4773 = CARTESIAN_POINT( '', ( 1.61979908167742, -1.47633479155728, -1.49550156199547 ) ); +#4774 = CARTESIAN_POINT( '', ( 1.61833932693418, -1.48034561928629, -1.49550156199547 ) ); +#4775 = CARTESIAN_POINT( '', ( 1.81500000000000, -1.44000000000000, -0.949999999999999 ) ); +#4776 = CARTESIAN_POINT( '', ( 1.81500000000000, -1.44000000000000, -0.951061889756035 ) ); +#4777 = CARTESIAN_POINT( '', ( 1.81499951921490, -1.44000132100700, -0.950423780975222 ) ); +#4778 = CARTESIAN_POINT( '', ( 1.81499759688542, -1.44000660280684, -0.950847475645237 ) ); +#4779 = CARTESIAN_POINT( '', ( 1.81499615534527, -1.44001056358809, -0.951059306134888 ) ); +#4780 = CARTESIAN_POINT( '', ( 1.81499038860237, -1.44002640831299, -0.951694874814452 ) ); +#4781 = CARTESIAN_POINT( '', ( 1.81498462208822, -1.44004225240939, -0.952118683617491 ) ); +#4782 = CARTESIAN_POINT( '', ( 1.81496152323293, -1.44010571891273, -0.953391354641147 ) ); +#4783 = CARTESIAN_POINT( '', ( 1.81493840260965, -1.44016924522589, -0.954241462095634 ) ); +#4784 = CARTESIAN_POINT( '', ( 1.81484522648386, -1.44042525630634, -0.956803869842947 ) ); +#4785 = CARTESIAN_POINT( '', ( 1.81475149377933, -1.44068279664468, -0.958528581788962 ) ); +#4786 = CARTESIAN_POINT( '', ( 1.81436481490675, -1.44174523699744, -0.963798401242477 ) ); +#4787 = CARTESIAN_POINT( '', ( 1.81396716291054, -1.44283782714675, -0.967447422350480 ) ); +#4788 = CARTESIAN_POINT( '', ( 1.81221416362467, -1.44765437470541, -0.978926668190890 ) ); +#4789 = CARTESIAN_POINT( '', ( 1.81027108731119, -1.45299317863377, -0.987384684346118 ) ); +#4790 = CARTESIAN_POINT( '', ( 1.80424497826004, -1.46955053896607, -1.00374094872185 ) ); +#4791 = CARTESIAN_POINT( '', ( 1.80015020605151, -1.48080135078503, -1.01149696576044 ) ); +#4792 = CARTESIAN_POINT( '', ( 1.79280233029732, -1.50099040237798, -1.01975466166871 ) ); +#4793 = CARTESIAN_POINT( '', ( 1.79016463618406, -1.50823774083616, -1.02194321121355 ) ); +#4794 = CARTESIAN_POINT( '', ( 1.78606073166004, -1.51951364464015, -1.02415514393535 ) ); +#4795 = CARTESIAN_POINT( '', ( 1.78466857943726, -1.52333872742478, -1.02471549813689 ) ); +#4796 = CARTESIAN_POINT( '', ( 1.78256281946950, -1.52912452158543, -1.02527707519683 ) ); +#4797 = CARTESIAN_POINT( '', ( 1.78185797711898, -1.53106114912996, -1.02541788268965 ) ); +#4798 = CARTESIAN_POINT( '', ( 1.78079866642019, -1.53397171526722, -1.02555874949874 ) ); +#4799 = CARTESIAN_POINT( '', ( 1.78044521926320, -1.53494284803085, -1.02559398771373 ) ); +#4800 = CARTESIAN_POINT( '', ( 1.77973802545890, -1.53688593643882, -1.02564097427084 ) ); +#4801 = CARTESIAN_POINT( '', ( 1.77988194317822, -1.53649050756145, -1.02565272291492 ) ); +#4802 = CARTESIAN_POINT( '', ( 1.77903056550676, -1.53882975611562, -1.02565272291492 ) ); +#4803 = CARTESIAN_POINT( '', ( 1.31500000000001, -1.44000000000000, -0.949999999999999 ) ); +#4804 = CARTESIAN_POINT( '', ( 1.31500000000001, -1.44000000000000, -0.950683722167414 ) ); +#4805 = CARTESIAN_POINT( '', ( 1.31500123539743, -1.43999660561731, -0.948911310892841 ) ); +#4806 = CARTESIAN_POINT( '', ( 1.31500617186495, -1.43998304216023, -0.947823270881717 ) ); +#4807 = CARTESIAN_POINT( '', ( 1.31500987309696, -1.43997287264095, -0.947279498254945 ) ); +#4808 = CARTESIAN_POINT( '', ( 1.31502466471045, -1.43993223114703, -0.945649260852908 ) ); +#4809 = CARTESIAN_POINT( '', ( 1.31503944477039, -1.43989162139767, -0.944563857013112 ) ); +#4810 = CARTESIAN_POINT( '', ( 1.31509841970239, -1.43972958164841, -0.941314506627079 ) ); +#4811 = CARTESIAN_POINT( '', ( 1.31515726828987, -1.43956788904381, -0.939157387305492 ) ); +#4812 = CARTESIAN_POINT( '', ( 1.31539084294410, -1.43892611842836, -0.932733873304715 ) ); +#4813 = CARTESIAN_POINT( '', ( 1.31562286407917, -1.43828861626811, -0.928515560846536 ) ); +#4814 = CARTESIAN_POINT( '', ( 1.31652581800340, -1.43580765660408, -0.916209639030996 ) ); +#4815 = CARTESIAN_POINT( '', ( 1.31740633792065, -1.43338833670364, -0.908482957825744 ) ); +#4816 = CARTESIAN_POINT( '', ( 1.32058093298891, -1.42466580712315, -0.887694468510322 ) ); +#4817 = CARTESIAN_POINT( '', ( 1.32337197070726, -1.41699714118771, -0.877240955125877 ) ); +#4818 = CARTESIAN_POINT( '', ( 1.32853428601805, -1.40281314384819, -0.863229141902581 ) ); +#4819 = CARTESIAN_POINT( '', ( 1.33087165162709, -1.39639098913965, -0.859498105111286 ) ); +#4820 = CARTESIAN_POINT( '', ( 1.33369456437142, -1.38863474326064, -0.856325633170678 ) ); +#4821 = CARTESIAN_POINT( '', ( 1.33453432817703, -1.38632740500882, -0.855666496042312 ) ); +#4822 = CARTESIAN_POINT( '', ( 1.33569896267006, -1.38312745081069, -0.855038772993530 ) ); +#4823 = CARTESIAN_POINT( '', ( 1.33607188598313, -1.38210280528599, -0.854890923575474 ) ); +#4824 = CARTESIAN_POINT( '', ( 1.33661907811846, -1.38059933807707, -0.854744993674294 ) ); +#4825 = CARTESIAN_POINT( '', ( 1.33679945870531, -1.38010372368510, -0.854709098337712 ) ); +#4826 = CARTESIAN_POINT( '', ( 1.33706847028877, -1.37936458642704, -0.854673324931114 ) ); +#4827 = CARTESIAN_POINT( '', ( 1.33715788021678, -1.37911892336606, -0.854664419689843 ) ); +#4828 = CARTESIAN_POINT( '', ( 1.33733643154673, -1.37862833504734, -0.854652556478193 ) ); +#4829 = CARTESIAN_POINT( '', ( 1.33814465905003, -1.37640764606065, -0.854649598923981 ) ); +#4830 = CARTESIAN_POINT( '', ( 1.33751470233609, -1.37813851754303, -0.854649598923981 ) ); +#4831 = CARTESIAN_POINT( '', ( 1.31500000000000, -0.940000000000000, -0.950000000000000 ) ); +#4832 = CARTESIAN_POINT( '', ( 1.31500000000000, -0.940000000000000, -0.951722776883753 ) ); +#4833 = CARTESIAN_POINT( '', ( 1.31499652000774, -0.940009561640099, -0.953066979489800 ) ); +#4834 = CARTESIAN_POINT( '', ( 1.31498261121833, -0.940047777483187, -0.956132587484362 ) ); +#4835 = CARTESIAN_POINT( '', ( 1.31497218214983, -0.940076432431805, -0.957664912877154 ) ); +#4836 = CARTESIAN_POINT( '', ( 1.31493048754449, -0.940190992689286, -0.962260212951719 ) ); +#4837 = CARTESIAN_POINT( '', ( 1.31488881375867, -0.940305495742975, -0.965321527555497 ) ); +#4838 = CARTESIAN_POINT( '', ( 1.31472228243807, -0.940763057837914, -0.974496900531550 ) ); +#4839 = CARTESIAN_POINT( '', ( 1.31455591210780, -0.941220177595196, -0.980602449029204 ) ); +#4840 = CARTESIAN_POINT( '', ( 1.31389170506612, -0.943045155409392, -0.998868688074299 ) ); +#4841 = CARTESIAN_POINT( '', ( 1.31322868370261, -0.944866875449727, -1.01097945225384 ) ); +#4842 = CARTESIAN_POINT( '', ( 1.31058823761004, -0.952121775256765, -1.04696470453219 ) ); +#4843 = CARTESIAN_POINT( '', ( 1.30795684747754, -0.959351792873211, -1.07049394661576 ) ); +#4844 = CARTESIAN_POINT( '', ( 1.29759236540665, -0.987829283547239, -1.13836441012465 ) ); +#4845 = CARTESIAN_POINT( '', ( 1.28737593326120, -1.01589999167850, -1.17987228780766 ) ); +#4846 = CARTESIAN_POINT( '', ( 1.26179689090018, -1.08618106653626, -1.24929992102266 ) ); +#4847 = CARTESIAN_POINT( '', ( 1.24646128998170, -1.12831722241451, -1.27713075737415 ) ); +#4848 = CARTESIAN_POINT( '', ( 1.22133890525409, -1.19734358300795, -1.30536385843681 ) ); +#4849 = CARTESIAN_POINT( '', ( 1.21262399234969, -1.22128871111588, -1.31252903612944 ) ); +#4850 = CARTESIAN_POINT( '', ( 1.19931276884061, -1.25786267986287, -1.31970356216273 ) ); +#4851 = CARTESIAN_POINT( '', ( 1.19483596384434, -1.27016316643409, -1.32150157572507 ) ); +#4852 = CARTESIAN_POINT( '', ( 1.18809389461011, -1.28868770171026, -1.32329959466272 ) ); +#4853 = CARTESIAN_POINT( '', ( 1.18584203326045, -1.29487492458792, -1.32374920869767 ) ); +#4854 = CARTESIAN_POINT( '', ( 1.18246134144858, -1.30416372637132, -1.32419877262491 ) ); +#4855 = CARTESIAN_POINT( '', ( 1.18133395555199, -1.30726133618305, -1.32431115611331 ) ); +#4856 = CARTESIAN_POINT( '', ( 1.17907883015525, -1.31345752736898, -1.32446098875193 ) ); +#4857 = CARTESIAN_POINT( '', ( 1.17806179754922, -1.31625193005647, -1.32449843800453 ) ); +#4858 = CARTESIAN_POINT( '', ( 1.17682346376350, -1.31965438071371, -1.32449843800453 ) ); +#4859 = CARTESIAN_POINT( '', ( 1.31500000000001, -0.440000000000000, -0.949999999999999 ) ); +#4860 = CARTESIAN_POINT( '', ( 1.31500000000001, -0.440000000000000, -0.952761831600091 ) ); +#4861 = CARTESIAN_POINT( '', ( 1.31499180461805, -0.440022517662890, -0.957222648086759 ) ); +#4862 = CARTESIAN_POINT( '', ( 1.31495905057172, -0.440112512806149, -0.964441904087006 ) ); +#4863 = CARTESIAN_POINT( '', ( 1.31493449120269, -0.440179992222655, -0.968050327499363 ) ); +#4864 = CARTESIAN_POINT( '', ( 1.31483631037852, -0.440449754231546, -0.978871165050529 ) ); +#4865 = CARTESIAN_POINT( '', ( 1.31473818274694, -0.440719370088283, -0.986079198097882 ) ); +#4866 = CARTESIAN_POINT( '', ( 1.31434614517375, -0.441796534027422, -1.00767929443602 ) ); +#4867 = CARTESIAN_POINT( '', ( 1.31395455592573, -0.442872466146578, -1.02204751075292 ) ); +#4868 = CARTESIAN_POINT( '', ( 1.31239256718814, -0.447164192390419, -1.06500350284388 ) ); +#4869 = CARTESIAN_POINT( '', ( 1.31083450332604, -0.451445134631341, -1.09344334366115 ) ); +#4870 = CARTESIAN_POINT( '', ( 1.30465065721668, -0.468435893909454, -1.17771977003338 ) ); +#4871 = CARTESIAN_POINT( '', ( 1.29850735703444, -0.485315249042778, -1.23250493540578 ) ); +#4872 = CARTESIAN_POINT( '', ( 1.27460379782439, -0.550992759971326, -1.38903435173898 ) ); +#4873 = CARTESIAN_POINT( '', ( 1.25137989581514, -0.614802842169280, -1.48250362048944 ) ); +#4874 = CARTESIAN_POINT( '', ( 1.19505949578232, -0.769548989224330, -1.63537070014275 ) ); +#4875 = CARTESIAN_POINT( '', ( 1.16205092833632, -0.860243455689368, -1.69476340963702 ) ); +#4876 = CARTESIAN_POINT( '', ( 1.10898324613676, -1.00605242275525, -1.75440208370295 ) ); +#4877 = CARTESIAN_POINT( '', ( 1.09071365652235, -1.05625001722294, -1.76939157621657 ) ); +#4878 = CARTESIAN_POINT( '', ( 1.06292657501115, -1.13259790891505, -1.78436835133193 ) ); +#4879 = CARTESIAN_POINT( '', ( 1.05360004170555, -1.15822352758219, -1.78811222787466 ) ); +#4880 = CARTESIAN_POINT( '', ( 1.03956871110175, -1.19677606534345, -1.79185419565114 ) ); +#4881 = CARTESIAN_POINT( '', ( 1.03488460781559, -1.20964612549073, -1.79278931905763 ) ); +#4882 = CARTESIAN_POINT( '', ( 1.02785421260839, -1.22896286631561, -1.79372422031871 ) ); +#4883 = CARTESIAN_POINT( '', ( 1.02551003088720, -1.23540374900005, -1.79395789253677 ) ); +#4884 = CARTESIAN_POINT( '', ( 1.02082122876376, -1.24828671969063, -1.79426942102566 ) ); +#4885 = CARTESIAN_POINT( '', ( 1.01797893604841, -1.25609621405230, -1.79434727708508 ) ); +#4886 = CARTESIAN_POINT( '', ( 1.01613222519092, -1.26117024388438, -1.79434727708508 ) ); +#4887 = CARTESIAN_POINT( '', ( 1.81500000000000, -0.440000000000000, -0.949999999999999 ) ); +#4888 = CARTESIAN_POINT( '', ( 1.81500000000000, -0.440000000000000, -0.953139999188713 ) ); +#4889 = CARTESIAN_POINT( '', ( 1.81499008843552, -0.440027233052580, -0.958735118169140 ) ); +#4890 = CARTESIAN_POINT( '', ( 1.81495047559220, -0.440136073452762, -0.967466108850527 ) ); +#4891 = CARTESIAN_POINT( '', ( 1.81492077345100, -0.440217683169792, -0.971830135379306 ) ); +#4892 = CARTESIAN_POINT( '', ( 1.81480203427044, -0.440543931397509, -0.984916779012073 ) ); +#4893 = CARTESIAN_POINT( '', ( 1.81468336006477, -0.440870001100005, -0.993634024702261 ) ); +#4894 = CARTESIAN_POINT( '', ( 1.81420924870429, -0.442172671291743, -1.01975614245009 ) ); +#4895 = CARTESIAN_POINT( '', ( 1.81373569024551, -0.443473822328652, -1.03713158554306 ) ); +#4896 = CARTESIAN_POINT( '', ( 1.81184695072790, -0.448663330268396, -1.08907349938211 ) ); +#4897 = CARTESIAN_POINT( '', ( 1.80996313302620, -0.453839315007907, -1.12345636460358 ) ); +#4898 = CARTESIAN_POINT( '', ( 1.80248965412002, -0.474373474302813, -1.22530853224486 ) ); +#4899 = CARTESIAN_POINT( '', ( 1.79506818202433, -0.494764739485885, -1.29146939993051 ) ); +#4900 = CARTESIAN_POINT( '', ( 1.76623702846015, -0.573981327553585, -1.48026655141954 ) ); +#4901 = CARTESIAN_POINT( '', ( 1.73827901241907, -0.650798879615337, -1.59264734970967 ) ); +#4902 = CARTESIAN_POINT( '', ( 1.67077018802431, -0.836286384342203, -1.77588250696204 ) ); +#4903 = CARTESIAN_POINT( '', ( 1.63132948276072, -0.944653817334760, -1.84676227028618 ) ); +#4904 = CARTESIAN_POINT( '', ( 1.56809101206266, -1.11840808187258, -1.91783111220097 ) ); +#4905 = CARTESIAN_POINT( '', ( 1.54634396452939, -1.17816035305028, -1.93566829138781 ) ); +#4906 = CARTESIAN_POINT( '', ( 1.51328834400113, -1.26898410274451, -1.95348472227374 ) ); +#4907 = CARTESIAN_POINT( '', ( 1.50219673515969, -1.29945944972098, -1.95793680243607 ) ); +#4908 = CARTESIAN_POINT( '', ( 1.48551245245278, -1.34530124885180, -1.96238627717367 ) ); +#4909 = CARTESIAN_POINT( '', ( 1.47994312622926, -1.36060355093559, -1.96349810340957 ) ); +#4910 = CARTESIAN_POINT( '', ( 1.47158440873981, -1.38356999515579, -1.96460964488634 ) ); +#4911 = CARTESIAN_POINT( '', ( 1.46879736993361, -1.39122767366484, -1.96488746056066 ) ); +#4912 = CARTESIAN_POINT( '', ( 1.46322282267594, -1.40654432108211, -1.96525783881831 ) ); +#4913 = CARTESIAN_POINT( '', ( 1.45971622017661, -1.41617907555311, -1.96535040107602 ) ); +#4914 = CARTESIAN_POINT( '', ( 1.45764808836160, -1.42186148245697, -1.96535040107602 ) ); +#4915 = CARTESIAN_POINT( '', ( 1.81500000000000, -0.940000000000000, -0.950000000000000 ) ); +#4916 = CARTESIAN_POINT( '', ( 1.81500000000000, -0.940000000000000, -0.952100944472374 ) ); +#4917 = CARTESIAN_POINT( '', ( 1.81499480382521, -0.940014277029790, -0.954579449572182 ) ); +#4918 = CARTESIAN_POINT( '', ( 1.81497403623881, -0.940071338129800, -0.959156792247883 ) ); +#4919 = CARTESIAN_POINT( '', ( 1.81495846439814, -0.940114123378940, -0.961444720757098 ) ); +#4920 = CARTESIAN_POINT( '', ( 1.81489621143641, -0.940285169855249, -0.968305826913263 ) ); +#4921 = CARTESIAN_POINT( '', ( 1.81483399107650, -0.940456126754697, -0.972876354159877 ) ); +#4922 = CARTESIAN_POINT( '', ( 1.81458538596861, -0.941139195102236, -0.986573748545619 ) ); +#4923 = CARTESIAN_POINT( '', ( 1.81433704642758, -0.941821533777270, -0.995686523819346 ) ); +#4924 = CARTESIAN_POINT( '', ( 1.81334608860588, -0.944544293287369, -1.02293868461253 ) ); +#4925 = CARTESIAN_POINT( '', ( 1.81235731340277, -0.947261055826293, -1.04099247319627 ) ); +#4926 = CARTESIAN_POINT( '', ( 1.80842723451338, -0.958059355650125, -1.09455346674367 ) ); +#4927 = CARTESIAN_POINT( '', ( 1.80451767246743, -0.968801283316319, -1.12945841114050 ) ); +#4928 = CARTESIAN_POINT( '', ( 1.78922559604242, -1.01081785112950, -1.22959660980521 ) ); +#4929 = CARTESIAN_POINT( '', ( 1.77427504986513, -1.05189602912455, -1.29001601702789 ) ); +#4930 = CARTESIAN_POINT( '', ( 1.73750758314217, -1.15291846165414, -1.38981172784195 ) ); +#4931 = CARTESIAN_POINT( '', ( 1.71573984440612, -1.21272758405990, -1.42912961802331 ) ); +#4932 = CARTESIAN_POINT( '', ( 1.68044667117999, -1.30969924212528, -1.46879288693484 ) ); +#4933 = CARTESIAN_POINT( '', ( 1.66825430035673, -1.34319904694322, -1.47880575130068 ) ); +#4934 = CARTESIAN_POINT( '', ( 1.64967453783059, -1.39424887369233, -1.48881993310454 ) ); +#4935 = CARTESIAN_POINT( '', ( 1.64343265729848, -1.41139908857288, -1.49132615028648 ) ); +#4936 = CARTESIAN_POINT( '', ( 1.63403763596114, -1.43721288521862, -1.49383167618525 ) ); +#4937 = CARTESIAN_POINT( '', ( 1.63090055167413, -1.44583235003278, -1.49445799304961 ) ); +#4938 = CARTESIAN_POINT( '', ( 1.62619153758000, -1.45877085521151, -1.49508419719254 ) ); +#4939 = CARTESIAN_POINT( '', ( 1.62462129459841, -1.46308526084784, -1.49524072413720 ) ); +#4940 = CARTESIAN_POINT( '', ( 1.62148042406742, -1.47171512876046, -1.49544940654458 ) ); +#4941 = CARTESIAN_POINT( '', ( 1.61979908167742, -1.47633479155728, -1.49550156199547 ) ); +#4942 = CARTESIAN_POINT( '', ( 1.61833932693418, -1.48034561928629, -1.49550156199547 ) ); +#4949 = SURFACE_SIDE_STYLE( '', ( #6999 ) ); +#4950 = EDGE_LOOP( '', ( #7000 ) ); +#4951 = EDGE_LOOP( '', ( #7001 ) ); +#4952 = AXIS2_PLACEMENT_3D( '', #7002, #7003, #7004 ); +#4953 = SURFACE_SIDE_STYLE( '', ( #7005 ) ); +#4954 = EDGE_LOOP( '', ( #7006 ) ); +#4955 = EDGE_LOOP( '', ( #7007 ) ); +#4956 = AXIS2_PLACEMENT_3D( '', #7008, #7009, #7010 ); +#4957 = SURFACE_SIDE_STYLE( '', ( #7011 ) ); +#4958 = EDGE_LOOP( '', ( #7012, #7013, #7014, #7015 ) ); +#4959 = AXIS2_PLACEMENT_3D( '', #7016, #7017, #7018 ); +#4960 = SURFACE_SIDE_STYLE( '', ( #7019 ) ); +#4961 = EDGE_LOOP( '', ( #7020, #7021, #7022, #7023 ) ); +#4962 = AXIS2_PLACEMENT_3D( '', #7024, #7025, #7026 ); +#4963 = SURFACE_SIDE_STYLE( '', ( #7027 ) ); +#4964 = EDGE_LOOP( '', ( #7028, #7029, #7030, #7031 ) ); +#4965 = AXIS2_PLACEMENT_3D( '', #7032, #7033, #7034 ); +#4966 = SURFACE_SIDE_STYLE( '', ( #7035 ) ); +#4967 = EDGE_LOOP( '', ( #7036, #7037, #7038, #7039 ) ); +#4968 = AXIS2_PLACEMENT_3D( '', #7040, #7041, #7042 ); +#4969 = SURFACE_SIDE_STYLE( '', ( #7043 ) ); +#4970 = EDGE_LOOP( '', ( #7044, #7045, #7046, #7047 ) ); +#4971 = AXIS2_PLACEMENT_3D( '', #7048, #7049, #7050 ); +#4972 = SURFACE_SIDE_STYLE( '', ( #7051 ) ); +#4973 = EDGE_LOOP( '', ( #7052 ) ); +#4974 = EDGE_LOOP( '', ( #7053 ) ); +#4975 = AXIS2_PLACEMENT_3D( '', #7054, #7055, #7056 ); +#4976 = SURFACE_SIDE_STYLE( '', ( #7057 ) ); +#4977 = EDGE_LOOP( '', ( #7058 ) ); +#4978 = EDGE_LOOP( '', ( #7059 ) ); +#4980 = CARTESIAN_POINT( '', ( -0.186358487231094, 2.18515903516379, -1.65620514726617 ) ); +#4981 = CARTESIAN_POINT( '', ( -0.188026326771348, 2.18974159747886, -1.65620514726617 ) ); +#4982 = CARTESIAN_POINT( '', ( -0.190331967553712, 2.19607658493201, -1.65613917136909 ) ); +#4983 = CARTESIAN_POINT( '', ( -0.194305234790772, 2.20699354922552, -1.65587518370686 ) ); +#4984 = CARTESIAN_POINT( '', ( -0.196291661572728, 2.21245146306732, -1.65567717206087 ) ); +#4985 = CARTESIAN_POINT( '', ( -0.202248993081999, 2.22881984996180, -1.65488496672304 ) ); +#4986 = CARTESIAN_POINT( '', ( -0.206217983306390, 2.23972506271891, -1.65409258982364 ) ); +#4987 = CARTESIAN_POINT( '', ( -0.218106169341656, 2.27238908824711, -1.65092217005733 ) ); +#4988 = CARTESIAN_POINT( '', ( -0.226006814799783, 2.29409693199788, -1.64775040432708 ) ); +#4989 = CARTESIAN_POINT( '', ( -0.249537791801918, 2.35875073462356, -1.63506759920828 ) ); +#4990 = CARTESIAN_POINT( '', ( -0.264998172822813, 2.40122973678961, -1.62237856445332 ) ); +#4991 = CARTESIAN_POINT( '', ( -0.309849546474772, 2.52446354298982, -1.57197356779035 ) ); +#4992 = CARTESIAN_POINT( '', ( -0.337662014163170, 2.60088118583982, -1.52186049074972 ) ); +#4993 = CARTESIAN_POINT( '', ( -0.384944024397710, 2.73079341843305, -1.39352577726493 ) ); +#4994 = CARTESIAN_POINT( '', ( -0.404343548231319, 2.78409562449343, -1.31533388540256 ) ); +#4995 = CARTESIAN_POINT( '', ( -0.424266429529709, 2.83883580953061, -1.18487144190956 ) ); +#4996 = CARTESIAN_POINT( '', ( -0.429377179132369, 2.85287812473276, -1.13927595516433 ) ); +#4997 = CARTESIAN_POINT( '', ( -0.434519216224368, 2.86700640556065, -1.06919780876895 ) ); +#4998 = CARTESIAN_POINT( '', ( -0.435814125122579, 2.87056430221388, -1.04555894454896 ) ); +#4999 = CARTESIAN_POINT( '', ( -0.437112153541447, 2.87413077007401, -1.00986208449329 ) ); +#5000 = CARTESIAN_POINT( '', ( -0.437437525293614, 2.87502476274770, -0.997923207819692 ) ); +#5001 = CARTESIAN_POINT( '', ( -0.437763260891479, 2.87591975512522, -0.979976153482702 ) ); +#5002 = CARTESIAN_POINT( '', ( -0.437844790307300, 2.87614376566071, -0.973987313498350 ) ); +#5003 = CARTESIAN_POINT( '', ( -0.437953554427827, 2.87644260637525, -0.962000056552371 ) ); +#5004 = CARTESIAN_POINT( '', ( -0.437980790532586, 2.87651744040111, -0.954912670111770 ) ); +#5005 = CARTESIAN_POINT( '', ( -0.437980790532586, 2.87651744040111, -0.950000000000000 ) ); +#5006 = CARTESIAN_POINT( '', ( -0.649075297705752, 2.01675163786312, -1.56941746473820 ) ); +#5007 = CARTESIAN_POINT( '', ( -0.650630761449013, 2.02102543600755, -1.56941746473820 ) ); +#5008 = CARTESIAN_POINT( '', ( -0.652599251032218, 2.02643406553329, -1.56935895254655 ) ); +#5009 = CARTESIAN_POINT( '', ( -0.656122983969534, 2.03611588766137, -1.56912483238156 ) ); +#5010 = CARTESIAN_POINT( '', ( -0.657884651459464, 2.04095625201070, -1.56894922446942 ) ); +#5011 = CARTESIAN_POINT( '', ( -0.663167831452558, 2.05547233761474, -1.56824666776132 ) ); +#5012 = CARTESIAN_POINT( '', ( -0.666687552405790, 2.06514313639997, -1.56754397126918 ) ); +#5013 = CARTESIAN_POINT( '', ( -0.677229309418200, 2.09410770838295, -1.56473262618366 ) ); +#5014 = CARTESIAN_POINT( '', ( -0.684234141901330, 2.11335421296919, -1.56192028870102 ) ); +#5015 = CARTESIAN_POINT( '', ( -0.705091224504121, 2.17066121309186, -1.55067866865915 ) ); +#5016 = CARTESIAN_POINT( '', ( -0.718786722482646, 2.20829101584439, -1.53943489481912 ) ); +#5017 = CARTESIAN_POINT( '', ( -0.758476207053991, 2.31734199581670, -1.49483094881142 ) ); +#5018 = CARTESIAN_POINT( '', ( -0.783024229547116, 2.38479023653270, -1.45054779318764 ) ); +#5019 = CARTESIAN_POINT( '', ( -0.824627879150929, 2.49910058368692, -1.33762551765000 ) ); +#5020 = CARTESIAN_POINT( '', ( -0.841624740546097, 2.54580122521995, -1.26903162468639 ) ); +#5021 = CARTESIAN_POINT( '', ( -0.859046764042246, 2.59367004377172, -1.15494573544510 ) ); +#5022 = CARTESIAN_POINT( '', ( -0.863508814500751, 2.60592999071838, -1.11512365690709 ) ); +#5023 = CARTESIAN_POINT( '', ( -0.867996335802317, 2.61825992145114, -1.05396556899011 ) ); +#5024 = CARTESIAN_POINT( '', ( -0.869125917768434, 2.62136356519190, -1.03334291470730 ) ); +#5025 = CARTESIAN_POINT( '', ( -0.870258113286006, 2.62447438993666, -1.00220659904464 ) ); +#5026 = CARTESIAN_POINT( '', ( -0.870541883937445, 2.62525407926650, -0.991793953250260 ) ); +#5027 = CARTESIAN_POINT( '', ( -0.870825965359673, 2.62603462247134, -0.976141919956342 ) ); +#5028 = CARTESIAN_POINT( '', ( -0.870897066956369, 2.62622998149101, -0.970919037154646 ) ); +#5029 = CARTESIAN_POINT( '', ( -0.870991919345028, 2.62649059827775, -0.960465039248125 ) ); +#5030 = CARTESIAN_POINT( '', ( -0.871015671334810, 2.62655585933593, -0.954528814087979 ) ); +#5031 = CARTESIAN_POINT( '', ( -0.871015671334810, 2.62655585933593, -0.949999999999999 ) ); +#5032 = CARTESIAN_POINT( '', ( -0.567521113941237, 2.04643356753555, -1.07700717020586 ) ); +#5033 = CARTESIAN_POINT( '', ( -0.568438987214467, 2.04895551966009, -1.07700717020586 ) ); +#5034 = CARTESIAN_POINT( '', ( -0.568494570306282, 2.04910823997625, -1.07699100509947 ) ); +#5035 = CARTESIAN_POINT( '', ( -0.569467764843544, 2.05178219301794, -1.07692634519292 ) ); +#5036 = CARTESIAN_POINT( '', ( -0.569954207716789, 2.05311874532142, -1.07687785012510 ) ); +#5037 = CARTESIAN_POINT( '', ( -0.571412430792381, 2.05712536463456, -1.07668393596732 ) ); +#5038 = CARTESIAN_POINT( '', ( -0.572383117047454, 2.05979242591047, -1.07649006232268 ) ); +#5039 = CARTESIAN_POINT( '', ( -0.575285592857746, 2.06776727957453, -1.07571601174916 ) ); +#5040 = CARTESIAN_POINT( '', ( -0.577207820090745, 2.07304879848948, -1.07494297482300 ) ); +#5041 = CARTESIAN_POINT( '', ( -0.582893934989577, 2.08867198958532, -1.07187825670468 ) ); +#5042 = CARTESIAN_POINT( '', ( -0.586575954633483, 2.09878872087528, -1.06883451001210 ) ); +#5043 = CARTESIAN_POINT( '', ( -0.596978249496652, 2.12737010612231, -1.05714418698130 ) ); +#5044 = CARTESIAN_POINT( '', ( -0.603004675684036, 2.14392833781930, -1.04593845937899 ) ); +#5045 = CARTESIAN_POINT( '', ( -0.612390812972958, 2.16971772461951, -1.02046226936822 ) ); +#5046 = CARTESIAN_POINT( '', ( -0.615755604879510, 2.17896283976208, -1.00632487676268 ) ); +#5047 = CARTESIAN_POINT( '', ( -0.618988424434287, 2.18784534716504, -0.985155213276193 ) ); +#5048 = CARTESIAN_POINT( '', ( -0.619769927984179, 2.18999260931488, -0.978089921795561 ) ); +#5049 = CARTESIAN_POINT( '', ( -0.620543900268682, 2.19211917853110, -0.967541880081587 ) ); +#5050 = CARTESIAN_POINT( '', ( -0.620735461066881, 2.19264551171466, -0.964032401082142 ) ); +#5051 = CARTESIAN_POINT( '', ( -0.620926764681460, 2.19317113825949, -0.958771404847097 ) ); +#5052 = CARTESIAN_POINT( '', ( -0.620974501719997, 2.19330230072960, -0.957018188304299 ) ); +#5053 = CARTESIAN_POINT( '', ( -0.621022248396364, 2.19343348968063, -0.954387495768190 ) ); +#5054 = CARTESIAN_POINT( '', ( -0.621034185312808, 2.19346628759802, -0.953510450888702 ) ); +#5055 = CARTESIAN_POINT( '', ( -0.621050106224247, 2.19351003195533, -0.951755758151099 ) ); +#5056 = CARTESIAN_POINT( '', ( -0.621054090269634, 2.19352097853371, -0.952350916807785 ) ); +#5057 = CARTESIAN_POINT( '', ( -0.621054090269634, 2.19352097853371, -0.949999999999999 ) ); +#5058 = CARTESIAN_POINT( '', ( -0.104804303466577, 2.21484096483622, -1.16379485273383 ) ); +#5059 = CARTESIAN_POINT( '', ( -0.105834552536801, 2.21767168113140, -1.16379485273383 ) ); +#5060 = CARTESIAN_POINT( '', ( -0.106227286827776, 2.21875075937497, -1.16377122392200 ) ); +#5061 = CARTESIAN_POINT( '', ( -0.107650015664783, 2.22265985458209, -1.16367669651822 ) ); +#5062 = CARTESIAN_POINT( '', ( -0.108361217830052, 2.22461395637803, -1.16360579771656 ) ); +#5063 = CARTESIAN_POINT( '', ( -0.110493592421820, 2.23047287698162, -1.16332223492904 ) ); +#5064 = CARTESIAN_POINT( '', ( -0.111913547948053, 2.23437435222941, -1.16303868087714 ) ); +#5065 = CARTESIAN_POINT( '', ( -0.116162452781203, 2.24604865943870, -1.16190555562283 ) ); +#5066 = CARTESIAN_POINT( '', ( -0.118980492989197, 2.25379151751817, -1.16077309044906 ) ); +#5067 = CARTESIAN_POINT( '', ( -0.127340502287376, 2.27676151111702, -1.15626718725381 ) ); +#5068 = CARTESIAN_POINT( '', ( -0.132787404973654, 2.29172744182052, -1.15177817964630 ) ); +#5069 = CARTESIAN_POINT( '', ( -0.148351588917427, 2.33449165329543, -1.13428680596022 ) ); +#5070 = CARTESIAN_POINT( '', ( -0.157642460300099, 2.36001928712642, -1.11725115694107 ) ); +#5071 = CARTESIAN_POINT( '', ( -0.172706958219731, 2.40141055936564, -1.07636252898315 ) ); +#5072 = CARTESIAN_POINT( '', ( -0.178474412564724, 2.41725723903557, -1.05262713747884 ) ); +#5073 = CARTESIAN_POINT( '', ( -0.184208089921752, 2.43301111292393, -1.01508091974065 ) ); +#5074 = CARTESIAN_POINT( '', ( -0.185638292615795, 2.43694074332927, -1.00224222005280 ) ); +#5075 = CARTESIAN_POINT( '', ( -0.187066780690733, 2.44086566264061, -0.982774119860434 ) ); +#5076 = CARTESIAN_POINT( '', ( -0.187423668421024, 2.44184624873663, -0.976248430923808 ) ); +#5077 = CARTESIAN_POINT( '', ( -0.187780804936900, 2.44282751839684, -0.966426890295748 ) ); +#5078 = CARTESIAN_POINT( '', ( -0.187870143076166, 2.44307298421081, -0.963147442873731 ) ); +#5079 = CARTESIAN_POINT( '', ( -0.187959543928169, 2.44331862233452, -0.958221729294549 ) ); +#5080 = CARTESIAN_POINT( '', ( -0.187981908663738, 2.44338007176771, -0.956578727232406 ) ); +#5081 = CARTESIAN_POINT( '', ( -0.188011741307045, 2.44346204005284, -0.953290775455345 ) ); +#5082 = CARTESIAN_POINT( '', ( -0.188019209467409, 2.44348255959889, -0.952734772831574 ) ); +#5083 = CARTESIAN_POINT( '', ( -0.188019209467409, 2.44348255959889, -0.950000000000000 ) ); +#5084 = CARTESIAN_POINT( '', ( 0.357912507008081, 2.38324836213688, -1.25058253526180 ) ); +#5085 = CARTESIAN_POINT( '', ( 0.356769882140864, 2.38638784260271, -1.25058253526180 ) ); +#5086 = CARTESIAN_POINT( '', ( 0.356039996650729, 2.38839327877369, -1.25055144274454 ) ); +#5087 = CARTESIAN_POINT( '', ( 0.354167733513978, 2.39353751614623, -1.25042704784352 ) ); +#5088 = CARTESIAN_POINT( '', ( 0.353231772056685, 2.39610916743464, -1.25033374530802 ) ); +#5089 = CARTESIAN_POINT( '', ( 0.350425245948739, 2.40382038932868, -1.24996053389076 ) ); +#5090 = CARTESIAN_POINT( '', ( 0.348556021151348, 2.40895627854836, -1.24958729943160 ) ); +#5091 = CARTESIAN_POINT( '', ( 0.342960687295340, 2.42433003930287, -1.24809509949651 ) ); +#5092 = CARTESIAN_POINT( '', ( 0.339246834112350, 2.43453423654687, -1.24660320607511 ) ); +#5093 = CARTESIAN_POINT( '', ( 0.328212930414825, 2.46485103264872, -1.24065611780294 ) ); +#5094 = CARTESIAN_POINT( '', ( 0.321001144686175, 2.48466616276575, -1.23472184928051 ) ); +#5095 = CARTESIAN_POINT( '', ( 0.300275071661799, 2.54161320046854, -1.21142942493914 ) ); +#5096 = CARTESIAN_POINT( '', ( 0.287719755083840, 2.57611023643354, -1.18856385450315 ) ); +#5097 = CARTESIAN_POINT( '', ( 0.266976896533494, 2.63310339411176, -1.13226278859807 ) ); +#5098 = CARTESIAN_POINT( '', ( 0.258806779750062, 2.65555163830906, -1.09892939819500 ) ); +#5099 = CARTESIAN_POINT( '', ( 0.250572244590782, 2.67817687868282, -1.04500662620512 ) ); +#5100 = CARTESIAN_POINT( '', ( 0.248493342752588, 2.68388887734365, -1.02639451831004 ) ); +#5101 = CARTESIAN_POINT( '', ( 0.246410338887216, 2.68961214675012, -0.998006359639280 ) ); +#5102 = CARTESIAN_POINT( '', ( 0.245888124224832, 2.69104698575860, -0.988464460765474 ) ); +#5103 = CARTESIAN_POINT( '', ( 0.245365154807660, 2.69248389853419, -0.974082375744397 ) ); +#5104 = CARTESIAN_POINT( '', ( 0.245234215567667, 2.69284366769201, -0.969276697443161 ) ); +#5105 = CARTESIAN_POINT( '', ( 0.245103160540026, 2.69320375498841, -0.962055962820908 ) ); +#5106 = CARTESIAN_POINT( '', ( 0.245070367985333, 2.69329385593740, -0.959647003576108 ) ); +#5107 = CARTESIAN_POINT( '', ( 0.245026623610157, 2.69341404815034, -0.954825792759590 ) ); +#5108 = CARTESIAN_POINT( '', ( 0.245015671334815, 2.69344414066406, -0.953118628855363 ) ); +#5109 = CARTESIAN_POINT( '', ( 0.245015671334815, 2.69344414066406, -0.949999999999999 ) ); +#5110 = CARTESIAN_POINT( '', ( 0.276358323243565, 2.35356643246444, -1.74299282979414 ) ); +#5111 = CARTESIAN_POINT( '', ( 0.274578107906317, 2.35845775895017, -1.74299282979414 ) ); +#5112 = CARTESIAN_POINT( '', ( 0.271935315924792, 2.36571910433073, -1.74291939019162 ) ); +#5113 = CARTESIAN_POINT( '', ( 0.267512514387989, 2.37787121078967, -1.74262553503216 ) ); +#5114 = CARTESIAN_POINT( '', ( 0.265301328314009, 2.38394667412393, -1.74240511965234 ) ); +#5115 = CARTESIAN_POINT( '', ( 0.258669845288561, 2.40216736230886, -1.74152326568476 ) ); +#5116 = CARTESIAN_POINT( '', ( 0.254251585793011, 2.41430698903786, -1.74064120837809 ) ); +#5117 = CARTESIAN_POINT( '', ( 0.241016970734889, 2.45067046811128, -1.73711171393101 ) ); +#5118 = CARTESIAN_POINT( '', ( 0.232220512301763, 2.47483965102658, -1.73358051995313 ) ); +#5119 = CARTESIAN_POINT( '', ( 0.206015640900287, 2.54684025615525, -1.71945652975741 ) ); +#5120 = CARTESIAN_POINT( '', ( 0.188790376837019, 2.59416845773484, -1.70532223408753 ) ); +#5121 = CARTESIAN_POINT( '', ( 0.138777114104447, 2.73158509016295, -1.64911618676927 ) ); +#5122 = CARTESIAN_POINT( '', ( 0.107700201220775, 2.81697213514694, -1.59317318831179 ) ); +#5123 = CARTESIAN_POINT( '', ( 0.0547398303555082, 2.96248625317917, -1.44942603687986 ) ); +#5124 = CARTESIAN_POINT( '', ( 0.0329376440834606, 3.02239002376691, -1.36163614611872 ) ); +#5125 = CARTESIAN_POINT( '', ( 0.0105139049828283, 3.08400157528950, -1.21479714837402 ) ); +#5126 = CARTESIAN_POINT( '', ( 0.00475445623601457, 3.09982625874714, -1.16342825342157 ) ); +#5127 = CARTESIAN_POINT( '', ( -0.00104209664641901, 3.11575288967016, -1.08443004854780 ) ); +#5128 = CARTESIAN_POINT( '', ( -0.00250233247672158, 3.11976503923584, -1.05777497439063 ) ); +#5129 = CARTESIAN_POINT( '', ( -0.00396619379688558, 3.12378715021137, -1.01751756994194 ) ); +#5130 = CARTESIAN_POINT( '', ( -0.00433316664978141, 3.12479544622891, -1.00405246238912 ) ); +#5131 = CARTESIAN_POINT( '', ( -0.00470055642328372, 3.12580488777911, -0.983810387009061 ) ); +#5132 = CARTESIAN_POINT( '', ( -0.00479251365822927, 3.12605754983040, -0.977055589842053 ) ); +#5133 = CARTESIAN_POINT( '', ( -0.00491518951062400, 3.12639461447276, -0.963535073856614 ) ); +#5134 = CARTESIAN_POINT( '', ( -0.00494590973036191, 3.12647902146629, -0.955296526135558 ) ); +#5135 = CARTESIAN_POINT( '', ( -0.00494590973036191, 3.12647902146629, -0.949999999999999 ) ); +#5136 = CARTESIAN_POINT( '', ( -0.186358487231094, 2.18515903516379, -1.65620514726617 ) ); +#5137 = CARTESIAN_POINT( '', ( -0.188026326771348, 2.18974159747886, -1.65620514726617 ) ); +#5138 = CARTESIAN_POINT( '', ( -0.190331967553712, 2.19607658493201, -1.65613917136909 ) ); +#5139 = CARTESIAN_POINT( '', ( -0.194305234790772, 2.20699354922552, -1.65587518370686 ) ); +#5140 = CARTESIAN_POINT( '', ( -0.196291661572728, 2.21245146306732, -1.65567717206087 ) ); +#5141 = CARTESIAN_POINT( '', ( -0.202248993081999, 2.22881984996180, -1.65488496672304 ) ); +#5142 = CARTESIAN_POINT( '', ( -0.206217983306390, 2.23972506271891, -1.65409258982364 ) ); +#5143 = CARTESIAN_POINT( '', ( -0.218106169341656, 2.27238908824711, -1.65092217005733 ) ); +#5144 = CARTESIAN_POINT( '', ( -0.226006814799783, 2.29409693199788, -1.64775040432708 ) ); +#5145 = CARTESIAN_POINT( '', ( -0.249537791801918, 2.35875073462356, -1.63506759920828 ) ); +#5146 = CARTESIAN_POINT( '', ( -0.264998172822813, 2.40122973678961, -1.62237856445332 ) ); +#5147 = CARTESIAN_POINT( '', ( -0.309849546474772, 2.52446354298982, -1.57197356779035 ) ); +#5148 = CARTESIAN_POINT( '', ( -0.337662014163170, 2.60088118583982, -1.52186049074972 ) ); +#5149 = CARTESIAN_POINT( '', ( -0.384944024397710, 2.73079341843305, -1.39352577726493 ) ); +#5150 = CARTESIAN_POINT( '', ( -0.404343548231319, 2.78409562449343, -1.31533388540256 ) ); +#5151 = CARTESIAN_POINT( '', ( -0.424266429529709, 2.83883580953061, -1.18487144190956 ) ); +#5152 = CARTESIAN_POINT( '', ( -0.429377179132369, 2.85287812473276, -1.13927595516433 ) ); +#5153 = CARTESIAN_POINT( '', ( -0.434519216224368, 2.86700640556065, -1.06919780876895 ) ); +#5154 = CARTESIAN_POINT( '', ( -0.435814125122579, 2.87056430221388, -1.04555894454896 ) ); +#5155 = CARTESIAN_POINT( '', ( -0.437112153541447, 2.87413077007401, -1.00986208449329 ) ); +#5156 = CARTESIAN_POINT( '', ( -0.437437525293614, 2.87502476274770, -0.997923207819692 ) ); +#5157 = CARTESIAN_POINT( '', ( -0.437763260891479, 2.87591975512522, -0.979976153482702 ) ); +#5158 = CARTESIAN_POINT( '', ( -0.437844790307300, 2.87614376566071, -0.973987313498350 ) ); +#5159 = CARTESIAN_POINT( '', ( -0.437953554427827, 2.87644260637525, -0.962000056552371 ) ); +#5160 = CARTESIAN_POINT( '', ( -0.437980790532586, 2.87651744040111, -0.954912670111770 ) ); +#5161 = CARTESIAN_POINT( '', ( -0.437980790532586, 2.87651744040111, -0.950000000000000 ) ); +#5168 = SURFACE_SIDE_STYLE( '', ( #7060 ) ); +#5169 = EDGE_LOOP( '', ( #7061 ) ); +#5170 = EDGE_LOOP( '', ( #7062 ) ); +#5172 = CARTESIAN_POINT( '', ( 1.32717653623650, 2.28034561928630, -1.49550156199547 ) ); +#5173 = CARTESIAN_POINT( '', ( 1.32571678144916, 2.28435644713651, -1.49550156199547 ) ); +#5174 = CARTESIAN_POINT( '', ( 1.32403543901081, 2.28897611006615, -1.49544940654143 ) ); +#5175 = CARTESIAN_POINT( '', ( 1.32089456839464, 2.29760597821282, -1.49524072412240 ) ); +#5176 = CARTESIAN_POINT( '', ( 1.31932432537286, 2.30192038395957, -1.49508419716925 ) ); +#5177 = CARTESIAN_POINT( '', ( 1.31461531116518, 2.31485888945031, -1.49445799299419 ) ); +#5178 = CARTESIAN_POINT( '', ( 1.31147822681182, 2.32347835444677, -1.49383167609956 ) ); +#5179 = CARTESIAN_POINT( '', ( 1.30208320530155, 2.34929215156764, -1.49132615009353 ) ); +#5180 = CARTESIAN_POINT( '', ( 1.29584132468908, 2.36644236666900, -1.48881993281852 ) ); +#5181 = CARTESIAN_POINT( '', ( 1.27726156200785, 2.41749219384426, -1.47880575073647 ) ); +#5182 = CARTESIAN_POINT( '', ( 1.26506919119566, 2.45099199863175, -1.46879288619270 ) ); +#5183 = CARTESIAN_POINT( '', ( 1.22977601817612, 2.54796365612949, -1.42912961705932 ) ); +#5184 = CARTESIAN_POINT( '', ( 1.20800827978018, 2.60777277760081, -1.38981172714946 ) ); +#5185 = CARTESIAN_POINT( '', ( 1.17124081360576, 2.70879520862316, -1.29001601786115 ) ); +#5186 = CARTESIAN_POINT( '', ( 1.15629026751653, 2.74987338637632, -1.22959661155811 ) ); +#5187 = CARTESIAN_POINT( '', ( 1.14099819099787, 2.79188995444677, -1.12945841346455 ) ); +#5188 = CARTESIAN_POINT( '', ( 1.13708862888163, 2.80263188230608, -1.09455346909939 ) ); +#5189 = CARTESIAN_POINT( '', ( 1.13315854988178, 2.81343018243345, -1.04099247509878 ) ); +#5190 = CARTESIAN_POINT( '', ( 1.13216977464166, 2.81614694507405, -1.02293868628022 ) ); +#5191 = CARTESIAN_POINT( '', ( 1.13117881677725, 2.81886970470149, -0.995686524981290 ) ); +#5192 = CARTESIAN_POINT( '', ( 1.13093047722416, 2.81955204340967, -0.986573749513469 ) ); +#5193 = CARTESIAN_POINT( '', ( 1.13068187210347, 2.82023511179239, -0.972876354795802 ) ); +#5194 = CARTESIAN_POINT( '', ( 1.13061965174017, 2.82040606870113, -0.968305827431760 ) ); +#5195 = CARTESIAN_POINT( '', ( 1.13055739877497, 2.82057711518700, -0.961444721089019 ) ); +#5196 = CARTESIAN_POINT( '', ( 1.13054182693340, 2.82061990043861, -0.959156792515874 ) ); +#5197 = CARTESIAN_POINT( '', ( 1.13052105934579, 2.82067696154193, -0.954579449708812 ) ); +#5198 = CARTESIAN_POINT( '', ( 1.13051586317068, 2.82069123857258, -0.952100944535860 ) ); +#5199 = CARTESIAN_POINT( '', ( 1.13051586317068, 2.82069123857258, -0.950000000000000 ) ); +#5200 = CARTESIAN_POINT( '', ( 1.16648529766392, 2.22186148245697, -1.96535040107602 ) ); +#5201 = CARTESIAN_POINT( '', ( 1.16441716578642, 2.22754388953254, -1.96535040107602 ) ); +#5202 = CARTESIAN_POINT( '', ( 1.16091056318550, 2.23717864428267, -1.96525783881272 ) ); +#5203 = CARTESIAN_POINT( '', ( 1.15533601577662, 2.25249529211537, -1.96488746053441 ) ); +#5204 = CARTESIAN_POINT( '', ( 1.15254897689908, 2.26015297082042, -1.96460964484500 ) ); +#5205 = CARTESIAN_POINT( '', ( 1.14419025920800, 2.28311941559464, -1.96349810331119 ) ); +#5206 = CARTESIAN_POINT( '', ( 1.13862093286659, 2.29842171800234, -1.96238627702155 ) ); +#5207 = CARTESIAN_POINT( '', ( 1.12193664985209, 2.34426351797829, -1.95793680209333 ) ); +#5208 = CARTESIAN_POINT( '', ( 1.11084504086716, 2.37473886534903, -1.95348472176542 ) ); +#5209 = CARTESIAN_POINT( '', ( 1.07778942005993, 2.46556261580977, -1.93566829038291 ) ); +#5210 = CARTESIAN_POINT( '', ( 1.05604237254232, 2.52531488694444, -1.91783111087570 ) ); +#5211 = CARTESIAN_POINT( '', ( 0.992803902202409, 2.69906915049816, -1.84676226854992 ) ); +#5212 = CARTESIAN_POINT( '', ( 0.953363197544783, 2.80743658182585, -1.77588250568933 ) ); +#5213 = CARTESIAN_POINT( '', ( 0.885854374158112, 2.99292408378282, -1.59264735124085 ) ); +#5214 = CARTESIAN_POINT( '', ( 0.857896358292931, 3.06974163536127, -1.48026655469528 ) ); +#5215 = CARTESIAN_POINT( '', ( 0.829065204558876, 3.14895822389575, -1.29146940432676 ) ); +#5216 = CARTESIAN_POINT( '', ( 0.821643732331516, 3.16934948944059, -1.22530853671667 ) ); +#5217 = CARTESIAN_POINT( '', ( 0.814170253216118, 3.18988364931034, -1.12345636822533 ) ); +#5218 = CARTESIAN_POINT( '', ( 0.812286435444046, 3.19505963424321, -1.08907350255938 ) ); +#5219 = CARTESIAN_POINT( '', ( 0.810397695845114, 3.20024914240640, -1.03713158775835 ) ); +#5220 = CARTESIAN_POINT( '', ( 0.809924137363345, 3.20155029350647, -1.01975614429568 ) ); +#5221 = CARTESIAN_POINT( '', ( 0.809450025978450, 3.20285296376529, -0.993634025915117 ) ); +#5222 = CARTESIAN_POINT( '', ( 0.809331351766317, 3.20317903348553, -0.984916780001011 ) ); +#5223 = CARTESIAN_POINT( '', ( 0.809212612579126, 3.20350528173148, -0.971830136012414 ) ); +#5224 = CARTESIAN_POINT( '', ( 0.809182910436223, 3.20358689145320, -0.967466109361701 ) ); +#5225 = CARTESIAN_POINT( '', ( 0.809143297590598, 3.20369573185971, -0.958735118429757 ) ); +#5226 = CARTESIAN_POINT( '', ( 0.809133386025513, 3.20372296491393, -0.953139999283597 ) ); +#5227 = CARTESIAN_POINT( '', ( 0.809133386025513, 3.20372296491393, -0.949999999999999 ) ); +#5228 = CARTESIAN_POINT( '', ( 0.724969434493245, 2.06117024388438, -1.79434727708508 ) ); +#5229 = CARTESIAN_POINT( '', ( 0.723122723579950, 2.06624427386980, -1.79434727708508 ) ); +#5230 = CARTESIAN_POINT( '', ( 0.720280430782386, 2.07405376845735, -1.79426942102096 ) ); +#5231 = CARTESIAN_POINT( '', ( 0.715591628531782, 2.08693673949735, -1.79395789251469 ) ); +#5232 = CARTESIAN_POINT( '', ( 0.713247446750586, 2.09337762234664, -1.79372422028394 ) ); +#5233 = CARTESIAN_POINT( '', ( 0.706217051373811, 2.11269436363746, -1.79278931897489 ) ); +#5234 = CARTESIAN_POINT( '', ( 0.701532947988519, 2.12556442405711, -1.79185419552320 ) ); +#5235 = CARTESIAN_POINT( '', ( 0.687501617126139, 2.16411696252883, -1.78811222758643 ) ); +#5236 = CARTESIAN_POINT( '', ( 0.678175083700018, 2.18974258152711, -1.78436835090451 ) ); +#5237 = CARTESIAN_POINT( '', ( 0.650388001954933, 2.26609047386185, -1.76939157537205 ) ); +#5238 = CARTESIAN_POINT( '', ( 0.632118412354522, 2.31628806829109, -1.75440208258992 ) ); +#5239 = CARTESIAN_POINT( '', ( 0.579050730457962, 2.46209703452448, -1.69476340818182 ) ); +#5240 = CARTESIAN_POINT( '', ( 0.546042163521156, 2.55279149959045, -1.63537069908122 ) ); +#5241 = CARTESIAN_POINT( '', ( 0.489721764329172, 2.70753764433518, -1.48250362176661 ) ); +#5242 = CARTESIAN_POINT( '', ( 0.466497862463848, 2.77134772613769, -1.38903435446046 ) ); +#5243 = CARTESIAN_POINT( '', ( 0.442594303111671, 2.83702523745676, -1.23250493904785 ) ); +#5244 = CARTESIAN_POINT( '', ( 0.436451002820106, 2.85390459289047, -1.17771977373503 ) ); +#5245 = CARTESIAN_POINT( '', ( 0.430267156537457, 2.87089535264468, -1.09344334665718 ) ); +#5246 = CARTESIAN_POINT( '', ( 0.428709092617129, 2.87517629504559, -1.06500350547173 ) ); +#5247 = CARTESIAN_POINT( '', ( 0.427147103812277, 2.87946802147427, -1.02204751258484 ) ); +#5248 = CARTESIAN_POINT( '', ( 0.426755514545247, 2.88054395364566, -1.00767929596215 ) ); +#5249 = CARTESIAN_POINT( '', ( 0.426363476951860, 2.88162111764026, -0.986079199100761 ) ); +#5250 = CARTESIAN_POINT( '', ( 0.426265349314941, 2.88189073351167, -0.978871165868249 ) ); +#5251 = CARTESIAN_POINT( '', ( 0.426167168485287, 2.88216049553564, -0.968050328022853 ) ); +#5252 = CARTESIAN_POINT( '', ( 0.426142609114845, 2.88222797495603, -0.964441904509673 ) ); +#5253 = CARTESIAN_POINT( '', ( 0.426109855066616, 2.88231797010452, -0.957222648302249 ) ); +#5254 = CARTESIAN_POINT( '', ( 0.426101659684161, 2.88234048776877, -0.952761831683548 ) ); +#5255 = CARTESIAN_POINT( '', ( 0.426101659684161, 2.88234048776877, -0.949999999999999 ) ); +#5256 = CARTESIAN_POINT( '', ( 0.885660673065829, 2.11965438071371, -1.32449843800453 ) ); +#5257 = CARTESIAN_POINT( '', ( 0.884422339242694, 2.12305683147376, -1.32449843800453 ) ); +#5258 = CARTESIAN_POINT( '', ( 0.883405306607702, 2.12585123424083, -1.32446098874966 ) ); +#5259 = CARTESIAN_POINT( '', ( 0.881150181149806, 2.13204742559480, -1.32431115610269 ) ); +#5260 = CARTESIAN_POINT( '', ( 0.880022795224364, 2.13514503548579, -1.32419877260819 ) ); +#5261 = CARTESIAN_POINT( '', ( 0.876642103330997, 2.14443383749312, -1.32374920865789 ) ); +#5262 = CARTESIAN_POINT( '', ( 0.874390241933746, 2.15062106050154, -1.32329959460121 ) ); +#5263 = CARTESIAN_POINT( '', ( 0.867648172575601, 2.16914559611817, -1.32150157558663 ) ); +#5264 = CARTESIAN_POINT( '', ( 0.863171367521939, 2.18144608284708, -1.31970356195761 ) ); +#5265 = CARTESIAN_POINT( '', ( 0.849860143902849, 2.21802005189634, -1.31252903572561 ) ); +#5266 = CARTESIAN_POINT( '', ( 0.841145231007868, 2.24196517997839, -1.30536385790692 ) ); +#5267 = CARTESIAN_POINT( '', ( 0.816022846431676, 2.31099154015580, -1.27713075669123 ) ); +#5268 = CARTESIAN_POINT( '', ( 0.800687245756549, 2.35312769536542, -1.24929992054136 ) ); +#5269 = CARTESIAN_POINT( '', ( 0.775108203776817, 2.42340876917552, -1.17987228838691 ) ); +#5270 = CARTESIAN_POINT( '', ( 0.764891771687443, 2.45147947715272, -1.13836441132329 ) ); +#5271 = CARTESIAN_POINT( '', ( 0.754527289550666, 2.47995696800777, -1.07049394818564 ) ); +#5272 = CARTESIAN_POINT( '', ( 0.751895899370222, 2.48718698575596, -1.04696470611775 ) ); +#5273 = CARTESIAN_POINT( '', ( 0.749255453203118, 2.49444188576780, -1.01097945353063 ) ); +#5274 = CARTESIAN_POINT( '', ( 0.748592431814738, 2.49626360587645, -0.998868689192576 ) ); +#5275 = CARTESIAN_POINT( '', ( 0.747928224744412, 2.49808858376936, -0.980602449807779 ) ); +#5276 = CARTESIAN_POINT( '', ( 0.747761854406062, 2.49854570354885, -0.974496901179945 ) ); +#5277 = CARTESIAN_POINT( '', ( 0.747595323076883, 2.49900326566736, -0.965321527981446 ) ); +#5278 = CARTESIAN_POINT( '', ( 0.747553649288796, 2.49911776872728, -0.962260213298998 ) ); +#5279 = CARTESIAN_POINT( '', ( 0.747511954681126, 2.49923232899117, -0.957664913099458 ) ); +#5280 = CARTESIAN_POINT( '', ( 0.747501525612018, 2.49926098394143, -0.956132587663847 ) ); +#5281 = CARTESIAN_POINT( '', ( 0.747487616821807, 2.49929919978674, -0.953066979581305 ) ); +#5282 = CARTESIAN_POINT( '', ( 0.747484136829328, 2.49930876142742, -0.951722776935812 ) ); +#5283 = CARTESIAN_POINT( '', ( 0.747484136829328, 2.49930876142742, -0.950000000000000 ) ); +#5284 = CARTESIAN_POINT( '', ( 1.04635191163841, 2.17813851754303, -0.854649598923981 ) ); +#5285 = CARTESIAN_POINT( '', ( 1.04572195490544, 2.17986938907772, -0.854649598923981 ) ); +#5286 = CARTESIAN_POINT( '', ( 1.04653018243302, 2.17764870002431, -0.854652556478372 ) ); +#5287 = CARTESIAN_POINT( '', ( 1.04670873376783, 2.17715811169224, -0.854664419690685 ) ); +#5288 = CARTESIAN_POINT( '', ( 1.04679814369814, 2.17691244862492, -0.854673324932438 ) ); +#5289 = CARTESIAN_POINT( '', ( 1.04706715528818, 2.17617331134877, -0.854709098340890 ) ); +#5290 = CARTESIAN_POINT( '', ( 1.04724753587897, 2.17567769694597, -0.854744993679226 ) ); +#5291 = CARTESIAN_POINT( '', ( 1.04779472802506, 2.17417422970750, -0.854890923586830 ) ); +#5292 = CARTESIAN_POINT( '', ( 1.04816765134386, 2.17314958416704, -0.855038773010715 ) ); +#5293 = CARTESIAN_POINT( '', ( 1.04933228585076, 2.16994962993082, -0.855666496079170 ) ); +#5294 = CARTESIAN_POINT( '', ( 1.05017204966121, 2.16764229166569, -0.856325633223919 ) ); +#5295 = CARTESIAN_POINT( '', ( 1.05299496240539, 2.15988604578712, -0.859498105200629 ) ); +#5296 = CARTESIAN_POINT( '', ( 1.05533232799194, 2.15346389114038, -0.863229142001486 ) ); +#5297 = CARTESIAN_POINT( '', ( 1.06049464322446, 2.13927989401585, -0.877240955007207 ) ); +#5298 = CARTESIAN_POINT( '', ( 1.06328568091104, 2.13161122816774, -0.887694468186118 ) ); +#5299 = CARTESIAN_POINT( '', ( 1.06646027598966, 2.12288869855878, -0.908482957323428 ) ); +#5300 = CARTESIAN_POINT( '', ( 1.06734079592034, 2.12046937862145, -0.916209638500460 ) ); +#5301 = CARTESIAN_POINT( '', ( 1.06824374986878, 2.11798841889090, -0.928515560404070 ) ); +#5302 = CARTESIAN_POINT( '', ( 1.06847577101235, 2.11735091670730, -0.932733872913416 ) ); +#5303 = CARTESIAN_POINT( '', ( 1.06870934567655, 2.11670914606445, -0.939157387030720 ) ); +#5304 = CARTESIAN_POINT( '', ( 1.06876819426688, 2.11654745345204, -0.941314506397737 ) ); +#5305 = CARTESIAN_POINT( '', ( 1.06882716920191, 2.11638541369445, -0.944563856862128 ) ); +#5306 = CARTESIAN_POINT( '', ( 1.06884194926265, 2.11634480394288, -0.945649260729745 ) ); +#5307 = CARTESIAN_POINT( '', ( 1.06885674087697, 2.11630416244669, -0.947279498176062 ) ); +#5308 = CARTESIAN_POINT( '', ( 1.06886044210919, 2.11629399292683, -0.947823270818018 ) ); +#5309 = CARTESIAN_POINT( '', ( 1.06886537857700, 2.11628042946896, -0.948911310860360 ) ); +#5310 = CARTESIAN_POINT( '', ( 1.06886661397450, 2.11627703508606, -0.950683722188074 ) ); +#5311 = CARTESIAN_POINT( '', ( 1.06886661397450, 2.11627703508606, -0.949999999999999 ) ); +#5312 = CARTESIAN_POINT( '', ( 1.48786777480909, 2.33882975611562, -1.02565272291492 ) ); +#5313 = CARTESIAN_POINT( '', ( 1.48701639711190, 2.34116900474046, -1.02565272291492 ) ); +#5314 = CARTESIAN_POINT( '', ( 1.48716031483613, 2.34077357584962, -1.02564097427013 ) ); +#5315 = CARTESIAN_POINT( '', ( 1.48645312101266, 2.34271666431026, -1.02559398771040 ) ); +#5316 = CARTESIAN_POINT( '', ( 1.48609967384664, 2.34368779709870, -1.02555874949350 ) ); +#5317 = CARTESIAN_POINT( '', ( 1.48504036312237, 2.34659836330596, -1.02541788267719 ) ); +#5318 = CARTESIAN_POINT( '', ( 1.48433552075705, 2.34853499089119, -1.02527707517758 ) ); +#5319 = CARTESIAN_POINT( '', ( 1.48222976075101, 2.35432078515697, -1.02471549809373 ) ); +#5320 = CARTESIAN_POINT( '', ( 1.48083760851100, 2.35814586798896, -1.02415514387162 ) ); +#5321 = CARTESIAN_POINT( '', ( 1.47673370395577, 2.36942177187874, -1.02194321109003 ) ); +#5322 = CARTESIAN_POINT( '', ( 1.47409600984901, 2.37666911031904, -1.01975466150970 ) ); +#5323 = CARTESIAN_POINT( '', ( 1.46674813414984, 2.39685816176082, -1.01149696556873 ) ); +#5324 = CARTESIAN_POINT( '', ( 1.46265336201557, 2.40810897337578, -1.00374094860960 ) ); +#5325 = CARTESIAN_POINT( '', ( 1.45662725305340, 2.42466633346349, -0.987384684481451 ) ); +#5326 = CARTESIAN_POINT( '', ( 1.45468417674012, 2.43000513739135, -0.978926668420931 ) ); +#5327 = CARTESIAN_POINT( '', ( 1.45293117743686, 2.43482168499777, -0.967447422602346 ) ); +#5328 = CARTESIAN_POINT( '', ( 1.45253352543175, 2.43591427517157, -0.963798401482101 ) ); +#5329 = CARTESIAN_POINT( '', ( 1.45214684654744, 2.43697671555656, -0.958528581972224 ) ); +#5330 = CARTESIAN_POINT( '', ( 1.45205311383926, 2.43723425590490, -0.956803870001062 ) ); +#5331 = CARTESIAN_POINT( '', ( 1.45195993770939, 2.43749026699659, -0.954241462204231 ) ); +#5332 = CARTESIAN_POINT( '', ( 1.45193681708497, 2.43755379331286, -0.953391354731260 ) ); +#5333 = CARTESIAN_POINT( '', ( 1.45191371822850, 2.43761725981948, -0.952118683676485 ) ); +#5334 = CARTESIAN_POINT( '', ( 1.45190795171403, 2.43763310391674, -0.951694874862508 ) ); +#5335 = CARTESIAN_POINT( '', ( 1.45190218497080, 2.43764894864253, -0.951059306165623 ) ); +#5336 = CARTESIAN_POINT( '', ( 1.45190074343057, 2.43765290942401, -0.950847475670047 ) ); +#5337 = CARTESIAN_POINT( '', ( 1.45189882110098, 2.43765819122415, -0.950423780987866 ) ); +#5338 = CARTESIAN_POINT( '', ( 1.45189834031585, 2.43765951223123, -0.951061889788123 ) ); +#5339 = CARTESIAN_POINT( '', ( 1.45189834031585, 2.43765951223123, -0.949999999999999 ) ); +#5340 = CARTESIAN_POINT( '', ( 1.32717653623650, 2.28034561928630, -1.49550156199547 ) ); +#5341 = CARTESIAN_POINT( '', ( 1.32571678144916, 2.28435644713651, -1.49550156199547 ) ); +#5342 = CARTESIAN_POINT( '', ( 1.32403543901081, 2.28897611006615, -1.49544940654143 ) ); +#5343 = CARTESIAN_POINT( '', ( 1.32089456839464, 2.29760597821282, -1.49524072412240 ) ); +#5344 = CARTESIAN_POINT( '', ( 1.31932432537286, 2.30192038395957, -1.49508419716925 ) ); +#5345 = CARTESIAN_POINT( '', ( 1.31461531116518, 2.31485888945031, -1.49445799299419 ) ); +#5346 = CARTESIAN_POINT( '', ( 1.31147822681182, 2.32347835444677, -1.49383167609956 ) ); +#5347 = CARTESIAN_POINT( '', ( 1.30208320530155, 2.34929215156764, -1.49132615009353 ) ); +#5348 = CARTESIAN_POINT( '', ( 1.29584132468908, 2.36644236666900, -1.48881993281852 ) ); +#5349 = CARTESIAN_POINT( '', ( 1.27726156200785, 2.41749219384426, -1.47880575073647 ) ); +#5350 = CARTESIAN_POINT( '', ( 1.26506919119566, 2.45099199863175, -1.46879288619270 ) ); +#5351 = CARTESIAN_POINT( '', ( 1.22977601817612, 2.54796365612949, -1.42912961705932 ) ); +#5352 = CARTESIAN_POINT( '', ( 1.20800827978018, 2.60777277760081, -1.38981172714946 ) ); +#5353 = CARTESIAN_POINT( '', ( 1.17124081360576, 2.70879520862316, -1.29001601786115 ) ); +#5354 = CARTESIAN_POINT( '', ( 1.15629026751653, 2.74987338637632, -1.22959661155811 ) ); +#5355 = CARTESIAN_POINT( '', ( 1.14099819099787, 2.79188995444677, -1.12945841346455 ) ); +#5356 = CARTESIAN_POINT( '', ( 1.13708862888163, 2.80263188230608, -1.09455346909939 ) ); +#5357 = CARTESIAN_POINT( '', ( 1.13315854988178, 2.81343018243345, -1.04099247509878 ) ); +#5358 = CARTESIAN_POINT( '', ( 1.13216977464166, 2.81614694507405, -1.02293868628022 ) ); +#5359 = CARTESIAN_POINT( '', ( 1.13117881677725, 2.81886970470149, -0.995686524981290 ) ); +#5360 = CARTESIAN_POINT( '', ( 1.13093047722416, 2.81955204340967, -0.986573749513469 ) ); +#5361 = CARTESIAN_POINT( '', ( 1.13068187210347, 2.82023511179239, -0.972876354795802 ) ); +#5362 = CARTESIAN_POINT( '', ( 1.13061965174017, 2.82040606870113, -0.968305827431760 ) ); +#5363 = CARTESIAN_POINT( '', ( 1.13055739877497, 2.82057711518700, -0.961444721089019 ) ); +#5364 = CARTESIAN_POINT( '', ( 1.13054182693340, 2.82061990043861, -0.959156792515874 ) ); +#5365 = CARTESIAN_POINT( '', ( 1.13052105934579, 2.82067696154193, -0.954579449708812 ) ); +#5366 = CARTESIAN_POINT( '', ( 1.13051586317068, 2.82069123857258, -0.952100944535860 ) ); +#5367 = CARTESIAN_POINT( '', ( 1.13051586317068, 2.82069123857258, -0.950000000000000 ) ); +#5374 = SURFACE_SIDE_STYLE( '', ( #7063 ) ); +#5375 = EDGE_LOOP( '', ( #7064 ) ); +#5376 = EDGE_LOOP( '', ( #7065 ) ); +#5377 = AXIS2_PLACEMENT_3D( '', #7066, #7067, #7068 ); +#5378 = SURFACE_SIDE_STYLE( '', ( #7069 ) ); +#5379 = EDGE_LOOP( '', ( #7070 ) ); +#5380 = EDGE_LOOP( '', ( #7071 ) ); +#5381 = AXIS2_PLACEMENT_3D( '', #7072, #7073, #7074 ); +#5382 = SURFACE_SIDE_STYLE( '', ( #7075 ) ); +#5383 = EDGE_LOOP( '', ( #7076 ) ); +#5384 = EDGE_LOOP( '', ( #7077 ) ); +#5385 = AXIS2_PLACEMENT_3D( '', #7078, #7079, #7080 ); +#5386 = SURFACE_SIDE_STYLE( '', ( #7081 ) ); +#5387 = EDGE_LOOP( '', ( #7082, #7083, #7084, #7085 ) ); +#5388 = AXIS2_PLACEMENT_3D( '', #7086, #7087, #7088 ); +#5389 = SURFACE_SIDE_STYLE( '', ( #7089 ) ); +#5390 = EDGE_LOOP( '', ( #7090 ) ); +#5391 = EDGE_LOOP( '', ( #7091 ) ); +#5393 = CARTESIAN_POINT( '', ( 1.81500000000000, 0.940000000000000, -0.950000000000000 ) ); +#5394 = CARTESIAN_POINT( '', ( 1.81500000000000, 0.940000000000000, -0.952100944472374 ) ); +#5395 = CARTESIAN_POINT( '', ( 1.81499480382521, 0.940014277029789, -0.954579449572182 ) ); +#5396 = CARTESIAN_POINT( '', ( 1.81497403623881, 0.940071338129798, -0.959156792247882 ) ); +#5397 = CARTESIAN_POINT( '', ( 1.81495846439814, 0.940114123378940, -0.961444720757097 ) ); +#5398 = CARTESIAN_POINT( '', ( 1.81489621143641, 0.940285169855247, -0.968305826913263 ) ); +#5399 = CARTESIAN_POINT( '', ( 1.81483399107650, 0.940456126754696, -0.972876354159877 ) ); +#5400 = CARTESIAN_POINT( '', ( 1.81458538596861, 0.941139195102236, -0.986573748545618 ) ); +#5401 = CARTESIAN_POINT( '', ( 1.81433704642758, 0.941821533777269, -0.995686523819342 ) ); +#5402 = CARTESIAN_POINT( '', ( 1.81334608860588, 0.944544293287368, -1.02293868461254 ) ); +#5403 = CARTESIAN_POINT( '', ( 1.81235731340276, 0.947261055826296, -1.04099247319627 ) ); +#5404 = CARTESIAN_POINT( '', ( 1.80842723451340, 0.958059355650118, -1.09455346674367 ) ); +#5405 = CARTESIAN_POINT( '', ( 1.80451767246743, 0.968801283316318, -1.12945841114049 ) ); +#5406 = CARTESIAN_POINT( '', ( 1.78922559604242, 1.01081785112950, -1.22959660980522 ) ); +#5407 = CARTESIAN_POINT( '', ( 1.77427504986515, 1.05189602912455, -1.29001601702789 ) ); +#5408 = CARTESIAN_POINT( '', ( 1.73750758314214, 1.15291846165413, -1.38981172784195 ) ); +#5409 = CARTESIAN_POINT( '', ( 1.71573984440612, 1.21272758405989, -1.42912961802329 ) ); +#5410 = CARTESIAN_POINT( '', ( 1.68044667117999, 1.30969924212528, -1.46879288693485 ) ); +#5411 = CARTESIAN_POINT( '', ( 1.66825430035672, 1.34319904694322, -1.47880575130068 ) ); +#5412 = CARTESIAN_POINT( '', ( 1.64967453783059, 1.39424887369233, -1.48881993310454 ) ); +#5413 = CARTESIAN_POINT( '', ( 1.64343265729848, 1.41139908857289, -1.49132615028648 ) ); +#5414 = CARTESIAN_POINT( '', ( 1.63403763596114, 1.43721288521861, -1.49383167618525 ) ); +#5415 = CARTESIAN_POINT( '', ( 1.63090055167412, 1.44583235003278, -1.49445799304961 ) ); +#5416 = CARTESIAN_POINT( '', ( 1.62619153758000, 1.45877085521151, -1.49508419719254 ) ); +#5417 = CARTESIAN_POINT( '', ( 1.62462129459841, 1.46308526084784, -1.49524072413720 ) ); +#5418 = CARTESIAN_POINT( '', ( 1.62148042406742, 1.47171512876046, -1.49544940654458 ) ); +#5419 = CARTESIAN_POINT( '', ( 1.61979908167742, 1.47633479155728, -1.49550156199547 ) ); +#5420 = CARTESIAN_POINT( '', ( 1.61833932693418, 1.48034561928629, -1.49550156199547 ) ); +#5421 = CARTESIAN_POINT( '', ( 1.81500000000000, 0.439999999999999, -0.949999999999999 ) ); +#5422 = CARTESIAN_POINT( '', ( 1.81500000000000, 0.439999999999999, -0.953139999188713 ) ); +#5423 = CARTESIAN_POINT( '', ( 1.81499008843552, 0.440027233052580, -0.958735118169140 ) ); +#5424 = CARTESIAN_POINT( '', ( 1.81495047559220, 0.440136073452760, -0.967466108850527 ) ); +#5425 = CARTESIAN_POINT( '', ( 1.81492077345100, 0.440217683169792, -0.971830135379305 ) ); +#5426 = CARTESIAN_POINT( '', ( 1.81480203427044, 0.440543931397507, -0.984916779012073 ) ); +#5427 = CARTESIAN_POINT( '', ( 1.81468336006477, 0.440870001100005, -0.993634024702262 ) ); +#5428 = CARTESIAN_POINT( '', ( 1.81420924870429, 0.442172671291743, -1.01975614245009 ) ); +#5429 = CARTESIAN_POINT( '', ( 1.81373569024551, 0.443473822328652, -1.03713158554305 ) ); +#5430 = CARTESIAN_POINT( '', ( 1.81184695072790, 0.448663330268394, -1.08907349938213 ) ); +#5431 = CARTESIAN_POINT( '', ( 1.80996313302619, 0.453839315007912, -1.12345636460358 ) ); +#5432 = CARTESIAN_POINT( '', ( 1.80248965412004, 0.474373474302802, -1.22530853224486 ) ); +#5433 = CARTESIAN_POINT( '', ( 1.79506818202433, 0.494764739485878, -1.29146939993051 ) ); +#5434 = CARTESIAN_POINT( '', ( 1.76623702846015, 0.573981327553599, -1.48026655141954 ) ); +#5435 = CARTESIAN_POINT( '', ( 1.73827901241909, 0.650798879615341, -1.59264734970968 ) ); +#5436 = CARTESIAN_POINT( '', ( 1.67077018802428, 0.836286384342194, -1.77588250696203 ) ); +#5437 = CARTESIAN_POINT( '', ( 1.63132948276074, 0.944653817334722, -1.84676227028616 ) ); +#5438 = CARTESIAN_POINT( '', ( 1.56809101206265, 1.11840808187260, -1.91783111220098 ) ); +#5439 = CARTESIAN_POINT( '', ( 1.54634396452938, 1.17816035305028, -1.93566829138780 ) ); +#5440 = CARTESIAN_POINT( '', ( 1.51328834400113, 1.26898410274451, -1.95348472227375 ) ); +#5441 = CARTESIAN_POINT( '', ( 1.50219673515969, 1.29945944972099, -1.95793680243608 ) ); +#5442 = CARTESIAN_POINT( '', ( 1.48551245245278, 1.34530124885180, -1.96238627717367 ) ); +#5443 = CARTESIAN_POINT( '', ( 1.47994312622926, 1.36060355093559, -1.96349810340957 ) ); +#5444 = CARTESIAN_POINT( '', ( 1.47158440873981, 1.38356999515579, -1.96460964488634 ) ); +#5445 = CARTESIAN_POINT( '', ( 1.46879736993362, 1.39122767366484, -1.96488746056066 ) ); +#5446 = CARTESIAN_POINT( '', ( 1.46322282267593, 1.40654432108211, -1.96525783881831 ) ); +#5447 = CARTESIAN_POINT( '', ( 1.45971622017661, 1.41617907555311, -1.96535040107602 ) ); +#5448 = CARTESIAN_POINT( '', ( 1.45764808836160, 1.42186148245697, -1.96535040107602 ) ); +#5449 = CARTESIAN_POINT( '', ( 1.31500000000000, 0.439999999999999, -0.949999999999999 ) ); +#5450 = CARTESIAN_POINT( '', ( 1.31500000000000, 0.439999999999999, -0.952761831600091 ) ); +#5451 = CARTESIAN_POINT( '', ( 1.31499180461805, 0.440022517662890, -0.957222648086759 ) ); +#5452 = CARTESIAN_POINT( '', ( 1.31495905057172, 0.440112512806148, -0.964441904087006 ) ); +#5453 = CARTESIAN_POINT( '', ( 1.31493449120269, 0.440179992222657, -0.968050327499362 ) ); +#5454 = CARTESIAN_POINT( '', ( 1.31483631037852, 0.440449754231545, -0.978871165050529 ) ); +#5455 = CARTESIAN_POINT( '', ( 1.31473818274694, 0.440719370088282, -0.986079198097882 ) ); +#5456 = CARTESIAN_POINT( '', ( 1.31434614517375, 0.441796534027421, -1.00767929443602 ) ); +#5457 = CARTESIAN_POINT( '', ( 1.31395455592573, 0.442872466146578, -1.02204751075291 ) ); +#5458 = CARTESIAN_POINT( '', ( 1.31239256718814, 0.447164192390416, -1.06500350284389 ) ); +#5459 = CARTESIAN_POINT( '', ( 1.31083450332604, 0.451445134631346, -1.09344334366115 ) ); +#5460 = CARTESIAN_POINT( '', ( 1.30465065721669, 0.468435893909442, -1.17771977003338 ) ); +#5461 = CARTESIAN_POINT( '', ( 1.29850735703444, 0.485315249042770, -1.23250493540577 ) ); +#5462 = CARTESIAN_POINT( '', ( 1.27460379782439, 0.550992759971338, -1.38903435173898 ) ); +#5463 = CARTESIAN_POINT( '', ( 1.25137989581516, 0.614802842169285, -1.48250362048943 ) ); +#5464 = CARTESIAN_POINT( '', ( 1.19505949578230, 0.769548989224321, -1.63537070014275 ) ); +#5465 = CARTESIAN_POINT( '', ( 1.16205092833631, 0.860243455689341, -1.69476340963699 ) ); +#5466 = CARTESIAN_POINT( '', ( 1.10898324613676, 1.00605242275526, -1.75440208370296 ) ); +#5467 = CARTESIAN_POINT( '', ( 1.09071365652235, 1.05625001722294, -1.76939157621657 ) ); +#5468 = CARTESIAN_POINT( '', ( 1.06292657501115, 1.13259790891505, -1.78436835133193 ) ); +#5469 = CARTESIAN_POINT( '', ( 1.05360004170555, 1.15822352758221, -1.78811222787466 ) ); +#5470 = CARTESIAN_POINT( '', ( 1.03956871110175, 1.19677606534344, -1.79185419565114 ) ); +#5471 = CARTESIAN_POINT( '', ( 1.03488460781559, 1.20964612549073, -1.79278931905763 ) ); +#5472 = CARTESIAN_POINT( '', ( 1.02785421260839, 1.22896286631561, -1.79372422031871 ) ); +#5473 = CARTESIAN_POINT( '', ( 1.02551003088720, 1.23540374900005, -1.79395789253677 ) ); +#5474 = CARTESIAN_POINT( '', ( 1.02082122876376, 1.24828671969062, -1.79426942102566 ) ); +#5475 = CARTESIAN_POINT( '', ( 1.01797893604841, 1.25609621405230, -1.79434727708508 ) ); +#5476 = CARTESIAN_POINT( '', ( 1.01613222519092, 1.26117024388438, -1.79434727708508 ) ); +#5477 = CARTESIAN_POINT( '', ( 1.31500000000000, 0.940000000000000, -0.950000000000000 ) ); +#5478 = CARTESIAN_POINT( '', ( 1.31500000000000, 0.940000000000000, -0.951722776883753 ) ); +#5479 = CARTESIAN_POINT( '', ( 1.31499652000774, 0.940009561640099, -0.953066979489800 ) ); +#5480 = CARTESIAN_POINT( '', ( 1.31498261121833, 0.940047777483185, -0.956132587484362 ) ); +#5481 = CARTESIAN_POINT( '', ( 1.31497218214982, 0.940076432431805, -0.957664912877154 ) ); +#5482 = CARTESIAN_POINT( '', ( 1.31493048754449, 0.940190992689285, -0.962260212951720 ) ); +#5483 = CARTESIAN_POINT( '', ( 1.31488881375867, 0.940305495742974, -0.965321527555497 ) ); +#5484 = CARTESIAN_POINT( '', ( 1.31472228243807, 0.940763057837913, -0.974496900531550 ) ); +#5485 = CARTESIAN_POINT( '', ( 1.31455591210780, 0.941220177595195, -0.980602449029202 ) ); +#5486 = CARTESIAN_POINT( '', ( 1.31389170506612, 0.943045155409391, -0.998868688074304 ) ); +#5487 = CARTESIAN_POINT( '', ( 1.31322868370260, 0.944866875449730, -1.01097945225384 ) ); +#5488 = CARTESIAN_POINT( '', ( 1.31058823761005, 0.952121775256758, -1.04696470453219 ) ); +#5489 = CARTESIAN_POINT( '', ( 1.30795684747754, 0.959351792873211, -1.07049394661576 ) ); +#5490 = CARTESIAN_POINT( '', ( 1.29759236540665, 0.987829283547238, -1.13836441012466 ) ); +#5491 = CARTESIAN_POINT( '', ( 1.28737593326122, 1.01589999167850, -1.17987228780765 ) ); +#5492 = CARTESIAN_POINT( '', ( 1.26179689090016, 1.08618106653626, -1.24929992102267 ) ); +#5493 = CARTESIAN_POINT( '', ( 1.24646128998170, 1.12831722241451, -1.27713075737413 ) ); +#5494 = CARTESIAN_POINT( '', ( 1.22133890525409, 1.19734358300795, -1.30536385843683 ) ); +#5495 = CARTESIAN_POINT( '', ( 1.21262399234969, 1.22128871111588, -1.31252903612944 ) ); +#5496 = CARTESIAN_POINT( '', ( 1.19931276884061, 1.25786267986287, -1.31970356216273 ) ); +#5497 = CARTESIAN_POINT( '', ( 1.19483596384434, 1.27016316643410, -1.32150157572506 ) ); +#5498 = CARTESIAN_POINT( '', ( 1.18809389461011, 1.28868770171026, -1.32329959466272 ) ); +#5499 = CARTESIAN_POINT( '', ( 1.18584203326045, 1.29487492458792, -1.32374920869767 ) ); +#5500 = CARTESIAN_POINT( '', ( 1.18246134144858, 1.30416372637132, -1.32419877262491 ) ); +#5501 = CARTESIAN_POINT( '', ( 1.18133395555199, 1.30726133618305, -1.32431115611331 ) ); +#5502 = CARTESIAN_POINT( '', ( 1.17907883015525, 1.31345752736898, -1.32446098875193 ) ); +#5503 = CARTESIAN_POINT( '', ( 1.17806179754922, 1.31625193005647, -1.32449843800453 ) ); +#5504 = CARTESIAN_POINT( '', ( 1.17682346376350, 1.31965438071371, -1.32449843800453 ) ); +#5505 = CARTESIAN_POINT( '', ( 1.31500000000000, 1.44000000000000, -0.949999999999999 ) ); +#5506 = CARTESIAN_POINT( '', ( 1.31500000000000, 1.44000000000000, -0.950683722167414 ) ); +#5507 = CARTESIAN_POINT( '', ( 1.31500123539743, 1.43999660561731, -0.948911310892841 ) ); +#5508 = CARTESIAN_POINT( '', ( 1.31500617186495, 1.43998304216022, -0.947823270881717 ) ); +#5509 = CARTESIAN_POINT( '', ( 1.31500987309696, 1.43997287264095, -0.947279498254945 ) ); +#5510 = CARTESIAN_POINT( '', ( 1.31502466471045, 1.43993223114703, -0.945649260852910 ) ); +#5511 = CARTESIAN_POINT( '', ( 1.31503944477039, 1.43989162139767, -0.944563857013112 ) ); +#5512 = CARTESIAN_POINT( '', ( 1.31509841970239, 1.43972958164841, -0.941314506627081 ) ); +#5513 = CARTESIAN_POINT( '', ( 1.31515726828987, 1.43956788904381, -0.939157387305492 ) ); +#5514 = CARTESIAN_POINT( '', ( 1.31539084294410, 1.43892611842836, -0.932733873304717 ) ); +#5515 = CARTESIAN_POINT( '', ( 1.31562286407917, 1.43828861626811, -0.928515560846531 ) ); +#5516 = CARTESIAN_POINT( '', ( 1.31652581800341, 1.43580765660407, -0.916209639031003 ) ); +#5517 = CARTESIAN_POINT( '', ( 1.31740633792065, 1.43338833670365, -0.908482957825741 ) ); +#5518 = CARTESIAN_POINT( '', ( 1.32058093298891, 1.42466580712314, -0.887694468510331 ) ); +#5519 = CARTESIAN_POINT( '', ( 1.32337197070728, 1.41699714118771, -0.877240955125872 ) ); +#5520 = CARTESIAN_POINT( '', ( 1.32853428601803, 1.40281314384820, -0.863229141902589 ) ); +#5521 = CARTESIAN_POINT( '', ( 1.33087165162708, 1.39639098913967, -0.859498105111267 ) ); +#5522 = CARTESIAN_POINT( '', ( 1.33369456437142, 1.38863474326063, -0.856325633170688 ) ); +#5523 = CARTESIAN_POINT( '', ( 1.33453432817703, 1.38632740500882, -0.855666496042318 ) ); +#5524 = CARTESIAN_POINT( '', ( 1.33569896267007, 1.38312745081069, -0.855038772993528 ) ); +#5525 = CARTESIAN_POINT( '', ( 1.33607188598312, 1.38210280528600, -0.854890923575471 ) ); +#5526 = CARTESIAN_POINT( '', ( 1.33661907811847, 1.38059933807707, -0.854744993674297 ) ); +#5527 = CARTESIAN_POINT( '', ( 1.33679945870531, 1.38010372368510, -0.854709098337715 ) ); +#5528 = CARTESIAN_POINT( '', ( 1.33706847028877, 1.37936458642704, -0.854673324931112 ) ); +#5529 = CARTESIAN_POINT( '', ( 1.33715788021678, 1.37911892336606, -0.854664419689841 ) ); +#5530 = CARTESIAN_POINT( '', ( 1.33733643154673, 1.37862833504734, -0.854652556478194 ) ); +#5531 = CARTESIAN_POINT( '', ( 1.33814465905002, 1.37640764606065, -0.854649598923981 ) ); +#5532 = CARTESIAN_POINT( '', ( 1.33751470233609, 1.37813851754303, -0.854649598923981 ) ); +#5533 = CARTESIAN_POINT( '', ( 1.81500000000000, 1.44000000000000, -0.949999999999999 ) ); +#5534 = CARTESIAN_POINT( '', ( 1.81500000000000, 1.44000000000000, -0.951061889756035 ) ); +#5535 = CARTESIAN_POINT( '', ( 1.81499951921490, 1.44000132100700, -0.950423780975222 ) ); +#5536 = CARTESIAN_POINT( '', ( 1.81499759688542, 1.44000660280684, -0.950847475645237 ) ); +#5537 = CARTESIAN_POINT( '', ( 1.81499615534527, 1.44001056358809, -0.951059306134888 ) ); +#5538 = CARTESIAN_POINT( '', ( 1.81499038860237, 1.44002640831299, -0.951694874814452 ) ); +#5539 = CARTESIAN_POINT( '', ( 1.81498462208821, 1.44004225240939, -0.952118683617491 ) ); +#5540 = CARTESIAN_POINT( '', ( 1.81496152323293, 1.44010571891273, -0.953391354641149 ) ); +#5541 = CARTESIAN_POINT( '', ( 1.81493840260965, 1.44016924522588, -0.954241462095631 ) ); +#5542 = CARTESIAN_POINT( '', ( 1.81484522648386, 1.44042525630634, -0.956803869842951 ) ); +#5543 = CARTESIAN_POINT( '', ( 1.81475149377932, 1.44068279664468, -0.958528581788959 ) ); +#5544 = CARTESIAN_POINT( '', ( 1.81436481490676, 1.44174523699743, -0.963798401242485 ) ); +#5545 = CARTESIAN_POINT( '', ( 1.81396716291054, 1.44283782714676, -0.967447422350477 ) ); +#5546 = CARTESIAN_POINT( '', ( 1.81221416362467, 1.44765437470540, -0.978926668190897 ) ); +#5547 = CARTESIAN_POINT( '', ( 1.81027108731122, 1.45299317863376, -0.987384684346109 ) ); +#5548 = CARTESIAN_POINT( '', ( 1.80424497826001, 1.46955053896607, -1.00374094872187 ) ); +#5549 = CARTESIAN_POINT( '', ( 1.80015020605150, 1.48080135078505, -1.01149696576042 ) ); +#5550 = CARTESIAN_POINT( '', ( 1.79280233029732, 1.50099040237796, -1.01975466166872 ) ); +#5551 = CARTESIAN_POINT( '', ( 1.79016463618405, 1.50823774083616, -1.02194321121356 ) ); +#5552 = CARTESIAN_POINT( '', ( 1.78606073166004, 1.51951364464015, -1.02415514393534 ) ); +#5553 = CARTESIAN_POINT( '', ( 1.78466857943726, 1.52333872742478, -1.02471549813689 ) ); +#5554 = CARTESIAN_POINT( '', ( 1.78256281946949, 1.52912452158543, -1.02527707519683 ) ); +#5555 = CARTESIAN_POINT( '', ( 1.78185797711898, 1.53106114912996, -1.02541788268965 ) ); +#5556 = CARTESIAN_POINT( '', ( 1.78079866642019, 1.53397171526722, -1.02555874949874 ) ); +#5557 = CARTESIAN_POINT( '', ( 1.78044521926320, 1.53494284803085, -1.02559398771373 ) ); +#5558 = CARTESIAN_POINT( '', ( 1.77973802545890, 1.53688593643882, -1.02564097427084 ) ); +#5559 = CARTESIAN_POINT( '', ( 1.77988194317822, 1.53649050756145, -1.02565272291492 ) ); +#5560 = CARTESIAN_POINT( '', ( 1.77903056550676, 1.53882975611561, -1.02565272291492 ) ); +#5561 = CARTESIAN_POINT( '', ( 1.81500000000000, 0.940000000000000, -0.950000000000000 ) ); +#5562 = CARTESIAN_POINT( '', ( 1.81500000000000, 0.940000000000000, -0.952100944472374 ) ); +#5563 = CARTESIAN_POINT( '', ( 1.81499480382521, 0.940014277029789, -0.954579449572182 ) ); +#5564 = CARTESIAN_POINT( '', ( 1.81497403623881, 0.940071338129798, -0.959156792247882 ) ); +#5565 = CARTESIAN_POINT( '', ( 1.81495846439814, 0.940114123378940, -0.961444720757097 ) ); +#5566 = CARTESIAN_POINT( '', ( 1.81489621143641, 0.940285169855247, -0.968305826913263 ) ); +#5567 = CARTESIAN_POINT( '', ( 1.81483399107650, 0.940456126754696, -0.972876354159877 ) ); +#5568 = CARTESIAN_POINT( '', ( 1.81458538596861, 0.941139195102236, -0.986573748545618 ) ); +#5569 = CARTESIAN_POINT( '', ( 1.81433704642758, 0.941821533777269, -0.995686523819342 ) ); +#5570 = CARTESIAN_POINT( '', ( 1.81334608860588, 0.944544293287368, -1.02293868461254 ) ); +#5571 = CARTESIAN_POINT( '', ( 1.81235731340276, 0.947261055826296, -1.04099247319627 ) ); +#5572 = CARTESIAN_POINT( '', ( 1.80842723451340, 0.958059355650118, -1.09455346674367 ) ); +#5573 = CARTESIAN_POINT( '', ( 1.80451767246743, 0.968801283316318, -1.12945841114049 ) ); +#5574 = CARTESIAN_POINT( '', ( 1.78922559604242, 1.01081785112950, -1.22959660980522 ) ); +#5575 = CARTESIAN_POINT( '', ( 1.77427504986515, 1.05189602912455, -1.29001601702789 ) ); +#5576 = CARTESIAN_POINT( '', ( 1.73750758314214, 1.15291846165413, -1.38981172784195 ) ); +#5577 = CARTESIAN_POINT( '', ( 1.71573984440612, 1.21272758405989, -1.42912961802329 ) ); +#5578 = CARTESIAN_POINT( '', ( 1.68044667117999, 1.30969924212528, -1.46879288693485 ) ); +#5579 = CARTESIAN_POINT( '', ( 1.66825430035672, 1.34319904694322, -1.47880575130068 ) ); +#5580 = CARTESIAN_POINT( '', ( 1.64967453783059, 1.39424887369233, -1.48881993310454 ) ); +#5581 = CARTESIAN_POINT( '', ( 1.64343265729848, 1.41139908857289, -1.49132615028648 ) ); +#5582 = CARTESIAN_POINT( '', ( 1.63403763596114, 1.43721288521861, -1.49383167618525 ) ); +#5583 = CARTESIAN_POINT( '', ( 1.63090055167412, 1.44583235003278, -1.49445799304961 ) ); +#5584 = CARTESIAN_POINT( '', ( 1.62619153758000, 1.45877085521151, -1.49508419719254 ) ); +#5585 = CARTESIAN_POINT( '', ( 1.62462129459841, 1.46308526084784, -1.49524072413720 ) ); +#5586 = CARTESIAN_POINT( '', ( 1.62148042406742, 1.47171512876046, -1.49544940654458 ) ); +#5587 = CARTESIAN_POINT( '', ( 1.61979908167742, 1.47633479155728, -1.49550156199547 ) ); +#5588 = CARTESIAN_POINT( '', ( 1.61833932693418, 1.48034561928629, -1.49550156199547 ) ); +#5595 = SURFACE_SIDE_STYLE( '', ( #7092 ) ); +#5596 = EDGE_LOOP( '', ( #7093 ) ); +#5597 = EDGE_LOOP( '', ( #7094 ) ); +#5598 = AXIS2_PLACEMENT_3D( '', #7095, #7096, #7097 ); +#5599 = SURFACE_SIDE_STYLE( '', ( #7098 ) ); +#5600 = EDGE_LOOP( '', ( #7099 ) ); +#5601 = EDGE_LOOP( '', ( #7100 ) ); +#5602 = AXIS2_PLACEMENT_3D( '', #7101, #7102, #7103 ); +#5603 = SURFACE_SIDE_STYLE( '', ( #7104 ) ); +#5604 = EDGE_LOOP( '', ( #7105, #7106, #7107, #7108 ) ); +#5605 = AXIS2_PLACEMENT_3D( '', #7109, #7110, #7111 ); +#5606 = SURFACE_SIDE_STYLE( '', ( #7112 ) ); +#5607 = EDGE_LOOP( '', ( #7113 ) ); +#5608 = EDGE_LOOP( '', ( #7114 ) ); +#5609 = AXIS2_PLACEMENT_3D( '', #7115, #7116, #7117 ); +#5610 = SURFACE_SIDE_STYLE( '', ( #7118 ) ); +#5611 = EDGE_LOOP( '', ( #7119 ) ); +#5612 = EDGE_LOOP( '', ( #7120 ) ); +#5614 = CARTESIAN_POINT( '', ( -0.437980790532587, 0.723482559598888, -0.950000000000000 ) ); +#5615 = CARTESIAN_POINT( '', ( -0.437980790532587, 0.723482559598888, -0.952267280244940 ) ); +#5616 = CARTESIAN_POINT( '', ( -0.437986741564634, 0.723498910677355, -0.955244704573440 ) ); +#5617 = CARTESIAN_POINT( '', ( -0.438010525970377, 0.723564260801765, -0.960486978786558 ) ); +#5618 = CARTESIAN_POINT( '', ( -0.438028359842511, 0.723613261217209, -0.963107256846319 ) ); +#5619 = CARTESIAN_POINT( '', ( -0.438099655329811, 0.723809152971459, -0.970964970370249 ) ); +#5620 = CARTESIAN_POINT( '', ( -0.438170913032905, 0.724004940909664, -0.976199319844054 ) ); +#5621 = CARTESIAN_POINT( '', ( -0.438455618026726, 0.724787197442211, -0.991885710503872 ) ); +#5622 = CARTESIAN_POINT( '', ( -0.438740011456055, 0.725568597918962, -1.00232120460417 ) ); +#5623 = CARTESIAN_POINT( '', ( -0.439874689535830, 0.728686243761148, -1.03352579358308 ) ); +#5624 = CARTESIAN_POINT( '', ( -0.441006746496047, 0.731796687805215, -1.05419360194381 ) ); +#5625 = CARTESIAN_POINT( '', ( -0.445504066138052, 0.744153540495666, -1.11548522759822 ) ); +#5626 = CARTESIAN_POINT( '', ( -0.449975827904689, 0.756440170269840, -1.15539373731822 ) ); +#5627 = CARTESIAN_POINT( '', ( -0.467435290532171, 0.804411856722346, -1.26972479337640 ) ); +#5628 = CARTESIAN_POINT( '', ( -0.484468121227199, 0.851211327641606, -1.33846237479238 ) ); +#5629 = CARTESIAN_POINT( '', ( -0.526156779681187, 0.965755245438478, -1.45161538485895 ) ); +#5630 = CARTESIAN_POINT( '', ( -0.550753673123600, 1.03333776416204, -1.49598581796251 ) ); +#5631 = CARTESIAN_POINT( '', ( -0.590520434286436, 1.14260106959350, -1.54067660868430 ) ); +#5632 = CARTESIAN_POINT( '', ( -0.604242353560997, 1.18030346760028, -1.55194201868617 ) ); +#5633 = CARTESIAN_POINT( '', ( -0.625139465680459, 1.23772045297642, -1.56320521375989 ) ); +#5634 = CARTESIAN_POINT( '', ( -0.632157708893360, 1.25700380493518, -1.56602293197933 ) ); +#5635 = CARTESIAN_POINT( '', ( -0.642719622505262, 1.28602375926822, -1.56883965249263 ) ); +#5636 = CARTESIAN_POINT( '', ( -0.646246069195657, 1.29571303771466, -1.56954369151650 ) ); +#5637 = CARTESIAN_POINT( '', ( -0.651539341485569, 1.31025685295213, -1.57024759027850 ) ); +#5638 = CARTESIAN_POINT( '', ( -0.653304373702366, 1.31510646223790, -1.57042353357750 ) ); +#5639 = CARTESIAN_POINT( '', ( -0.656834836323307, 1.32480677487052, -1.57065810086250 ) ); +#5640 = CARTESIAN_POINT( '', ( -0.658808373191289, 1.33022927233526, -1.57071672478645 ) ); +#5641 = CARTESIAN_POINT( '', ( -0.660365519215900, 1.33450769272237, -1.57071672478645 ) ); +#5642 = CARTESIAN_POINT( '', ( -0.871015671334812, 0.973444140664064, -0.949999999999999 ) ); +#5643 = CARTESIAN_POINT( '', ( -0.871015671334812, 0.973444140664064, -0.951420313212197 ) ); +#5644 = CARTESIAN_POINT( '', ( -0.871017778700542, 0.973449930870224, -0.951857284983989 ) ); +#5645 = CARTESIAN_POINT( '', ( -0.871026201729174, 0.973473074015989, -0.953713786656826 ) ); +#5646 = CARTESIAN_POINT( '', ( -0.871032517537324, 0.973490427354673, -0.954641770218871 ) ); +#5647 = CARTESIAN_POINT( '', ( -0.871057769284676, 0.973559809152518, -0.957424847228605 ) ); +#5648 = CARTESIAN_POINT( '', ( -0.871083009636154, 0.973629159638996, -0.959279072671814 ) ); +#5649 = CARTESIAN_POINT( '', ( -0.871183897205708, 0.973906358711902, -0.964837670335609 ) ); +#5650 = CARTESIAN_POINT( '', ( -0.871284707426680, 0.974183345261534, -0.968537997235057 ) ); +#5651 = CARTESIAN_POINT( '', ( -0.871687574671978, 0.975290264849246, -0.979617171692896 ) ); +#5652 = CARTESIAN_POINT( '', ( -0.872090053605854, 0.976396117511035, -0.986974622697247 ) ); +#5653 = CARTESIAN_POINT( '', ( -0.873699033537877, 0.980816956940834, -1.00890255384475 ) ); +#5654 = CARTESIAN_POINT( '', ( -0.875308124082953, 0.985238100291548, -1.02333335176837 ) ); +#5655 = CARTESIAN_POINT( '', ( -0.881731447987133, 1.00288684967683, -1.06539563408552 ) ); +#5656 = CARTESIAN_POINT( '', ( -0.888161470205587, 1.02055400337609, -1.09177780869037 ) ); +#5657 = CARTESIAN_POINT( '', ( -0.904791855904209, 1.06624771488031, -1.13691663312051 ) ); +#5658 = CARTESIAN_POINT( '', ( -0.914982943969700, 1.09424878752030, -1.15555996482781 ) ); +#5659 = CARTESIAN_POINT( '', ( -0.931970591283449, 1.14092411240793, -1.17465105944131 ) ); +#5660 = CARTESIAN_POINT( '', ( -0.937904185213231, 1.15722727783800, -1.17953861791817 ) ); +#5661 = CARTESIAN_POINT( '', ( -0.947001558295219, 1.18222325499618, -1.18444194796280 ) ); +#5662 = CARTESIAN_POINT( '', ( -0.950066631447715, 1.19064486174105, -1.18567353046801 ) ); +#5663 = CARTESIAN_POINT( '', ( -0.954686832870659, 1.20333934488397, -1.18690567554564 ) ); +#5664 = CARTESIAN_POINT( '', ( -0.956230680662761, 1.20758122699644, -1.18721396021191 ) ); +#5665 = CARTESIAN_POINT( '', ( -0.958548961928119, 1.21395094548913, -1.18752224484979 ) ); +#5666 = CARTESIAN_POINT( '', ( -0.959322144598591, 1.21607534515879, -1.18759932179088 ) ); +#5667 = CARTESIAN_POINT( '', ( -0.960868838777458, 1.22032504801447, -1.18770208556991 ) ); +#5668 = CARTESIAN_POINT( '', ( -0.961354547223632, 1.22165958240332, -1.18772777260157 ) ); +#5669 = CARTESIAN_POINT( '', ( -0.962415785462861, 1.22457544465807, -1.18772777260157 ) ); +#5670 = CARTESIAN_POINT( '', ( -0.621054090269634, 1.40647902146629, -0.949999999999999 ) ); +#5671 = CARTESIAN_POINT( '', ( -0.621054090269634, 1.40647902146629, -0.950709474078443 ) ); +#5672 = CARTESIAN_POINT( '', ( -0.621052971738336, 1.40647594818540, -0.949014304899116 ) ); +#5673 = CARTESIAN_POINT( '', ( -0.621048502331280, 1.40646366802544, -0.948029208815977 ) ); +#5674 = CARTESIAN_POINT( '', ( -0.621045151304349, 1.40645446073100, -0.947536890160450 ) ); +#5675 = CARTESIAN_POINT( '', ( -0.621031759644163, 1.40641766575413, -0.946060946353054 ) ); +#5676 = CARTESIAN_POINT( '', ( -0.621018378735192, 1.40638090031734, -0.945078314699107 ) ); +#5677 = CARTESIAN_POINT( '', ( -0.620964992745586, 1.40623421676763, -0.942136898405053 ) ); +#5678 = CARTESIAN_POINT( '', ( -0.620911725979194, 1.40608786079607, -0.940184560875234 ) ); +#5679 = CARTESIAN_POINT( '', ( -0.620700401928324, 1.40550722602370, -0.934372957213523 ) ); +#5680 = CARTESIAN_POINT( '', ( -0.620490563508390, 1.40493067317663, -0.930559344259762 ) ); +#5681 = CARTESIAN_POINT( '', ( -0.619675429138371, 1.40269100685639, -0.919450269924181 ) ); +#5682 = CARTESIAN_POINT( '', ( -0.618881948328606, 1.40051083594141, -0.912498234888215 ) ); +#5683 = CARTESIAN_POINT( '', ( -0.616042905473737, 1.39271027090889, -0.893907067262608 ) ); +#5684 = CARTESIAN_POINT( '', ( -0.613574244624849, 1.38592736889595, -0.884741363941201 ) ); +#5685 = CARTESIAN_POINT( '', ( -0.609173822499538, 1.37383675219381, -0.872797512267271 ) ); +#5686 = CARTESIAN_POINT( '', ( -0.607274463334723, 1.36861806567067, -0.869848704300221 ) ); +#5687 = CARTESIAN_POINT( '', ( -0.605144146276506, 1.36276479835419, -0.867454587444400 ) ); +#5688 = CARTESIAN_POINT( '', ( -0.604541185384821, 1.36110810069654, -0.866989367975966 ) ); +#5689 = CARTESIAN_POINT( '', ( -0.603735320263119, 1.35889390259854, -0.866555015710295 ) ); +#5690 = CARTESIAN_POINT( '', ( -0.603482592518181, 1.35819950687763, -0.866455392520909 ) ); +#5691 = CARTESIAN_POINT( '', ( -0.603116057288878, 1.35719241327636, -0.866357641408347 ) ); +#5692 = CARTESIAN_POINT( '', ( -0.602995957336893, 1.35686242618783, -0.866333778926191 ) ); +#5693 = CARTESIAN_POINT( '', ( -0.602817399928407, 1.35637182116771, -0.866310034110235 ) ); +#5694 = CARTESIAN_POINT( '', ( -0.602758147043455, 1.35620901771385, -0.866304134886382 ) ); +#5695 = CARTESIAN_POINT( '', ( -0.602639911908169, 1.35588415440284, -0.866296279141408 ) ); +#5696 = CARTESIAN_POINT( '', ( -0.601876921614429, 1.35378775934656, -0.866294323028675 ) ); +#5697 = CARTESIAN_POINT( '', ( -0.602521956333382, 1.35556005921333, -0.866294323028675 ) ); +#5698 = CARTESIAN_POINT( '', ( -0.188019209467410, 1.15651744040111, -0.950000000000000 ) ); +#5699 = CARTESIAN_POINT( '', ( -0.188019209467410, 1.15651744040111, -0.951556441111185 ) ); +#5700 = CARTESIAN_POINT( '', ( -0.188021934602427, 1.15652492799253, -0.952401724488567 ) ); +#5701 = CARTESIAN_POINT( '', ( -0.188032826572483, 1.15655485481122, -0.954802400945710 ) ); +#5702 = CARTESIAN_POINT( '', ( -0.188040993609536, 1.15657729459353, -0.956002376787898 ) ); +#5703 = CARTESIAN_POINT( '', ( -0.188073645689297, 1.15666700957307, -0.959601069494697 ) ); +#5704 = CARTESIAN_POINT( '', ( -0.188106282131944, 1.15675668158801, -0.961998561871345 ) ); +#5705 = CARTESIAN_POINT( '', ( -0.188236713566602, 1.15711505549794, -0.969184938573317 ) ); +#5706 = CARTESIAN_POINT( '', ( -0.188367030008568, 1.15747311345350, -0.973967768244343 ) ); +#5707 = CARTESIAN_POINT( '', ( -0.188887516792174, 1.15890320493560, -0.988281579103707 ) ); +#5708 = CARTESIAN_POINT( '', ( -0.189407256398583, 1.16033124347081, -0.997778323506322 ) ); +#5709 = CARTESIAN_POINT( '', ( -0.191480461738545, 1.16602759041122, -1.02603294367765 ) ); +#5710 = CARTESIAN_POINT( '', ( -0.193549652150345, 1.17171290591970, -1.04455862043807 ) ); +#5711 = CARTESIAN_POINT( '', ( -0.201746748018768, 1.19423527795440, -1.09823622655349 ) ); +#5712 = CARTESIAN_POINT( '', ( -0.209880895646466, 1.21658469316147, -1.13142593004322 ) ); +#5713 = CARTESIAN_POINT( '', ( -0.230538746276510, 1.27334428275198, -1.18749626400571 ) ); +#5714 = CARTESIAN_POINT( '', ( -0.243045192488615, 1.30770704231241, -1.21027455743492 ) ); +#5715 = CARTESIAN_POINT( '', ( -0.263693989279496, 1.36444175553975, -1.23348013668739 ) ); +#5716 = CARTESIAN_POINT( '', ( -0.270879353732592, 1.38418429045882, -1.23939276874396 ) ); +#5717 = CARTESIAN_POINT( '', ( -0.281873227648356, 1.41439110057879, -1.24531828150738 ) ); +#5718 = CARTESIAN_POINT( '', ( -0.285573669963824, 1.42455845007176, -1.24680479403222 ) ); +#5719 = CARTESIAN_POINT( '', ( -0.291148846923481, 1.43987682766061, -1.24829161835533 ) ); +#5720 = CARTESIAN_POINT( '', ( -0.293011345869788, 1.44499423690604, -1.24866351023078 ) ); +#5721 = CARTESIAN_POINT( '', ( -0.295807779485857, 1.45267772863071, -1.24903537953895 ) ); +#5722 = CARTESIAN_POINT( '', ( -0.296740376147229, 1.45524013479295, -1.24912834667300 ) ); +#5723 = CARTESIAN_POINT( '', ( -0.298605909454018, 1.46036588125889, -1.24925229443400 ) ); +#5724 = CARTESIAN_POINT( '', ( -0.299330747582085, 1.46235744927850, -1.24928327521355 ) ); +#5725 = CARTESIAN_POINT( '', ( -0.300471690086421, 1.46549230727763, -1.24928327521355 ) ); +#5726 = CARTESIAN_POINT( '', ( 0.245015671334814, 0.906555859335935, -0.949999999999999 ) ); +#5727 = CARTESIAN_POINT( '', ( 0.245015671334814, 0.906555859335935, -0.952403408143927 ) ); +#5728 = CARTESIAN_POINT( '', ( 0.245009102533481, 0.906573907799663, -0.955789144078018 ) ); +#5729 = CARTESIAN_POINT( '', ( 0.244982849186313, 0.906646041596997, -0.961575593075441 ) ); +#5730 = CARTESIAN_POINT( '', ( 0.244963164085278, 0.906700128456069, -0.964467863415346 ) ); +#5731 = CARTESIAN_POINT( '', ( 0.244884468265567, 0.906916353392015, -0.973141192636340 ) ); +#5732 = CARTESIAN_POINT( '', ( 0.244805814471304, 0.907132462858675, -0.978918809043583 ) ); +#5733 = CARTESIAN_POINT( '', ( 0.244491565612382, 0.907995894228248, -0.996232978741579 ) ); +#5734 = CARTESIAN_POINT( '', ( 0.244177665962057, 0.908858366110926, -1.00775097561345 ) ); +#5735 = CARTESIAN_POINT( '', ( 0.242925368343975, 0.912299183847507, -1.04219020099389 ) ); +#5736 = CARTESIAN_POINT( '', ( 0.241676050711225, 0.915731813764987, -1.06499730275288 ) ); +#5737 = CARTESIAN_POINT( '', ( 0.236714505661280, 0.929364173966053, -1.13261561743112 ) ); +#5738 = CARTESIAN_POINT( '', ( 0.231782644027916, 0.942914975897993, -1.17661900598792 ) ); +#5739 = CARTESIAN_POINT( '', ( 0.212549409436203, 0.995760284999911, -1.30256538584436 ) ); +#5740 = CARTESIAN_POINT( '', ( 0.193812453331914, 1.04724201742699, -1.37811049614523 ) ); +#5741 = CARTESIAN_POINT( '', ( 0.148096329946518, 1.17285181331015, -1.50219501574415 ) ); +#5742 = CARTESIAN_POINT( '', ( 0.121184078357493, 1.24679601895415, -1.55070041056962 ) ); +#5743 = CARTESIAN_POINT( '', ( 0.0777561677175145, 1.36611871272532, -1.59950568593038 ) ); +#5744 = CARTESIAN_POINT( '', ( 0.0627824779196359, 1.40726048022110, -1.61179616951195 ) ); +#5745 = CARTESIAN_POINT( '', ( 0.0399888649664071, 1.46988829855903, -1.62408154730447 ) ); +#5746 = CARTESIAN_POINT( '', ( 0.0323352525905328, 1.49091739326590, -1.62715419554354 ) ); +#5747 = CARTESIAN_POINT( '', ( 0.0208183634419169, 1.52256124204486, -1.63022559530232 ) ); +#5748 = CARTESIAN_POINT( '', ( 0.0169732655973178, 1.53312604762426, -1.63099324153537 ) ); +#5749 = CARTESIAN_POINT( '', ( 0.0112018409566940, 1.54898363609371, -1.63176072496766 ) ); +#5750 = CARTESIAN_POINT( '', ( 0.00927739474899631, 1.55427125187205, -1.63195255845962 ) ); +#5751 = CARTESIAN_POINT( '', ( 0.00542809300013323, 1.56484760811493, -1.63220830972659 ) ); +#5752 = CARTESIAN_POINT( '', ( 0.00321542645025812, 1.57092713921043, -1.63227222739843 ) ); +#5753 = CARTESIAN_POINT( '', ( 0.00157857616053889, 1.57542455534193, -1.63227222739843 ) ); +#5754 = CARTESIAN_POINT( '', ( -0.00494590973036235, 0.473520978533710, -0.949999999999999 ) ); +#5755 = CARTESIAN_POINT( '', ( -0.00494590973036235, 0.473520978533710, -0.953114247277681 ) ); +#5756 = CARTESIAN_POINT( '', ( -0.00495570442872474, 0.473547890484484, -0.958632124162889 ) ); +#5757 = CARTESIAN_POINT( '', ( -0.00499485021158107, 0.473655447587541, -0.967260170916290 ) ); +#5758 = CARTESIAN_POINT( '', ( -0.00502420214769817, 0.473736095079744, -0.971572743473765 ) ); +#5759 = CARTESIAN_POINT( '', ( -0.00514154137494648, 0.474058496790400, -0.984505093511893 ) ); +#5760 = CARTESIAN_POINT( '', ( -0.00525881642965567, 0.474380722180332, -0.993119567016292 ) ); +#5761 = CARTESIAN_POINT( '', ( -0.00572733884774250, 0.475668036172521, -1.01893375067214 ) ); +#5762 = CARTESIAN_POINT( '', ( -0.00619531548542931, 0.476953850576389, -1.03610441197328 ) ); +#5763 = CARTESIAN_POINT( '', ( -0.00806180439968026, 0.482082222673048, -1.08743441547326 ) ); +#5764 = CARTESIAN_POINT( '', ( -0.00992343938623897, 0.487197258099394, -1.12141258119037 ) ); +#5765 = CARTESIAN_POINT( '', ( -0.0173090987382265, 0.507490124050497, -1.22206790135169 ) ); +#5766 = CARTESIAN_POINT( '', ( -0.0246435317264250, 0.527642240248131, -1.28745412286807 ) ); +#5767 = CARTESIAN_POINT( '', ( -0.0531391330772068, 0.605936863767856, -1.47405395266728 ) ); +#5768 = CARTESIAN_POINT( '', ( -0.0807747722488111, 0.681868651907122, -1.58514694089440 ) ); +#5769 = CARTESIAN_POINT( '', ( -0.147521703458165, 0.865262775996648, -1.76631413659739 ) ); +#5770 = CARTESIAN_POINT( '', ( -0.186524402277499, 0.972426740803777, -1.83641167109721 ) ); +#5771 = CARTESIAN_POINT( '', ( -0.249070277289422, 1.14427802677906, -1.90670215792729 ) ); +#5772 = CARTESIAN_POINT( '', ( -0.270580521908761, 1.20337965736256, -1.92434541945415 ) ); +#5773 = CARTESIAN_POINT( '', ( -0.303277373065700, 1.29321765095667, -1.94196847955698 ) ); +#5774 = CARTESIAN_POINT( '', ( -0.314248786339004, 1.32336274812932, -1.94637233349064 ) ); +#5775 = CARTESIAN_POINT( '', ( -0.330752412139863, 1.36870817365248, -1.95077362943962 ) ); +#5776 = CARTESIAN_POINT( '', ( -0.336261457728552, 1.38384484843288, -1.95187342282109 ) ); +#5777 = CARTESIAN_POINT( '', ( -0.344529721043018, 1.40656276041513, -1.95297293570722 ) ); +#5778 = CARTESIAN_POINT( '', ( -0.347286602806140, 1.41413757931700, -1.95324774536412 ) ); +#5779 = CARTESIAN_POINT( '', ( -0.352800833869155, 1.42928850172656, -1.95361411615509 ) ); +#5780 = CARTESIAN_POINT( '', ( -0.356262199158945, 1.43879896226720, -1.95370567697132 ) ); +#5781 = CARTESIAN_POINT( '', ( -0.358315252968939, 1.44443994078667, -1.95370567697132 ) ); +#5782 = CARTESIAN_POINT( '', ( -0.437980790532587, 0.723482559598888, -0.950000000000000 ) ); +#5783 = CARTESIAN_POINT( '', ( -0.437980790532587, 0.723482559598888, -0.952267280244940 ) ); +#5784 = CARTESIAN_POINT( '', ( -0.437986741564634, 0.723498910677355, -0.955244704573440 ) ); +#5785 = CARTESIAN_POINT( '', ( -0.438010525970377, 0.723564260801765, -0.960486978786558 ) ); +#5786 = CARTESIAN_POINT( '', ( -0.438028359842511, 0.723613261217209, -0.963107256846319 ) ); +#5787 = CARTESIAN_POINT( '', ( -0.438099655329811, 0.723809152971459, -0.970964970370249 ) ); +#5788 = CARTESIAN_POINT( '', ( -0.438170913032905, 0.724004940909664, -0.976199319844054 ) ); +#5789 = CARTESIAN_POINT( '', ( -0.438455618026726, 0.724787197442211, -0.991885710503872 ) ); +#5790 = CARTESIAN_POINT( '', ( -0.438740011456055, 0.725568597918962, -1.00232120460417 ) ); +#5791 = CARTESIAN_POINT( '', ( -0.439874689535830, 0.728686243761148, -1.03352579358308 ) ); +#5792 = CARTESIAN_POINT( '', ( -0.441006746496047, 0.731796687805215, -1.05419360194381 ) ); +#5793 = CARTESIAN_POINT( '', ( -0.445504066138052, 0.744153540495666, -1.11548522759822 ) ); +#5794 = CARTESIAN_POINT( '', ( -0.449975827904689, 0.756440170269840, -1.15539373731822 ) ); +#5795 = CARTESIAN_POINT( '', ( -0.467435290532171, 0.804411856722346, -1.26972479337640 ) ); +#5796 = CARTESIAN_POINT( '', ( -0.484468121227199, 0.851211327641606, -1.33846237479238 ) ); +#5797 = CARTESIAN_POINT( '', ( -0.526156779681187, 0.965755245438478, -1.45161538485895 ) ); +#5798 = CARTESIAN_POINT( '', ( -0.550753673123600, 1.03333776416204, -1.49598581796251 ) ); +#5799 = CARTESIAN_POINT( '', ( -0.590520434286436, 1.14260106959350, -1.54067660868430 ) ); +#5800 = CARTESIAN_POINT( '', ( -0.604242353560997, 1.18030346760028, -1.55194201868617 ) ); +#5801 = CARTESIAN_POINT( '', ( -0.625139465680459, 1.23772045297642, -1.56320521375989 ) ); +#5802 = CARTESIAN_POINT( '', ( -0.632157708893360, 1.25700380493518, -1.56602293197933 ) ); +#5803 = CARTESIAN_POINT( '', ( -0.642719622505262, 1.28602375926822, -1.56883965249263 ) ); +#5804 = CARTESIAN_POINT( '', ( -0.646246069195657, 1.29571303771466, -1.56954369151650 ) ); +#5805 = CARTESIAN_POINT( '', ( -0.651539341485569, 1.31025685295213, -1.57024759027850 ) ); +#5806 = CARTESIAN_POINT( '', ( -0.653304373702366, 1.31510646223790, -1.57042353357750 ) ); +#5807 = CARTESIAN_POINT( '', ( -0.656834836323307, 1.32480677487052, -1.57065810086250 ) ); +#5808 = CARTESIAN_POINT( '', ( -0.658808373191289, 1.33022927233526, -1.57071672478645 ) ); +#5809 = CARTESIAN_POINT( '', ( -0.660365519215900, 1.33450769272237, -1.57071672478645 ) ); +#5816 = SURFACE_SIDE_STYLE( '', ( #7121 ) ); +#5817 = EDGE_LOOP( '', ( #7122, #7123, #7124, #7125 ) ); +#5818 = AXIS2_PLACEMENT_3D( '', #7126, #7127, #7128 ); +#5819 = SURFACE_SIDE_STYLE( '', ( #7129 ) ); +#5820 = EDGE_LOOP( '', ( #7130 ) ); +#5821 = EDGE_LOOP( '', ( #7131 ) ); +#5822 = AXIS2_PLACEMENT_3D( '', #7132, #7133, #7134 ); +#5823 = SURFACE_SIDE_STYLE( '', ( #7135 ) ); +#5824 = EDGE_LOOP( '', ( #7136 ) ); +#5825 = EDGE_LOOP( '', ( #7137 ) ); +#5826 = AXIS2_PLACEMENT_3D( '', #7138, #7139, #7140 ); +#5827 = SURFACE_SIDE_STYLE( '', ( #7141 ) ); +#5828 = EDGE_LOOP( '', ( #7142 ) ); +#5829 = EDGE_LOOP( '', ( #7143 ) ); +#5830 = AXIS2_PLACEMENT_3D( '', #7144, #7145, #7146 ); +#5831 = SURFACE_SIDE_STYLE( '', ( #7147 ) ); +#5832 = EDGE_LOOP( '', ( #7148 ) ); +#5833 = EDGE_LOOP( '', ( #7149 ) ); +#5835 = CARTESIAN_POINT( '', ( 0.597889839620542, -2.24275406575048, -1.62650080557950 ) ); +#5836 = CARTESIAN_POINT( '', ( 0.596260462324859, -2.24723094905491, -1.62650080557950 ) ); +#5837 = CARTESIAN_POINT( '', ( 0.594070216424903, -2.25324887708674, -1.62643738424374 ) ); +#5838 = CARTESIAN_POINT( '', ( 0.590250808814586, -2.26374309607995, -1.62618361916515 ) ); +#5839 = CARTESIAN_POINT( '', ( 0.588341309156539, -2.26898964466117, -1.62599327552165 ) ); +#5840 = CARTESIAN_POINT( '', ( 0.582614715770485, -2.28472405460367, -1.62523175372613 ) ); +#5841 = CARTESIAN_POINT( '', ( 0.578799494462961, -2.29520677129527, -1.62447007124536 ) ); +#5842 = CARTESIAN_POINT( '', ( 0.567372143267244, -2.32660460525158, -1.62142254999066 ) ); +#5843 = CARTESIAN_POINT( '', ( 0.559778102777941, -2.34747002001449, -1.61837380378540 ) ); +#5844 = CARTESIAN_POINT( '', ( 0.537162304872187, -2.40960927304628, -1.60618426513843 ) ); +#5845 = CARTESIAN_POINT( '', ( 0.522305980641372, -2.45042856646002, -1.59398989186991 ) ); +#5846 = CARTESIAN_POINT( '', ( 0.479221338310417, -2.56880809490930, -1.54557038883670 ) ); +#5847 = CARTESIAN_POINT( '', ( 0.452526174283935, -2.64215582993412, -1.49745268686236 ) ); +#5848 = CARTESIAN_POINT( '', ( 0.407187665240851, -2.76672809120268, -1.37439310355355 ) ); +#5849 = CARTESIAN_POINT( '', ( 0.388610487435500, -2.81777081616305, -1.29948626586580 ) ); +#5850 = CARTESIAN_POINT( '', ( 0.369543560951231, -2.87015917647127, -1.17462893532171 ) ); +#5851 = CARTESIAN_POINT( '', ( 0.364654838028750, -2.88359145031581, -1.13100948117802 ) ); +#5852 = CARTESIAN_POINT( '', ( 0.359736818448339, -2.89710421976994, -1.06398435402673 ) ); +#5853 = CARTESIAN_POINT( '', ( 0.358498495087827, -2.90050664178286, -1.04137783135523 ) ); +#5854 = CARTESIAN_POINT( '', ( 0.357257225381941, -2.90391715918561, -1.00724188368921 ) ); +#5855 = CARTESIAN_POINT( '', ( 0.356946092209195, -2.90477202988389, -0.995825381645375 ) ); +#5856 = CARTESIAN_POINT( '', ( 0.356634613356410, -2.90562785037398, -0.978663831509627 ) ); +#5857 = CARTESIAN_POINT( '', ( 0.356556653012759, -2.90584205451308, -0.972937151467888 ) ); +#5858 = CARTESIAN_POINT( '', ( 0.356452650384010, -2.90612781253457, -0.961474674641343 ) ); +#5859 = CARTESIAN_POINT( '', ( 0.356426606768077, -2.90619937007355, -0.954781289826493 ) ); +#5860 = CARTESIAN_POINT( '', ( 0.356426606768077, -2.90619937007355, -0.950000000000000 ) ); +#5861 = CARTESIAN_POINT( '', ( 1.00478044826667, -2.39084332215309, -1.37648159685004 ) ); +#5862 = CARTESIAN_POINT( '', ( 1.00347480478927, -2.39443071317725, -1.37648159685004 ) ); +#5863 = CARTESIAN_POINT( '', ( 1.00225582893111, -2.39777997591852, -1.37643967706464 ) ); +#5864 = CARTESIAN_POINT( '', ( 0.999731446221157, -2.40471597953054, -1.37627195470327 ) ); +#5865 = CARTESIAN_POINT( '', ( 0.998469436376296, -2.40818348261866, -1.37614615205964 ) ); +#5866 = CARTESIAN_POINT( '', ( 0.994684948410367, -2.41858175626305, -1.37564289133089 ) ); +#5867 = CARTESIAN_POINT( '', ( 0.992163988505041, -2.42550835536394, -1.37513956146202 ) ); +#5868 = CARTESIAN_POINT( '', ( 0.984615449475971, -2.44624875014033, -1.37312646773147 ) ); +#5869 = CARTESIAN_POINT( '', ( 0.979602079937366, -2.46002350350517, -1.37111316761081 ) ); +#5870 = CARTESIAN_POINT( '', ( 0.964689275618704, -2.50099798182482, -1.36307541573899 ) ); +#5871 = CARTESIAN_POINT( '', ( 0.954917251934920, -2.52784763156301, -1.35504457097857 ) ); +#5872 = CARTESIAN_POINT( '', ( 0.926703054186569, -2.60536906946582, -1.32333681841946 ) ); +#5873 = CARTESIAN_POINT( '', ( 0.909412151005546, -2.65287762133701, -1.29201403822592 ) ); +#5874 = CARTESIAN_POINT( '', ( 0.880431945242539, -2.73250374579766, -1.21335482865560 ) ); +#5875 = CARTESIAN_POINT( '', ( 0.868776392075701, -2.76452858836466, -1.16609804475609 ) ); +#5876 = CARTESIAN_POINT( '', ( 0.856913972851573, -2.79712181690385, -1.08841852811902 ) ); +#5877 = CARTESIAN_POINT( '', ( 0.853894031788443, -2.80541941854696, -1.06143119168598 ) ); +#5878 = CARTESIAN_POINT( '', ( 0.850861550745985, -2.81375147508531, -1.02010309777291 ) ); +#5879 = CARTESIAN_POINT( '', ( 0.850099503598166, -2.81584527875025, -1.00618571623170 ) ); +#5880 = CARTESIAN_POINT( '', ( 0.849335967708372, -2.81794317288835, -0.985187850690991 ) ); +#5881 = CARTESIAN_POINT( '', ( 0.849144679587174, -2.81846875686352, -0.978168136597304 ) ); +#5882 = CARTESIAN_POINT( '', ( 0.848953198684901, -2.81899487052472, -0.967618116214312 ) ); +#5883 = CARTESIAN_POINT( '', ( 0.848905278953127, -2.81912653496346, -0.964098016682041 ) ); +#5884 = CARTESIAN_POINT( '', ( 0.848841353442376, -2.81930217694188, -0.957052574631129 ) ); +#5885 = CARTESIAN_POINT( '', ( 0.848825346915169, -2.81934615653740, -0.953675471851655 ) ); +#5886 = CARTESIAN_POINT( '', ( 0.848825346915169, -2.81934615653740, -0.949999999999999 ) ); +#5887 = CARTESIAN_POINT( '', ( 0.769837978327923, -2.30533519065212, -0.943479985691035 ) ); +#5888 = CARTESIAN_POINT( '', ( 0.769093000831410, -2.30738209367769, -0.943479985691035 ) ); +#5889 = CARTESIAN_POINT( '', ( 0.769556141699819, -2.30610956605202, -0.943475303868259 ) ); +#5890 = CARTESIAN_POINT( '', ( 0.769274578136436, -2.30688319117825, -0.943456596598055 ) ); +#5891 = CARTESIAN_POINT( '', ( 0.769133938660444, -2.30726961274168, -0.943442570793792 ) ); +#5892 = CARTESIAN_POINT( '', ( 0.768712931365579, -2.30842637399913, -0.943386585530717 ) ); +#5893 = CARTESIAN_POINT( '', ( 0.768433468324675, -2.30919422772174, -0.943330689672079 ) ); +#5894 = CARTESIAN_POINT( '', ( 0.767602540818643, -2.31147728732301, -0.943109093430310 ) ); +#5895 = CARTESIAN_POINT( '', ( 0.767058566592505, -2.31297191299224, -0.942889054816157 ) ); +#5896 = CARTESIAN_POINT( '', ( 0.765486371785044, -2.31729168147281, -0.942041671924210 ) ); +#5897 = CARTESIAN_POINT( '', ( 0.764519712855699, -2.31994767725310, -0.941221531338389 ) ); +#5898 = CARTESIAN_POINT( '', ( 0.762059242173543, -2.32670807593256, -0.938456414496561 ) ); +#5899 = CARTESIAN_POINT( '', ( 0.761055327974403, -2.32946643443497, -0.936220312192007 ) ); +#5900 = CARTESIAN_POINT( '', ( 0.760405632143419, -2.33125154119101, -0.934456927796592 ) ); +#5901 = CARTESIAN_POINT( '', ( 0.760737456408691, -2.33033981956761, -0.935086535905847 ) ); +#5902 = CARTESIAN_POINT( '', ( 0.761352331497633, -2.32865038641585, -0.939113019125798 ) ); +#5903 = CARTESIAN_POINT( '', ( 0.761568883982809, -2.32805538597736, -0.940930404547099 ) ); +#5904 = CARTESIAN_POINT( '', ( 0.761801916934203, -2.32741510374668, -0.944106318348868 ) ); +#5905 = CARTESIAN_POINT( '', ( 0.761864719878913, -2.32724254613502, -0.945237428994010 ) ); +#5906 = CARTESIAN_POINT( '', ( 0.761928558466152, -2.32706714298798, -0.946993058101604 ) ); +#5907 = CARTESIAN_POINT( '', ( 0.761944826799010, -2.32702244405425, -0.947588023999969 ) ); +#5908 = CARTESIAN_POINT( '', ( 0.761961167152439, -2.32697754723651, -0.948488335972306 ) ); +#5909 = CARTESIAN_POINT( '', ( 0.761965273956579, -2.32696626336572, -0.948789754477018 ) ); +#5910 = CARTESIAN_POINT( '', ( 0.761970756939492, -2.32695119830085, -0.949394057356160 ) ); +#5911 = CARTESIAN_POINT( '', ( 0.761972133379024, -2.32694741639031, -0.951760335142015 ) ); +#5912 = CARTESIAN_POINT( '', ( 0.761972133379024, -2.32694741639031, -0.949999999999999 ) ); +#5913 = CARTESIAN_POINT( '', ( 0.362947369681793, -2.15724593424952, -1.19349919442050 ) ); +#5914 = CARTESIAN_POINT( '', ( 0.361878658366998, -2.16018232955535, -1.19349919442050 ) ); +#5915 = CARTESIAN_POINT( '', ( 0.361370529193613, -2.16157846722023, -1.19347301104735 ) ); +#5916 = CARTESIAN_POINT( '', ( 0.359793940729865, -2.16591030772766, -1.19336826105994 ) ); +#5917 = CARTESIAN_POINT( '', ( 0.359005811440688, -2.16807577478419, -1.19328969425580 ) ); +#5918 = CARTESIAN_POINT( '', ( 0.356642698725696, -2.17456867233975, -1.19297544792595 ) ); +#5919 = CARTESIAN_POINT( '', ( 0.355068974282595, -2.17889264365305, -1.19266119945542 ) ); +#5920 = CARTESIAN_POINT( '', ( 0.350359234609915, -2.19183314243425, -1.19140517568950 ) ); +#5921 = CARTESIAN_POINT( '', ( 0.347234589433081, -2.20041842950157, -1.19014969099074 ) ); +#5922 = CARTESIAN_POINT( '', ( 0.337959401038527, -2.22590297269428, -1.18515052132365 ) ); +#5923 = CARTESIAN_POINT( '', ( 0.331908441562152, -2.24252861215012, -1.18016685222973 ) ); +#5924 = CARTESIAN_POINT( '', ( 0.314577526297385, -2.29014710137603, -1.16068998491379 ) ); +#5925 = CARTESIAN_POINT( '', ( 0.304169351252791, -2.31874464303207, -1.14165896082844 ) ); +#5926 = CARTESIAN_POINT( '', ( 0.287161352141731, -2.36547588659603, -1.09549520269454 ) ); +#5927 = CARTESIAN_POINT( '', ( 0.280571551768483, -2.38358204736599, -1.06847475701556 ) ); +#5928 = CARTESIAN_POINT( '', ( 0.273981919597293, -2.40168774598327, -1.02532342632848 ) ); +#5929 = CARTESIAN_POINT( '', ( 0.272329690223114, -2.40622741774621, -1.01050869403914 ) ); +#5930 = CARTESIAN_POINT( '', ( 0.270677184636557, -2.41076784843131, -0.987987574602692 ) ); +#5931 = CARTESIAN_POINT( '', ( 0.270263711368571, -2.41190390916764, -0.980429544117544 ) ); +#5932 = CARTESIAN_POINT( '', ( 0.269849816139720, -2.41304112928524, -0.969047091099827 ) ); +#5933 = CARTESIAN_POINT( '', ( 0.269746239421030, -2.41332571707462, -0.965245269048039 ) ); +#5934 = CARTESIAN_POINT( '', ( 0.269642581823948, -2.41361052708577, -0.959534051267621 ) ); +#5935 = CARTESIAN_POINT( '', ( 0.269616648016211, -2.41368178291534, -0.957628889262865 ) ); +#5936 = CARTESIAN_POINT( '', ( 0.269582053881124, -2.41377683389353, -0.953816157366374 ) ); +#5937 = CARTESIAN_POINT( '', ( 0.269573393231932, -2.41380062992646, -0.952866153116852 ) ); +#5938 = CARTESIAN_POINT( '', ( 0.269573393231932, -2.41380062992646, -0.950000000000000 ) ); +#5939 = CARTESIAN_POINT( '', ( -0.0439432389643371, -2.00915667784692, -1.44351840314996 ) ); +#5940 = CARTESIAN_POINT( '', ( -0.0453356840974153, -2.01298256543301, -1.44351840314996 ) ); +#5941 = CARTESIAN_POINT( '', ( -0.0468150833125951, -2.01704736838845, -1.44347071822645 ) ); +#5942 = CARTESIAN_POINT( '', ( -0.0496866966767073, -2.02493742427707, -1.44327992552182 ) ); +#5943 = CARTESIAN_POINT( '', ( -0.0511223157790665, -2.02888193682669, -1.44313681771780 ) ); +#5944 = CARTESIAN_POINT( '', ( -0.0554275339141861, -2.04071097068036, -1.44256431032119 ) ); +#5945 = CARTESIAN_POINT( '', ( -0.0582955197594856, -2.04859105958437, -1.44199170923876 ) ); +#5946 = CARTESIAN_POINT( '', ( -0.0668840715988138, -2.07218899754549, -1.43970125794868 ) ); +#5947 = CARTESIAN_POINT( '', ( -0.0725893877263435, -2.08786494601089, -1.43741032716533 ) ); +#5948 = CARTESIAN_POINT( '', ( -0.0895675697079899, -2.13451426391575, -1.42825937072310 ) ); +#5949 = CARTESIAN_POINT( '', ( -0.100702829731394, -2.16510954704713, -1.41911217312108 ) ); +#5950 = CARTESIAN_POINT( '', ( -0.132904189578773, -2.25358612681950, -1.38292355533103 ) ); +#5951 = CARTESIAN_POINT( '', ( -0.152716625468821, -2.30802285162917, -1.34709760946488 ) ); +#5952 = CARTESIAN_POINT( '', ( -0.186082927859956, -2.39970023200104, -1.25653347759249 ) ); +#5953 = CARTESIAN_POINT( '', ( -0.199594352871724, -2.43682427516437, -1.20186297812527 ) ); +#5954 = CARTESIAN_POINT( '', ( -0.213388492303044, -2.47472510555069, -1.11153383353116 ) ); +#5955 = CARTESIAN_POINT( '', ( -0.216909503536581, -2.48439944951505, -1.08008698353118 ) ); +#5956 = CARTESIAN_POINT( '', ( -0.220447547661088, -2.49412059311595, -1.03186883085652 ) ); +#5957 = CARTESIAN_POINT( '', ( -0.221337297141771, -2.49656527220025, -1.01562165924108 ) ); +#5958 = CARTESIAN_POINT( '', ( -0.222228926186712, -2.49901511558251, -0.991101124098049 ) ); +#5959 = CARTESIAN_POINT( '', ( -0.222452347956949, -2.49962899009499, -0.982902514096110 ) ); +#5960 = CARTESIAN_POINT( '', ( -0.222676003504545, -2.50024350693502, -0.970579766562935 ) ); +#5961 = CARTESIAN_POINT( '', ( -0.222731977924156, -2.50039730246495, -0.966468024048710 ) ); +#5962 = CARTESIAN_POINT( '', ( -0.222806649177243, -2.50060246948621, -0.958238257376587 ) ); +#5963 = CARTESIAN_POINT( '', ( -0.222825346915162, -2.50065384346260, -0.953971971091688 ) ); +#5964 = CARTESIAN_POINT( '', ( -0.222825346915162, -2.50065384346260, -0.949999999999999 ) ); +#5965 = CARTESIAN_POINT( '', ( 0.190999230974412, -2.09466480934788, -1.87652001430896 ) ); +#5966 = CARTESIAN_POINT( '', ( 0.189046119860446, -2.10003118493258, -1.87652001430896 ) ); +#5967 = CARTESIAN_POINT( '', ( 0.185884603918695, -2.10871777825496, -1.87643509142283 ) ); +#5968 = CARTESIAN_POINT( '', ( 0.180770171408014, -2.12277021262935, -1.87609528362703 ) ); +#5969 = CARTESIAN_POINT( '', ( 0.178213181936784, -2.12979580670366, -1.87584039898365 ) ); +#5970 = CARTESIAN_POINT( '', ( 0.170544483130603, -2.15086635294428, -1.87482061612136 ) ); +#5971 = CARTESIAN_POINT( '', ( 0.165435000420880, -2.16490518722658, -1.87380058102870 ) ); +#5972 = CARTESIAN_POINT( '', ( 0.150128837058515, -2.20696046036282, -1.86971863224985 ) ); +#5973 = CARTESIAN_POINT( '', ( 0.139954125618516, -2.23491653652381, -1.86563443995998 ) ); +#5974 = CARTESIAN_POINT( '', ( 0.109635334125671, -2.31822056426775, -1.84929311453787 ) ); +#5975 = CARTESIAN_POINT( '', ( 0.0896947093478224, -2.37300950135703, -1.83293521276125 ) ); +#5976 = CARTESIAN_POINT( '', ( 0.0317396224342634, -2.53224712035277, -1.76780395925394 ) ); +#5977 = CARTESIAN_POINT( '', ( -0.00435980243767714, -2.63143403853122, -1.70289133549881 ) ); +#5978 = CARTESIAN_POINT( '', ( -0.0660566147608357, -2.80095243660769, -1.53543137845149 ) ); +#5979 = CARTESIAN_POINT( '', ( -0.0915554172047009, -2.87101304396144, -1.43287448697551 ) ); +#5980 = CARTESIAN_POINT( '', ( -0.117826850949110, -2.94319653603869, -1.26083934252439 ) ); +#5981 = CARTESIAN_POINT( '', ( -0.124584355730943, -2.96176348208465, -1.20058777067006 ) ); +#5982 = CARTESIAN_POINT( '', ( -0.131387913849307, -2.98045696445457, -1.10786561028056 ) ); +#5983 = CARTESIAN_POINT( '', ( -0.133102513422515, -2.98516800481548, -1.07656994647877 ) ); +#5984 = CARTESIAN_POINT( '', ( -0.134821516944492, -2.98989114548287, -1.02929591668744 ) ); +#5985 = CARTESIAN_POINT( '', ( -0.135252495168785, -2.99107530290426, -1.01348262669344 ) ); +#5986 = CARTESIAN_POINT( '', ( -0.135683971972082, -2.99226083022323, -0.989709546804942 ) ); +#5987 = CARTESIAN_POINT( '', ( -0.135791972927608, -2.99255757406269, -0.981776286253735 ) ); +#5988 = CARTESIAN_POINT( '', ( -0.135936052674358, -2.99295344812725, -0.965896774651555 ) ); +#5989 = CARTESIAN_POINT( '', ( -0.135972133379017, -2.99305258360969, -0.955887107801329 ) ); +#5990 = CARTESIAN_POINT( '', ( -0.135972133379017, -2.99305258360969, -0.949999999999999 ) ); +#5991 = CARTESIAN_POINT( '', ( 0.597889839620542, -2.24275406575048, -1.62650080557950 ) ); +#5992 = CARTESIAN_POINT( '', ( 0.596260462324859, -2.24723094905491, -1.62650080557950 ) ); +#5993 = CARTESIAN_POINT( '', ( 0.594070216424903, -2.25324887708674, -1.62643738424374 ) ); +#5994 = CARTESIAN_POINT( '', ( 0.590250808814586, -2.26374309607995, -1.62618361916515 ) ); +#5995 = CARTESIAN_POINT( '', ( 0.588341309156539, -2.26898964466117, -1.62599327552165 ) ); +#5996 = CARTESIAN_POINT( '', ( 0.582614715770485, -2.28472405460367, -1.62523175372613 ) ); +#5997 = CARTESIAN_POINT( '', ( 0.578799494462961, -2.29520677129527, -1.62447007124536 ) ); +#5998 = CARTESIAN_POINT( '', ( 0.567372143267244, -2.32660460525158, -1.62142254999066 ) ); +#5999 = CARTESIAN_POINT( '', ( 0.559778102777941, -2.34747002001449, -1.61837380378540 ) ); +#6000 = CARTESIAN_POINT( '', ( 0.537162304872187, -2.40960927304628, -1.60618426513843 ) ); +#6001 = CARTESIAN_POINT( '', ( 0.522305980641372, -2.45042856646002, -1.59398989186991 ) ); +#6002 = CARTESIAN_POINT( '', ( 0.479221338310417, -2.56880809490930, -1.54557038883670 ) ); +#6003 = CARTESIAN_POINT( '', ( 0.452526174283935, -2.64215582993412, -1.49745268686236 ) ); +#6004 = CARTESIAN_POINT( '', ( 0.407187665240851, -2.76672809120268, -1.37439310355355 ) ); +#6005 = CARTESIAN_POINT( '', ( 0.388610487435500, -2.81777081616305, -1.29948626586580 ) ); +#6006 = CARTESIAN_POINT( '', ( 0.369543560951231, -2.87015917647127, -1.17462893532171 ) ); +#6007 = CARTESIAN_POINT( '', ( 0.364654838028750, -2.88359145031581, -1.13100948117802 ) ); +#6008 = CARTESIAN_POINT( '', ( 0.359736818448339, -2.89710421976994, -1.06398435402673 ) ); +#6009 = CARTESIAN_POINT( '', ( 0.358498495087827, -2.90050664178286, -1.04137783135523 ) ); +#6010 = CARTESIAN_POINT( '', ( 0.357257225381941, -2.90391715918561, -1.00724188368921 ) ); +#6011 = CARTESIAN_POINT( '', ( 0.356946092209195, -2.90477202988389, -0.995825381645375 ) ); +#6012 = CARTESIAN_POINT( '', ( 0.356634613356410, -2.90562785037398, -0.978663831509627 ) ); +#6013 = CARTESIAN_POINT( '', ( 0.356556653012759, -2.90584205451308, -0.972937151467888 ) ); +#6014 = CARTESIAN_POINT( '', ( 0.356452650384010, -2.90612781253457, -0.961474674641343 ) ); +#6015 = CARTESIAN_POINT( '', ( 0.356426606768077, -2.90619937007355, -0.954781289826493 ) ); +#6016 = CARTESIAN_POINT( '', ( 0.356426606768077, -2.90619937007355, -0.950000000000000 ) ); +#6023 = SURFACE_SIDE_STYLE( '', ( #7150 ) ); +#6024 = EDGE_LOOP( '', ( #7151 ) ); +#6025 = EDGE_LOOP( '', ( #7152 ) ); +#6026 = AXIS2_PLACEMENT_3D( '', #7153, #7154, #7155 ); +#6027 = CARTESIAN_POINT( '', ( 0.000000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6028 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6029 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6030 = CARTESIAN_POINT( '', ( 4.33680868994202E-016, -8.67361737988404E-016, 1.15000000000000 ) ); +#6031 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6032 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6033 = CARTESIAN_POINT( '', ( 4.33680868994202E-016, -8.67361737988404E-016, 1.15000000000000 ) ); +#6034 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6035 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6036 = CARTESIAN_POINT( '', ( 4.33680868994202E-016, -8.67361737988404E-016, 1.15000000000000 ) ); +#6037 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6038 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6039 = ADVANCED_FACE( '', ( #7156 ), #7157, .T. ); +#6040 = ADVANCED_FACE( '', ( #7158 ), #7159, .T. ); +#6041 = ADVANCED_FACE( '', ( #7160 ), #7161, .T. ); +#6042 = ADVANCED_FACE( '', ( #7162 ), #7163, .T. ); +#6043 = ADVANCED_FACE( '', ( #7164 ), #7165, .T. ); +#6044 = ADVANCED_FACE( '', ( #7166 ), #7167, .F. ); +#6045 = ADVANCED_FACE( '', ( #7168, #7169 ), #7170, .F. ); +#6046 = ADVANCED_FACE( '', ( #7171, #7172 ), #7173, .F. ); +#6047 = ADVANCED_FACE( '', ( #7174 ), #7175, .T. ); +#6048 = ADVANCED_FACE( '', ( #7176 ), #7177, .T. ); +#6049 = ADVANCED_FACE( '', ( #7178 ), #7179, .T. ); +#6050 = ADVANCED_FACE( '', ( #7180 ), #7181, .T. ); +#6051 = ADVANCED_FACE( '', ( #7182 ), #7183, .T. ); +#6052 = ADVANCED_FACE( '', ( #7184 ), #7185, .T. ); +#6053 = ADVANCED_FACE( '', ( #7186 ), #7187, .F. ); +#6054 = ADVANCED_FACE( '', ( #7188 ), #7189, .F. ); +#6055 = ADVANCED_FACE( '', ( #7190 ), #7191, .F. ); +#6056 = ADVANCED_FACE( '', ( #7192 ), #7193, .T. ); +#6057 = ADVANCED_FACE( '', ( #7194 ), #7195, .T. ); +#6058 = ADVANCED_FACE( '', ( #7196 ), #7197, .T. ); +#6059 = ADVANCED_FACE( '', ( #7198 ), #7199, .T. ); +#6060 = ADVANCED_FACE( '', ( #7200 ), #7201, .T. ); +#6061 = ADVANCED_FACE( '', ( #7202, #7203 ), #7204, .F. ); +#6062 = ADVANCED_FACE( '', ( #7205 ), #7206, .T. ); +#6063 = ADVANCED_FACE( '', ( #7207 ), #7208, .T. ); +#6064 = ADVANCED_FACE( '', ( #7209 ), #7210, .F. ); +#6065 = ADVANCED_FACE( '', ( #7211 ), #7212, .T. ); +#6066 = ADVANCED_FACE( '', ( #7213 ), #7214, .F. ); +#6067 = ADVANCED_FACE( '', ( #7215 ), #7216, .T. ); +#6068 = ADVANCED_FACE( '', ( #7217 ), #7218, .T. ); +#6069 = ADVANCED_FACE( '', ( #7219 ), #7220, .F. ); +#6070 = ADVANCED_FACE( '', ( #7221 ), #7222, .T. ); +#6071 = ADVANCED_FACE( '', ( #7223 ), #7224, .F. ); +#6072 = ADVANCED_FACE( '', ( #7225, #7226 ), #7227, .F. ); +#6073 = ADVANCED_FACE( '', ( #7228 ), #7229, .T. ); +#6074 = ADVANCED_FACE( '', ( #7230 ), #7231, .T. ); +#6075 = ADVANCED_FACE( '', ( #7232 ), #7233, .T. ); +#6076 = ADVANCED_FACE( '', ( #7234 ), #7235, .T. ); +#6077 = ADVANCED_FACE( '', ( #7236 ), #7237, .F. ); +#6078 = ADVANCED_FACE( '', ( #7238 ), #7239, .F. ); +#6079 = ADVANCED_FACE( '', ( #7240 ), #7241, .F. ); +#6080 = ADVANCED_FACE( '', ( #7242 ), #7243, .F. ); +#6081 = ADVANCED_FACE( '', ( #7244 ), #7245, .F. ); +#6082 = ADVANCED_FACE( '', ( #7246 ), #7247, .F. ); +#6083 = ADVANCED_FACE( '', ( #7248 ), #7249, .F. ); +#6084 = ADVANCED_FACE( '', ( #7250 ), #7251, .T. ); +#6085 = ADVANCED_FACE( '', ( #7252, #7253 ), #7254, .T. ); +#6086 = ADVANCED_FACE( '', ( #7255 ), #7256, .F. ); +#6087 = ADVANCED_FACE( '', ( #7257, #7258 ), #7259, .T. ); +#6088 = ADVANCED_FACE( '', ( #7260 ), #7261, .T. ); +#6089 = ADVANCED_FACE( '', ( #7262, #7263 ), #7264, .T. ); +#6090 = ADVANCED_FACE( '', ( #7265 ), #7266, .F. ); +#6091 = ADVANCED_FACE( '', ( #7267, #7268 ), #7269, .T. ); +#6092 = ADVANCED_FACE( '', ( #7270 ), #7271, .F. ); +#6093 = ADVANCED_FACE( '', ( #7272 ), #7273, .T. ); +#6094 = ADVANCED_FACE( '', ( #7274 ), #7275, .T. ); +#6095 = ADVANCED_FACE( '', ( #7276 ), #7277, .T. ); +#6096 = ADVANCED_FACE( '', ( #7278 ), #7279, .F. ); +#6097 = ADVANCED_FACE( '', ( #7280 ), #7281, .T. ); +#6098 = ADVANCED_FACE( '', ( #7282 ), #7283, .F. ); +#6099 = ADVANCED_FACE( '', ( #7284 ), #7285, .F. ); +#6100 = ADVANCED_FACE( '', ( #7286 ), #7287, .T. ); +#6101 = ADVANCED_FACE( '', ( #7288 ), #7289, .T. ); +#6102 = ADVANCED_FACE( '', ( #7290 ), #7291, .T. ); +#6103 = ADVANCED_FACE( '', ( #7292 ), #7293, .T. ); +#6104 = ADVANCED_FACE( '', ( #7294 ), #7295, .T. ); +#6105 = ADVANCED_FACE( '', ( #7296 ), #7297, .T. ); +#6106 = ADVANCED_FACE( '', ( #7298 ), #7299, .T. ); +#6107 = ADVANCED_FACE( '', ( #7300 ), #7301, .T. ); +#6108 = ADVANCED_FACE( '', ( #7302 ), #7303, .T. ); +#6109 = ADVANCED_FACE( '', ( #7304 ), #7305, .T. ); +#6110 = ADVANCED_FACE( '', ( #7306 ), #7307, .T. ); +#6111 = ADVANCED_FACE( '', ( #7308 ), #7309, .T. ); +#6112 = ADVANCED_FACE( '', ( #7310 ), #7311, .T. ); +#6113 = ADVANCED_FACE( '', ( #7312 ), #7313, .T. ); +#6114 = ADVANCED_FACE( '', ( #7314 ), #7315, .T. ); +#6115 = ADVANCED_FACE( '', ( #7316 ), #7317, .T. ); +#6116 = ADVANCED_FACE( '', ( #7318 ), #7319, .T. ); +#6117 = ADVANCED_FACE( '', ( #7320 ), #7321, .T. ); +#6118 = ADVANCED_FACE( '', ( #7322 ), #7323, .T. ); +#6119 = ADVANCED_FACE( '', ( #7324 ), #7325, .T. ); +#6120 = ADVANCED_FACE( '', ( #7326 ), #7327, .T. ); +#6121 = ADVANCED_FACE( '', ( #7328 ), #7329, .T. ); +#6122 = ADVANCED_FACE( '', ( #7330 ), #7331, .T. ); +#6123 = ADVANCED_FACE( '', ( #7332 ), #7333, .T. ); +#6124 = ADVANCED_FACE( '', ( #7334 ), #7335, .T. ); +#6125 = ADVANCED_FACE( '', ( #7336 ), #7337, .T. ); +#6126 = ADVANCED_FACE( '', ( #7338 ), #7339, .T. ); +#6127 = ADVANCED_FACE( '', ( #7340 ), #7341, .T. ); +#6128 = ADVANCED_FACE( '', ( #7342 ), #7343, .T. ); +#6129 = ADVANCED_FACE( '', ( #7344 ), #7345, .T. ); +#6130 = ADVANCED_FACE( '', ( #7346 ), #7347, .T. ); +#6131 = ADVANCED_FACE( '', ( #7348 ), #7349, .T. ); +#6132 = ADVANCED_FACE( '', ( #7350 ), #7351, .T. ); +#6133 = ADVANCED_FACE( '', ( #7352 ), #7353, .T. ); +#6134 = ADVANCED_FACE( '', ( #7354 ), #7355, .T. ); +#6135 = ADVANCED_FACE( '', ( #7356 ), #7357, .T. ); +#6136 = ADVANCED_FACE( '', ( #7358 ), #7359, .T. ); +#6137 = ADVANCED_FACE( '', ( #7360 ), #7361, .T. ); +#6138 = ADVANCED_FACE( '', ( #7362 ), #7363, .T. ); +#6139 = ADVANCED_FACE( '', ( #7364 ), #7365, .T. ); +#6140 = ADVANCED_FACE( '', ( #7366 ), #7367, .T. ); +#6141 = ADVANCED_FACE( '', ( #7368 ), #7369, .T. ); +#6142 = ADVANCED_FACE( '', ( #7370 ), #7371, .T. ); +#6143 = ADVANCED_FACE( '', ( #7372 ), #7373, .T. ); +#6144 = ADVANCED_FACE( '', ( #7374 ), #7375, .T. ); +#6145 = ADVANCED_FACE( '', ( #7376 ), #7377, .T. ); +#6146 = ADVANCED_FACE( '', ( #7378 ), #7379, .T. ); +#6147 = ADVANCED_FACE( '', ( #7380 ), #7381, .T. ); +#6148 = ADVANCED_FACE( '', ( #7382 ), #7383, .T. ); +#6149 = ADVANCED_FACE( '', ( #7384 ), #7385, .T. ); +#6150 = ADVANCED_FACE( '', ( #7386 ), #7387, .F. ); +#6151 = ADVANCED_FACE( '', ( #7388 ), #7389, .F. ); +#6152 = ADVANCED_FACE( '', ( #7390 ), #7391, .F. ); +#6154 = SURFACE_STYLE_FILL_AREA( #7392 ); +#6155 = ORIENTED_EDGE( '', *, *, #7393, .F. ); +#6156 = ORIENTED_EDGE( '', *, *, #7394, .T. ); +#6157 = ORIENTED_EDGE( '', *, *, #7395, .T. ); +#6158 = ORIENTED_EDGE( '', *, *, #7396, .T. ); +#6159 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, 1.15000000000000 ) ); +#6160 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6161 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6162 = SURFACE_STYLE_FILL_AREA( #7397 ); +#6163 = ORIENTED_EDGE( '', *, *, #7398, .F. ); +#6164 = ORIENTED_EDGE( '', *, *, #7399, .T. ); +#6165 = CARTESIAN_POINT( '', ( 0.313000000000005, -2.20000000000000, 1.41000000000000 ) ); +#6166 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#6167 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6168 = SURFACE_STYLE_FILL_AREA( #7400 ); +#6169 = ORIENTED_EDGE( '', *, *, #7401, .F. ); +#6170 = ORIENTED_EDGE( '', *, *, #7402, .T. ); +#6171 = CARTESIAN_POINT( '', ( 1.56500000000000, -2.20000000000000, 0.950000000000000 ) ); +#6172 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6173 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6174 = SURFACE_STYLE_FILL_AREA( #7403 ); +#6175 = ORIENTED_EDGE( '', *, *, #7404, .T. ); +#6176 = ORIENTED_EDGE( '', *, *, #7405, .F. ); +#6177 = ORIENTED_EDGE( '', *, *, #7406, .F. ); +#6178 = ORIENTED_EDGE( '', *, *, #7407, .F. ); +#6179 = CARTESIAN_POINT( '', ( 2.32500000000000, 0.900000000000000, -0.950000000000000 ) ); +#6180 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#6181 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6182 = SURFACE_STYLE_FILL_AREA( #7408 ); +#6183 = ORIENTED_EDGE( '', *, *, #7409, .T. ); +#6184 = ORIENTED_EDGE( '', *, *, #7410, .T. ); +#6185 = ORIENTED_EDGE( '', *, *, #7411, .F. ); +#6186 = ORIENTED_EDGE( '', *, *, #7412, .T. ); +#6187 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, 1.15000000000000 ) ); +#6188 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6189 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6190 = SURFACE_STYLE_FILL_AREA( #7413 ); +#6191 = ORIENTED_EDGE( '', *, *, #7414, .F. ); +#6192 = CARTESIAN_POINT( '', ( -3.74999999998363, 3.15000000003941, -1.41000000000000 ) ); +#6193 = DIRECTION( '', ( 0.923547284920600, -0.383484566202850, 0.000000000000000 ) ); +#6194 = DIRECTION( '', ( 0.383484566202850, 0.923547284920600, 0.000000000000000 ) ); +#6195 = SURFACE_STYLE_FILL_AREA( #7415 ); +#6196 = ORIENTED_EDGE( '', *, *, #7416, .F. ); +#6197 = ORIENTED_EDGE( '', *, *, #7417, .T. ); +#6198 = CARTESIAN_POINT( '', ( -0.313000000000000, 2.20000000000000, 0.950000000000000 ) ); +#6199 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6200 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6201 = SURFACE_STYLE_FILL_AREA( #7418 ); +#6202 = ORIENTED_EDGE( '', *, *, #7419, .F. ); +#6203 = ORIENTED_EDGE( '', *, *, #7420, .T. ); +#6204 = CARTESIAN_POINT( '', ( 0.939000000000000, 2.20000000000000, 0.950000000000000 ) ); +#6205 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6206 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6207 = SURFACE_STYLE_FILL_AREA( #7421 ); +#6208 = ORIENTED_EDGE( '', *, *, #7422, .T. ); +#6209 = ORIENTED_EDGE( '', *, *, #7423, .F. ); +#6210 = ORIENTED_EDGE( '', *, *, #7424, .T. ); +#6211 = ORIENTED_EDGE( '', *, *, #7425, .F. ); +#6212 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, -0.950000000000000 ) ); +#6213 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6214 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6215 = SURFACE_STYLE_FILL_AREA( #7426 ); +#6216 = ORIENTED_EDGE( '', *, *, #7427, .F. ); +#6217 = ORIENTED_EDGE( '', *, *, #7428, .T. ); +#6218 = CARTESIAN_POINT( '', ( -1.56500000000000, -0.940000000000000, 0.950000000000000 ) ); +#6219 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6220 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6221 = SURFACE_STYLE_FILL_AREA( #7429 ); +#6222 = ORIENTED_EDGE( '', *, *, #7430, .F. ); +#6223 = ORIENTED_EDGE( '', *, *, #7431, .T. ); +#6224 = SURFACE_STYLE_FILL_AREA( #7432 ); +#6225 = ORIENTED_EDGE( '', *, *, #7433, .F. ); +#6226 = ORIENTED_EDGE( '', *, *, #7434, .F. ); +#6227 = CARTESIAN_POINT( '', ( 1.56500000000000, 2.66000000000000, -0.950000000000000 ) ); +#6228 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6229 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6230 = SURFACE_STYLE_FILL_AREA( #7435 ); +#6231 = ORIENTED_EDGE( '', *, *, #7436, .F. ); +#6232 = ORIENTED_EDGE( '', *, *, #7437, .T. ); +#6233 = SURFACE_STYLE_FILL_AREA( #7438 ); +#6234 = ORIENTED_EDGE( '', *, *, #7439, .F. ); +#6235 = ORIENTED_EDGE( '', *, *, #7440, .T. ); +#6236 = CARTESIAN_POINT( '', ( 0.939000000000000, -1.40000000000000, 0.950000000000000 ) ); +#6237 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6238 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6239 = SURFACE_STYLE_FILL_AREA( #7441 ); +#6240 = ORIENTED_EDGE( '', *, *, #7442, .F. ); +#6241 = ORIENTED_EDGE( '', *, *, #7427, .T. ); +#6242 = SURFACE_STYLE_FILL_AREA( #7443 ); +#6243 = ORIENTED_EDGE( '', *, *, #7444, .F. ); +#6244 = ORIENTED_EDGE( '', *, *, #7445, .T. ); +#6245 = SURFACE_STYLE_FILL_AREA( #7446 ); +#6246 = ORIENTED_EDGE( '', *, *, #7447, .F. ); +#6247 = ORIENTED_EDGE( '', *, *, #7448, .T. ); +#6248 = CARTESIAN_POINT( '', ( 0.313000000000004, 2.20000000000000, 0.950000000000000 ) ); +#6249 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6250 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6251 = SURFACE_STYLE_FILL_AREA( #7449 ); +#6252 = ORIENTED_EDGE( '', *, *, #7450, .F. ); +#6253 = ORIENTED_EDGE( '', *, *, #7451, .T. ); +#6254 = CARTESIAN_POINT( '', ( -1.10641860465116, -1.40000000000000, -1.41000000000000 ) ); +#6255 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -0.000000000000000 ) ); +#6256 = DIRECTION( '', ( 0.939697678161095, -0.342006247981887, 0.000000000000000 ) ); +#6257 = SURFACE_STYLE_FILL_AREA( #7452 ); +#6258 = ORIENTED_EDGE( '', *, *, #7402, .F. ); +#6259 = ORIENTED_EDGE( '', *, *, #7453, .F. ); +#6260 = CARTESIAN_POINT( '', ( 1.56500000000000, -2.66000000000000, -0.950000000000000 ) ); +#6261 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6262 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6263 = SURFACE_STYLE_FILL_AREA( #7454 ); +#6264 = ORIENTED_EDGE( '', *, *, #7455, .F. ); +#6265 = ORIENTED_EDGE( '', *, *, #7456, .T. ); +#6266 = CARTESIAN_POINT( '', ( -0.480418604651160, -1.40000000000000, -1.41000000000000 ) ); +#6267 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -0.000000000000000 ) ); +#6268 = DIRECTION( '', ( 0.939697678161095, -0.342006247981887, 0.000000000000000 ) ); +#6269 = SURFACE_STYLE_FILL_AREA( #7457 ); +#6270 = ORIENTED_EDGE( '', *, *, #7458, .T. ); +#6271 = ORIENTED_EDGE( '', *, *, #7459, .F. ); +#6272 = ORIENTED_EDGE( '', *, *, #7460, .F. ); +#6273 = ORIENTED_EDGE( '', *, *, #7461, .F. ); +#6274 = CARTESIAN_POINT( '', ( -3.12500000000000, -0.900000000000000, 0.950000000000000 ) ); +#6275 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#6276 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6277 = SURFACE_STYLE_FILL_AREA( #7462 ); +#6278 = ORIENTED_EDGE( '', *, *, #7463, .F. ); +#6279 = ORIENTED_EDGE( '', *, *, #7464, .T. ); +#6280 = SURFACE_STYLE_FILL_AREA( #7465 ); +#6281 = ORIENTED_EDGE( '', *, *, #7466, .F. ); +#6282 = ORIENTED_EDGE( '', *, *, #7467, .T. ); +#6283 = ORIENTED_EDGE( '', *, *, #7468, .T. ); +#6284 = ORIENTED_EDGE( '', *, *, #7469, .T. ); +#6285 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, 1.15000000000000 ) ); +#6286 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6287 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6288 = SURFACE_STYLE_FILL_AREA( #7470 ); +#6289 = ORIENTED_EDGE( '', *, *, #7471, .F. ); +#6290 = ORIENTED_EDGE( '', *, *, #7455, .T. ); +#6291 = SURFACE_STYLE_FILL_AREA( #7472 ); +#6292 = ORIENTED_EDGE( '', *, *, #7473, .F. ); +#6293 = ORIENTED_EDGE( '', *, *, #7474, .T. ); +#6294 = SURFACE_STYLE_FILL_AREA( #7475 ); +#6295 = ORIENTED_EDGE( '', *, *, #7476, .T. ); +#6296 = ORIENTED_EDGE( '', *, *, #7477, .T. ); +#6297 = ORIENTED_EDGE( '', *, *, #7478, .T. ); +#6298 = ORIENTED_EDGE( '', *, *, #7479, .T. ); +#6299 = ORIENTED_EDGE( '', *, *, #7480, .T. ); +#6300 = ORIENTED_EDGE( '', *, *, #7481, .T. ); +#6301 = ORIENTED_EDGE( '', *, *, #7482, .T. ); +#6302 = ORIENTED_EDGE( '', *, *, #7483, .T. ); +#6303 = ORIENTED_EDGE( '', *, *, #7484, .T. ); +#6304 = ORIENTED_EDGE( '', *, *, #7485, .T. ); +#6305 = ORIENTED_EDGE( '', *, *, #7486, .T. ); +#6306 = ORIENTED_EDGE( '', *, *, #7487, .T. ); +#6307 = ORIENTED_EDGE( '', *, *, #7459, .T. ); +#6308 = ORIENTED_EDGE( '', *, *, #7488, .T. ); +#6309 = ORIENTED_EDGE( '', *, *, #7489, .T. ); +#6310 = ORIENTED_EDGE( '', *, *, #7490, .T. ); +#6311 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, 1.15000000000000 ) ); +#6312 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6313 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6314 = SURFACE_STYLE_FILL_AREA( #7491 ); +#6315 = ORIENTED_EDGE( '', *, *, #7420, .F. ); +#6316 = ORIENTED_EDGE( '', *, *, #7492, .T. ); +#6317 = CARTESIAN_POINT( '', ( 0.939000000000000, 2.66000000000000, -0.950000000000000 ) ); +#6318 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6319 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6320 = SURFACE_STYLE_FILL_AREA( #7493 ); +#6321 = ORIENTED_EDGE( '', *, *, #7494, .F. ); +#6322 = CARTESIAN_POINT( '', ( 3.75000000000000, -3.15000000000000, -1.41000000000000 ) ); +#6323 = DIRECTION( '', ( 0.957249863562161, -0.289262335450407, 2.68693581876842E-014 ) ); +#6324 = DIRECTION( '', ( 2.57206894591635E-014, -7.77229330142303E-015, -1.00000000000000 ) ); +#6325 = SURFACE_STYLE_FILL_AREA( #7495 ); +#6326 = ORIENTED_EDGE( '', *, *, #7496, .T. ); +#6327 = ORIENTED_EDGE( '', *, *, #7497, .T. ); +#6328 = CARTESIAN_POINT( '', ( 2.02500000000000, -3.36000000000000, -1.41000000000000 ) ); +#6329 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6330 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6331 = SURFACE_STYLE_FILL_AREA( #7498 ); +#6332 = ORIENTED_EDGE( '', *, *, #7499, .F. ); +#6333 = ORIENTED_EDGE( '', *, *, #7500, .T. ); +#6334 = SURFACE_STYLE_FILL_AREA( #7501 ); +#6335 = ORIENTED_EDGE( '', *, *, #7502, .F. ); +#6336 = ORIENTED_EDGE( '', *, *, #7503, .T. ); +#6337 = CARTESIAN_POINT( '', ( 1.56500000000000, -1.40000000000000, 0.950000000000000 ) ); +#6338 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6339 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6340 = SURFACE_STYLE_FILL_AREA( #7504 ); +#6341 = ORIENTED_EDGE( '', *, *, #7505, .F. ); +#6342 = ORIENTED_EDGE( '', *, *, #7506, .T. ); +#6343 = SURFACE_STYLE_FILL_AREA( #7507 ); +#6344 = ORIENTED_EDGE( '', *, *, #7508, .F. ); +#6345 = ORIENTED_EDGE( '', *, *, #7499, .T. ); +#6346 = CARTESIAN_POINT( '', ( -0.939000000000000, 2.66000000000000, -0.950000000000000 ) ); +#6347 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6348 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6349 = SURFACE_STYLE_FILL_AREA( #7509 ); +#6350 = ORIENTED_EDGE( '', *, *, #7510, .F. ); +#6351 = ORIENTED_EDGE( '', *, *, #7511, .T. ); +#6352 = CARTESIAN_POINT( '', ( 1.39758139534884, 1.40000000000000, -1.41000000000000 ) ); +#6353 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, -0.000000000000000 ) ); +#6354 = DIRECTION( '', ( -0.939697678161095, -0.342006247981887, 0.000000000000000 ) ); +#6355 = SURFACE_STYLE_FILL_AREA( #7512 ); +#6356 = ORIENTED_EDGE( '', *, *, #7513, .T. ); +#6357 = ORIENTED_EDGE( '', *, *, #7480, .F. ); +#6358 = ORIENTED_EDGE( '', *, *, #7514, .F. ); +#6359 = ORIENTED_EDGE( '', *, *, #7515, .F. ); +#6360 = CARTESIAN_POINT( '', ( 2.32500000000000, -0.900000000000000, 0.950000000000000 ) ); +#6361 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#6362 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6363 = SURFACE_STYLE_FILL_AREA( #7516 ); +#6364 = ORIENTED_EDGE( '', *, *, #7517, .F. ); +#6365 = ORIENTED_EDGE( '', *, *, #7471, .T. ); +#6366 = CARTESIAN_POINT( '', ( -0.939000000000000, -2.66000000000000, -0.950000000000000 ) ); +#6367 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6368 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6369 = SURFACE_STYLE_FILL_AREA( #7518 ); +#6370 = ORIENTED_EDGE( '', *, *, #7519, .T. ); +#6371 = ORIENTED_EDGE( '', *, *, #7520, .F. ); +#6372 = ORIENTED_EDGE( '', *, *, #7424, .F. ); +#6373 = ORIENTED_EDGE( '', *, *, #7521, .F. ); +#6374 = CARTESIAN_POINT( '', ( 3.12500000000000, -0.900000000000000, -0.950000000000000 ) ); +#6375 = DIRECTION( '', ( 4.81867632215779E-016, 1.00000000000000, -0.000000000000000 ) ); +#6376 = DIRECTION( '', ( 1.00000000000000, -4.81867632215779E-016, 0.000000000000000 ) ); +#6377 = SURFACE_STYLE_FILL_AREA( #7522 ); +#6378 = ORIENTED_EDGE( '', *, *, #7523, .F. ); +#6379 = CARTESIAN_POINT( '', ( 3.75000000000005, 3.15000000000000, -1.41000000000000 ) ); +#6380 = DIRECTION( '', ( 0.957249863562139, 0.289262335450481, 2.68693581876841E-014 ) ); +#6381 = DIRECTION( '', ( 2.57206894591629E-014, 7.77229330142502E-015, -1.00000000000000 ) ); +#6382 = SURFACE_STYLE_FILL_AREA( #7524 ); +#6383 = ORIENTED_EDGE( '', *, *, #7525, .F. ); +#6384 = ORIENTED_EDGE( '', *, *, #7502, .T. ); +#6385 = CARTESIAN_POINT( '', ( 1.56500000000000, -0.940000000000000, 0.950000000000000 ) ); +#6386 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6387 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6388 = SURFACE_STYLE_FILL_AREA( #7526 ); +#6389 = ORIENTED_EDGE( '', *, *, #7527, .T. ); +#6390 = ORIENTED_EDGE( '', *, *, #7528, .T. ); +#6391 = CARTESIAN_POINT( '', ( -2.67610899119517, 1.94614109010537, -1.41000000000000 ) ); +#6392 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6393 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6394 = SURFACE_STYLE_FILL_AREA( #7529 ); +#6395 = ORIENTED_EDGE( '', *, *, #7445, .F. ); +#6396 = ORIENTED_EDGE( '', *, *, #7439, .T. ); +#6397 = CARTESIAN_POINT( '', ( 0.939000000000000, -0.940000000000000, 0.950000000000000 ) ); +#6398 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6399 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6400 = SURFACE_STYLE_FILL_AREA( #7530 ); +#6401 = ORIENTED_EDGE( '', *, *, #7531, .T. ); +#6402 = ORIENTED_EDGE( '', *, *, #7532, .F. ); +#6403 = ORIENTED_EDGE( '', *, *, #7533, .F. ); +#6404 = ORIENTED_EDGE( '', *, *, #7534, .F. ); +#6405 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, -0.950000000000000 ) ); +#6406 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6407 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6408 = SURFACE_STYLE_FILL_AREA( #7535 ); +#6409 = ORIENTED_EDGE( '', *, *, #7456, .F. ); +#6410 = ORIENTED_EDGE( '', *, *, #7536, .T. ); +#6411 = SURFACE_STYLE_FILL_AREA( #7537 ); +#6412 = ORIENTED_EDGE( '', *, *, #7431, .F. ); +#6413 = ORIENTED_EDGE( '', *, *, #7538, .T. ); +#6414 = CARTESIAN_POINT( '', ( 0.145581395348841, -1.40000000000000, -1.41000000000000 ) ); +#6415 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -0.000000000000000 ) ); +#6416 = DIRECTION( '', ( 0.939697678161094, -0.342006247981887, 0.000000000000000 ) ); +#6417 = SURFACE_STYLE_FILL_AREA( #7539 ); +#6418 = ORIENTED_EDGE( '', *, *, #7540, .F. ); +#6419 = ORIENTED_EDGE( '', *, *, #7541, .T. ); +#6420 = SURFACE_STYLE_FILL_AREA( #7542 ); +#6421 = ORIENTED_EDGE( '', *, *, #7543, .F. ); +#6422 = ORIENTED_EDGE( '', *, *, #7544, .T. ); +#6423 = ORIENTED_EDGE( '', *, *, #7545, .T. ); +#6424 = ORIENTED_EDGE( '', *, *, #7546, .T. ); +#6425 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, 1.15000000000000 ) ); +#6426 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6427 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6428 = SURFACE_STYLE_FILL_AREA( #7547 ); +#6429 = ORIENTED_EDGE( '', *, *, #7548, .F. ); +#6430 = ORIENTED_EDGE( '', *, *, #7549, .T. ); +#6431 = CARTESIAN_POINT( '', ( 0.939000000000000, 1.40000000000000, 0.950000000000000 ) ); +#6432 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6433 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6434 = SURFACE_STYLE_FILL_AREA( #7550 ); +#6435 = ORIENTED_EDGE( '', *, *, #7551, .F. ); +#6436 = ORIENTED_EDGE( '', *, *, #7552, .T. ); +#6437 = CARTESIAN_POINT( '', ( -1.56500000000000, 2.20000000000000, 1.41000000000000 ) ); +#6438 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#6439 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6440 = SURFACE_STYLE_FILL_AREA( #7553 ); +#6441 = ORIENTED_EDGE( '', *, *, #7514, .T. ); +#6442 = ORIENTED_EDGE( '', *, *, #7479, .F. ); +#6443 = ORIENTED_EDGE( '', *, *, #7554, .F. ); +#6444 = ORIENTED_EDGE( '', *, *, #7555, .F. ); +#6445 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, 0.950000000000000 ) ); +#6446 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6447 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6448 = SURFACE_STYLE_FILL_AREA( #7556 ); +#6449 = ORIENTED_EDGE( '', *, *, #7557, .F. ); +#6450 = ORIENTED_EDGE( '', *, *, #7450, .T. ); +#6451 = SURFACE_STYLE_FILL_AREA( #7558 ); +#6452 = ORIENTED_EDGE( '', *, *, #7554, .T. ); +#6453 = ORIENTED_EDGE( '', *, *, #7478, .F. ); +#6454 = ORIENTED_EDGE( '', *, *, #7559, .F. ); +#6455 = ORIENTED_EDGE( '', *, *, #7560, .F. ); +#6456 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.40000000000000, 0.950000000000000 ) ); +#6457 = DIRECTION( '', ( -1.00000000000000, -0.000000000000000, -0.000000000000000 ) ); +#6458 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6459 = SURFACE_STYLE_FILL_AREA( #7561 ); +#6460 = ORIENTED_EDGE( '', *, *, #7562, .F. ); +#6461 = ORIENTED_EDGE( '', *, *, #7563, .T. ); +#6462 = CARTESIAN_POINT( '', ( 1.56500000000000, 0.940000000000000, 0.950000000000000 ) ); +#6463 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6464 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6465 = SURFACE_STYLE_FILL_AREA( #7564 ); +#6466 = ORIENTED_EDGE( '', *, *, #7460, .T. ); +#6467 = ORIENTED_EDGE( '', *, *, #7487, .F. ); +#6468 = ORIENTED_EDGE( '', *, *, #7565, .F. ); +#6469 = ORIENTED_EDGE( '', *, *, #7566, .F. ); +#6470 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, 0.950000000000000 ) ); +#6471 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6472 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6473 = SURFACE_STYLE_FILL_AREA( #7567 ); +#6474 = ORIENTED_EDGE( '', *, *, #7568, .F. ); +#6475 = ORIENTED_EDGE( '', *, *, #7569, .T. ); +#6476 = CARTESIAN_POINT( '', ( -1.56500000000000, -2.20000000000000, 1.41000000000000 ) ); +#6477 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#6478 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6479 = SURFACE_STYLE_FILL_AREA( #7570 ); +#6480 = ORIENTED_EDGE( '', *, *, #7571, .F. ); +#6481 = ORIENTED_EDGE( '', *, *, #7572, .T. ); +#6482 = SURFACE_STYLE_FILL_AREA( #7573 ); +#6483 = ORIENTED_EDGE( '', *, *, #7574, .T. ); +#6484 = ORIENTED_EDGE( '', *, *, #7423, .T. ); +#6485 = ORIENTED_EDGE( '', *, *, #7575, .F. ); +#6486 = ORIENTED_EDGE( '', *, *, #7576, .T. ); +#6487 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, 1.15000000000000 ) ); +#6488 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6489 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6490 = SURFACE_STYLE_FILL_AREA( #7577 ); +#6491 = ORIENTED_EDGE( '', *, *, #7578, .F. ); +#6492 = ORIENTED_EDGE( '', *, *, #7447, .T. ); +#6493 = CARTESIAN_POINT( '', ( 0.313000000000004, 2.20000000000000, 1.41000000000000 ) ); +#6494 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#6495 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6496 = SURFACE_STYLE_FILL_AREA( #7579 ); +#6497 = ORIENTED_EDGE( '', *, *, #7506, .F. ); +#6498 = ORIENTED_EDGE( '', *, *, #7463, .T. ); +#6499 = CARTESIAN_POINT( '', ( 0.771581395348841, 1.40000000000000, -1.41000000000000 ) ); +#6500 = DIRECTION( '', ( 0.342006247981888, -0.939697678161094, -0.000000000000000 ) ); +#6501 = DIRECTION( '', ( -0.939697678161094, -0.342006247981888, 0.000000000000000 ) ); +#6502 = SURFACE_STYLE_FILL_AREA( #7580 ); +#6503 = ORIENTED_EDGE( '', *, *, #7581, .F. ); +#6504 = ORIENTED_EDGE( '', *, *, #7523, .T. ); +#6505 = CARTESIAN_POINT( '', ( 3.75000000000005, 3.15000000000000, -1.41000000000000 ) ); +#6506 = DIRECTION( '', ( 0.957249863562161, 0.289262335450407, -0.000000000000000 ) ); +#6507 = DIRECTION( '', ( 0.289262335450407, -0.957249863562161, 0.000000000000000 ) ); +#6508 = SURFACE_STYLE_FILL_AREA( #7582 ); +#6509 = ORIENTED_EDGE( '', *, *, #7583, .T. ); +#6510 = ORIENTED_EDGE( '', *, *, #7396, .F. ); +#6511 = ORIENTED_EDGE( '', *, *, #7404, .F. ); +#6512 = ORIENTED_EDGE( '', *, *, #7584, .F. ); +#6513 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, -0.950000000000000 ) ); +#6514 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6515 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6516 = SURFACE_STYLE_FILL_AREA( #7585 ); +#6517 = ORIENTED_EDGE( '', *, *, #7586, .T. ); +#6518 = ORIENTED_EDGE( '', *, *, #7587, .F. ); +#6519 = ORIENTED_EDGE( '', *, *, #7588, .F. ); +#6520 = ORIENTED_EDGE( '', *, *, #7469, .F. ); +#6521 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, -0.950000000000000 ) ); +#6522 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6523 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6524 = SURFACE_STYLE_FILL_AREA( #7589 ); +#6525 = ORIENTED_EDGE( '', *, *, #7545, .F. ); +#6526 = ORIENTED_EDGE( '', *, *, #7590, .T. ); +#6527 = ORIENTED_EDGE( '', *, *, #7393, .T. ); +#6528 = ORIENTED_EDGE( '', *, *, #7591, .T. ); +#6529 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.20000000000000, 1.15000000000000 ) ); +#6530 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#6531 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6532 = SURFACE_STYLE_FILL_AREA( #7592 ); +#6533 = ORIENTED_EDGE( '', *, *, #7448, .F. ); +#6534 = ORIENTED_EDGE( '', *, *, #7505, .T. ); +#6535 = CARTESIAN_POINT( '', ( 0.313000000000004, 2.66000000000000, -0.950000000000000 ) ); +#6536 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6537 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6538 = SURFACE_STYLE_FILL_AREA( #7593 ); +#6539 = ORIENTED_EDGE( '', *, *, #7533, .T. ); +#6540 = ORIENTED_EDGE( '', *, *, #7594, .F. ); +#6541 = ORIENTED_EDGE( '', *, *, #7422, .F. ); +#6542 = ORIENTED_EDGE( '', *, *, #7595, .F. ); +#6543 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.20000000000000, -0.950000000000000 ) ); +#6544 = DIRECTION( '', ( -1.00000000000000, 1.18816676436767E-016, -0.000000000000000 ) ); +#6545 = DIRECTION( '', ( 1.18816676436767E-016, 1.00000000000000, 0.000000000000000 ) ); +#6546 = SURFACE_STYLE_FILL_AREA( #7596 ); +#6547 = ORIENTED_EDGE( '', *, *, #7597, .T. ); +#6548 = ORIENTED_EDGE( '', *, *, #7598, .T. ); +#6549 = ORIENTED_EDGE( '', *, *, #7599, .F. ); +#6550 = ORIENTED_EDGE( '', *, *, #7600, .T. ); +#6551 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, 1.15000000000000 ) ); +#6552 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6553 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6554 = SURFACE_STYLE_FILL_AREA( #7601 ); +#6555 = ORIENTED_EDGE( '', *, *, #7602, .F. ); +#6556 = ORIENTED_EDGE( '', *, *, #7398, .T. ); +#6557 = CARTESIAN_POINT( '', ( 0.313000000000005, -1.40000000000000, 0.950000000000000 ) ); +#6558 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6559 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6560 = SURFACE_STYLE_FILL_AREA( #7603 ); +#6561 = ORIENTED_EDGE( '', *, *, #7604, .F. ); +#6562 = ORIENTED_EDGE( '', *, *, #7605, .T. ); +#6563 = CARTESIAN_POINT( '', ( 1.56500000000000, 2.20000000000000, 1.41000000000000 ) ); +#6564 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#6565 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6566 = SURFACE_STYLE_FILL_AREA( #7606 ); +#6567 = ORIENTED_EDGE( '', *, *, #7607, .F. ); +#6568 = ORIENTED_EDGE( '', *, *, #7608, .T. ); +#6569 = CARTESIAN_POINT( '', ( -0.313000000000000, -2.20000000000000, 0.950000000000000 ) ); +#6570 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6571 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6572 = SURFACE_STYLE_FILL_AREA( #7609 ); +#6573 = ORIENTED_EDGE( '', *, *, #7610, .F. ); +#6574 = ORIENTED_EDGE( '', *, *, #7611, .F. ); +#6575 = ORIENTED_EDGE( '', *, *, #7612, .F. ); +#6576 = ORIENTED_EDGE( '', *, *, #7546, .F. ); +#6577 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, -0.950000000000000 ) ); +#6578 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6579 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6580 = SURFACE_STYLE_FILL_AREA( #7613 ); +#6581 = ORIENTED_EDGE( '', *, *, #7614, .F. ); +#6582 = ORIENTED_EDGE( '', *, *, #7508, .T. ); +#6583 = CARTESIAN_POINT( '', ( -0.939000000000000, 2.20000000000000, 0.950000000000000 ) ); +#6584 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6585 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6586 = SURFACE_STYLE_FILL_AREA( #7615 ); +#6587 = ORIENTED_EDGE( '', *, *, #7503, .F. ); +#6588 = ORIENTED_EDGE( '', *, *, #7401, .T. ); +#6589 = CARTESIAN_POINT( '', ( 1.56500000000000, -2.20000000000000, 1.41000000000000 ) ); +#6590 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#6591 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6592 = SURFACE_STYLE_FILL_AREA( #7616 ); +#6593 = ORIENTED_EDGE( '', *, *, #7610, .T. ); +#6594 = ORIENTED_EDGE( '', *, *, #7591, .F. ); +#6595 = ORIENTED_EDGE( '', *, *, #7583, .F. ); +#6596 = ORIENTED_EDGE( '', *, *, #7617, .F. ); +#6597 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.40000000000000, -0.950000000000000 ) ); +#6598 = DIRECTION( '', ( -1.00000000000000, -0.000000000000000, -0.000000000000000 ) ); +#6599 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6600 = SURFACE_STYLE_FILL_AREA( #7618 ); +#6601 = ORIENTED_EDGE( '', *, *, #7500, .F. ); +#6602 = ORIENTED_EDGE( '', *, *, #7619, .T. ); +#6603 = CARTESIAN_POINT( '', ( -0.480418604651161, 1.40000000000000, -1.41000000000000 ) ); +#6604 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, -0.000000000000000 ) ); +#6605 = DIRECTION( '', ( -0.939697678161095, -0.342006247981887, 0.000000000000000 ) ); +#6606 = SURFACE_STYLE_FILL_AREA( #7620 ); +#6607 = ORIENTED_EDGE( '', *, *, #7474, .F. ); +#6608 = ORIENTED_EDGE( '', *, *, #7621, .T. ); +#6609 = CARTESIAN_POINT( '', ( -0.939000000000000, 0.940000000000000, 0.950000000000000 ) ); +#6610 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6611 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6612 = SURFACE_STYLE_FILL_AREA( #7622 ); +#6613 = ORIENTED_EDGE( '', *, *, #7623, .F. ); +#6614 = ORIENTED_EDGE( '', *, *, #7416, .T. ); +#6615 = CARTESIAN_POINT( '', ( -0.313000000000000, 2.20000000000000, 1.41000000000000 ) ); +#6616 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#6617 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6618 = SURFACE_STYLE_FILL_AREA( #7624 ); +#6619 = ORIENTED_EDGE( '', *, *, #7625, .F. ); +#6620 = ORIENTED_EDGE( '', *, *, #7626, .T. ); +#6621 = CARTESIAN_POINT( '', ( -0.313000000000000, 0.940000000000000, 0.950000000000000 ) ); +#6622 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6623 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6624 = SURFACE_STYLE_FILL_AREA( #7627 ); +#6625 = ORIENTED_EDGE( '', *, *, #7437, .F. ); +#6626 = ORIENTED_EDGE( '', *, *, #7628, .T. ); +#6627 = CARTESIAN_POINT( '', ( 1.39758139534884, -1.40000000000000, -1.41000000000000 ) ); +#6628 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -0.000000000000000 ) ); +#6629 = DIRECTION( '', ( 0.939697678161095, -0.342006247981887, 0.000000000000000 ) ); +#6630 = SURFACE_STYLE_FILL_AREA( #7629 ); +#6631 = ORIENTED_EDGE( '', *, *, #7588, .T. ); +#6632 = ORIENTED_EDGE( '', *, *, #7630, .F. ); +#6633 = ORIENTED_EDGE( '', *, *, #7631, .F. ); +#6634 = ORIENTED_EDGE( '', *, *, #7632, .F. ); +#6635 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.40000000000000, -0.950000000000000 ) ); +#6636 = DIRECTION( '', ( 1.00000000000000, -0.000000000000000, -0.000000000000000 ) ); +#6637 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6638 = SURFACE_STYLE_FILL_AREA( #7633 ); +#6639 = ORIENTED_EDGE( '', *, *, #7464, .F. ); +#6640 = ORIENTED_EDGE( '', *, *, #7548, .T. ); +#6641 = CARTESIAN_POINT( '', ( 0.939000000000000, 0.940000000000000, 0.950000000000000 ) ); +#6642 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6643 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6644 = SURFACE_STYLE_FILL_AREA( #7634 ); +#6645 = ORIENTED_EDGE( '', *, *, #7635, .F. ); +#6646 = ORIENTED_EDGE( '', *, *, #7436, .T. ); +#6647 = CARTESIAN_POINT( '', ( 0.939000000000000, -2.66000000000000, -0.950000000000000 ) ); +#6648 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6649 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6650 = SURFACE_STYLE_FILL_AREA( #7636 ); +#6651 = ORIENTED_EDGE( '', *, *, #7637, .T. ); +#6652 = ORIENTED_EDGE( '', *, *, #7590, .F. ); +#6653 = ORIENTED_EDGE( '', *, *, #7638, .F. ); +#6654 = ORIENTED_EDGE( '', *, *, #7482, .F. ); +#6655 = CARTESIAN_POINT( '', ( -1.82500000000000, -1.40000000000000, 0.950000000000000 ) ); +#6656 = DIRECTION( '', ( 1.00000000000000, -0.000000000000000, -0.000000000000000 ) ); +#6657 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6658 = SURFACE_STYLE_FILL_AREA( #7639 ); +#6659 = ORIENTED_EDGE( '', *, *, #7640, .T. ); +#6660 = ORIENTED_EDGE( '', *, *, #7641, .T. ); +#6661 = CARTESIAN_POINT( '', ( -2.67610899119516, -1.94614109010537, -1.41000000000000 ) ); +#6662 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6663 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6664 = SURFACE_STYLE_FILL_AREA( #7642 ); +#6665 = ORIENTED_EDGE( '', *, *, #7605, .F. ); +#6666 = ORIENTED_EDGE( '', *, *, #7433, .T. ); +#6667 = CARTESIAN_POINT( '', ( 1.56500000000000, 2.20000000000000, 0.950000000000000 ) ); +#6668 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6669 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6670 = SURFACE_STYLE_FILL_AREA( #7643 ); +#6671 = ORIENTED_EDGE( '', *, *, #7644, .F. ); +#6672 = ORIENTED_EDGE( '', *, *, #7560, .T. ); +#6673 = ORIENTED_EDGE( '', *, *, #7466, .T. ); +#6674 = ORIENTED_EDGE( '', *, *, #7632, .T. ); +#6675 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.20000000000000, 1.15000000000000 ) ); +#6676 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#6677 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6678 = SURFACE_STYLE_FILL_AREA( #7645 ); +#6679 = ORIENTED_EDGE( '', *, *, #7646, .T. ); +#6680 = ORIENTED_EDGE( '', *, *, #7647, .T. ); +#6681 = ORIENTED_EDGE( '', *, *, #7409, .F. ); +#6682 = ORIENTED_EDGE( '', *, *, #7461, .T. ); +#6683 = CARTESIAN_POINT( '', ( -3.32500000000000, -0.900000000000000, 1.15000000000000 ) ); +#6684 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6685 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6686 = SURFACE_STYLE_FILL_AREA( #7648 ); +#6687 = ORIENTED_EDGE( '', *, *, #7649, .F. ); +#6688 = CARTESIAN_POINT( '', ( -3.74999999998363, -3.15000000003941, -1.41000000000000 ) ); +#6689 = DIRECTION( '', ( 0.923547284920601, 0.383484566202847, 0.000000000000000 ) ); +#6690 = DIRECTION( '', ( -0.383484566202847, 0.923547284920601, 0.000000000000000 ) ); +#6691 = SURFACE_STYLE_FILL_AREA( #7650 ); +#6692 = ORIENTED_EDGE( '', *, *, #7651, .F. ); +#6693 = ORIENTED_EDGE( '', *, *, #7614, .T. ); +#6694 = CARTESIAN_POINT( '', ( -0.939000000000000, 2.20000000000000, 1.41000000000000 ) ); +#6695 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#6696 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6697 = SURFACE_STYLE_FILL_AREA( #7652 ); +#6698 = ORIENTED_EDGE( '', *, *, #7451, .F. ); +#6699 = ORIENTED_EDGE( '', *, *, #7653, .T. ); +#6700 = SURFACE_STYLE_FILL_AREA( #7654 ); +#6701 = ORIENTED_EDGE( '', *, *, #7572, .F. ); +#6702 = ORIENTED_EDGE( '', *, *, #7655, .T. ); +#6703 = CARTESIAN_POINT( '', ( 0.313000000000004, 0.940000000000000, 0.950000000000000 ) ); +#6704 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6705 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6706 = SURFACE_STYLE_FILL_AREA( #7656 ); +#6707 = ORIENTED_EDGE( '', *, *, #7395, .F. ); +#6708 = ORIENTED_EDGE( '', *, *, #7515, .T. ); +#6709 = ORIENTED_EDGE( '', *, *, #7657, .T. ); +#6710 = ORIENTED_EDGE( '', *, *, #7405, .T. ); +#6711 = CARTESIAN_POINT( '', ( 2.12500000000000, 0.900000000000000, 1.15000000000000 ) ); +#6712 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6713 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6714 = SURFACE_STYLE_FILL_AREA( #7658 ); +#6715 = ORIENTED_EDGE( '', *, *, #7659, .T. ); +#6716 = ORIENTED_EDGE( '', *, *, #7660, .F. ); +#6717 = ORIENTED_EDGE( '', *, *, #7661, .F. ); +#6718 = ORIENTED_EDGE( '', *, *, #7484, .F. ); +#6719 = CARTESIAN_POINT( '', ( 3.12500000000000, 0.900000000000000, 0.950000000000000 ) ); +#6720 = DIRECTION( '', ( -4.81867632215779E-016, -1.00000000000000, -0.000000000000000 ) ); +#6721 = DIRECTION( '', ( -1.00000000000000, 4.81867632215779E-016, 0.000000000000000 ) ); +#6722 = SURFACE_STYLE_FILL_AREA( #7662 ); +#6723 = ORIENTED_EDGE( '', *, *, #7663, .F. ); +#6724 = ORIENTED_EDGE( '', *, *, #7664, .T. ); +#6725 = CARTESIAN_POINT( '', ( -0.939000000000000, -1.40000000000000, 0.950000000000000 ) ); +#6726 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6727 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6728 = SURFACE_STYLE_FILL_AREA( #7665 ); +#6729 = ORIENTED_EDGE( '', *, *, #7640, .F. ); +#6730 = ORIENTED_EDGE( '', *, *, #7442, .T. ); +#6731 = CARTESIAN_POINT( '', ( -1.73241860465117, -1.40000000000000, -1.41000000000000 ) ); +#6732 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -0.000000000000000 ) ); +#6733 = DIRECTION( '', ( 0.939697678161095, -0.342006247981887, 0.000000000000000 ) ); +#6734 = SURFACE_STYLE_FILL_AREA( #7666 ); +#6735 = ORIENTED_EDGE( '', *, *, #7575, .T. ); +#6736 = ORIENTED_EDGE( '', *, *, #7594, .T. ); +#6737 = ORIENTED_EDGE( '', *, *, #7667, .F. ); +#6738 = ORIENTED_EDGE( '', *, *, #7668, .T. ); +#6739 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.40000000000000, 1.15000000000000 ) ); +#6740 = DIRECTION( '', ( 1.18816676436767E-016, 1.00000000000000, 0.000000000000000 ) ); +#6741 = DIRECTION( '', ( -1.00000000000000, 1.18816676436767E-016, 0.000000000000000 ) ); +#6742 = SURFACE_STYLE_FILL_AREA( #7669 ); +#6743 = ORIENTED_EDGE( '', *, *, #7595, .T. ); +#6744 = ORIENTED_EDGE( '', *, *, #7425, .T. ); +#6745 = ORIENTED_EDGE( '', *, *, #7520, .T. ); +#6746 = ORIENTED_EDGE( '', *, *, #7670, .T. ); +#6747 = ORIENTED_EDGE( '', *, *, #7671, .T. ); +#6748 = ORIENTED_EDGE( '', *, *, #7672, .T. ); +#6749 = ORIENTED_EDGE( '', *, *, #7673, .T. ); +#6750 = ORIENTED_EDGE( '', *, *, #7534, .T. ); +#6751 = ORIENTED_EDGE( '', *, *, #7407, .T. ); +#6752 = ORIENTED_EDGE( '', *, *, #7674, .T. ); +#6753 = ORIENTED_EDGE( '', *, *, #7630, .T. ); +#6754 = ORIENTED_EDGE( '', *, *, #7587, .T. ); +#6755 = ORIENTED_EDGE( '', *, *, #7675, .T. ); +#6756 = ORIENTED_EDGE( '', *, *, #7611, .T. ); +#6757 = ORIENTED_EDGE( '', *, *, #7617, .T. ); +#6758 = ORIENTED_EDGE( '', *, *, #7584, .T. ); +#6759 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, -1.15000000000000 ) ); +#6760 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6761 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6762 = SURFACE_STYLE_FILL_AREA( #7676 ); +#6763 = ORIENTED_EDGE( '', *, *, #7677, .T. ); +#6764 = ORIENTED_EDGE( '', *, *, #7678, .F. ); +#6765 = ORIENTED_EDGE( '', *, *, #7679, .F. ); +#6766 = ORIENTED_EDGE( '', *, *, #7476, .F. ); +#6767 = CARTESIAN_POINT( '', ( -2.32500000000000, -0.900000000000000, 0.950000000000000 ) ); +#6768 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#6769 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6770 = SURFACE_STYLE_FILL_AREA( #7680 ); +#6771 = ORIENTED_EDGE( '', *, *, #7641, .F. ); +#6772 = ORIENTED_EDGE( '', *, *, #7649, .T. ); +#6773 = CARTESIAN_POINT( '', ( -3.74999999998363, -3.15000000003941, -1.41000000000000 ) ); +#6774 = DIRECTION( '', ( -0.923547284920601, -0.383484566202848, -0.000000000000000 ) ); +#6775 = DIRECTION( '', ( -0.383484566202848, 0.923547284920601, 0.000000000000000 ) ); +#6776 = SURFACE_STYLE_FILL_AREA( #7681 ); +#6777 = ORIENTED_EDGE( '', *, *, #7682, .F. ); +#6778 = ORIENTED_EDGE( '', *, *, #7551, .T. ); +#6779 = CARTESIAN_POINT( '', ( -1.56500000000000, 1.40000000000000, 0.950000000000000 ) ); +#6780 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6781 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6782 = SURFACE_STYLE_FILL_AREA( #7683 ); +#6783 = ORIENTED_EDGE( '', *, *, #7684, .F. ); +#6784 = ORIENTED_EDGE( '', *, *, #7685, .T. ); +#6785 = CARTESIAN_POINT( '', ( -1.56500000000000, 2.66000000000000, -0.950000000000000 ) ); +#6786 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6787 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6788 = SURFACE_STYLE_FILL_AREA( #7686 ); +#6789 = ORIENTED_EDGE( '', *, *, #7687, .F. ); +#6790 = ORIENTED_EDGE( '', *, *, #7688, .T. ); +#6791 = CARTESIAN_POINT( '', ( 0.313000000000005, -2.66000000000000, -0.950000000000000 ) ); +#6792 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6793 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6794 = SURFACE_STYLE_FILL_AREA( #7689 ); +#6795 = ORIENTED_EDGE( '', *, *, #7496, .F. ); +#6796 = ORIENTED_EDGE( '', *, *, #7453, .T. ); +#6797 = CARTESIAN_POINT( '', ( 2.02500000000000, -2.66000000000000, -0.950000000000000 ) ); +#6798 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#6799 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6800 = SURFACE_STYLE_FILL_AREA( #7690 ); +#6801 = ORIENTED_EDGE( '', *, *, #7538, .F. ); +#6802 = ORIENTED_EDGE( '', *, *, #7691, .T. ); +#6803 = SURFACE_STYLE_FILL_AREA( #7692 ); +#6804 = ORIENTED_EDGE( '', *, *, #7693, .T. ); +#6805 = ORIENTED_EDGE( '', *, *, #7670, .F. ); +#6806 = ORIENTED_EDGE( '', *, *, #7519, .F. ); +#6807 = ORIENTED_EDGE( '', *, *, #7598, .F. ); +#6808 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, -0.950000000000000 ) ); +#6809 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6810 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6811 = SURFACE_STYLE_FILL_AREA( #7694 ); +#6812 = ORIENTED_EDGE( '', *, *, #7563, .F. ); +#6813 = ORIENTED_EDGE( '', *, *, #7604, .T. ); +#6814 = CARTESIAN_POINT( '', ( 1.56500000000000, 1.40000000000000, 0.950000000000000 ) ); +#6815 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6816 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6817 = SURFACE_STYLE_FILL_AREA( #7695 ); +#6818 = ORIENTED_EDGE( '', *, *, #7549, .F. ); +#6819 = ORIENTED_EDGE( '', *, *, #7419, .T. ); +#6820 = CARTESIAN_POINT( '', ( 0.939000000000000, 2.20000000000000, 1.41000000000000 ) ); +#6821 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#6822 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6823 = SURFACE_STYLE_FILL_AREA( #7696 ); +#6824 = ORIENTED_EDGE( '', *, *, #7667, .T. ); +#6825 = ORIENTED_EDGE( '', *, *, #7532, .T. ); +#6826 = ORIENTED_EDGE( '', *, *, #7646, .F. ); +#6827 = ORIENTED_EDGE( '', *, *, #7566, .T. ); +#6828 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, 1.15000000000000 ) ); +#6829 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6830 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6831 = SURFACE_STYLE_FILL_AREA( #7697 ); +#6832 = ORIENTED_EDGE( '', *, *, #7698, .T. ); +#6833 = ORIENTED_EDGE( '', *, *, #7671, .F. ); +#6834 = ORIENTED_EDGE( '', *, *, #7693, .F. ); +#6835 = ORIENTED_EDGE( '', *, *, #7699, .F. ); +#6836 = CARTESIAN_POINT( '', ( -1.82500000000000, -2.20000000000000, -0.950000000000000 ) ); +#6837 = DIRECTION( '', ( 1.00000000000000, 1.18816676436767E-016, -0.000000000000000 ) ); +#6838 = DIRECTION( '', ( 1.18816676436767E-016, -1.00000000000000, 0.000000000000000 ) ); +#6839 = SURFACE_STYLE_FILL_AREA( #7700 ); +#6840 = ORIENTED_EDGE( '', *, *, #7701, .F. ); +#6841 = ORIENTED_EDGE( '', *, *, #7517, .T. ); +#6842 = CARTESIAN_POINT( '', ( -0.939000000000000, -2.20000000000000, 0.950000000000000 ) ); +#6843 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6844 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6845 = SURFACE_STYLE_FILL_AREA( #7702 ); +#6846 = ORIENTED_EDGE( '', *, *, #7655, .F. ); +#6847 = ORIENTED_EDGE( '', *, *, #7578, .T. ); +#6848 = CARTESIAN_POINT( '', ( 0.313000000000004, 1.40000000000000, 0.950000000000000 ) ); +#6849 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6850 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6851 = SURFACE_STYLE_FILL_AREA( #7703 ); +#6852 = ORIENTED_EDGE( '', *, *, #7631, .T. ); +#6853 = ORIENTED_EDGE( '', *, *, #7674, .F. ); +#6854 = ORIENTED_EDGE( '', *, *, #7406, .T. ); +#6855 = ORIENTED_EDGE( '', *, *, #7704, .F. ); +#6856 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, -0.950000000000000 ) ); +#6857 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6858 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6859 = SURFACE_STYLE_FILL_AREA( #7705 ); +#6860 = ORIENTED_EDGE( '', *, *, #7706, .F. ); +#6861 = ORIENTED_EDGE( '', *, *, #7488, .F. ); +#6862 = ORIENTED_EDGE( '', *, *, #7458, .F. ); +#6863 = ORIENTED_EDGE( '', *, *, #7412, .F. ); +#6864 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, 0.950000000000000 ) ); +#6865 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6866 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6867 = SURFACE_STYLE_FILL_AREA( #7707 ); +#6868 = ORIENTED_EDGE( '', *, *, #7569, .F. ); +#6869 = ORIENTED_EDGE( '', *, *, #7708, .T. ); +#6870 = CARTESIAN_POINT( '', ( -1.56500000000000, -2.20000000000000, 0.950000000000000 ) ); +#6871 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6872 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6873 = SURFACE_STYLE_FILL_AREA( #7709 ); +#6874 = ORIENTED_EDGE( '', *, *, #7679, .T. ); +#6875 = ORIENTED_EDGE( '', *, *, #7467, .F. ); +#6876 = ORIENTED_EDGE( '', *, *, #7559, .T. ); +#6877 = ORIENTED_EDGE( '', *, *, #7477, .F. ); +#6878 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, 0.950000000000000 ) ); +#6879 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6880 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6881 = SURFACE_STYLE_FILL_AREA( #7710 ); +#6882 = ORIENTED_EDGE( '', *, *, #7708, .F. ); +#6883 = ORIENTED_EDGE( '', *, *, #7557, .T. ); +#6884 = CARTESIAN_POINT( '', ( -1.56500000000000, -2.66000000000000, -0.950000000000000 ) ); +#6885 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6886 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6887 = SURFACE_STYLE_FILL_AREA( #7711 ); +#6888 = ORIENTED_EDGE( '', *, *, #7712, .T. ); +#6889 = ORIENTED_EDGE( '', *, *, #7600, .F. ); +#6890 = ORIENTED_EDGE( '', *, *, #7659, .F. ); +#6891 = ORIENTED_EDGE( '', *, *, #7490, .F. ); +#6892 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, 0.950000000000000 ) ); +#6893 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6894 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6895 = SURFACE_STYLE_FILL_AREA( #7713 ); +#6896 = ORIENTED_EDGE( '', *, *, #7653, .F. ); +#6897 = ORIENTED_EDGE( '', *, *, #7663, .T. ); +#6898 = CARTESIAN_POINT( '', ( -0.939000000000000, -0.940000000000000, 0.950000000000000 ) ); +#6899 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6900 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6901 = SURFACE_STYLE_FILL_AREA( #7714 ); +#6902 = ORIENTED_EDGE( '', *, *, #7612, .T. ); +#6903 = ORIENTED_EDGE( '', *, *, #7675, .F. ); +#6904 = ORIENTED_EDGE( '', *, *, #7586, .F. ); +#6905 = ORIENTED_EDGE( '', *, *, #7715, .F. ); +#6906 = CARTESIAN_POINT( '', ( -2.32500000000000, -0.900000000000000, -0.950000000000000 ) ); +#6907 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#6908 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6909 = SURFACE_STYLE_FILL_AREA( #7716 ); +#6910 = ORIENTED_EDGE( '', *, *, #7621, .F. ); +#6911 = ORIENTED_EDGE( '', *, *, #7651, .T. ); +#6912 = CARTESIAN_POINT( '', ( -0.939000000000000, 1.40000000000000, 0.950000000000000 ) ); +#6913 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6914 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#6915 = SURFACE_STYLE_FILL_AREA( #7717 ); +#6916 = ORIENTED_EDGE( '', *, *, #7718, .T. ); +#6917 = ORIENTED_EDGE( '', *, *, #7647, .F. ); +#6918 = ORIENTED_EDGE( '', *, *, #7531, .F. ); +#6919 = ORIENTED_EDGE( '', *, *, #7673, .F. ); +#6920 = CARTESIAN_POINT( '', ( -3.12500000000000, -0.900000000000000, -0.950000000000000 ) ); +#6921 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#6922 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6923 = SURFACE_STYLE_FILL_AREA( #7719 ); +#6924 = ORIENTED_EDGE( '', *, *, #7685, .F. ); +#6925 = ORIENTED_EDGE( '', *, *, #7720, .T. ); +#6926 = SURFACE_STYLE_FILL_AREA( #7721 ); +#6927 = ORIENTED_EDGE( '', *, *, #7417, .F. ); +#6928 = ORIENTED_EDGE( '', *, *, #7722, .T. ); +#6929 = CARTESIAN_POINT( '', ( -0.313000000000000, 2.66000000000000, -0.950000000000000 ) ); +#6930 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#6931 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6932 = SURFACE_STYLE_FILL_AREA( #7723 ); +#6933 = ORIENTED_EDGE( '', *, *, #7724, .F. ); +#6934 = ORIENTED_EDGE( '', *, *, #7571, .T. ); +#6935 = CARTESIAN_POINT( '', ( 0.145581395348840, 1.40000000000000, -1.41000000000000 ) ); +#6936 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, -0.000000000000000 ) ); +#6937 = DIRECTION( '', ( -0.939697678161094, -0.342006247981887, 0.000000000000000 ) ); +#6938 = SURFACE_STYLE_FILL_AREA( #7725 ); +#6939 = ORIENTED_EDGE( '', *, *, #7565, .T. ); +#6940 = ORIENTED_EDGE( '', *, *, #7486, .F. ); +#6941 = ORIENTED_EDGE( '', *, *, #7726, .F. ); +#6942 = ORIENTED_EDGE( '', *, *, #7668, .F. ); +#6943 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.20000000000000, 0.950000000000000 ) ); +#6944 = DIRECTION( '', ( 1.00000000000000, -1.18816676436767E-016, -0.000000000000000 ) ); +#6945 = DIRECTION( '', ( -1.18816676436767E-016, -1.00000000000000, 0.000000000000000 ) ); +#6946 = SURFACE_STYLE_FILL_AREA( #7727 ); +#6947 = ORIENTED_EDGE( '', *, *, #7428, .F. ); +#6948 = ORIENTED_EDGE( '', *, *, #7568, .T. ); +#6949 = CARTESIAN_POINT( '', ( -1.56500000000000, -1.40000000000000, 0.950000000000000 ) ); +#6950 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6951 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6952 = SURFACE_STYLE_FILL_AREA( #7728 ); +#6953 = ORIENTED_EDGE( '', *, *, #7541, .F. ); +#6954 = ORIENTED_EDGE( '', *, *, #7682, .T. ); +#6955 = CARTESIAN_POINT( '', ( -1.56500000000000, 0.940000000000000, 0.950000000000000 ) ); +#6956 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6957 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6958 = SURFACE_STYLE_FILL_AREA( #7729 ); +#6959 = ORIENTED_EDGE( '', *, *, #7706, .T. ); +#6960 = ORIENTED_EDGE( '', *, *, #7730, .F. ); +#6961 = ORIENTED_EDGE( '', *, *, #7712, .F. ); +#6962 = ORIENTED_EDGE( '', *, *, #7489, .F. ); +#6963 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.20000000000000, 0.950000000000000 ) ); +#6964 = DIRECTION( '', ( -1.00000000000000, -1.18816676436767E-016, -0.000000000000000 ) ); +#6965 = DIRECTION( '', ( -1.18816676436767E-016, 1.00000000000000, 0.000000000000000 ) ); +#6966 = SURFACE_STYLE_FILL_AREA( #7731 ); +#6967 = ORIENTED_EDGE( '', *, *, #7732, .F. ); +#6968 = ORIENTED_EDGE( '', *, *, #7607, .T. ); +#6969 = CARTESIAN_POINT( '', ( -0.313000000000000, -2.20000000000000, 1.41000000000000 ) ); +#6970 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#6971 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6972 = SURFACE_STYLE_FILL_AREA( #7733 ); +#6973 = ORIENTED_EDGE( '', *, *, #7536, .F. ); +#6974 = ORIENTED_EDGE( '', *, *, #7734, .T. ); +#6975 = CARTESIAN_POINT( '', ( -0.312999999999997, -0.940000000000000, 0.950000000000000 ) ); +#6976 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#6977 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6978 = SURFACE_STYLE_FILL_AREA( #7735 ); +#6979 = ORIENTED_EDGE( '', *, *, #7734, .F. ); +#6980 = ORIENTED_EDGE( '', *, *, #7732, .T. ); +#6981 = CARTESIAN_POINT( '', ( -0.312999999999997, -1.40000000000000, 0.950000000000000 ) ); +#6982 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6983 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6984 = SURFACE_STYLE_FILL_AREA( #7736 ); +#6985 = ORIENTED_EDGE( '', *, *, #7528, .F. ); +#6986 = ORIENTED_EDGE( '', *, *, #7414, .T. ); +#6987 = CARTESIAN_POINT( '', ( -3.74999999998363, 3.15000000003941, -1.41000000000000 ) ); +#6988 = DIRECTION( '', ( -0.923547284920600, 0.383484566202850, -0.000000000000000 ) ); +#6989 = DIRECTION( '', ( 0.383484566202850, 0.923547284920600, 0.000000000000000 ) ); +#6990 = SURFACE_STYLE_FILL_AREA( #7737 ); +#6991 = ORIENTED_EDGE( '', *, *, #7399, .F. ); +#6992 = ORIENTED_EDGE( '', *, *, #7687, .T. ); +#6993 = CARTESIAN_POINT( '', ( 0.313000000000005, -2.20000000000000, 0.950000000000000 ) ); +#6994 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#6995 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#6996 = SURFACE_STYLE_FILL_AREA( #7738 ); +#6997 = ORIENTED_EDGE( '', *, *, #7628, .F. ); +#6998 = ORIENTED_EDGE( '', *, *, #7525, .T. ); +#6999 = SURFACE_STYLE_FILL_AREA( #7739 ); +#7000 = ORIENTED_EDGE( '', *, *, #7740, .F. ); +#7001 = ORIENTED_EDGE( '', *, *, #7635, .T. ); +#7002 = CARTESIAN_POINT( '', ( 0.939000000000000, -2.20000000000000, 0.950000000000000 ) ); +#7003 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7004 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#7005 = SURFACE_STYLE_FILL_AREA( #7741 ); +#7006 = ORIENTED_EDGE( '', *, *, #7742, .T. ); +#7007 = ORIENTED_EDGE( '', *, *, #7581, .T. ); +#7008 = CARTESIAN_POINT( '', ( 2.02500000000000, 3.36000000000000, -1.41000000000000 ) ); +#7009 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#7010 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7011 = SURFACE_STYLE_FILL_AREA( #7743 ); +#7012 = ORIENTED_EDGE( '', *, *, #7513, .F. ); +#7013 = ORIENTED_EDGE( '', *, *, #7394, .F. ); +#7014 = ORIENTED_EDGE( '', *, *, #7637, .F. ); +#7015 = ORIENTED_EDGE( '', *, *, #7481, .F. ); +#7016 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, 0.950000000000000 ) ); +#7017 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#7018 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7019 = SURFACE_STYLE_FILL_AREA( #7744 ); +#7020 = ORIENTED_EDGE( '', *, *, #7657, .F. ); +#7021 = ORIENTED_EDGE( '', *, *, #7555, .T. ); +#7022 = ORIENTED_EDGE( '', *, *, #7644, .T. ); +#7023 = ORIENTED_EDGE( '', *, *, #7704, .T. ); +#7024 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, 1.15000000000000 ) ); +#7025 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#7026 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7027 = SURFACE_STYLE_FILL_AREA( #7745 ); +#7028 = ORIENTED_EDGE( '', *, *, #7698, .F. ); +#7029 = ORIENTED_EDGE( '', *, *, #7410, .F. ); +#7030 = ORIENTED_EDGE( '', *, *, #7718, .F. ); +#7031 = ORIENTED_EDGE( '', *, *, #7672, .F. ); +#7032 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, -0.950000000000000 ) ); +#7033 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#7034 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7035 = SURFACE_STYLE_FILL_AREA( #7746 ); +#7036 = ORIENTED_EDGE( '', *, *, #7468, .F. ); +#7037 = ORIENTED_EDGE( '', *, *, #7678, .T. ); +#7038 = ORIENTED_EDGE( '', *, *, #7543, .T. ); +#7039 = ORIENTED_EDGE( '', *, *, #7715, .T. ); +#7040 = CARTESIAN_POINT( '', ( -2.12500000000000, -0.900000000000000, 1.15000000000000 ) ); +#7041 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7042 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#7043 = SURFACE_STYLE_FILL_AREA( #7747 ); +#7044 = ORIENTED_EDGE( '', *, *, #7599, .T. ); +#7045 = ORIENTED_EDGE( '', *, *, #7521, .T. ); +#7046 = ORIENTED_EDGE( '', *, *, #7574, .F. ); +#7047 = ORIENTED_EDGE( '', *, *, #7660, .T. ); +#7048 = CARTESIAN_POINT( '', ( 3.32500000000000, 0.900000000000000, 1.15000000000000 ) ); +#7049 = DIRECTION( '', ( 1.00000000000000, -4.81867632215779E-016, 0.000000000000000 ) ); +#7050 = DIRECTION( '', ( 4.81867632215779E-016, 1.00000000000000, 0.000000000000000 ) ); +#7051 = SURFACE_STYLE_FILL_AREA( #7748 ); +#7052 = ORIENTED_EDGE( '', *, *, #7527, .F. ); +#7053 = ORIENTED_EDGE( '', *, *, #7540, .T. ); +#7054 = CARTESIAN_POINT( '', ( -1.73241860465117, 1.40000000000000, -1.41000000000000 ) ); +#7055 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, -0.000000000000000 ) ); +#7056 = DIRECTION( '', ( -0.939697678161095, -0.342006247981887, 0.000000000000000 ) ); +#7057 = SURFACE_STYLE_FILL_AREA( #7749 ); +#7058 = ORIENTED_EDGE( '', *, *, #7722, .F. ); +#7059 = ORIENTED_EDGE( '', *, *, #7724, .T. ); +#7060 = SURFACE_STYLE_FILL_AREA( #7750 ); +#7061 = ORIENTED_EDGE( '', *, *, #7492, .F. ); +#7062 = ORIENTED_EDGE( '', *, *, #7510, .T. ); +#7063 = SURFACE_STYLE_FILL_AREA( #7751 ); +#7064 = ORIENTED_EDGE( '', *, *, #7752, .F. ); +#7065 = ORIENTED_EDGE( '', *, *, #7444, .T. ); +#7066 = CARTESIAN_POINT( '', ( 0.771581395348842, -1.40000000000000, -1.41000000000000 ) ); +#7067 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -0.000000000000000 ) ); +#7068 = DIRECTION( '', ( 0.939697678161095, -0.342006247981887, 0.000000000000000 ) ); +#7069 = SURFACE_STYLE_FILL_AREA( #7753 ); +#7070 = ORIENTED_EDGE( '', *, *, #7742, .F. ); +#7071 = ORIENTED_EDGE( '', *, *, #7434, .T. ); +#7072 = CARTESIAN_POINT( '', ( 2.02500000000000, 2.66000000000000, -0.950000000000000 ) ); +#7073 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#7074 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#7075 = SURFACE_STYLE_FILL_AREA( #7754 ); +#7076 = ORIENTED_EDGE( '', *, *, #7497, .F. ); +#7077 = ORIENTED_EDGE( '', *, *, #7494, .T. ); +#7078 = CARTESIAN_POINT( '', ( 3.75000000000000, -3.15000000000000, -1.41000000000000 ) ); +#7079 = DIRECTION( '', ( 0.957249863562161, -0.289262335450407, -0.000000000000000 ) ); +#7080 = DIRECTION( '', ( -0.289262335450407, -0.957249863562161, 0.000000000000000 ) ); +#7081 = SURFACE_STYLE_FILL_AREA( #7755 ); +#7082 = ORIENTED_EDGE( '', *, *, #7411, .T. ); +#7083 = ORIENTED_EDGE( '', *, *, #7699, .T. ); +#7084 = ORIENTED_EDGE( '', *, *, #7597, .F. ); +#7085 = ORIENTED_EDGE( '', *, *, #7730, .T. ); +#7086 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.40000000000000, 1.15000000000000 ) ); +#7087 = DIRECTION( '', ( 1.18816676436767E-016, -1.00000000000000, 0.000000000000000 ) ); +#7088 = DIRECTION( '', ( 1.00000000000000, 1.18816676436767E-016, 0.000000000000000 ) ); +#7089 = SURFACE_STYLE_FILL_AREA( #7756 ); +#7090 = ORIENTED_EDGE( '', *, *, #7511, .F. ); +#7091 = ORIENTED_EDGE( '', *, *, #7562, .T. ); +#7092 = SURFACE_STYLE_FILL_AREA( #7757 ); +#7093 = ORIENTED_EDGE( '', *, *, #7626, .F. ); +#7094 = ORIENTED_EDGE( '', *, *, #7623, .T. ); +#7095 = CARTESIAN_POINT( '', ( -0.313000000000000, 1.40000000000000, 0.950000000000000 ) ); +#7096 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7097 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#7098 = SURFACE_STYLE_FILL_AREA( #7758 ); +#7099 = ORIENTED_EDGE( '', *, *, #7440, .F. ); +#7100 = ORIENTED_EDGE( '', *, *, #7740, .T. ); +#7101 = CARTESIAN_POINT( '', ( 0.939000000000000, -2.20000000000000, 1.41000000000000 ) ); +#7102 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#7103 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#7104 = SURFACE_STYLE_FILL_AREA( #7759 ); +#7105 = ORIENTED_EDGE( '', *, *, #7638, .T. ); +#7106 = ORIENTED_EDGE( '', *, *, #7544, .F. ); +#7107 = ORIENTED_EDGE( '', *, *, #7677, .F. ); +#7108 = ORIENTED_EDGE( '', *, *, #7483, .F. ); +#7109 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, 0.950000000000000 ) ); +#7110 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#7111 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7112 = SURFACE_STYLE_FILL_AREA( #7760 ); +#7113 = ORIENTED_EDGE( '', *, *, #7552, .F. ); +#7114 = ORIENTED_EDGE( '', *, *, #7684, .T. ); +#7115 = CARTESIAN_POINT( '', ( -1.56500000000000, 2.20000000000000, 0.950000000000000 ) ); +#7116 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7117 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#7118 = SURFACE_STYLE_FILL_AREA( #7761 ); +#7119 = ORIENTED_EDGE( '', *, *, #7619, .F. ); +#7120 = ORIENTED_EDGE( '', *, *, #7625, .T. ); +#7121 = SURFACE_STYLE_FILL_AREA( #7762 ); +#7122 = ORIENTED_EDGE( '', *, *, #7726, .T. ); +#7123 = ORIENTED_EDGE( '', *, *, #7485, .F. ); +#7124 = ORIENTED_EDGE( '', *, *, #7661, .T. ); +#7125 = ORIENTED_EDGE( '', *, *, #7576, .F. ); +#7126 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, 0.950000000000000 ) ); +#7127 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#7128 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7129 = SURFACE_STYLE_FILL_AREA( #7763 ); +#7130 = ORIENTED_EDGE( '', *, *, #7664, .F. ); +#7131 = ORIENTED_EDGE( '', *, *, #7701, .T. ); +#7132 = CARTESIAN_POINT( '', ( -0.939000000000000, -2.20000000000000, 1.41000000000000 ) ); +#7133 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#7134 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#7135 = SURFACE_STYLE_FILL_AREA( #7764 ); +#7136 = ORIENTED_EDGE( '', *, *, #7608, .F. ); +#7137 = ORIENTED_EDGE( '', *, *, #7430, .T. ); +#7138 = CARTESIAN_POINT( '', ( -0.313000000000000, -2.66000000000000, -0.950000000000000 ) ); +#7139 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#7140 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7141 = SURFACE_STYLE_FILL_AREA( #7765 ); +#7142 = ORIENTED_EDGE( '', *, *, #7691, .F. ); +#7143 = ORIENTED_EDGE( '', *, *, #7602, .T. ); +#7144 = CARTESIAN_POINT( '', ( 0.313000000000005, -0.940000000000000, 0.950000000000000 ) ); +#7145 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#7146 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#7147 = SURFACE_STYLE_FILL_AREA( #7766 ); +#7148 = ORIENTED_EDGE( '', *, *, #7688, .F. ); +#7149 = ORIENTED_EDGE( '', *, *, #7752, .T. ); +#7150 = SURFACE_STYLE_FILL_AREA( #7767 ); +#7151 = ORIENTED_EDGE( '', *, *, #7720, .F. ); +#7152 = ORIENTED_EDGE( '', *, *, #7473, .T. ); +#7153 = CARTESIAN_POINT( '', ( -1.10641860465116, 1.40000000000000, -1.41000000000000 ) ); +#7154 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, -0.000000000000000 ) ); +#7155 = DIRECTION( '', ( -0.939697678161095, -0.342006247981887, 0.000000000000000 ) ); +#7156 = FACE_OUTER_BOUND( '', #7768, .T. ); +#7157 = PLANE( '', #7769 ); +#7158 = FACE_OUTER_BOUND( '', #7770, .T. ); +#7159 = CYLINDRICAL_SURFACE( '', #7771, 0.100000000000000 ); +#7160 = FACE_OUTER_BOUND( '', #7772, .T. ); +#7161 = PLANE( '', #7773 ); +#7162 = FACE_OUTER_BOUND( '', #7774, .T. ); +#7163 = PLANE( '', #7775 ); +#7164 = FACE_OUTER_BOUND( '', #7776, .T. ); +#7165 = SPHERICAL_SURFACE( '', #7777, 0.100000000000000 ); +#7166 = FACE_OUTER_BOUND( '', #7778, .T. ); +#7167 = PLANE( '', #7779 ); +#7168 = FACE_OUTER_BOUND( '', #7780, .T. ); +#7169 = FACE_BOUND( '', #7781, .T. ); +#7170 = PLANE( '', #7782 ); +#7171 = FACE_BOUND( '', #7783, .T. ); +#7172 = FACE_OUTER_BOUND( '', #7784, .T. ); +#7173 = PLANE( '', #7785 ); +#7174 = FACE_OUTER_BOUND( '', #7786, .T. ); +#7175 = CYLINDRICAL_SURFACE( '', #7787, 0.100000000000000 ); +#7176 = FACE_OUTER_BOUND( '', #7788, .T. ); +#7177 = CYLINDRICAL_SURFACE( '', #7789, 0.100000000000000 ); +#7178 = FACE_OUTER_BOUND( '', #7790, .T. ); +#7179 = SPHERICAL_SURFACE( '', #7791, 0.100000000000000 ); +#7180 = FACE_OUTER_BOUND( '', #7792, .T. ); +#7181 = CYLINDRICAL_SURFACE( '', #7793, 0.100000000000000 ); +#7182 = FACE_OUTER_BOUND( '', #7794, .T. ); +#7183 = PLANE( '', #7795 ); +#7184 = FACE_OUTER_BOUND( '', #7796, .T. ); +#7185 = PLANE( '', #7797 ); +#7186 = FACE_OUTER_BOUND( '', #7798, .T. ); +#7187 = CYLINDRICAL_SURFACE( '', #7799, 0.200000000000000 ); +#7188 = FACE_OUTER_BOUND( '', #7800, .T. ); +#7189 = PLANE( '', #7801 ); +#7190 = FACE_OUTER_BOUND( '', #7802, .T. ); +#7191 = PLANE( '', #7803 ); +#7192 = FACE_OUTER_BOUND( '', #7804, .T. ); +#7193 = CYLINDRICAL_SURFACE( '', #7805, 0.100000000000000 ); +#7194 = FACE_OUTER_BOUND( '', #7806, .T. ); +#7195 = SPHERICAL_SURFACE( '', #7807, 0.100000000000000 ); +#7196 = FACE_OUTER_BOUND( '', #7808, .T. ); +#7197 = CYLINDRICAL_SURFACE( '', #7809, 0.100000000000000 ); +#7198 = FACE_OUTER_BOUND( '', #7810, .T. ); +#7199 = SPHERICAL_SURFACE( '', #7811, 0.100000000000000 ); +#7200 = FACE_OUTER_BOUND( '', #7812, .T. ); +#7201 = CYLINDRICAL_SURFACE( '', #7813, 0.100000000000000 ); +#7202 = FACE_BOUND( '', #7814, .T. ); +#7203 = FACE_OUTER_BOUND( '', #7815, .T. ); +#7204 = PLANE( '', #7816 ); +#7205 = FACE_OUTER_BOUND( '', #7817, .T. ); +#7206 = PLANE( '', #7818 ); +#7207 = FACE_OUTER_BOUND( '', #7819, .T. ); +#7208 = PLANE( '', #7820 ); +#7209 = FACE_OUTER_BOUND( '', #7821, .T. ); +#7210 = CYLINDRICAL_SURFACE( '', #7822, 0.200000000000000 ); +#7211 = FACE_OUTER_BOUND( '', #7823, .T. ); +#7212 = PLANE( '', #7824 ); +#7213 = FACE_OUTER_BOUND( '', #7825, .T. ); +#7214 = CYLINDRICAL_SURFACE( '', #7826, 0.200000000000000 ); +#7215 = FACE_OUTER_BOUND( '', #7827, .T. ); +#7216 = PLANE( '', #7828 ); +#7217 = FACE_OUTER_BOUND( '', #7829, .T. ); +#7218 = PLANE( '', #7830 ); +#7219 = FACE_OUTER_BOUND( '', #7831, .T. ); +#7220 = CYLINDRICAL_SURFACE( '', #7832, 0.200000000000000 ); +#7221 = FACE_OUTER_BOUND( '', #7833, .T. ); +#7222 = PLANE( '', #7834 ); +#7223 = FACE_OUTER_BOUND( '', #7835, .T. ); +#7224 = CYLINDRICAL_SURFACE( '', #7836, 0.200000000000000 ); +#7225 = FACE_OUTER_BOUND( '', #7837, .T. ); +#7226 = FACE_BOUND( '', #7838, .T. ); +#7227 = PLANE( '', #7839 ); +#7228 = FACE_OUTER_BOUND( '', #7840, .T. ); +#7229 = PLANE( '', #7841 ); +#7230 = FACE_OUTER_BOUND( '', #7842, .T. ); +#7231 = PLANE( '', #7843 ); +#7232 = FACE_OUTER_BOUND( '', #7844, .T. ); +#7233 = PLANE( '', #7845 ); +#7234 = FACE_OUTER_BOUND( '', #7846, .T. ); +#7235 = PLANE( '', #7847 ); +#7236 = FACE_OUTER_BOUND( '', #7848, .T. ); +#7237 = PLANE( '', #7849 ); +#7238 = FACE_OUTER_BOUND( '', #7850, .T. ); +#7239 = PLANE( '', #7851 ); +#7240 = FACE_OUTER_BOUND( '', #7852, .T. ); +#7241 = PLANE( '', #7853 ); +#7242 = FACE_OUTER_BOUND( '', #7854, .T. ); +#7243 = PLANE( '', #7855 ); +#7244 = FACE_OUTER_BOUND( '', #7856, .T. ); +#7245 = PLANE( '', #7857 ); +#7246 = FACE_OUTER_BOUND( '', #7858, .T. ); +#7247 = PLANE( '', #7859 ); +#7248 = FACE_OUTER_BOUND( '', #7860, .T. ); +#7249 = PLANE( '', #7861 ); +#7250 = FACE_OUTER_BOUND( '', #7862, .T. ); +#7251 = PLANE( '', #7863 ); +#7252 = FACE_OUTER_BOUND( '', #7864, .T. ); +#7253 = FACE_OUTER_BOUND( '', #7865, .T. ); +#7254 = CYLINDRICAL_SURFACE( '', #7866, 0.600000000000000 ); +#7255 = FACE_OUTER_BOUND( '', #7867, .T. ); +#7256 = PLANE( '', #7868 ); +#7257 = FACE_OUTER_BOUND( '', #7869, .T. ); +#7258 = FACE_OUTER_BOUND( '', #7870, .T. ); +#7259 = CYLINDRICAL_SURFACE( '', #7871, 0.600000000000000 ); +#7260 = FACE_OUTER_BOUND( '', #7872, .T. ); +#7261 = PLANE( '', #7873 ); +#7262 = FACE_OUTER_BOUND( '', #7874, .T. ); +#7263 = FACE_OUTER_BOUND( '', #7875, .T. ); +#7264 = CYLINDRICAL_SURFACE( '', #7876, 0.600000000000000 ); +#7265 = FACE_OUTER_BOUND( '', #7877, .T. ); +#7266 = PLANE( '', #7878 ); +#7267 = FACE_OUTER_BOUND( '', #7879, .T. ); +#7268 = FACE_OUTER_BOUND( '', #7880, .T. ); +#7269 = CYLINDRICAL_SURFACE( '', #7881, 0.600000000000000 ); +#7270 = FACE_OUTER_BOUND( '', #7882, .T. ); +#7271 = PLANE( '', #7883 ); +#7272 = FACE_OUTER_BOUND( '', #7884, .T. ); +#7273 = PLANE( '', #7885 ); +#7274 = FACE_OUTER_BOUND( '', #7886, .T. ); +#7275 = PLANE( '', #7887 ); +#7276 = FACE_OUTER_BOUND( '', #7888, .T. ); +#7277 = PLANE( '', #7889 ); +#7278 = FACE_OUTER_BOUND( '', #7890, .T. ); +#7279 = PLANE( '', #7891 ); +#7280 = FACE_OUTER_BOUND( '', #7892, .T. ); +#7281 = PLANE( '', #7893 ); +#7282 = FACE_OUTER_BOUND( '', #7894, .T. ); +#7283 = PLANE( '', #7895 ); +#7284 = FACE_OUTER_BOUND( '', #7896, .T. ); +#7285 = PLANE( '', #7897 ); +#7286 = FACE_OUTER_BOUND( '', #7898, .T. ); +#7287 = PLANE( '', #7899 ); +#7288 = FACE_OUTER_BOUND( '', #7900, .T. ); +#7289 = CYLINDRICAL_SURFACE( '', #7901, 0.100000000000000 ); +#7290 = FACE_OUTER_BOUND( '', #7902, .T. ); +#7291 = CYLINDRICAL_SURFACE( '', #7903, 0.100000000000000 ); +#7292 = FACE_OUTER_BOUND( '', #7904, .T. ); +#7293 = SPHERICAL_SURFACE( '', #7905, 0.100000000000000 ); +#7294 = FACE_OUTER_BOUND( '', #7906, .T. ); +#7295 = CYLINDRICAL_SURFACE( '', #7907, 0.100000000000000 ); +#7296 = FACE_OUTER_BOUND( '', #7908, .T. ); +#7297 = CYLINDRICAL_SURFACE( '', #7909, 0.100000000000000 ); +#7298 = FACE_OUTER_BOUND( '', #7910, .T. ); +#7299 = SPHERICAL_SURFACE( '', #7911, 0.100000000000000 ); +#7300 = FACE_OUTER_BOUND( '', #7912, .T. ); +#7301 = CYLINDRICAL_SURFACE( '', #7913, 0.100000000000000 ); +#7302 = FACE_OUTER_BOUND( '', #7914, .T. ); +#7303 = CYLINDRICAL_SURFACE( '', #7915, 0.100000000000000 ); +#7304 = FACE_OUTER_BOUND( '', #7916, .T. ); +#7305 = SPHERICAL_SURFACE( '', #7917, 0.100000000000000 ); +#7306 = FACE_OUTER_BOUND( '', #7918, .T. ); +#7307 = CYLINDRICAL_SURFACE( '', #7919, 0.100000000000000 ); +#7308 = FACE_OUTER_BOUND( '', #7920, .T. ); +#7309 = CYLINDRICAL_SURFACE( '', #7921, 0.100000000000000 ); +#7310 = FACE_OUTER_BOUND( '', #7922, .T. ); +#7311 = SPHERICAL_SURFACE( '', #7923, 0.100000000000000 ); +#7312 = FACE_OUTER_BOUND( '', #7924, .T. ); +#7313 = CYLINDRICAL_SURFACE( '', #7925, 0.100000000000000 ); +#7314 = FACE_OUTER_BOUND( '', #7926, .T. ); +#7315 = CYLINDRICAL_SURFACE( '', #7927, 0.100000000000000 ); +#7316 = FACE_OUTER_BOUND( '', #7928, .T. ); +#7317 = CYLINDRICAL_SURFACE( '', #7929, 0.100000000000000 ); +#7318 = FACE_OUTER_BOUND( '', #7930, .T. ); +#7319 = SPHERICAL_SURFACE( '', #7931, 0.100000000000000 ); +#7320 = FACE_OUTER_BOUND( '', #7932, .T. ); +#7321 = CYLINDRICAL_SURFACE( '', #7933, 0.100000000000000 ); +#7322 = FACE_OUTER_BOUND( '', #7934, .T. ); +#7323 = CYLINDRICAL_SURFACE( '', #7935, 0.100000000000000 ); +#7324 = FACE_OUTER_BOUND( '', #7936, .T. ); +#7325 = CYLINDRICAL_SURFACE( '', #7937, 0.100000000000000 ); +#7326 = FACE_OUTER_BOUND( '', #7938, .T. ); +#7327 = SPHERICAL_SURFACE( '', #7939, 0.100000000000000 ); +#7328 = FACE_OUTER_BOUND( '', #7940, .T. ); +#7329 = CYLINDRICAL_SURFACE( '', #7941, 0.100000000000000 ); +#7330 = FACE_OUTER_BOUND( '', #7942, .T. ); +#7331 = CYLINDRICAL_SURFACE( '', #7943, 0.100000000000000 ); +#7332 = FACE_OUTER_BOUND( '', #7944, .T. ); +#7333 = CYLINDRICAL_SURFACE( '', #7945, 0.100000000000000 ); +#7334 = FACE_OUTER_BOUND( '', #7946, .T. ); +#7335 = SPHERICAL_SURFACE( '', #7947, 0.100000000000000 ); +#7336 = FACE_OUTER_BOUND( '', #7948, .T. ); +#7337 = CYLINDRICAL_SURFACE( '', #7949, 0.100000000000000 ); +#7338 = FACE_OUTER_BOUND( '', #7950, .T. ); +#7339 = CYLINDRICAL_SURFACE( '', #7951, 0.100000000000000 ); +#7340 = FACE_OUTER_BOUND( '', #7952, .T. ); +#7341 = CYLINDRICAL_SURFACE( '', #7953, 0.100000000000000 ); +#7342 = FACE_OUTER_BOUND( '', #7954, .T. ); +#7343 = SPHERICAL_SURFACE( '', #7955, 0.100000000000000 ); +#7344 = FACE_OUTER_BOUND( '', #7956, .T. ); +#7345 = CYLINDRICAL_SURFACE( '', #7957, 0.100000000000000 ); +#7346 = FACE_OUTER_BOUND( '', #7958, .T. ); +#7347 = CYLINDRICAL_SURFACE( '', #7959, 0.100000000000000 ); +#7348 = FACE_OUTER_BOUND( '', #7960, .T. ); +#7349 = CYLINDRICAL_SURFACE( '', #7961, 0.100000000000000 ); +#7350 = FACE_OUTER_BOUND( '', #7962, .T. ); +#7351 = SPHERICAL_SURFACE( '', #7963, 0.100000000000000 ); +#7352 = FACE_OUTER_BOUND( '', #7964, .T. ); +#7353 = SPHERICAL_SURFACE( '', #7965, 0.100000000000000 ); +#7354 = FACE_OUTER_BOUND( '', #7966, .T. ); +#7355 = CYLINDRICAL_SURFACE( '', #7967, 0.100000000000000 ); +#7356 = FACE_OUTER_BOUND( '', #7968, .T. ); +#7357 = CYLINDRICAL_SURFACE( '', #7969, 0.100000000000000 ); +#7358 = FACE_OUTER_BOUND( '', #7970, .T. ); +#7359 = SPHERICAL_SURFACE( '', #7971, 0.100000000000000 ); +#7360 = FACE_OUTER_BOUND( '', #7972, .T. ); +#7361 = CYLINDRICAL_SURFACE( '', #7973, 0.100000000000000 ); +#7362 = FACE_OUTER_BOUND( '', #7974, .T. ); +#7363 = CYLINDRICAL_SURFACE( '', #7975, 0.100000000000000 ); +#7364 = FACE_OUTER_BOUND( '', #7976, .T. ); +#7365 = SPHERICAL_SURFACE( '', #7977, 0.100000000000000 ); +#7366 = FACE_OUTER_BOUND( '', #7978, .T. ); +#7367 = SPHERICAL_SURFACE( '', #7979, 0.100000000000000 ); +#7368 = FACE_OUTER_BOUND( '', #7980, .T. ); +#7369 = CYLINDRICAL_SURFACE( '', #7981, 0.100000000000000 ); +#7370 = FACE_OUTER_BOUND( '', #7982, .T. ); +#7371 = CYLINDRICAL_SURFACE( '', #7983, 0.100000000000000 ); +#7372 = FACE_OUTER_BOUND( '', #7984, .T. ); +#7373 = CYLINDRICAL_SURFACE( '', #7985, 0.100000000000000 ); +#7374 = FACE_OUTER_BOUND( '', #7986, .T. ); +#7375 = CYLINDRICAL_SURFACE( '', #7987, 0.100000000000000 ); +#7376 = FACE_OUTER_BOUND( '', #7988, .T. ); +#7377 = SPHERICAL_SURFACE( '', #7989, 0.100000000000000 ); +#7378 = FACE_OUTER_BOUND( '', #7990, .T. ); +#7379 = CYLINDRICAL_SURFACE( '', #7991, 0.100000000000000 ); +#7380 = FACE_OUTER_BOUND( '', #7992, .T. ); +#7381 = SPHERICAL_SURFACE( '', #7993, 0.100000000000000 ); +#7382 = FACE_OUTER_BOUND( '', #7994, .T. ); +#7383 = CYLINDRICAL_SURFACE( '', #7995, 0.100000000000000 ); +#7384 = FACE_OUTER_BOUND( '', #7996, .T. ); +#7385 = SPHERICAL_SURFACE( '', #7997, 0.100000000000000 ); +#7386 = FACE_OUTER_BOUND( '', #7998, .T. ); +#7387 = CYLINDRICAL_SURFACE( '', #7999, 0.200000000000000 ); +#7388 = FACE_OUTER_BOUND( '', #8000, .T. ); +#7389 = CYLINDRICAL_SURFACE( '', #8001, 0.200000000000000 ); +#7390 = FACE_OUTER_BOUND( '', #8002, .T. ); +#7391 = CYLINDRICAL_SURFACE( '', #8003, 0.200000000000000 ); +#7392 = FILL_AREA_STYLE( '', ( #8004 ) ); +#7393 = EDGE_CURVE( '', #8005, #8006, #8007, .T. ); +#7394 = EDGE_CURVE( '', #8005, #8008, #8009, .F. ); +#7395 = EDGE_CURVE( '', #8008, #8010, #8011, .T. ); +#7396 = EDGE_CURVE( '', #8010, #8006, #8012, .T. ); +#7397 = FILL_AREA_STYLE( '', ( #8013 ) ); +#7398 = EDGE_CURVE( '', #8014, #8014, #8015, .F. ); +#7399 = EDGE_CURVE( '', #8016, #8016, #8017, .F. ); +#7400 = FILL_AREA_STYLE( '', ( #8018 ) ); +#7401 = EDGE_CURVE( '', #8019, #8019, #8020, .F. ); +#7402 = EDGE_CURVE( '', #8021, #8021, #8022, .F. ); +#7403 = FILL_AREA_STYLE( '', ( #8023 ) ); +#7404 = EDGE_CURVE( '', #8024, #8010, #8025, .T. ); +#7405 = EDGE_CURVE( '', #8026, #8010, #8027, .T. ); +#7406 = EDGE_CURVE( '', #8028, #8026, #8029, .T. ); +#7407 = EDGE_CURVE( '', #8024, #8028, #8030, .F. ); +#7408 = FILL_AREA_STYLE( '', ( #8031 ) ); +#7409 = EDGE_CURVE( '', #8032, #8033, #8034, .T. ); +#7410 = EDGE_CURVE( '', #8033, #8035, #8036, .F. ); +#7411 = EDGE_CURVE( '', #8037, #8035, #8038, .T. ); +#7412 = EDGE_CURVE( '', #8037, #8032, #8039, .T. ); +#7413 = FILL_AREA_STYLE( '', ( #8040 ) ); +#7414 = EDGE_CURVE( '', #8041, #8041, #8042, .T. ); +#7415 = FILL_AREA_STYLE( '', ( #8043 ) ); +#7416 = EDGE_CURVE( '', #8044, #8044, #8045, .F. ); +#7417 = EDGE_CURVE( '', #8046, #8046, #8047, .F. ); +#7418 = FILL_AREA_STYLE( '', ( #8048 ) ); +#7419 = EDGE_CURVE( '', #8049, #8049, #8050, .F. ); +#7420 = EDGE_CURVE( '', #8051, #8051, #8052, .F. ); +#7421 = FILL_AREA_STYLE( '', ( #8053 ) ); +#7422 = EDGE_CURVE( '', #8054, #8055, #8056, .T. ); +#7423 = EDGE_CURVE( '', #8057, #8055, #8058, .F. ); +#7424 = EDGE_CURVE( '', #8057, #8059, #8060, .T. ); +#7425 = EDGE_CURVE( '', #8054, #8059, #8061, .T. ); +#7426 = FILL_AREA_STYLE( '', ( #8062 ) ); +#7427 = EDGE_CURVE( '', #8063, #8063, #8064, .F. ); +#7428 = EDGE_CURVE( '', #8065, #8065, #8066, .F. ); +#7429 = FILL_AREA_STYLE( '', ( #8067 ) ); +#7430 = EDGE_CURVE( '', #8068, #8068, #8069, .F. ); +#7431 = EDGE_CURVE( '', #8070, #8070, #8071, .F. ); +#7432 = FILL_AREA_STYLE( '', ( #8072 ) ); +#7433 = EDGE_CURVE( '', #8073, #8073, #8074, .F. ); +#7434 = EDGE_CURVE( '', #8075, #8075, #8076, .T. ); +#7435 = FILL_AREA_STYLE( '', ( #8077 ) ); +#7436 = EDGE_CURVE( '', #8078, #8078, #8079, .F. ); +#7437 = EDGE_CURVE( '', #8080, #8080, #8081, .F. ); +#7438 = FILL_AREA_STYLE( '', ( #8082 ) ); +#7439 = EDGE_CURVE( '', #8083, #8083, #8084, .F. ); +#7440 = EDGE_CURVE( '', #8085, #8085, #8086, .F. ); +#7441 = FILL_AREA_STYLE( '', ( #8087 ) ); +#7442 = EDGE_CURVE( '', #8088, #8088, #8089, .F. ); +#7443 = FILL_AREA_STYLE( '', ( #8090 ) ); +#7444 = EDGE_CURVE( '', #8091, #8091, #8092, .F. ); +#7445 = EDGE_CURVE( '', #8093, #8093, #8094, .F. ); +#7446 = FILL_AREA_STYLE( '', ( #8095 ) ); +#7447 = EDGE_CURVE( '', #8096, #8096, #8097, .F. ); +#7448 = EDGE_CURVE( '', #8098, #8098, #8099, .F. ); +#7449 = FILL_AREA_STYLE( '', ( #8100 ) ); +#7450 = EDGE_CURVE( '', #8101, #8101, #8102, .F. ); +#7451 = EDGE_CURVE( '', #8103, #8103, #8104, .F. ); +#7452 = FILL_AREA_STYLE( '', ( #8105 ) ); +#7453 = EDGE_CURVE( '', #8106, #8106, #8107, .T. ); +#7454 = FILL_AREA_STYLE( '', ( #8108 ) ); +#7455 = EDGE_CURVE( '', #8109, #8109, #8110, .F. ); +#7456 = EDGE_CURVE( '', #8111, #8111, #8112, .F. ); +#7457 = FILL_AREA_STYLE( '', ( #8113 ) ); +#7458 = EDGE_CURVE( '', #8032, #8114, #8115, .T. ); +#7459 = EDGE_CURVE( '', #8116, #8114, #8117, .F. ); +#7460 = EDGE_CURVE( '', #8118, #8116, #8119, .T. ); +#7461 = EDGE_CURVE( '', #8032, #8118, #8120, .T. ); +#7462 = FILL_AREA_STYLE( '', ( #8121 ) ); +#7463 = EDGE_CURVE( '', #8122, #8122, #8123, .F. ); +#7464 = EDGE_CURVE( '', #8124, #8124, #8125, .F. ); +#7465 = FILL_AREA_STYLE( '', ( #8126 ) ); +#7466 = EDGE_CURVE( '', #8127, #8128, #8129, .T. ); +#7467 = EDGE_CURVE( '', #8127, #8130, #8131, .F. ); +#7468 = EDGE_CURVE( '', #8130, #8132, #8133, .T. ); +#7469 = EDGE_CURVE( '', #8132, #8128, #8134, .T. ); +#7470 = FILL_AREA_STYLE( '', ( #8135 ) ); +#7471 = EDGE_CURVE( '', #8136, #8136, #8137, .F. ); +#7472 = FILL_AREA_STYLE( '', ( #8138 ) ); +#7473 = EDGE_CURVE( '', #8139, #8139, #8140, .F. ); +#7474 = EDGE_CURVE( '', #8141, #8141, #8142, .F. ); +#7475 = FILL_AREA_STYLE( '', ( #8143 ) ); +#7476 = EDGE_CURVE( '', #8144, #8145, #8146, .T. ); +#7477 = EDGE_CURVE( '', #8145, #8147, #8148, .T. ); +#7478 = EDGE_CURVE( '', #8147, #8149, #8150, .T. ); +#7479 = EDGE_CURVE( '', #8149, #8151, #8152, .T. ); +#7480 = EDGE_CURVE( '', #8151, #8153, #8154, .T. ); +#7481 = EDGE_CURVE( '', #8153, #8155, #8156, .T. ); +#7482 = EDGE_CURVE( '', #8155, #8157, #8158, .T. ); +#7483 = EDGE_CURVE( '', #8157, #8144, #8159, .T. ); +#7484 = EDGE_CURVE( '', #8160, #8161, #8162, .F. ); +#7485 = EDGE_CURVE( '', #8161, #8163, #8164, .F. ); +#7486 = EDGE_CURVE( '', #8163, #8165, #8166, .F. ); +#7487 = EDGE_CURVE( '', #8165, #8116, #8167, .F. ); +#7488 = EDGE_CURVE( '', #8114, #8168, #8169, .F. ); +#7489 = EDGE_CURVE( '', #8168, #8170, #8171, .F. ); +#7490 = EDGE_CURVE( '', #8170, #8160, #8172, .F. ); +#7491 = FILL_AREA_STYLE( '', ( #8173 ) ); +#7492 = EDGE_CURVE( '', #8174, #8174, #8175, .F. ); +#7493 = FILL_AREA_STYLE( '', ( #8176 ) ); +#7494 = EDGE_CURVE( '', #8177, #8177, #8178, .F. ); +#7495 = FILL_AREA_STYLE( '', ( #8179 ) ); +#7496 = EDGE_CURVE( '', #8180, #8180, #8181, .T. ); +#7497 = EDGE_CURVE( '', #8182, #8182, #8183, .F. ); +#7498 = FILL_AREA_STYLE( '', ( #8184 ) ); +#7499 = EDGE_CURVE( '', #8185, #8185, #8186, .F. ); +#7500 = EDGE_CURVE( '', #8187, #8187, #8188, .F. ); +#7501 = FILL_AREA_STYLE( '', ( #8189 ) ); +#7502 = EDGE_CURVE( '', #8190, #8190, #8191, .F. ); +#7503 = EDGE_CURVE( '', #8192, #8192, #8193, .F. ); +#7504 = FILL_AREA_STYLE( '', ( #8194 ) ); +#7505 = EDGE_CURVE( '', #8195, #8195, #8196, .F. ); +#7506 = EDGE_CURVE( '', #8197, #8197, #8198, .F. ); +#7507 = FILL_AREA_STYLE( '', ( #8199 ) ); +#7508 = EDGE_CURVE( '', #8200, #8200, #8201, .F. ); +#7509 = FILL_AREA_STYLE( '', ( #8202 ) ); +#7510 = EDGE_CURVE( '', #8203, #8203, #8204, .F. ); +#7511 = EDGE_CURVE( '', #8205, #8205, #8206, .F. ); +#7512 = FILL_AREA_STYLE( '', ( #8207 ) ); +#7513 = EDGE_CURVE( '', #8008, #8153, #8208, .T. ); +#7514 = EDGE_CURVE( '', #8209, #8151, #8210, .T. ); +#7515 = EDGE_CURVE( '', #8008, #8209, #8211, .F. ); +#7516 = FILL_AREA_STYLE( '', ( #8212 ) ); +#7517 = EDGE_CURVE( '', #8213, #8213, #8214, .F. ); +#7518 = FILL_AREA_STYLE( '', ( #8215 ) ); +#7519 = EDGE_CURVE( '', #8216, #8217, #8218, .T. ); +#7520 = EDGE_CURVE( '', #8059, #8217, #8219, .T. ); +#7521 = EDGE_CURVE( '', #8216, #8057, #8220, .F. ); +#7522 = FILL_AREA_STYLE( '', ( #8221 ) ); +#7523 = EDGE_CURVE( '', #8222, #8222, #8223, .F. ); +#7524 = FILL_AREA_STYLE( '', ( #8224 ) ); +#7525 = EDGE_CURVE( '', #8225, #8225, #8226, .F. ); +#7526 = FILL_AREA_STYLE( '', ( #8227 ) ); +#7527 = EDGE_CURVE( '', #8228, #8228, #8229, .F. ); +#7528 = EDGE_CURVE( '', #8230, #8230, #8231, .T. ); +#7529 = FILL_AREA_STYLE( '', ( #8232 ) ); +#7530 = FILL_AREA_STYLE( '', ( #8233 ) ); +#7531 = EDGE_CURVE( '', #8234, #8235, #8236, .T. ); +#7532 = EDGE_CURVE( '', #8237, #8235, #8238, .F. ); +#7533 = EDGE_CURVE( '', #8239, #8237, #8240, .T. ); +#7534 = EDGE_CURVE( '', #8234, #8239, #8241, .T. ); +#7535 = FILL_AREA_STYLE( '', ( #8242 ) ); +#7536 = EDGE_CURVE( '', #8243, #8243, #8244, .F. ); +#7537 = FILL_AREA_STYLE( '', ( #8245 ) ); +#7538 = EDGE_CURVE( '', #8246, #8246, #8247, .F. ); +#7539 = FILL_AREA_STYLE( '', ( #8248 ) ); +#7540 = EDGE_CURVE( '', #8249, #8249, #8250, .F. ); +#7541 = EDGE_CURVE( '', #8251, #8251, #8252, .F. ); +#7542 = FILL_AREA_STYLE( '', ( #8253 ) ); +#7543 = EDGE_CURVE( '', #8254, #8255, #8256, .T. ); +#7544 = EDGE_CURVE( '', #8254, #8257, #8258, .F. ); +#7545 = EDGE_CURVE( '', #8257, #8259, #8260, .T. ); +#7546 = EDGE_CURVE( '', #8259, #8255, #8261, .T. ); +#7547 = FILL_AREA_STYLE( '', ( #8262 ) ); +#7548 = EDGE_CURVE( '', #8263, #8263, #8264, .F. ); +#7549 = EDGE_CURVE( '', #8265, #8265, #8266, .F. ); +#7550 = FILL_AREA_STYLE( '', ( #8267 ) ); +#7551 = EDGE_CURVE( '', #8268, #8268, #8269, .F. ); +#7552 = EDGE_CURVE( '', #8270, #8270, #8271, .F. ); +#7553 = FILL_AREA_STYLE( '', ( #8272 ) ); +#7554 = EDGE_CURVE( '', #8273, #8149, #8274, .T. ); +#7555 = EDGE_CURVE( '', #8209, #8273, #8275, .F. ); +#7556 = FILL_AREA_STYLE( '', ( #8276 ) ); +#7557 = EDGE_CURVE( '', #8277, #8277, #8278, .F. ); +#7558 = FILL_AREA_STYLE( '', ( #8279 ) ); +#7559 = EDGE_CURVE( '', #8127, #8147, #8280, .T. ); +#7560 = EDGE_CURVE( '', #8273, #8127, #8281, .F. ); +#7561 = FILL_AREA_STYLE( '', ( #8282 ) ); +#7562 = EDGE_CURVE( '', #8283, #8283, #8284, .F. ); +#7563 = EDGE_CURVE( '', #8285, #8285, #8286, .F. ); +#7564 = FILL_AREA_STYLE( '', ( #8287 ) ); +#7565 = EDGE_CURVE( '', #8288, #8165, #8289, .T. ); +#7566 = EDGE_CURVE( '', #8118, #8288, #8290, .T. ); +#7567 = FILL_AREA_STYLE( '', ( #8291 ) ); +#7568 = EDGE_CURVE( '', #8292, #8292, #8293, .F. ); +#7569 = EDGE_CURVE( '', #8294, #8294, #8295, .F. ); +#7570 = FILL_AREA_STYLE( '', ( #8296 ) ); +#7571 = EDGE_CURVE( '', #8297, #8297, #8298, .F. ); +#7572 = EDGE_CURVE( '', #8299, #8299, #8300, .F. ); +#7573 = FILL_AREA_STYLE( '', ( #8301 ) ); +#7574 = EDGE_CURVE( '', #8302, #8057, #8303, .T. ); +#7575 = EDGE_CURVE( '', #8304, #8055, #8305, .T. ); +#7576 = EDGE_CURVE( '', #8304, #8302, #8306, .T. ); +#7577 = FILL_AREA_STYLE( '', ( #8307 ) ); +#7578 = EDGE_CURVE( '', #8308, #8308, #8309, .F. ); +#7579 = FILL_AREA_STYLE( '', ( #8310 ) ); +#7580 = FILL_AREA_STYLE( '', ( #8311 ) ); +#7581 = EDGE_CURVE( '', #8312, #8312, #8313, .F. ); +#7582 = FILL_AREA_STYLE( '', ( #8314 ) ); +#7583 = EDGE_CURVE( '', #8315, #8006, #8316, .T. ); +#7584 = EDGE_CURVE( '', #8315, #8024, #8317, .F. ); +#7585 = FILL_AREA_STYLE( '', ( #8318 ) ); +#7586 = EDGE_CURVE( '', #8132, #8319, #8320, .T. ); +#7587 = EDGE_CURVE( '', #8321, #8319, #8322, .F. ); +#7588 = EDGE_CURVE( '', #8128, #8321, #8323, .T. ); +#7589 = FILL_AREA_STYLE( '', ( #8324 ) ); +#7590 = EDGE_CURVE( '', #8257, #8005, #8325, .F. ); +#7591 = EDGE_CURVE( '', #8006, #8259, #8326, .T. ); +#7592 = FILL_AREA_STYLE( '', ( #8327 ) ); +#7593 = FILL_AREA_STYLE( '', ( #8328 ) ); +#7594 = EDGE_CURVE( '', #8055, #8237, #8329, .F. ); +#7595 = EDGE_CURVE( '', #8239, #8054, #8330, .T. ); +#7596 = FILL_AREA_STYLE( '', ( #8331 ) ); +#7597 = EDGE_CURVE( '', #8332, #8333, #8334, .T. ); +#7598 = EDGE_CURVE( '', #8333, #8216, #8335, .F. ); +#7599 = EDGE_CURVE( '', #8336, #8216, #8337, .T. ); +#7600 = EDGE_CURVE( '', #8336, #8332, #8338, .T. ); +#7601 = FILL_AREA_STYLE( '', ( #8339 ) ); +#7602 = EDGE_CURVE( '', #8340, #8340, #8341, .F. ); +#7603 = FILL_AREA_STYLE( '', ( #8342 ) ); +#7604 = EDGE_CURVE( '', #8343, #8343, #8344, .F. ); +#7605 = EDGE_CURVE( '', #8345, #8345, #8346, .F. ); +#7606 = FILL_AREA_STYLE( '', ( #8347 ) ); +#7607 = EDGE_CURVE( '', #8348, #8348, #8349, .F. ); +#7608 = EDGE_CURVE( '', #8350, #8350, #8351, .F. ); +#7609 = FILL_AREA_STYLE( '', ( #8352 ) ); +#7610 = EDGE_CURVE( '', #8353, #8259, #8354, .T. ); +#7611 = EDGE_CURVE( '', #8355, #8353, #8356, .F. ); +#7612 = EDGE_CURVE( '', #8255, #8355, #8357, .T. ); +#7613 = FILL_AREA_STYLE( '', ( #8358 ) ); +#7614 = EDGE_CURVE( '', #8359, #8359, #8360, .F. ); +#7615 = FILL_AREA_STYLE( '', ( #8361 ) ); +#7616 = FILL_AREA_STYLE( '', ( #8362 ) ); +#7617 = EDGE_CURVE( '', #8353, #8315, #8363, .F. ); +#7618 = FILL_AREA_STYLE( '', ( #8364 ) ); +#7619 = EDGE_CURVE( '', #8365, #8365, #8366, .F. ); +#7620 = FILL_AREA_STYLE( '', ( #8367 ) ); +#7621 = EDGE_CURVE( '', #8368, #8368, #8369, .F. ); +#7622 = FILL_AREA_STYLE( '', ( #8370 ) ); +#7623 = EDGE_CURVE( '', #8371, #8371, #8372, .F. ); +#7624 = FILL_AREA_STYLE( '', ( #8373 ) ); +#7625 = EDGE_CURVE( '', #8374, #8374, #8375, .F. ); +#7626 = EDGE_CURVE( '', #8376, #8376, #8377, .F. ); +#7627 = FILL_AREA_STYLE( '', ( #8378 ) ); +#7628 = EDGE_CURVE( '', #8379, #8379, #8380, .F. ); +#7629 = FILL_AREA_STYLE( '', ( #8381 ) ); +#7630 = EDGE_CURVE( '', #8382, #8321, #8383, .F. ); +#7631 = EDGE_CURVE( '', #8384, #8382, #8385, .T. ); +#7632 = EDGE_CURVE( '', #8128, #8384, #8386, .T. ); +#7633 = FILL_AREA_STYLE( '', ( #8387 ) ); +#7634 = FILL_AREA_STYLE( '', ( #8388 ) ); +#7635 = EDGE_CURVE( '', #8389, #8389, #8390, .F. ); +#7636 = FILL_AREA_STYLE( '', ( #8391 ) ); +#7637 = EDGE_CURVE( '', #8155, #8005, #8392, .T. ); +#7638 = EDGE_CURVE( '', #8157, #8257, #8393, .T. ); +#7639 = FILL_AREA_STYLE( '', ( #8394 ) ); +#7640 = EDGE_CURVE( '', #8395, #8395, #8396, .F. ); +#7641 = EDGE_CURVE( '', #8397, #8397, #8398, .T. ); +#7642 = FILL_AREA_STYLE( '', ( #8399 ) ); +#7643 = FILL_AREA_STYLE( '', ( #8400 ) ); +#7644 = EDGE_CURVE( '', #8273, #8384, #8401, .T. ); +#7645 = FILL_AREA_STYLE( '', ( #8402 ) ); +#7646 = EDGE_CURVE( '', #8118, #8235, #8403, .T. ); +#7647 = EDGE_CURVE( '', #8235, #8033, #8404, .F. ); +#7648 = FILL_AREA_STYLE( '', ( #8405 ) ); +#7649 = EDGE_CURVE( '', #8406, #8406, #8407, .T. ); +#7650 = FILL_AREA_STYLE( '', ( #8408 ) ); +#7651 = EDGE_CURVE( '', #8409, #8409, #8410, .F. ); +#7652 = FILL_AREA_STYLE( '', ( #8411 ) ); +#7653 = EDGE_CURVE( '', #8412, #8412, #8413, .F. ); +#7654 = FILL_AREA_STYLE( '', ( #8414 ) ); +#7655 = EDGE_CURVE( '', #8415, #8415, #8416, .F. ); +#7656 = FILL_AREA_STYLE( '', ( #8417 ) ); +#7657 = EDGE_CURVE( '', #8209, #8026, #8418, .T. ); +#7658 = FILL_AREA_STYLE( '', ( #8419 ) ); +#7659 = EDGE_CURVE( '', #8160, #8336, #8420, .T. ); +#7660 = EDGE_CURVE( '', #8302, #8336, #8421, .T. ); +#7661 = EDGE_CURVE( '', #8161, #8302, #8422, .T. ); +#7662 = FILL_AREA_STYLE( '', ( #8423 ) ); +#7663 = EDGE_CURVE( '', #8424, #8424, #8425, .F. ); +#7664 = EDGE_CURVE( '', #8426, #8426, #8427, .F. ); +#7665 = FILL_AREA_STYLE( '', ( #8428 ) ); +#7666 = FILL_AREA_STYLE( '', ( #8429 ) ); +#7667 = EDGE_CURVE( '', #8288, #8237, #8430, .T. ); +#7668 = EDGE_CURVE( '', #8288, #8304, #8431, .T. ); +#7669 = FILL_AREA_STYLE( '', ( #8432 ) ); +#7670 = EDGE_CURVE( '', #8217, #8433, #8434, .T. ); +#7671 = EDGE_CURVE( '', #8433, #8435, #8436, .T. ); +#7672 = EDGE_CURVE( '', #8435, #8437, #8438, .T. ); +#7673 = EDGE_CURVE( '', #8437, #8234, #8439, .T. ); +#7674 = EDGE_CURVE( '', #8028, #8382, #8440, .F. ); +#7675 = EDGE_CURVE( '', #8319, #8355, #8441, .F. ); +#7676 = FILL_AREA_STYLE( '', ( #8442 ) ); +#7677 = EDGE_CURVE( '', #8144, #8254, #8443, .T. ); +#7678 = EDGE_CURVE( '', #8130, #8254, #8444, .F. ); +#7679 = EDGE_CURVE( '', #8145, #8130, #8445, .T. ); +#7680 = FILL_AREA_STYLE( '', ( #8446 ) ); +#7681 = FILL_AREA_STYLE( '', ( #8447 ) ); +#7682 = EDGE_CURVE( '', #8448, #8448, #8449, .F. ); +#7683 = FILL_AREA_STYLE( '', ( #8450 ) ); +#7684 = EDGE_CURVE( '', #8451, #8451, #8452, .F. ); +#7685 = EDGE_CURVE( '', #8453, #8453, #8454, .F. ); +#7686 = FILL_AREA_STYLE( '', ( #8455 ) ); +#7687 = EDGE_CURVE( '', #8456, #8456, #8457, .F. ); +#7688 = EDGE_CURVE( '', #8458, #8458, #8459, .F. ); +#7689 = FILL_AREA_STYLE( '', ( #8460 ) ); +#7690 = FILL_AREA_STYLE( '', ( #8461 ) ); +#7691 = EDGE_CURVE( '', #8462, #8462, #8463, .F. ); +#7692 = FILL_AREA_STYLE( '', ( #8464 ) ); +#7693 = EDGE_CURVE( '', #8333, #8433, #8465, .T. ); +#7694 = FILL_AREA_STYLE( '', ( #8466 ) ); +#7695 = FILL_AREA_STYLE( '', ( #8467 ) ); +#7696 = FILL_AREA_STYLE( '', ( #8468 ) ); +#7697 = FILL_AREA_STYLE( '', ( #8469 ) ); +#7698 = EDGE_CURVE( '', #8035, #8435, #8470, .T. ); +#7699 = EDGE_CURVE( '', #8035, #8333, #8471, .F. ); +#7700 = FILL_AREA_STYLE( '', ( #8472 ) ); +#7701 = EDGE_CURVE( '', #8473, #8473, #8474, .F. ); +#7702 = FILL_AREA_STYLE( '', ( #8475 ) ); +#7703 = FILL_AREA_STYLE( '', ( #8476 ) ); +#7704 = EDGE_CURVE( '', #8384, #8026, #8477, .T. ); +#7705 = FILL_AREA_STYLE( '', ( #8478 ) ); +#7706 = EDGE_CURVE( '', #8168, #8037, #8479, .T. ); +#7707 = FILL_AREA_STYLE( '', ( #8480 ) ); +#7708 = EDGE_CURVE( '', #8481, #8481, #8482, .F. ); +#7709 = FILL_AREA_STYLE( '', ( #8483 ) ); +#7710 = FILL_AREA_STYLE( '', ( #8484 ) ); +#7711 = FILL_AREA_STYLE( '', ( #8485 ) ); +#7712 = EDGE_CURVE( '', #8170, #8332, #8486, .T. ); +#7713 = FILL_AREA_STYLE( '', ( #8487 ) ); +#7714 = FILL_AREA_STYLE( '', ( #8488 ) ); +#7715 = EDGE_CURVE( '', #8255, #8132, #8489, .T. ); +#7716 = FILL_AREA_STYLE( '', ( #8490 ) ); +#7717 = FILL_AREA_STYLE( '', ( #8491 ) ); +#7718 = EDGE_CURVE( '', #8437, #8033, #8492, .T. ); +#7719 = FILL_AREA_STYLE( '', ( #8493 ) ); +#7720 = EDGE_CURVE( '', #8494, #8494, #8495, .F. ); +#7721 = FILL_AREA_STYLE( '', ( #8496 ) ); +#7722 = EDGE_CURVE( '', #8497, #8497, #8498, .F. ); +#7723 = FILL_AREA_STYLE( '', ( #8499 ) ); +#7724 = EDGE_CURVE( '', #8500, #8500, #8501, .F. ); +#7725 = FILL_AREA_STYLE( '', ( #8502 ) ); +#7726 = EDGE_CURVE( '', #8304, #8163, #8503, .T. ); +#7727 = FILL_AREA_STYLE( '', ( #8504 ) ); +#7728 = FILL_AREA_STYLE( '', ( #8505 ) ); +#7729 = FILL_AREA_STYLE( '', ( #8506 ) ); +#7730 = EDGE_CURVE( '', #8332, #8037, #8507, .T. ); +#7731 = FILL_AREA_STYLE( '', ( #8508 ) ); +#7732 = EDGE_CURVE( '', #8509, #8509, #8510, .F. ); +#7733 = FILL_AREA_STYLE( '', ( #8511 ) ); +#7734 = EDGE_CURVE( '', #8512, #8512, #8513, .F. ); +#7735 = FILL_AREA_STYLE( '', ( #8514 ) ); +#7736 = FILL_AREA_STYLE( '', ( #8515 ) ); +#7737 = FILL_AREA_STYLE( '', ( #8516 ) ); +#7738 = FILL_AREA_STYLE( '', ( #8517 ) ); +#7739 = FILL_AREA_STYLE( '', ( #8518 ) ); +#7740 = EDGE_CURVE( '', #8519, #8519, #8520, .F. ); +#7741 = FILL_AREA_STYLE( '', ( #8521 ) ); +#7742 = EDGE_CURVE( '', #8522, #8522, #8523, .T. ); +#7743 = FILL_AREA_STYLE( '', ( #8524 ) ); +#7744 = FILL_AREA_STYLE( '', ( #8525 ) ); +#7745 = FILL_AREA_STYLE( '', ( #8526 ) ); +#7746 = FILL_AREA_STYLE( '', ( #8527 ) ); +#7747 = FILL_AREA_STYLE( '', ( #8528 ) ); +#7748 = FILL_AREA_STYLE( '', ( #8529 ) ); +#7749 = FILL_AREA_STYLE( '', ( #8530 ) ); +#7750 = FILL_AREA_STYLE( '', ( #8531 ) ); +#7751 = FILL_AREA_STYLE( '', ( #8532 ) ); +#7752 = EDGE_CURVE( '', #8533, #8533, #8534, .F. ); +#7753 = FILL_AREA_STYLE( '', ( #8535 ) ); +#7754 = FILL_AREA_STYLE( '', ( #8536 ) ); +#7755 = FILL_AREA_STYLE( '', ( #8537 ) ); +#7756 = FILL_AREA_STYLE( '', ( #8538 ) ); +#7757 = FILL_AREA_STYLE( '', ( #8539 ) ); +#7758 = FILL_AREA_STYLE( '', ( #8540 ) ); +#7759 = FILL_AREA_STYLE( '', ( #8541 ) ); +#7760 = FILL_AREA_STYLE( '', ( #8542 ) ); +#7761 = FILL_AREA_STYLE( '', ( #8543 ) ); +#7762 = FILL_AREA_STYLE( '', ( #8544 ) ); +#7763 = FILL_AREA_STYLE( '', ( #8545 ) ); +#7764 = FILL_AREA_STYLE( '', ( #8546 ) ); +#7765 = FILL_AREA_STYLE( '', ( #8547 ) ); +#7766 = FILL_AREA_STYLE( '', ( #8548 ) ); +#7767 = FILL_AREA_STYLE( '', ( #8549 ) ); +#7768 = EDGE_LOOP( '', ( #8550, #8551, #8552, #8553, #8554, #8555, #8556, #8557, #8558, #8559, #8560, #8561, #8562, #8563, #8564, #8565, #8566, #8567, #8568, #8569, #8570, #8571, #8572, #8573 ) ); +#7769 = AXIS2_PLACEMENT_3D( '', #8574, #8575, #8576 ); +#7770 = EDGE_LOOP( '', ( #8577, #8578, #8579, #8580 ) ); +#7771 = AXIS2_PLACEMENT_3D( '', #8581, #8582, #8583 ); +#7772 = EDGE_LOOP( '', ( #8584, #8585, #8586, #8587, #8588, #8589, #8590, #8591, #8592, #8593, #8594, #8595 ) ); +#7773 = AXIS2_PLACEMENT_3D( '', #8596, #8597, #8598 ); +#7774 = EDGE_LOOP( '', ( #8599, #8600, #8601, #8602 ) ); +#7775 = AXIS2_PLACEMENT_3D( '', #8603, #8604, #8605 ); +#7776 = EDGE_LOOP( '', ( #8606, #8607, #8608 ) ); +#7777 = AXIS2_PLACEMENT_3D( '', #8609, #8610, #8611 ); +#7778 = EDGE_LOOP( '', ( #8612, #8613, #8614, #8615 ) ); +#7779 = AXIS2_PLACEMENT_3D( '', #8616, #8617, #8618 ); +#7780 = EDGE_LOOP( '', ( #8619, #8620, #8621, #8622, #8623, #8624, #8625, #8626, #8627, #8628, #8629, #8630 ) ); +#7781 = EDGE_LOOP( '', ( #8631 ) ); +#7782 = AXIS2_PLACEMENT_3D( '', #8632, #8633, #8634 ); +#7783 = EDGE_LOOP( '', ( #8635 ) ); +#7784 = EDGE_LOOP( '', ( #8636, #8637, #8638, #8639, #8640, #8641, #8642, #8643, #8644, #8645, #8646, #8647 ) ); +#7785 = AXIS2_PLACEMENT_3D( '', #8648, #8649, #8650 ); +#7786 = EDGE_LOOP( '', ( #8651, #8652, #8653, #8654 ) ); +#7787 = AXIS2_PLACEMENT_3D( '', #8655, #8656, #8657 ); +#7788 = EDGE_LOOP( '', ( #8658, #8659, #8660, #8661 ) ); +#7789 = AXIS2_PLACEMENT_3D( '', #8662, #8663, #8664 ); +#7790 = EDGE_LOOP( '', ( #8665, #8666, #8667 ) ); +#7791 = AXIS2_PLACEMENT_3D( '', #8668, #8669, #8670 ); +#7792 = EDGE_LOOP( '', ( #8671, #8672, #8673, #8674 ) ); +#7793 = AXIS2_PLACEMENT_3D( '', #8675, #8676, #8677 ); +#7794 = EDGE_LOOP( '', ( #8678, #8679, #8680, #8681 ) ); +#7795 = AXIS2_PLACEMENT_3D( '', #8682, #8683, #8684 ); +#7796 = EDGE_LOOP( '', ( #8685, #8686, #8687, #8688, #8689, #8690, #8691, #8692 ) ); +#7797 = AXIS2_PLACEMENT_3D( '', #8693, #8694, #8695 ); +#7798 = EDGE_LOOP( '', ( #8696, #8697, #8698, #8699 ) ); +#7799 = AXIS2_PLACEMENT_3D( '', #8700, #8701, #8702 ); +#7800 = EDGE_LOOP( '', ( #8703, #8704, #8705, #8706, #8707, #8708, #8709, #8710 ) ); +#7801 = AXIS2_PLACEMENT_3D( '', #8711, #8712, #8713 ); +#7802 = EDGE_LOOP( '', ( #8714, #8715, #8716, #8717 ) ); +#7803 = AXIS2_PLACEMENT_3D( '', #8718, #8719, #8720 ); +#7804 = EDGE_LOOP( '', ( #8721, #8722, #8723, #8724 ) ); +#7805 = AXIS2_PLACEMENT_3D( '', #8725, #8726, #8727 ); +#7806 = EDGE_LOOP( '', ( #8728, #8729, #8730 ) ); +#7807 = AXIS2_PLACEMENT_3D( '', #8731, #8732, #8733 ); +#7808 = EDGE_LOOP( '', ( #8734, #8735, #8736, #8737 ) ); +#7809 = AXIS2_PLACEMENT_3D( '', #8738, #8739, #8740 ); +#7810 = EDGE_LOOP( '', ( #8741, #8742, #8743 ) ); +#7811 = AXIS2_PLACEMENT_3D( '', #8744, #8745, #8746 ); +#7812 = EDGE_LOOP( '', ( #8747, #8748, #8749, #8750 ) ); +#7813 = AXIS2_PLACEMENT_3D( '', #8751, #8752, #8753 ); +#7814 = EDGE_LOOP( '', ( #8754 ) ); +#7815 = EDGE_LOOP( '', ( #8755, #8756, #8757, #8758, #8759, #8760, #8761, #8762, #8763, #8764, #8765, #8766 ) ); +#7816 = AXIS2_PLACEMENT_3D( '', #8767, #8768, #8769 ); +#7817 = EDGE_LOOP( '', ( #8770, #8771, #8772, #8773, #8774, #8775, #8776, #8777, #8778, #8779, #8780, #8781 ) ); +#7818 = AXIS2_PLACEMENT_3D( '', #8782, #8783, #8784 ); +#7819 = EDGE_LOOP( '', ( #8785, #8786, #8787, #8788 ) ); +#7820 = AXIS2_PLACEMENT_3D( '', #8789, #8790, #8791 ); +#7821 = EDGE_LOOP( '', ( #8792, #8793, #8794, #8795 ) ); +#7822 = AXIS2_PLACEMENT_3D( '', #8796, #8797, #8798 ); +#7823 = EDGE_LOOP( '', ( #8799, #8800, #8801, #8802 ) ); +#7824 = AXIS2_PLACEMENT_3D( '', #8803, #8804, #8805 ); +#7825 = EDGE_LOOP( '', ( #8806, #8807, #8808, #8809 ) ); +#7826 = AXIS2_PLACEMENT_3D( '', #8810, #8811, #8812 ); +#7827 = EDGE_LOOP( '', ( #8813, #8814, #8815, #8816 ) ); +#7828 = AXIS2_PLACEMENT_3D( '', #8817, #8818, #8819 ); +#7829 = EDGE_LOOP( '', ( #8820, #8821, #8822, #8823, #8824, #8825, #8826, #8827 ) ); +#7830 = AXIS2_PLACEMENT_3D( '', #8828, #8829, #8830 ); +#7831 = EDGE_LOOP( '', ( #8831, #8832, #8833, #8834 ) ); +#7832 = AXIS2_PLACEMENT_3D( '', #8835, #8836, #8837 ); +#7833 = EDGE_LOOP( '', ( #8838, #8839, #8840, #8841 ) ); +#7834 = AXIS2_PLACEMENT_3D( '', #8842, #8843, #8844 ); +#7835 = EDGE_LOOP( '', ( #8845, #8846, #8847, #8848 ) ); +#7836 = AXIS2_PLACEMENT_3D( '', #8849, #8850, #8851 ); +#7837 = EDGE_LOOP( '', ( #8852, #8853, #8854, #8855, #8856, #8857, #8858, #8859, #8860, #8861, #8862, #8863 ) ); +#7838 = EDGE_LOOP( '', ( #8864 ) ); +#7839 = AXIS2_PLACEMENT_3D( '', #8865, #8866, #8867 ); +#7840 = EDGE_LOOP( '', ( #8868, #8869, #8870, #8871 ) ); +#7841 = AXIS2_PLACEMENT_3D( '', #8872, #8873, #8874 ); +#7842 = EDGE_LOOP( '', ( #8875, #8876, #8877, #8878, #8879, #8880, #8881, #8882 ) ); +#7843 = AXIS2_PLACEMENT_3D( '', #8883, #8884, #8885 ); +#7844 = EDGE_LOOP( '', ( #8886, #8887, #8888, #8889 ) ); +#7845 = AXIS2_PLACEMENT_3D( '', #8890, #8891, #8892 ); +#7846 = EDGE_LOOP( '', ( #8893, #8894, #8895, #8896 ) ); +#7847 = AXIS2_PLACEMENT_3D( '', #8897, #8898, #8899 ); +#7848 = EDGE_LOOP( '', ( #8900, #8901, #8902, #8903, #8904, #8905, #8906, #8907 ) ); +#7849 = AXIS2_PLACEMENT_3D( '', #8908, #8909, #8910 ); +#7850 = EDGE_LOOP( '', ( #8911, #8912, #8913, #8914, #8915, #8916, #8917, #8918 ) ); +#7851 = AXIS2_PLACEMENT_3D( '', #8919, #8920, #8921 ); +#7852 = EDGE_LOOP( '', ( #8922, #8923, #8924, #8925, #8926, #8927, #8928, #8929 ) ); +#7853 = AXIS2_PLACEMENT_3D( '', #8930, #8931, #8932 ); +#7854 = EDGE_LOOP( '', ( #8933, #8934, #8935, #8936, #8937, #8938, #8939, #8940 ) ); +#7855 = AXIS2_PLACEMENT_3D( '', #8941, #8942, #8943 ); +#7856 = EDGE_LOOP( '', ( #8944, #8945, #8946, #8947 ) ); +#7857 = AXIS2_PLACEMENT_3D( '', #8948, #8949, #8950 ); +#7858 = EDGE_LOOP( '', ( #8951, #8952, #8953, #8954 ) ); +#7859 = AXIS2_PLACEMENT_3D( '', #8955, #8956, #8957 ); +#7860 = EDGE_LOOP( '', ( #8958, #8959, #8960, #8961 ) ); +#7861 = AXIS2_PLACEMENT_3D( '', #8962, #8963, #8964 ); +#7862 = EDGE_LOOP( '', ( #8965 ) ); +#7863 = AXIS2_PLACEMENT_3D( '', #8966, #8967, #8968 ); +#7864 = EDGE_LOOP( '', ( #8969 ) ); +#7865 = EDGE_LOOP( '', ( #8970 ) ); +#7866 = AXIS2_PLACEMENT_3D( '', #8971, #8972, #8973 ); +#7867 = EDGE_LOOP( '', ( #8974 ) ); +#7868 = AXIS2_PLACEMENT_3D( '', #8975, #8976, #8977 ); +#7869 = EDGE_LOOP( '', ( #8978 ) ); +#7870 = EDGE_LOOP( '', ( #8979 ) ); +#7871 = AXIS2_PLACEMENT_3D( '', #8980, #8981, #8982 ); +#7872 = EDGE_LOOP( '', ( #8983 ) ); +#7873 = AXIS2_PLACEMENT_3D( '', #8984, #8985, #8986 ); +#7874 = EDGE_LOOP( '', ( #8987 ) ); +#7875 = EDGE_LOOP( '', ( #8988 ) ); +#7876 = AXIS2_PLACEMENT_3D( '', #8989, #8990, #8991 ); +#7877 = EDGE_LOOP( '', ( #8992 ) ); +#7878 = AXIS2_PLACEMENT_3D( '', #8993, #8994, #8995 ); +#7879 = EDGE_LOOP( '', ( #8996 ) ); +#7880 = EDGE_LOOP( '', ( #8997 ) ); +#7881 = AXIS2_PLACEMENT_3D( '', #8998, #8999, #9000 ); +#7882 = EDGE_LOOP( '', ( #9001, #9002, #9003, #9004 ) ); +#7883 = AXIS2_PLACEMENT_3D( '', #9005, #9006, #9007 ); +#7884 = EDGE_LOOP( '', ( #9008, #9009, #9010, #9011 ) ); +#7885 = AXIS2_PLACEMENT_3D( '', #9012, #9013, #9014 ); +#7886 = EDGE_LOOP( '', ( #9015, #9016, #9017, #9018 ) ); +#7887 = AXIS2_PLACEMENT_3D( '', #9019, #9020, #9021 ); +#7888 = EDGE_LOOP( '', ( #9022, #9023, #9024, #9025 ) ); +#7889 = AXIS2_PLACEMENT_3D( '', #9026, #9027, #9028 ); +#7890 = EDGE_LOOP( '', ( #9029, #9030, #9031, #9032 ) ); +#7891 = AXIS2_PLACEMENT_3D( '', #9033, #9034, #9035 ); +#7892 = EDGE_LOOP( '', ( #9036, #9037, #9038, #9039 ) ); +#7893 = AXIS2_PLACEMENT_3D( '', #9040, #9041, #9042 ); +#7894 = EDGE_LOOP( '', ( #9043, #9044, #9045, #9046 ) ); +#7895 = AXIS2_PLACEMENT_3D( '', #9047, #9048, #9049 ); +#7896 = EDGE_LOOP( '', ( #9050, #9051, #9052, #9053 ) ); +#7897 = AXIS2_PLACEMENT_3D( '', #9054, #9055, #9056 ); +#7898 = EDGE_LOOP( '', ( #9057, #9058, #9059, #9060 ) ); +#7899 = AXIS2_PLACEMENT_3D( '', #9061, #9062, #9063 ); +#7900 = EDGE_LOOP( '', ( #9064, #9065, #9066, #9067 ) ); +#7901 = AXIS2_PLACEMENT_3D( '', #9068, #9069, #9070 ); +#7902 = EDGE_LOOP( '', ( #9071, #9072, #9073, #9074 ) ); +#7903 = AXIS2_PLACEMENT_3D( '', #9075, #9076, #9077 ); +#7904 = EDGE_LOOP( '', ( #9078, #9079, #9080 ) ); +#7905 = AXIS2_PLACEMENT_3D( '', #9081, #9082, #9083 ); +#7906 = EDGE_LOOP( '', ( #9084, #9085, #9086, #9087 ) ); +#7907 = AXIS2_PLACEMENT_3D( '', #9088, #9089, #9090 ); +#7908 = EDGE_LOOP( '', ( #9091, #9092, #9093, #9094 ) ); +#7909 = AXIS2_PLACEMENT_3D( '', #9095, #9096, #9097 ); +#7910 = EDGE_LOOP( '', ( #9098, #9099, #9100 ) ); +#7911 = AXIS2_PLACEMENT_3D( '', #9101, #9102, #9103 ); +#7912 = EDGE_LOOP( '', ( #9104, #9105, #9106, #9107 ) ); +#7913 = AXIS2_PLACEMENT_3D( '', #9108, #9109, #9110 ); +#7914 = EDGE_LOOP( '', ( #9111, #9112, #9113, #9114 ) ); +#7915 = AXIS2_PLACEMENT_3D( '', #9115, #9116, #9117 ); +#7916 = EDGE_LOOP( '', ( #9118, #9119, #9120 ) ); +#7917 = AXIS2_PLACEMENT_3D( '', #9121, #9122, #9123 ); +#7918 = EDGE_LOOP( '', ( #9124, #9125, #9126, #9127 ) ); +#7919 = AXIS2_PLACEMENT_3D( '', #9128, #9129, #9130 ); +#7920 = EDGE_LOOP( '', ( #9131, #9132, #9133, #9134 ) ); +#7921 = AXIS2_PLACEMENT_3D( '', #9135, #9136, #9137 ); +#7922 = EDGE_LOOP( '', ( #9138, #9139, #9140 ) ); +#7923 = AXIS2_PLACEMENT_3D( '', #9141, #9142, #9143 ); +#7924 = EDGE_LOOP( '', ( #9144, #9145, #9146, #9147 ) ); +#7925 = AXIS2_PLACEMENT_3D( '', #9148, #9149, #9150 ); +#7926 = EDGE_LOOP( '', ( #9151, #9152, #9153, #9154 ) ); +#7927 = AXIS2_PLACEMENT_3D( '', #9155, #9156, #9157 ); +#7928 = EDGE_LOOP( '', ( #9158, #9159, #9160, #9161 ) ); +#7929 = AXIS2_PLACEMENT_3D( '', #9162, #9163, #9164 ); +#7930 = EDGE_LOOP( '', ( #9165, #9166, #9167 ) ); +#7931 = AXIS2_PLACEMENT_3D( '', #9168, #9169, #9170 ); +#7932 = EDGE_LOOP( '', ( #9171, #9172, #9173, #9174 ) ); +#7933 = AXIS2_PLACEMENT_3D( '', #9175, #9176, #9177 ); +#7934 = EDGE_LOOP( '', ( #9178, #9179, #9180, #9181 ) ); +#7935 = AXIS2_PLACEMENT_3D( '', #9182, #9183, #9184 ); +#7936 = EDGE_LOOP( '', ( #9185, #9186, #9187, #9188 ) ); +#7937 = AXIS2_PLACEMENT_3D( '', #9189, #9190, #9191 ); +#7938 = EDGE_LOOP( '', ( #9192, #9193, #9194 ) ); +#7939 = AXIS2_PLACEMENT_3D( '', #9195, #9196, #9197 ); +#7940 = EDGE_LOOP( '', ( #9198, #9199, #9200, #9201 ) ); +#7941 = AXIS2_PLACEMENT_3D( '', #9202, #9203, #9204 ); +#7942 = EDGE_LOOP( '', ( #9205, #9206, #9207, #9208 ) ); +#7943 = AXIS2_PLACEMENT_3D( '', #9209, #9210, #9211 ); +#7944 = EDGE_LOOP( '', ( #9212, #9213, #9214, #9215 ) ); +#7945 = AXIS2_PLACEMENT_3D( '', #9216, #9217, #9218 ); +#7946 = EDGE_LOOP( '', ( #9219, #9220, #9221 ) ); +#7947 = AXIS2_PLACEMENT_3D( '', #9222, #9223, #9224 ); +#7948 = EDGE_LOOP( '', ( #9225, #9226, #9227, #9228 ) ); +#7949 = AXIS2_PLACEMENT_3D( '', #9229, #9230, #9231 ); +#7950 = EDGE_LOOP( '', ( #9232, #9233, #9234, #9235 ) ); +#7951 = AXIS2_PLACEMENT_3D( '', #9236, #9237, #9238 ); +#7952 = EDGE_LOOP( '', ( #9239, #9240, #9241, #9242 ) ); +#7953 = AXIS2_PLACEMENT_3D( '', #9243, #9244, #9245 ); +#7954 = EDGE_LOOP( '', ( #9246, #9247, #9248 ) ); +#7955 = AXIS2_PLACEMENT_3D( '', #9249, #9250, #9251 ); +#7956 = EDGE_LOOP( '', ( #9252, #9253, #9254, #9255 ) ); +#7957 = AXIS2_PLACEMENT_3D( '', #9256, #9257, #9258 ); +#7958 = EDGE_LOOP( '', ( #9259, #9260, #9261, #9262 ) ); +#7959 = AXIS2_PLACEMENT_3D( '', #9263, #9264, #9265 ); +#7960 = EDGE_LOOP( '', ( #9266, #9267, #9268, #9269 ) ); +#7961 = AXIS2_PLACEMENT_3D( '', #9270, #9271, #9272 ); +#7962 = EDGE_LOOP( '', ( #9273, #9274, #9275 ) ); +#7963 = AXIS2_PLACEMENT_3D( '', #9276, #9277, #9278 ); +#7964 = EDGE_LOOP( '', ( #9279, #9280, #9281 ) ); +#7965 = AXIS2_PLACEMENT_3D( '', #9282, #9283, #9284 ); +#7966 = EDGE_LOOP( '', ( #9285, #9286, #9287, #9288 ) ); +#7967 = AXIS2_PLACEMENT_3D( '', #9289, #9290, #9291 ); +#7968 = EDGE_LOOP( '', ( #9292, #9293, #9294, #9295 ) ); +#7969 = AXIS2_PLACEMENT_3D( '', #9296, #9297, #9298 ); +#7970 = EDGE_LOOP( '', ( #9299, #9300, #9301 ) ); +#7971 = AXIS2_PLACEMENT_3D( '', #9302, #9303, #9304 ); +#7972 = EDGE_LOOP( '', ( #9305, #9306, #9307, #9308 ) ); +#7973 = AXIS2_PLACEMENT_3D( '', #9309, #9310, #9311 ); +#7974 = EDGE_LOOP( '', ( #9312, #9313, #9314, #9315 ) ); +#7975 = AXIS2_PLACEMENT_3D( '', #9316, #9317, #9318 ); +#7976 = EDGE_LOOP( '', ( #9319, #9320, #9321 ) ); +#7977 = AXIS2_PLACEMENT_3D( '', #9322, #9323, #9324 ); +#7978 = EDGE_LOOP( '', ( #9325, #9326, #9327 ) ); +#7979 = AXIS2_PLACEMENT_3D( '', #9328, #9329, #9330 ); +#7980 = EDGE_LOOP( '', ( #9331, #9332, #9333, #9334 ) ); +#7981 = AXIS2_PLACEMENT_3D( '', #9335, #9336, #9337 ); +#7982 = EDGE_LOOP( '', ( #9338, #9339, #9340, #9341 ) ); +#7983 = AXIS2_PLACEMENT_3D( '', #9342, #9343, #9344 ); +#7984 = EDGE_LOOP( '', ( #9345, #9346, #9347, #9348 ) ); +#7985 = AXIS2_PLACEMENT_3D( '', #9349, #9350, #9351 ); +#7986 = EDGE_LOOP( '', ( #9352, #9353, #9354, #9355 ) ); +#7987 = AXIS2_PLACEMENT_3D( '', #9356, #9357, #9358 ); +#7988 = EDGE_LOOP( '', ( #9359, #9360, #9361 ) ); +#7989 = AXIS2_PLACEMENT_3D( '', #9362, #9363, #9364 ); +#7990 = EDGE_LOOP( '', ( #9365, #9366, #9367, #9368 ) ); +#7991 = AXIS2_PLACEMENT_3D( '', #9369, #9370, #9371 ); +#7992 = EDGE_LOOP( '', ( #9372, #9373, #9374 ) ); +#7993 = AXIS2_PLACEMENT_3D( '', #9375, #9376, #9377 ); +#7994 = EDGE_LOOP( '', ( #9378, #9379, #9380, #9381 ) ); +#7995 = AXIS2_PLACEMENT_3D( '', #9382, #9383, #9384 ); +#7996 = EDGE_LOOP( '', ( #9385, #9386, #9387 ) ); +#7997 = AXIS2_PLACEMENT_3D( '', #9388, #9389, #9390 ); +#7998 = EDGE_LOOP( '', ( #9391, #9392, #9393, #9394 ) ); +#7999 = AXIS2_PLACEMENT_3D( '', #9395, #9396, #9397 ); +#8000 = EDGE_LOOP( '', ( #9398, #9399, #9400, #9401 ) ); +#8001 = AXIS2_PLACEMENT_3D( '', #9402, #9403, #9404 ); +#8002 = EDGE_LOOP( '', ( #9405, #9406, #9407, #9408 ) ); +#8003 = AXIS2_PLACEMENT_3D( '', #9409, #9410, #9411 ); +#8004 = FILL_AREA_STYLE_COLOUR( '', #9412 ); +#8005 = VERTEX_POINT( '', #9413 ); +#8006 = VERTEX_POINT( '', #9414 ); +#8007 = LINE( '', #9415, #9416 ); +#8008 = VERTEX_POINT( '', #9417 ); +#8009 = CIRCLE( '', #9418, 0.300000000000000 ); +#8010 = VERTEX_POINT( '', #9419 ); +#8011 = LINE( '', #9420, #9421 ); +#8012 = CIRCLE( '', #9422, 0.300000000000000 ); +#8013 = FILL_AREA_STYLE_COLOUR( '', #9423 ); +#8014 = VERTEX_POINT( '', #9424 ); +#8015 = CIRCLE( '', #9425, 0.250000000000000 ); +#8016 = VERTEX_POINT( '', #9426 ); +#8017 = CIRCLE( '', #9427, 0.250000000000000 ); +#8018 = FILL_AREA_STYLE_COLOUR( '', #9428 ); +#8019 = VERTEX_POINT( '', #9429 ); +#8020 = CIRCLE( '', #9430, 0.250000000000000 ); +#8021 = VERTEX_POINT( '', #9431 ); +#8022 = CIRCLE( '', #9432, 0.250000000000000 ); +#8023 = FILL_AREA_STYLE_COLOUR( '', #9433 ); +#8024 = VERTEX_POINT( '', #9434 ); +#8025 = CIRCLE( '', #9435, 0.200000000000000 ); +#8026 = VERTEX_POINT( '', #9436 ); +#8027 = LINE( '', #9437, #9438 ); +#8028 = VERTEX_POINT( '', #9439 ); +#8029 = CIRCLE( '', #9440, 0.200000000000000 ); +#8030 = LINE( '', #9441, #9442 ); +#8031 = FILL_AREA_STYLE_COLOUR( '', #9443 ); +#8032 = VERTEX_POINT( '', #9444 ); +#8033 = VERTEX_POINT( '', #9445 ); +#8034 = LINE( '', #9446, #9447 ); +#8035 = VERTEX_POINT( '', #9448 ); +#8036 = CIRCLE( '', #9449, 1.50000000000000 ); +#8037 = VERTEX_POINT( '', #9450 ); +#8038 = LINE( '', #9451, #9452 ); +#8039 = CIRCLE( '', #9453, 1.50000000000000 ); +#8040 = FILL_AREA_STYLE_COLOUR( '', #9454 ); +#8041 = VERTEX_POINT( '', #9455 ); +#8042 = CIRCLE( '', #9456, 0.250000000000000 ); +#8043 = FILL_AREA_STYLE_COLOUR( '', #9457 ); +#8044 = VERTEX_POINT( '', #9458 ); +#8045 = CIRCLE( '', #9459, 0.250000000000000 ); +#8046 = VERTEX_POINT( '', #9460 ); +#8047 = CIRCLE( '', #9461, 0.250000000000000 ); +#8048 = FILL_AREA_STYLE_COLOUR( '', #9462 ); +#8049 = VERTEX_POINT( '', #9463 ); +#8050 = CIRCLE( '', #9464, 0.250000000000000 ); +#8051 = VERTEX_POINT( '', #9465 ); +#8052 = CIRCLE( '', #9466, 0.250000000000000 ); +#8053 = FILL_AREA_STYLE_COLOUR( '', #9467 ); +#8054 = VERTEX_POINT( '', #9468 ); +#8055 = VERTEX_POINT( '', #9469 ); +#8056 = CIRCLE( '', #9470, 0.200000000000000 ); +#8057 = VERTEX_POINT( '', #9471 ); +#8058 = CIRCLE( '', #9472, 1.50000000000000 ); +#8059 = VERTEX_POINT( '', #9473 ); +#8060 = CIRCLE( '', #9474, 0.200000000000000 ); +#8061 = CIRCLE( '', #9475, 1.30000000000000 ); +#8062 = FILL_AREA_STYLE_COLOUR( '', #9476 ); +#8063 = VERTEX_POINT( '', #9477 ); +#8064 = CIRCLE( '', #9478, 0.250000000000000 ); +#8065 = VERTEX_POINT( '', #9479 ); +#8066 = CIRCLE( '', #9480, 0.250000000000000 ); +#8067 = FILL_AREA_STYLE_COLOUR( '', #9481 ); +#8068 = VERTEX_POINT( '', #9482 ); +#8069 = CIRCLE( '', #9483, 0.250000000000000 ); +#8070 = VERTEX_POINT( '', #9484 ); +#8071 = CIRCLE( '', #9485, 0.250000000000000 ); +#8072 = FILL_AREA_STYLE_COLOUR( '', #9486 ); +#8073 = VERTEX_POINT( '', #9487 ); +#8074 = CIRCLE( '', #9488, 0.250000000000000 ); +#8075 = VERTEX_POINT( '', #9489 ); +#8076 = CIRCLE( '', #9490, 0.250000000000000 ); +#8077 = FILL_AREA_STYLE_COLOUR( '', #9491 ); +#8078 = VERTEX_POINT( '', #9492 ); +#8079 = CIRCLE( '', #9493, 0.250000000000000 ); +#8080 = VERTEX_POINT( '', #9494 ); +#8081 = CIRCLE( '', #9495, 0.250000000000000 ); +#8082 = FILL_AREA_STYLE_COLOUR( '', #9496 ); +#8083 = VERTEX_POINT( '', #9497 ); +#8084 = CIRCLE( '', #9498, 0.250000000000000 ); +#8085 = VERTEX_POINT( '', #9499 ); +#8086 = CIRCLE( '', #9500, 0.250000000000000 ); +#8087 = FILL_AREA_STYLE_COLOUR( '', #9501 ); +#8088 = VERTEX_POINT( '', #9502 ); +#8089 = CIRCLE( '', #9503, 0.250000000000000 ); +#8090 = FILL_AREA_STYLE_COLOUR( '', #9504 ); +#8091 = VERTEX_POINT( '', #9505 ); +#8092 = CIRCLE( '', #9506, 0.250000000000000 ); +#8093 = VERTEX_POINT( '', #9507 ); +#8094 = CIRCLE( '', #9508, 0.250000000000000 ); +#8095 = FILL_AREA_STYLE_COLOUR( '', #9509 ); +#8096 = VERTEX_POINT( '', #9510 ); +#8097 = CIRCLE( '', #9511, 0.250000000000000 ); +#8098 = VERTEX_POINT( '', #9512 ); +#8099 = CIRCLE( '', #9513, 0.250000000000000 ); +#8100 = FILL_AREA_STYLE_COLOUR( '', #9514 ); +#8101 = VERTEX_POINT( '', #9515 ); +#8102 = CIRCLE( '', #9516, 0.250000000000000 ); +#8103 = VERTEX_POINT( '', #9517 ); +#8104 = CIRCLE( '', #9518, 0.250000000000000 ); +#8105 = FILL_AREA_STYLE_COLOUR( '', #9519 ); +#8106 = VERTEX_POINT( '', #9520 ); +#8107 = CIRCLE( '', #9521, 0.250000000000000 ); +#8108 = FILL_AREA_STYLE_COLOUR( '', #9522 ); +#8109 = VERTEX_POINT( '', #9523 ); +#8110 = CIRCLE( '', #9524, 0.250000000000000 ); +#8111 = VERTEX_POINT( '', #9525 ); +#8112 = CIRCLE( '', #9526, 0.250000000000000 ); +#8113 = FILL_AREA_STYLE_COLOUR( '', #9527 ); +#8114 = VERTEX_POINT( '', #9528 ); +#8115 = CIRCLE( '', #9529, 0.200000000000000 ); +#8116 = VERTEX_POINT( '', #9530 ); +#8117 = LINE( '', #9531, #9532 ); +#8118 = VERTEX_POINT( '', #9533 ); +#8119 = CIRCLE( '', #9534, 0.200000000000000 ); +#8120 = LINE( '', #9535, #9536 ); +#8121 = FILL_AREA_STYLE_COLOUR( '', #9537 ); +#8122 = VERTEX_POINT( '', #9538 ); +#8123 = CIRCLE( '', #9539, 0.250000000000000 ); +#8124 = VERTEX_POINT( '', #9540 ); +#8125 = CIRCLE( '', #9541, 0.250000000000000 ); +#8126 = FILL_AREA_STYLE_COLOUR( '', #9542 ); +#8127 = VERTEX_POINT( '', #9543 ); +#8128 = VERTEX_POINT( '', #9544 ); +#8129 = LINE( '', #9545, #9546 ); +#8130 = VERTEX_POINT( '', #9547 ); +#8131 = CIRCLE( '', #9548, 0.300000000000000 ); +#8132 = VERTEX_POINT( '', #9549 ); +#8133 = LINE( '', #9550, #9551 ); +#8134 = CIRCLE( '', #9552, 0.300000000000000 ); +#8135 = FILL_AREA_STYLE_COLOUR( '', #9553 ); +#8136 = VERTEX_POINT( '', #9554 ); +#8137 = CIRCLE( '', #9555, 0.250000000000000 ); +#8138 = FILL_AREA_STYLE_COLOUR( '', #9556 ); +#8139 = VERTEX_POINT( '', #9557 ); +#8140 = CIRCLE( '', #9558, 0.250000000000000 ); +#8141 = VERTEX_POINT( '', #9559 ); +#8142 = CIRCLE( '', #9560, 0.250000000000000 ); +#8143 = FILL_AREA_STYLE_COLOUR( '', #9561 ); +#8144 = VERTEX_POINT( '', #9562 ); +#8145 = VERTEX_POINT( '', #9563 ); +#8146 = LINE( '', #9564, #9565 ); +#8147 = VERTEX_POINT( '', #9566 ); +#8148 = CIRCLE( '', #9567, 0.500000000000000 ); +#8149 = VERTEX_POINT( '', #9568 ); +#8150 = LINE( '', #9569, #9570 ); +#8151 = VERTEX_POINT( '', #9571 ); +#8152 = CIRCLE( '', #9572, 0.500000000000000 ); +#8153 = VERTEX_POINT( '', #9573 ); +#8154 = LINE( '', #9574, #9575 ); +#8155 = VERTEX_POINT( '', #9576 ); +#8156 = CIRCLE( '', #9577, 0.500000000000000 ); +#8157 = VERTEX_POINT( '', #9578 ); +#8158 = LINE( '', #9579, #9580 ); +#8159 = CIRCLE( '', #9581, 0.500000000000000 ); +#8160 = VERTEX_POINT( '', #9582 ); +#8161 = VERTEX_POINT( '', #9583 ); +#8162 = LINE( '', #9584, #9585 ); +#8163 = VERTEX_POINT( '', #9586 ); +#8164 = CIRCLE( '', #9587, 1.30000000000000 ); +#8165 = VERTEX_POINT( '', #9588 ); +#8166 = LINE( '', #9589, #9590 ); +#8167 = CIRCLE( '', #9591, 1.30000000000000 ); +#8168 = VERTEX_POINT( '', #9592 ); +#8169 = CIRCLE( '', #9593, 1.30000000000000 ); +#8170 = VERTEX_POINT( '', #9594 ); +#8171 = LINE( '', #9595, #9596 ); +#8172 = CIRCLE( '', #9597, 1.30000000000000 ); +#8173 = FILL_AREA_STYLE_COLOUR( '', #9598 ); +#8174 = VERTEX_POINT( '', #9599 ); +#8175 = CIRCLE( '', #9600, 0.250000000000000 ); +#8176 = FILL_AREA_STYLE_COLOUR( '', #9601 ); +#8177 = VERTEX_POINT( '', #9602 ); +#8178 = CIRCLE( '', #9603, 0.250000000000000 ); +#8179 = FILL_AREA_STYLE_COLOUR( '', #9604 ); +#8180 = VERTEX_POINT( '', #9605 ); +#8181 = CIRCLE( '', #9606, 0.250000000000000 ); +#8182 = VERTEX_POINT( '', #9607 ); +#8183 = CIRCLE( '', #9608, 0.250000000000000 ); +#8184 = FILL_AREA_STYLE_COLOUR( '', #9609 ); +#8185 = VERTEX_POINT( '', #9610 ); +#8186 = CIRCLE( '', #9611, 0.250000000000000 ); +#8187 = VERTEX_POINT( '', #9612 ); +#8188 = CIRCLE( '', #9613, 0.250000000000000 ); +#8189 = FILL_AREA_STYLE_COLOUR( '', #9614 ); +#8190 = VERTEX_POINT( '', #9615 ); +#8191 = CIRCLE( '', #9616, 0.250000000000000 ); +#8192 = VERTEX_POINT( '', #9617 ); +#8193 = CIRCLE( '', #9618, 0.250000000000000 ); +#8194 = FILL_AREA_STYLE_COLOUR( '', #9619 ); +#8195 = VERTEX_POINT( '', #9620 ); +#8196 = CIRCLE( '', #9621, 0.250000000000000 ); +#8197 = VERTEX_POINT( '', #9622 ); +#8198 = CIRCLE( '', #9623, 0.250000000000000 ); +#8199 = FILL_AREA_STYLE_COLOUR( '', #9624 ); +#8200 = VERTEX_POINT( '', #9625 ); +#8201 = CIRCLE( '', #9626, 0.250000000000000 ); +#8202 = FILL_AREA_STYLE_COLOUR( '', #9627 ); +#8203 = VERTEX_POINT( '', #9628 ); +#8204 = CIRCLE( '', #9629, 0.250000000000000 ); +#8205 = VERTEX_POINT( '', #9630 ); +#8206 = CIRCLE( '', #9631, 0.250000000000000 ); +#8207 = FILL_AREA_STYLE_COLOUR( '', #9632 ); +#8208 = CIRCLE( '', #9633, 0.200000000000000 ); +#8209 = VERTEX_POINT( '', #9634 ); +#8210 = CIRCLE( '', #9635, 0.200000000000000 ); +#8211 = LINE( '', #9636, #9637 ); +#8212 = FILL_AREA_STYLE_COLOUR( '', #9638 ); +#8213 = VERTEX_POINT( '', #9639 ); +#8214 = CIRCLE( '', #9640, 0.250000000000000 ); +#8215 = FILL_AREA_STYLE_COLOUR( '', #9641 ); +#8216 = VERTEX_POINT( '', #9642 ); +#8217 = VERTEX_POINT( '', #9643 ); +#8218 = CIRCLE( '', #9644, 0.200000000000000 ); +#8219 = LINE( '', #9645, #9646 ); +#8220 = LINE( '', #9647, #9648 ); +#8221 = FILL_AREA_STYLE_COLOUR( '', #9649 ); +#8222 = VERTEX_POINT( '', #9650 ); +#8223 = CIRCLE( '', #9651, 0.250000000000000 ); +#8224 = FILL_AREA_STYLE_COLOUR( '', #9652 ); +#8225 = VERTEX_POINT( '', #9653 ); +#8226 = CIRCLE( '', #9654, 0.250000000000000 ); +#8227 = FILL_AREA_STYLE_COLOUR( '', #9655 ); +#8228 = VERTEX_POINT( '', #9656 ); +#8229 = CIRCLE( '', #9657, 0.250000000000000 ); +#8230 = VERTEX_POINT( '', #9658 ); +#8231 = CIRCLE( '', #9659, 0.250000000000000 ); +#8232 = FILL_AREA_STYLE_COLOUR( '', #9660 ); +#8233 = FILL_AREA_STYLE_COLOUR( '', #9661 ); +#8234 = VERTEX_POINT( '', #9662 ); +#8235 = VERTEX_POINT( '', #9663 ); +#8236 = CIRCLE( '', #9664, 0.200000000000000 ); +#8237 = VERTEX_POINT( '', #9665 ); +#8238 = CIRCLE( '', #9666, 1.50000000000000 ); +#8239 = VERTEX_POINT( '', #9667 ); +#8240 = CIRCLE( '', #9668, 0.200000000000000 ); +#8241 = CIRCLE( '', #9669, 1.30000000000000 ); +#8242 = FILL_AREA_STYLE_COLOUR( '', #9670 ); +#8243 = VERTEX_POINT( '', #9671 ); +#8244 = CIRCLE( '', #9672, 0.250000000000000 ); +#8245 = FILL_AREA_STYLE_COLOUR( '', #9673 ); +#8246 = VERTEX_POINT( '', #9674 ); +#8247 = CIRCLE( '', #9675, 0.250000000000000 ); +#8248 = FILL_AREA_STYLE_COLOUR( '', #9676 ); +#8249 = VERTEX_POINT( '', #9677 ); +#8250 = CIRCLE( '', #9678, 0.250000000000000 ); +#8251 = VERTEX_POINT( '', #9679 ); +#8252 = CIRCLE( '', #9680, 0.250000000000000 ); +#8253 = FILL_AREA_STYLE_COLOUR( '', #9681 ); +#8254 = VERTEX_POINT( '', #9682 ); +#8255 = VERTEX_POINT( '', #9683 ); +#8256 = LINE( '', #9684, #9685 ); +#8257 = VERTEX_POINT( '', #9686 ); +#8258 = CIRCLE( '', #9687, 0.300000000000000 ); +#8259 = VERTEX_POINT( '', #9688 ); +#8260 = LINE( '', #9689, #9690 ); +#8261 = CIRCLE( '', #9691, 0.300000000000000 ); +#8262 = FILL_AREA_STYLE_COLOUR( '', #9692 ); +#8263 = VERTEX_POINT( '', #9693 ); +#8264 = CIRCLE( '', #9694, 0.250000000000000 ); +#8265 = VERTEX_POINT( '', #9695 ); +#8266 = CIRCLE( '', #9696, 0.250000000000000 ); +#8267 = FILL_AREA_STYLE_COLOUR( '', #9697 ); +#8268 = VERTEX_POINT( '', #9698 ); +#8269 = CIRCLE( '', #9699, 0.250000000000000 ); +#8270 = VERTEX_POINT( '', #9700 ); +#8271 = CIRCLE( '', #9701, 0.250000000000000 ); +#8272 = FILL_AREA_STYLE_COLOUR( '', #9702 ); +#8273 = VERTEX_POINT( '', #9703 ); +#8274 = CIRCLE( '', #9704, 0.200000000000000 ); +#8275 = CIRCLE( '', #9705, 0.300000000000000 ); +#8276 = FILL_AREA_STYLE_COLOUR( '', #9706 ); +#8277 = VERTEX_POINT( '', #9707 ); +#8278 = CIRCLE( '', #9708, 0.250000000000000 ); +#8279 = FILL_AREA_STYLE_COLOUR( '', #9709 ); +#8280 = CIRCLE( '', #9710, 0.200000000000000 ); +#8281 = LINE( '', #9711, #9712 ); +#8282 = FILL_AREA_STYLE_COLOUR( '', #9713 ); +#8283 = VERTEX_POINT( '', #9714 ); +#8284 = CIRCLE( '', #9715, 0.250000000000000 ); +#8285 = VERTEX_POINT( '', #9716 ); +#8286 = CIRCLE( '', #9717, 0.250000000000000 ); +#8287 = FILL_AREA_STYLE_COLOUR( '', #9718 ); +#8288 = VERTEX_POINT( '', #9719 ); +#8289 = CIRCLE( '', #9720, 0.200000000000000 ); +#8290 = CIRCLE( '', #9721, 1.50000000000000 ); +#8291 = FILL_AREA_STYLE_COLOUR( '', #9722 ); +#8292 = VERTEX_POINT( '', #9723 ); +#8293 = CIRCLE( '', #9724, 0.250000000000000 ); +#8294 = VERTEX_POINT( '', #9725 ); +#8295 = CIRCLE( '', #9726, 0.250000000000000 ); +#8296 = FILL_AREA_STYLE_COLOUR( '', #9727 ); +#8297 = VERTEX_POINT( '', #9728 ); +#8298 = CIRCLE( '', #9729, 0.250000000000000 ); +#8299 = VERTEX_POINT( '', #9730 ); +#8300 = CIRCLE( '', #9731, 0.250000000000000 ); +#8301 = FILL_AREA_STYLE_COLOUR( '', #9732 ); +#8302 = VERTEX_POINT( '', #9733 ); +#8303 = LINE( '', #9734, #9735 ); +#8304 = VERTEX_POINT( '', #9736 ); +#8305 = LINE( '', #9737, #9738 ); +#8306 = CIRCLE( '', #9739, 1.50000000000000 ); +#8307 = FILL_AREA_STYLE_COLOUR( '', #9740 ); +#8308 = VERTEX_POINT( '', #9741 ); +#8309 = CIRCLE( '', #9742, 0.250000000000000 ); +#8310 = FILL_AREA_STYLE_COLOUR( '', #9743 ); +#8311 = FILL_AREA_STYLE_COLOUR( '', #9744 ); +#8312 = VERTEX_POINT( '', #9745 ); +#8313 = CIRCLE( '', #9746, 0.250000000000000 ); +#8314 = FILL_AREA_STYLE_COLOUR( '', #9747 ); +#8315 = VERTEX_POINT( '', #9748 ); +#8316 = CIRCLE( '', #9749, 0.200000000000000 ); +#8317 = CIRCLE( '', #9750, 0.500000000000000 ); +#8318 = FILL_AREA_STYLE_COLOUR( '', #9751 ); +#8319 = VERTEX_POINT( '', #9752 ); +#8320 = CIRCLE( '', #9753, 0.200000000000000 ); +#8321 = VERTEX_POINT( '', #9754 ); +#8322 = CIRCLE( '', #9755, 0.500000000000000 ); +#8323 = CIRCLE( '', #9756, 0.200000000000000 ); +#8324 = FILL_AREA_STYLE_COLOUR( '', #9757 ); +#8325 = LINE( '', #9758, #9759 ); +#8326 = LINE( '', #9760, #9761 ); +#8327 = FILL_AREA_STYLE_COLOUR( '', #9762 ); +#8328 = FILL_AREA_STYLE_COLOUR( '', #9763 ); +#8329 = LINE( '', #9764, #9765 ); +#8330 = LINE( '', #9766, #9767 ); +#8331 = FILL_AREA_STYLE_COLOUR( '', #9768 ); +#8332 = VERTEX_POINT( '', #9769 ); +#8333 = VERTEX_POINT( '', #9770 ); +#8334 = LINE( '', #9771, #9772 ); +#8335 = CIRCLE( '', #9773, 1.50000000000000 ); +#8336 = VERTEX_POINT( '', #9774 ); +#8337 = LINE( '', #9775, #9776 ); +#8338 = CIRCLE( '', #9777, 1.50000000000000 ); +#8339 = FILL_AREA_STYLE_COLOUR( '', #9778 ); +#8340 = VERTEX_POINT( '', #9779 ); +#8341 = CIRCLE( '', #9780, 0.250000000000000 ); +#8342 = FILL_AREA_STYLE_COLOUR( '', #9781 ); +#8343 = VERTEX_POINT( '', #9782 ); +#8344 = CIRCLE( '', #9783, 0.250000000000000 ); +#8345 = VERTEX_POINT( '', #9784 ); +#8346 = CIRCLE( '', #9785, 0.250000000000000 ); +#8347 = FILL_AREA_STYLE_COLOUR( '', #9786 ); +#8348 = VERTEX_POINT( '', #9787 ); +#8349 = CIRCLE( '', #9788, 0.250000000000000 ); +#8350 = VERTEX_POINT( '', #9789 ); +#8351 = CIRCLE( '', #9790, 0.250000000000000 ); +#8352 = FILL_AREA_STYLE_COLOUR( '', #9791 ); +#8353 = VERTEX_POINT( '', #9792 ); +#8354 = CIRCLE( '', #9793, 0.200000000000000 ); +#8355 = VERTEX_POINT( '', #9794 ); +#8356 = CIRCLE( '', #9795, 0.500000000000000 ); +#8357 = CIRCLE( '', #9796, 0.200000000000000 ); +#8358 = FILL_AREA_STYLE_COLOUR( '', #9797 ); +#8359 = VERTEX_POINT( '', #9798 ); +#8360 = CIRCLE( '', #9799, 0.250000000000000 ); +#8361 = FILL_AREA_STYLE_COLOUR( '', #9800 ); +#8362 = FILL_AREA_STYLE_COLOUR( '', #9801 ); +#8363 = LINE( '', #9802, #9803 ); +#8364 = FILL_AREA_STYLE_COLOUR( '', #9804 ); +#8365 = VERTEX_POINT( '', #9805 ); +#8366 = CIRCLE( '', #9806, 0.250000000000000 ); +#8367 = FILL_AREA_STYLE_COLOUR( '', #9807 ); +#8368 = VERTEX_POINT( '', #9808 ); +#8369 = CIRCLE( '', #9809, 0.250000000000000 ); +#8370 = FILL_AREA_STYLE_COLOUR( '', #9810 ); +#8371 = VERTEX_POINT( '', #9811 ); +#8372 = CIRCLE( '', #9812, 0.250000000000000 ); +#8373 = FILL_AREA_STYLE_COLOUR( '', #9813 ); +#8374 = VERTEX_POINT( '', #9814 ); +#8375 = CIRCLE( '', #9815, 0.250000000000000 ); +#8376 = VERTEX_POINT( '', #9816 ); +#8377 = CIRCLE( '', #9817, 0.250000000000000 ); +#8378 = FILL_AREA_STYLE_COLOUR( '', #9818 ); +#8379 = VERTEX_POINT( '', #9819 ); +#8380 = CIRCLE( '', #9820, 0.250000000000000 ); +#8381 = FILL_AREA_STYLE_COLOUR( '', #9821 ); +#8382 = VERTEX_POINT( '', #9822 ); +#8383 = LINE( '', #9823, #9824 ); +#8384 = VERTEX_POINT( '', #9825 ); +#8385 = CIRCLE( '', #9826, 0.200000000000000 ); +#8386 = LINE( '', #9827, #9828 ); +#8387 = FILL_AREA_STYLE_COLOUR( '', #9829 ); +#8388 = FILL_AREA_STYLE_COLOUR( '', #9830 ); +#8389 = VERTEX_POINT( '', #9831 ); +#8390 = CIRCLE( '', #9832, 0.250000000000000 ); +#8391 = FILL_AREA_STYLE_COLOUR( '', #9833 ); +#8392 = CIRCLE( '', #9834, 0.200000000000000 ); +#8393 = CIRCLE( '', #9835, 0.200000000000000 ); +#8394 = FILL_AREA_STYLE_COLOUR( '', #9836 ); +#8395 = VERTEX_POINT( '', #9837 ); +#8396 = CIRCLE( '', #9838, 0.250000000000000 ); +#8397 = VERTEX_POINT( '', #9839 ); +#8398 = CIRCLE( '', #9840, 0.250000000000000 ); +#8399 = FILL_AREA_STYLE_COLOUR( '', #9841 ); +#8400 = FILL_AREA_STYLE_COLOUR( '', #9842 ); +#8401 = LINE( '', #9843, #9844 ); +#8402 = FILL_AREA_STYLE_COLOUR( '', #9845 ); +#8403 = LINE( '', #9846, #9847 ); +#8404 = LINE( '', #9848, #9849 ); +#8405 = FILL_AREA_STYLE_COLOUR( '', #9850 ); +#8406 = VERTEX_POINT( '', #9851 ); +#8407 = CIRCLE( '', #9852, 0.250000000000000 ); +#8408 = FILL_AREA_STYLE_COLOUR( '', #9853 ); +#8409 = VERTEX_POINT( '', #9854 ); +#8410 = CIRCLE( '', #9855, 0.250000000000000 ); +#8411 = FILL_AREA_STYLE_COLOUR( '', #9856 ); +#8412 = VERTEX_POINT( '', #9857 ); +#8413 = CIRCLE( '', #9858, 0.250000000000000 ); +#8414 = FILL_AREA_STYLE_COLOUR( '', #9859 ); +#8415 = VERTEX_POINT( '', #9860 ); +#8416 = CIRCLE( '', #9861, 0.250000000000000 ); +#8417 = FILL_AREA_STYLE_COLOUR( '', #9862 ); +#8418 = LINE( '', #9863, #9864 ); +#8419 = FILL_AREA_STYLE_COLOUR( '', #9865 ); +#8420 = CIRCLE( '', #9866, 0.200000000000000 ); +#8421 = LINE( '', #9867, #9868 ); +#8422 = CIRCLE( '', #9869, 0.200000000000000 ); +#8423 = FILL_AREA_STYLE_COLOUR( '', #9870 ); +#8424 = VERTEX_POINT( '', #9871 ); +#8425 = CIRCLE( '', #9872, 0.250000000000000 ); +#8426 = VERTEX_POINT( '', #9873 ); +#8427 = CIRCLE( '', #9874, 0.250000000000000 ); +#8428 = FILL_AREA_STYLE_COLOUR( '', #9875 ); +#8429 = FILL_AREA_STYLE_COLOUR( '', #9876 ); +#8430 = LINE( '', #9877, #9878 ); +#8431 = LINE( '', #9879, #9880 ); +#8432 = FILL_AREA_STYLE_COLOUR( '', #9881 ); +#8433 = VERTEX_POINT( '', #9882 ); +#8434 = CIRCLE( '', #9883, 1.30000000000000 ); +#8435 = VERTEX_POINT( '', #9884 ); +#8436 = LINE( '', #9885, #9886 ); +#8437 = VERTEX_POINT( '', #9887 ); +#8438 = CIRCLE( '', #9888, 1.30000000000000 ); +#8439 = LINE( '', #9889, #9890 ); +#8440 = CIRCLE( '', #9891, 0.500000000000000 ); +#8441 = LINE( '', #9892, #9893 ); +#8442 = FILL_AREA_STYLE_COLOUR( '', #9894 ); +#8443 = CIRCLE( '', #9895, 0.200000000000000 ); +#8444 = LINE( '', #9896, #9897 ); +#8445 = CIRCLE( '', #9898, 0.200000000000000 ); +#8446 = FILL_AREA_STYLE_COLOUR( '', #9899 ); +#8447 = FILL_AREA_STYLE_COLOUR( '', #9900 ); +#8448 = VERTEX_POINT( '', #9901 ); +#8449 = CIRCLE( '', #9902, 0.250000000000000 ); +#8450 = FILL_AREA_STYLE_COLOUR( '', #9903 ); +#8451 = VERTEX_POINT( '', #9904 ); +#8452 = CIRCLE( '', #9905, 0.250000000000000 ); +#8453 = VERTEX_POINT( '', #9906 ); +#8454 = CIRCLE( '', #9907, 0.250000000000000 ); +#8455 = FILL_AREA_STYLE_COLOUR( '', #9908 ); +#8456 = VERTEX_POINT( '', #9909 ); +#8457 = CIRCLE( '', #9910, 0.250000000000000 ); +#8458 = VERTEX_POINT( '', #9911 ); +#8459 = CIRCLE( '', #9912, 0.250000000000000 ); +#8460 = FILL_AREA_STYLE_COLOUR( '', #9913 ); +#8461 = FILL_AREA_STYLE_COLOUR( '', #9914 ); +#8462 = VERTEX_POINT( '', #9915 ); +#8463 = CIRCLE( '', #9916, 0.250000000000000 ); +#8464 = FILL_AREA_STYLE_COLOUR( '', #9917 ); +#8465 = CIRCLE( '', #9918, 0.200000000000000 ); +#8466 = FILL_AREA_STYLE_COLOUR( '', #9919 ); +#8467 = FILL_AREA_STYLE_COLOUR( '', #9920 ); +#8468 = FILL_AREA_STYLE_COLOUR( '', #9921 ); +#8469 = FILL_AREA_STYLE_COLOUR( '', #9922 ); +#8470 = CIRCLE( '', #9923, 0.200000000000000 ); +#8471 = LINE( '', #9924, #9925 ); +#8472 = FILL_AREA_STYLE_COLOUR( '', #9926 ); +#8473 = VERTEX_POINT( '', #9927 ); +#8474 = CIRCLE( '', #9928, 0.250000000000000 ); +#8475 = FILL_AREA_STYLE_COLOUR( '', #9929 ); +#8476 = FILL_AREA_STYLE_COLOUR( '', #9930 ); +#8477 = CIRCLE( '', #9931, 0.300000000000000 ); +#8478 = FILL_AREA_STYLE_COLOUR( '', #9932 ); +#8479 = CIRCLE( '', #9933, 0.200000000000000 ); +#8480 = FILL_AREA_STYLE_COLOUR( '', #9934 ); +#8481 = VERTEX_POINT( '', #9935 ); +#8482 = CIRCLE( '', #9936, 0.250000000000000 ); +#8483 = FILL_AREA_STYLE_COLOUR( '', #9937 ); +#8484 = FILL_AREA_STYLE_COLOUR( '', #9938 ); +#8485 = FILL_AREA_STYLE_COLOUR( '', #9939 ); +#8486 = CIRCLE( '', #9940, 0.200000000000000 ); +#8487 = FILL_AREA_STYLE_COLOUR( '', #9941 ); +#8488 = FILL_AREA_STYLE_COLOUR( '', #9942 ); +#8489 = LINE( '', #9943, #9944 ); +#8490 = FILL_AREA_STYLE_COLOUR( '', #9945 ); +#8491 = FILL_AREA_STYLE_COLOUR( '', #9946 ); +#8492 = CIRCLE( '', #9947, 0.200000000000000 ); +#8493 = FILL_AREA_STYLE_COLOUR( '', #9948 ); +#8494 = VERTEX_POINT( '', #9949 ); +#8495 = CIRCLE( '', #9950, 0.250000000000000 ); +#8496 = FILL_AREA_STYLE_COLOUR( '', #9951 ); +#8497 = VERTEX_POINT( '', #9952 ); +#8498 = CIRCLE( '', #9953, 0.250000000000000 ); +#8499 = FILL_AREA_STYLE_COLOUR( '', #9954 ); +#8500 = VERTEX_POINT( '', #9955 ); +#8501 = CIRCLE( '', #9956, 0.250000000000000 ); +#8502 = FILL_AREA_STYLE_COLOUR( '', #9957 ); +#8503 = CIRCLE( '', #9958, 0.200000000000000 ); +#8504 = FILL_AREA_STYLE_COLOUR( '', #9959 ); +#8505 = FILL_AREA_STYLE_COLOUR( '', #9960 ); +#8506 = FILL_AREA_STYLE_COLOUR( '', #9961 ); +#8507 = LINE( '', #9962, #9963 ); +#8508 = FILL_AREA_STYLE_COLOUR( '', #9964 ); +#8509 = VERTEX_POINT( '', #9965 ); +#8510 = CIRCLE( '', #9966, 0.250000000000000 ); +#8511 = FILL_AREA_STYLE_COLOUR( '', #9967 ); +#8512 = VERTEX_POINT( '', #9968 ); +#8513 = CIRCLE( '', #9969, 0.250000000000000 ); +#8514 = FILL_AREA_STYLE_COLOUR( '', #9970 ); +#8515 = FILL_AREA_STYLE_COLOUR( '', #9971 ); +#8516 = FILL_AREA_STYLE_COLOUR( '', #9972 ); +#8517 = FILL_AREA_STYLE_COLOUR( '', #9973 ); +#8518 = FILL_AREA_STYLE_COLOUR( '', #9974 ); +#8519 = VERTEX_POINT( '', #9975 ); +#8520 = CIRCLE( '', #9976, 0.250000000000000 ); +#8521 = FILL_AREA_STYLE_COLOUR( '', #9977 ); +#8522 = VERTEX_POINT( '', #9978 ); +#8523 = CIRCLE( '', #9979, 0.250000000000000 ); +#8524 = FILL_AREA_STYLE_COLOUR( '', #9980 ); +#8525 = FILL_AREA_STYLE_COLOUR( '', #9981 ); +#8526 = FILL_AREA_STYLE_COLOUR( '', #9982 ); +#8527 = FILL_AREA_STYLE_COLOUR( '', #9983 ); +#8528 = FILL_AREA_STYLE_COLOUR( '', #9984 ); +#8529 = FILL_AREA_STYLE_COLOUR( '', #9985 ); +#8530 = FILL_AREA_STYLE_COLOUR( '', #9986 ); +#8531 = FILL_AREA_STYLE_COLOUR( '', #9987 ); +#8532 = FILL_AREA_STYLE_COLOUR( '', #9988 ); +#8533 = VERTEX_POINT( '', #9989 ); +#8534 = CIRCLE( '', #9990, 0.250000000000000 ); +#8535 = FILL_AREA_STYLE_COLOUR( '', #9991 ); +#8536 = FILL_AREA_STYLE_COLOUR( '', #9992 ); +#8537 = FILL_AREA_STYLE_COLOUR( '', #9993 ); +#8538 = FILL_AREA_STYLE_COLOUR( '', #9994 ); +#8539 = FILL_AREA_STYLE_COLOUR( '', #9995 ); +#8540 = FILL_AREA_STYLE_COLOUR( '', #9996 ); +#8541 = FILL_AREA_STYLE_COLOUR( '', #9997 ); +#8542 = FILL_AREA_STYLE_COLOUR( '', #9998 ); +#8543 = FILL_AREA_STYLE_COLOUR( '', #9999 ); +#8544 = FILL_AREA_STYLE_COLOUR( '', #10000 ); +#8545 = FILL_AREA_STYLE_COLOUR( '', #10001 ); +#8546 = FILL_AREA_STYLE_COLOUR( '', #10002 ); +#8547 = FILL_AREA_STYLE_COLOUR( '', #10003 ); +#8548 = FILL_AREA_STYLE_COLOUR( '', #10004 ); +#8549 = FILL_AREA_STYLE_COLOUR( '', #10005 ); +#8550 = ORIENTED_EDGE( '', *, *, #10006, .F. ); +#8551 = ORIENTED_EDGE( '', *, *, #10007, .T. ); +#8552 = ORIENTED_EDGE( '', *, *, #10008, .F. ); +#8553 = ORIENTED_EDGE( '', *, *, #10009, .T. ); +#8554 = ORIENTED_EDGE( '', *, *, #10010, .F. ); +#8555 = ORIENTED_EDGE( '', *, *, #10011, .T. ); +#8556 = ORIENTED_EDGE( '', *, *, #10012, .F. ); +#8557 = ORIENTED_EDGE( '', *, *, #10013, .T. ); +#8558 = ORIENTED_EDGE( '', *, *, #10014, .T. ); +#8559 = ORIENTED_EDGE( '', *, *, #10015, .T. ); +#8560 = ORIENTED_EDGE( '', *, *, #10016, .F. ); +#8561 = ORIENTED_EDGE( '', *, *, #10017, .T. ); +#8562 = ORIENTED_EDGE( '', *, *, #10018, .T. ); +#8563 = ORIENTED_EDGE( '', *, *, #10019, .T. ); +#8564 = ORIENTED_EDGE( '', *, *, #10020, .T. ); +#8565 = ORIENTED_EDGE( '', *, *, #10021, .T. ); +#8566 = ORIENTED_EDGE( '', *, *, #10022, .T. ); +#8567 = ORIENTED_EDGE( '', *, *, #10023, .T. ); +#8568 = ORIENTED_EDGE( '', *, *, #10024, .F. ); +#8569 = ORIENTED_EDGE( '', *, *, #10025, .T. ); +#8570 = ORIENTED_EDGE( '', *, *, #10026, .T. ); +#8571 = ORIENTED_EDGE( '', *, *, #10027, .T. ); +#8572 = ORIENTED_EDGE( '', *, *, #10028, .F. ); +#8573 = ORIENTED_EDGE( '', *, *, #10029, .T. ); +#8574 = CARTESIAN_POINT( '', ( -5.19903924546598, 0.000000000000000, 2.30000000000000 ) ); +#8575 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#8576 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8577 = ORIENTED_EDGE( '', *, *, #10030, .F. ); +#8578 = ORIENTED_EDGE( '', *, *, #10031, .F. ); +#8579 = ORIENTED_EDGE( '', *, *, #10032, .F. ); +#8580 = ORIENTED_EDGE( '', *, *, #10033, .F. ); +#8581 = CARTESIAN_POINT( '', ( 3.95000000000000, 1.30000000000000, -1.40000000000000 ) ); +#8582 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#8583 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8584 = ORIENTED_EDGE( '', *, *, #10034, .T. ); +#8585 = ORIENTED_EDGE( '', *, *, #10035, .T. ); +#8586 = ORIENTED_EDGE( '', *, *, #10036, .T. ); +#8587 = ORIENTED_EDGE( '', *, *, #10037, .T. ); +#8588 = ORIENTED_EDGE( '', *, *, #10038, .T. ); +#8589 = ORIENTED_EDGE( '', *, *, #10039, .T. ); +#8590 = ORIENTED_EDGE( '', *, *, #10012, .T. ); +#8591 = ORIENTED_EDGE( '', *, *, #10040, .T. ); +#8592 = ORIENTED_EDGE( '', *, *, #10041, .T. ); +#8593 = ORIENTED_EDGE( '', *, *, #10042, .T. ); +#8594 = ORIENTED_EDGE( '', *, *, #10028, .T. ); +#8595 = ORIENTED_EDGE( '', *, *, #10043, .F. ); +#8596 = CARTESIAN_POINT( '', ( -2.20000000000000, 3.45000000000000, -10.7916003605365 ) ); +#8597 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#8598 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#8599 = ORIENTED_EDGE( '', *, *, #10044, .T. ); +#8600 = ORIENTED_EDGE( '', *, *, #10043, .T. ); +#8601 = ORIENTED_EDGE( '', *, *, #10027, .F. ); +#8602 = ORIENTED_EDGE( '', *, *, #10045, .F. ); +#8603 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.55189212808533, -10.7916003605365 ) ); +#8604 = DIRECTION( '', ( 0.754709580222772, -0.656059028990507, 0.000000000000000 ) ); +#8605 = DIRECTION( '', ( 0.656059028990507, 0.754709580222772, 0.000000000000000 ) ); +#8606 = ORIENTED_EDGE( '', *, *, #10046, .F. ); +#8607 = ORIENTED_EDGE( '', *, *, #10030, .T. ); +#8608 = ORIENTED_EDGE( '', *, *, #10047, .F. ); +#8609 = CARTESIAN_POINT( '', ( 3.95000000000000, 1.30000000000000, -1.30000000000000 ) ); +#8610 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8611 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8612 = ORIENTED_EDGE( '', *, *, #10048, .T. ); +#8613 = ORIENTED_EDGE( '', *, *, #10049, .T. ); +#8614 = ORIENTED_EDGE( '', *, *, #10050, .T. ); +#8615 = ORIENTED_EDGE( '', *, *, #10033, .T. ); +#8616 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.40000000000000, -1.40000000000000 ) ); +#8617 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#8618 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#8619 = ORIENTED_EDGE( '', *, *, #10051, .F. ); +#8620 = ORIENTED_EDGE( '', *, *, #10052, .T. ); +#8621 = ORIENTED_EDGE( '', *, *, #10053, .F. ); +#8622 = ORIENTED_EDGE( '', *, *, #10054, .T. ); +#8623 = ORIENTED_EDGE( '', *, *, #10048, .F. ); +#8624 = ORIENTED_EDGE( '', *, *, #10032, .T. ); +#8625 = ORIENTED_EDGE( '', *, *, #10055, .F. ); +#8626 = ORIENTED_EDGE( '', *, *, #10056, .F. ); +#8627 = ORIENTED_EDGE( '', *, *, #10038, .F. ); +#8628 = ORIENTED_EDGE( '', *, *, #10057, .T. ); +#8629 = ORIENTED_EDGE( '', *, *, #10058, .T. ); +#8630 = ORIENTED_EDGE( '', *, *, #10059, .T. ); +#8631 = ORIENTED_EDGE( '', *, *, #10060, .F. ); +#8632 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.15000000000000, 0.000000000000000 ) ); +#8633 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8634 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8635 = ORIENTED_EDGE( '', *, *, #10061, .T. ); +#8636 = ORIENTED_EDGE( '', *, *, #10062, .F. ); +#8637 = ORIENTED_EDGE( '', *, *, #10063, .T. ); +#8638 = ORIENTED_EDGE( '', *, *, #10064, .F. ); +#8639 = ORIENTED_EDGE( '', *, *, #10065, .T. ); +#8640 = ORIENTED_EDGE( '', *, *, #10066, .T. ); +#8641 = ORIENTED_EDGE( '', *, *, #10067, .T. ); +#8642 = ORIENTED_EDGE( '', *, *, #10034, .F. ); +#8643 = ORIENTED_EDGE( '', *, *, #10044, .F. ); +#8644 = ORIENTED_EDGE( '', *, *, #10068, .F. ); +#8645 = ORIENTED_EDGE( '', *, *, #10069, .T. ); +#8646 = ORIENTED_EDGE( '', *, *, #10070, .T. ); +#8647 = ORIENTED_EDGE( '', *, *, #10071, .T. ); +#8648 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.15000000000000, 0.000000000000000 ) ); +#8649 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8650 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8651 = ORIENTED_EDGE( '', *, *, #10067, .F. ); +#8652 = ORIENTED_EDGE( '', *, *, #10072, .F. ); +#8653 = ORIENTED_EDGE( '', *, *, #10073, .F. ); +#8654 = ORIENTED_EDGE( '', *, *, #10035, .F. ); +#8655 = CARTESIAN_POINT( '', ( -1.90000000000000, 3.55000000000000, -1.40000000000000 ) ); +#8656 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#8657 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8658 = ORIENTED_EDGE( '', *, *, #10074, .T. ); +#8659 = ORIENTED_EDGE( '', *, *, #10036, .F. ); +#8660 = ORIENTED_EDGE( '', *, *, #10075, .F. ); +#8661 = ORIENTED_EDGE( '', *, *, #10076, .F. ); +#8662 = CARTESIAN_POINT( '', ( -2.20000000000000, 3.55000000000000, -1.30000000000000 ) ); +#8663 = DIRECTION( '', ( 1.00000000000000, -0.000000000000000, -0.000000000000000 ) ); +#8664 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8665 = ORIENTED_EDGE( '', *, *, #10077, .F. ); +#8666 = ORIENTED_EDGE( '', *, *, #10078, .T. ); +#8667 = ORIENTED_EDGE( '', *, *, #10074, .F. ); +#8668 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.55000000000000, -1.30000000000000 ) ); +#8669 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8670 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8671 = ORIENTED_EDGE( '', *, *, #10078, .F. ); +#8672 = ORIENTED_EDGE( '', *, *, #10079, .F. ); +#8673 = ORIENTED_EDGE( '', *, *, #10057, .F. ); +#8674 = ORIENTED_EDGE( '', *, *, #10037, .F. ); +#8675 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.55000000000000, -10.7916003605365 ) ); +#8676 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#8677 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8678 = ORIENTED_EDGE( '', *, *, #10056, .T. ); +#8679 = ORIENTED_EDGE( '', *, *, #10080, .T. ); +#8680 = ORIENTED_EDGE( '', *, *, #10013, .F. ); +#8681 = ORIENTED_EDGE( '', *, *, #10039, .F. ); +#8682 = CARTESIAN_POINT( '', ( 2.20000000000000, 3.45000000000000, -10.7916003605365 ) ); +#8683 = DIRECTION( '', ( -0.754709580222773, -0.656059028990507, 0.000000000000000 ) ); +#8684 = DIRECTION( '', ( 0.656059028990507, -0.754709580222773, 0.000000000000000 ) ); +#8685 = ORIENTED_EDGE( '', *, *, #10081, .T. ); +#8686 = ORIENTED_EDGE( '', *, *, #10082, .T. ); +#8687 = ORIENTED_EDGE( '', *, *, #10083, .T. ); +#8688 = ORIENTED_EDGE( '', *, *, #10014, .F. ); +#8689 = ORIENTED_EDGE( '', *, *, #10080, .F. ); +#8690 = ORIENTED_EDGE( '', *, *, #10055, .T. ); +#8691 = ORIENTED_EDGE( '', *, *, #10031, .T. ); +#8692 = ORIENTED_EDGE( '', *, *, #10084, .T. ); +#8693 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.55189212808533, -10.7916003605365 ) ); +#8694 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8695 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8696 = ORIENTED_EDGE( '', *, *, #10011, .F. ); +#8697 = ORIENTED_EDGE( '', *, *, #10085, .F. ); +#8698 = ORIENTED_EDGE( '', *, *, #10086, .F. ); +#8699 = ORIENTED_EDGE( '', *, *, #10040, .F. ); +#8700 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.25000000000000, -10.7916003605365 ) ); +#8701 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#8702 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8703 = ORIENTED_EDGE( '', *, *, #10087, .F. ); +#8704 = ORIENTED_EDGE( '', *, *, #10088, .T. ); +#8705 = ORIENTED_EDGE( '', *, *, #10041, .F. ); +#8706 = ORIENTED_EDGE( '', *, *, #10086, .T. ); +#8707 = ORIENTED_EDGE( '', *, *, #10089, .F. ); +#8708 = ORIENTED_EDGE( '', *, *, #10090, .T. ); +#8709 = ORIENTED_EDGE( '', *, *, #10091, .F. ); +#8710 = ORIENTED_EDGE( '', *, *, #10092, .T. ); +#8711 = CARTESIAN_POINT( '', ( -2.20000000000000, 0.450000000000000, 2.90000000000000 ) ); +#8712 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8713 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8714 = ORIENTED_EDGE( '', *, *, #10093, .T. ); +#8715 = ORIENTED_EDGE( '', *, *, #10094, .T. ); +#8716 = ORIENTED_EDGE( '', *, *, #10095, .T. ); +#8717 = ORIENTED_EDGE( '', *, *, #10096, .T. ); +#8718 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.45000000000000, -1.40000000000000 ) ); +#8719 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8720 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8721 = ORIENTED_EDGE( '', *, *, #10097, .F. ); +#8722 = ORIENTED_EDGE( '', *, *, #10098, .F. ); +#8723 = ORIENTED_EDGE( '', *, *, #10099, .F. ); +#8724 = ORIENTED_EDGE( '', *, *, #10096, .F. ); +#8725 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.05000000000000, 3.50000000000000 ) ); +#8726 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#8727 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8728 = ORIENTED_EDGE( '', *, *, #10099, .T. ); +#8729 = ORIENTED_EDGE( '', *, *, #10100, .T. ); +#8730 = ORIENTED_EDGE( '', *, *, #10101, .F. ); +#8731 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.05000000000000, -1.30000000000000 ) ); +#8732 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8733 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8734 = ORIENTED_EDGE( '', *, *, #10101, .T. ); +#8735 = ORIENTED_EDGE( '', *, *, #10102, .F. ); +#8736 = ORIENTED_EDGE( '', *, *, #10103, .F. ); +#8737 = ORIENTED_EDGE( '', *, *, #10093, .F. ); +#8738 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.45000000000000, -1.30000000000000 ) ); +#8739 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#8740 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8741 = ORIENTED_EDGE( '', *, *, #10103, .T. ); +#8742 = ORIENTED_EDGE( '', *, *, #10104, .T. ); +#8743 = ORIENTED_EDGE( '', *, *, #10105, .F. ); +#8744 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.55000000000000, -1.30000000000000 ) ); +#8745 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8746 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8747 = ORIENTED_EDGE( '', *, *, #10105, .T. ); +#8748 = ORIENTED_EDGE( '', *, *, #10106, .F. ); +#8749 = ORIENTED_EDGE( '', *, *, #10107, .F. ); +#8750 = ORIENTED_EDGE( '', *, *, #10094, .F. ); +#8751 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.55000000000000, -1.40000000000000 ) ); +#8752 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#8753 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8754 = ORIENTED_EDGE( '', *, *, #10108, .T. ); +#8755 = ORIENTED_EDGE( '', *, *, #10109, .F. ); +#8756 = ORIENTED_EDGE( '', *, *, #10110, .T. ); +#8757 = ORIENTED_EDGE( '', *, *, #10111, .F. ); +#8758 = ORIENTED_EDGE( '', *, *, #10097, .T. ); +#8759 = ORIENTED_EDGE( '', *, *, #10095, .F. ); +#8760 = ORIENTED_EDGE( '', *, *, #10107, .T. ); +#8761 = ORIENTED_EDGE( '', *, *, #10112, .F. ); +#8762 = ORIENTED_EDGE( '', *, *, #10113, .F. ); +#8763 = ORIENTED_EDGE( '', *, *, #10082, .F. ); +#8764 = ORIENTED_EDGE( '', *, *, #10114, .T. ); +#8765 = ORIENTED_EDGE( '', *, *, #10115, .F. ); +#8766 = ORIENTED_EDGE( '', *, *, #10116, .T. ); +#8767 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.15000000000000, 0.000000000000000 ) ); +#8768 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8769 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8770 = ORIENTED_EDGE( '', *, *, #10117, .T. ); +#8771 = ORIENTED_EDGE( '', *, *, #10118, .T. ); +#8772 = ORIENTED_EDGE( '', *, *, #10119, .T. ); +#8773 = ORIENTED_EDGE( '', *, *, #10024, .T. ); +#8774 = ORIENTED_EDGE( '', *, *, #10120, .T. ); +#8775 = ORIENTED_EDGE( '', *, *, #10121, .F. ); +#8776 = ORIENTED_EDGE( '', *, *, #10122, .T. ); +#8777 = ORIENTED_EDGE( '', *, *, #10016, .T. ); +#8778 = ORIENTED_EDGE( '', *, *, #10123, .F. ); +#8779 = ORIENTED_EDGE( '', *, *, #10112, .T. ); +#8780 = ORIENTED_EDGE( '', *, *, #10106, .T. ); +#8781 = ORIENTED_EDGE( '', *, *, #10124, .T. ); +#8782 = CARTESIAN_POINT( '', ( 2.20000000000000, -3.45000000000000, -10.7916003605365 ) ); +#8783 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#8784 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8785 = ORIENTED_EDGE( '', *, *, #10113, .T. ); +#8786 = ORIENTED_EDGE( '', *, *, #10123, .T. ); +#8787 = ORIENTED_EDGE( '', *, *, #10015, .F. ); +#8788 = ORIENTED_EDGE( '', *, *, #10083, .F. ); +#8789 = CARTESIAN_POINT( '', ( 3.85000000000000, -1.55189212808533, -10.7916003605365 ) ); +#8790 = DIRECTION( '', ( -0.754709580222772, 0.656059028990507, 0.000000000000000 ) ); +#8791 = DIRECTION( '', ( -0.656059028990507, -0.754709580222772, 0.000000000000000 ) ); +#8792 = ORIENTED_EDGE( '', *, *, #10017, .F. ); +#8793 = ORIENTED_EDGE( '', *, *, #10122, .F. ); +#8794 = ORIENTED_EDGE( '', *, *, #10125, .F. ); +#8795 = ORIENTED_EDGE( '', *, *, #10126, .F. ); +#8796 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.25000000000000, -10.7832013797941 ) ); +#8797 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#8798 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8799 = ORIENTED_EDGE( '', *, *, #10127, .F. ); +#8800 = ORIENTED_EDGE( '', *, *, #10128, .T. ); +#8801 = ORIENTED_EDGE( '', *, *, #10018, .F. ); +#8802 = ORIENTED_EDGE( '', *, *, #10126, .T. ); +#8803 = CARTESIAN_POINT( '', ( 2.20000000000000, -0.450000000000000, -10.7832013797941 ) ); +#8804 = DIRECTION( '', ( -1.00000000000000, -5.78241158658936E-016, 0.000000000000000 ) ); +#8805 = DIRECTION( '', ( 5.78241158658936E-016, -1.00000000000000, 0.000000000000000 ) ); +#8806 = ORIENTED_EDGE( '', *, *, #10019, .F. ); +#8807 = ORIENTED_EDGE( '', *, *, #10128, .F. ); +#8808 = ORIENTED_EDGE( '', *, *, #10129, .F. ); +#8809 = ORIENTED_EDGE( '', *, *, #10130, .F. ); +#8810 = CARTESIAN_POINT( '', ( 2.00000000000000, -0.650000000000000, -10.7832013797941 ) ); +#8811 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#8812 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8813 = ORIENTED_EDGE( '', *, *, #10020, .F. ); +#8814 = ORIENTED_EDGE( '', *, *, #10130, .T. ); +#8815 = ORIENTED_EDGE( '', *, *, #10131, .F. ); +#8816 = ORIENTED_EDGE( '', *, *, #10132, .T. ); +#8817 = CARTESIAN_POINT( '', ( -2.20000000000000, -0.450000000000000, -10.7832013797941 ) ); +#8818 = DIRECTION( '', ( 3.08011980819746E-016, -1.00000000000000, 0.000000000000000 ) ); +#8819 = DIRECTION( '', ( 1.00000000000000, 3.08011980819746E-016, 0.000000000000000 ) ); +#8820 = ORIENTED_EDGE( '', *, *, #10131, .T. ); +#8821 = ORIENTED_EDGE( '', *, *, #10129, .T. ); +#8822 = ORIENTED_EDGE( '', *, *, #10127, .T. ); +#8823 = ORIENTED_EDGE( '', *, *, #10125, .T. ); +#8824 = ORIENTED_EDGE( '', *, *, #10121, .T. ); +#8825 = ORIENTED_EDGE( '', *, *, #10133, .T. ); +#8826 = ORIENTED_EDGE( '', *, *, #10134, .T. ); +#8827 = ORIENTED_EDGE( '', *, *, #10135, .T. ); +#8828 = CARTESIAN_POINT( '', ( -2.20000000000000, -0.450000000000000, 2.90000000000000 ) ); +#8829 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#8830 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8831 = ORIENTED_EDGE( '', *, *, #10021, .F. ); +#8832 = ORIENTED_EDGE( '', *, *, #10132, .F. ); +#8833 = ORIENTED_EDGE( '', *, *, #10135, .F. ); +#8834 = ORIENTED_EDGE( '', *, *, #10136, .F. ); +#8835 = CARTESIAN_POINT( '', ( -2.00000000000000, -0.650000000000000, -10.7832013797941 ) ); +#8836 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#8837 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8838 = ORIENTED_EDGE( '', *, *, #10022, .F. ); +#8839 = ORIENTED_EDGE( '', *, *, #10136, .T. ); +#8840 = ORIENTED_EDGE( '', *, *, #10134, .F. ); +#8841 = ORIENTED_EDGE( '', *, *, #10137, .T. ); +#8842 = CARTESIAN_POINT( '', ( -2.20000000000000, -3.45000000000000, -10.7832013797941 ) ); +#8843 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8844 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#8845 = ORIENTED_EDGE( '', *, *, #10023, .F. ); +#8846 = ORIENTED_EDGE( '', *, *, #10137, .F. ); +#8847 = ORIENTED_EDGE( '', *, *, #10133, .F. ); +#8848 = ORIENTED_EDGE( '', *, *, #10120, .F. ); +#8849 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.25000000000000, -10.7916003605365 ) ); +#8850 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#8851 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8852 = ORIENTED_EDGE( '', *, *, #10138, .F. ); +#8853 = ORIENTED_EDGE( '', *, *, #10139, .T. ); +#8854 = ORIENTED_EDGE( '', *, *, #10140, .F. ); +#8855 = ORIENTED_EDGE( '', *, *, #10141, .T. ); +#8856 = ORIENTED_EDGE( '', *, *, #10142, .T. ); +#8857 = ORIENTED_EDGE( '', *, *, #10143, .T. ); +#8858 = ORIENTED_EDGE( '', *, *, #10144, .F. ); +#8859 = ORIENTED_EDGE( '', *, *, #10145, .F. ); +#8860 = ORIENTED_EDGE( '', *, *, #10118, .F. ); +#8861 = ORIENTED_EDGE( '', *, *, #10146, .T. ); +#8862 = ORIENTED_EDGE( '', *, *, #10147, .F. ); +#8863 = ORIENTED_EDGE( '', *, *, #10148, .T. ); +#8864 = ORIENTED_EDGE( '', *, *, #10149, .F. ); +#8865 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.15000000000000, 0.000000000000000 ) ); +#8866 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8867 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8868 = ORIENTED_EDGE( '', *, *, #10066, .F. ); +#8869 = ORIENTED_EDGE( '', *, *, #10150, .T. ); +#8870 = ORIENTED_EDGE( '', *, *, #10151, .T. ); +#8871 = ORIENTED_EDGE( '', *, *, #10072, .T. ); +#8872 = CARTESIAN_POINT( '', ( -2.00000000000000, 4.15000000000000, -1.40000000000000 ) ); +#8873 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8874 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8875 = ORIENTED_EDGE( '', *, *, #10144, .T. ); +#8876 = ORIENTED_EDGE( '', *, *, #10152, .T. ); +#8877 = ORIENTED_EDGE( '', *, *, #10153, .T. ); +#8878 = ORIENTED_EDGE( '', *, *, #10154, .T. ); +#8879 = ORIENTED_EDGE( '', *, *, #10068, .T. ); +#8880 = ORIENTED_EDGE( '', *, *, #10045, .T. ); +#8881 = ORIENTED_EDGE( '', *, *, #10026, .F. ); +#8882 = ORIENTED_EDGE( '', *, *, #10155, .F. ); +#8883 = CARTESIAN_POINT( '', ( -3.85000000000000, -1.55189212808533, -10.7916003605365 ) ); +#8884 = DIRECTION( '', ( 1.00000000000000, 1.39726486508202E-016, 0.000000000000000 ) ); +#8885 = DIRECTION( '', ( -1.39726486508202E-016, 1.00000000000000, 0.000000000000000 ) ); +#8886 = ORIENTED_EDGE( '', *, *, #10145, .T. ); +#8887 = ORIENTED_EDGE( '', *, *, #10155, .T. ); +#8888 = ORIENTED_EDGE( '', *, *, #10025, .F. ); +#8889 = ORIENTED_EDGE( '', *, *, #10119, .F. ); +#8890 = CARTESIAN_POINT( '', ( -2.20000000000000, -3.45000000000000, -10.7916003605365 ) ); +#8891 = DIRECTION( '', ( 0.754709580222772, 0.656059028990507, 0.000000000000000 ) ); +#8892 = DIRECTION( '', ( -0.656059028990507, 0.754709580222772, 0.000000000000000 ) ); +#8893 = ORIENTED_EDGE( '', *, *, #10156, .T. ); +#8894 = ORIENTED_EDGE( '', *, *, #10157, .T. ); +#8895 = ORIENTED_EDGE( '', *, *, #10158, .T. ); +#8896 = ORIENTED_EDGE( '', *, *, #10159, .T. ); +#8897 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.15000000000000, 3.50000000000000 ) ); +#8898 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8899 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8900 = ORIENTED_EDGE( '', *, *, #10160, .T. ); +#8901 = ORIENTED_EDGE( '', *, *, #10064, .T. ); +#8902 = ORIENTED_EDGE( '', *, *, #10161, .T. ); +#8903 = ORIENTED_EDGE( '', *, *, #10162, .T. ); +#8904 = ORIENTED_EDGE( '', *, *, #10163, .T. ); +#8905 = ORIENTED_EDGE( '', *, *, #10051, .T. ); +#8906 = ORIENTED_EDGE( '', *, *, #10164, .T. ); +#8907 = ORIENTED_EDGE( '', *, *, #10165, .T. ); +#8908 = CARTESIAN_POINT( '', ( 4.75000000000000, 4.15000000000000, 3.50000000000000 ) ); +#8909 = DIRECTION( '', ( -9.13012355777267E-017, -1.00000000000000, 0.000000000000000 ) ); +#8910 = DIRECTION( '', ( 1.00000000000000, -9.13012355777267E-017, 0.000000000000000 ) ); +#8911 = ORIENTED_EDGE( '', *, *, #10109, .T. ); +#8912 = ORIENTED_EDGE( '', *, *, #10166, .T. ); +#8913 = ORIENTED_EDGE( '', *, *, #10167, .T. ); +#8914 = ORIENTED_EDGE( '', *, *, #10168, .T. ); +#8915 = ORIENTED_EDGE( '', *, *, #10053, .T. ); +#8916 = ORIENTED_EDGE( '', *, *, #10169, .T. ); +#8917 = ORIENTED_EDGE( '', *, *, #10170, .T. ); +#8918 = ORIENTED_EDGE( '', *, *, #10171, .T. ); +#8919 = CARTESIAN_POINT( '', ( 4.75000000000000, -4.15000000000000, 3.50000000000000 ) ); +#8920 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8921 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8922 = ORIENTED_EDGE( '', *, *, #10098, .T. ); +#8923 = ORIENTED_EDGE( '', *, *, #10111, .T. ); +#8924 = ORIENTED_EDGE( '', *, *, #10172, .T. ); +#8925 = ORIENTED_EDGE( '', *, *, #10173, .T. ); +#8926 = ORIENTED_EDGE( '', *, *, #10174, .T. ); +#8927 = ORIENTED_EDGE( '', *, *, #10138, .T. ); +#8928 = ORIENTED_EDGE( '', *, *, #10175, .T. ); +#8929 = ORIENTED_EDGE( '', *, *, #10176, .T. ); +#8930 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.15000000000000, 3.50000000000000 ) ); +#8931 = DIRECTION( '', ( -9.13012355777267E-017, 1.00000000000000, 0.000000000000000 ) ); +#8932 = DIRECTION( '', ( -1.00000000000000, -9.13012355777267E-017, 0.000000000000000 ) ); +#8933 = ORIENTED_EDGE( '', *, *, #10177, .T. ); +#8934 = ORIENTED_EDGE( '', *, *, #10140, .T. ); +#8935 = ORIENTED_EDGE( '', *, *, #10178, .T. ); +#8936 = ORIENTED_EDGE( '', *, *, #10179, .T. ); +#8937 = ORIENTED_EDGE( '', *, *, #10180, .T. ); +#8938 = ORIENTED_EDGE( '', *, *, #10062, .T. ); +#8939 = ORIENTED_EDGE( '', *, *, #10181, .T. ); +#8940 = ORIENTED_EDGE( '', *, *, #10182, .T. ); +#8941 = CARTESIAN_POINT( '', ( -4.75000000000000, 4.15000000000000, 3.50000000000000 ) ); +#8942 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8943 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#8944 = ORIENTED_EDGE( '', *, *, #10010, .T. ); +#8945 = ORIENTED_EDGE( '', *, *, #10183, .T. ); +#8946 = ORIENTED_EDGE( '', *, *, #10089, .T. ); +#8947 = ORIENTED_EDGE( '', *, *, #10085, .T. ); +#8948 = CARTESIAN_POINT( '', ( 2.20000000000000, 0.450000000000000, -10.7832013797941 ) ); +#8949 = DIRECTION( '', ( 1.00000000000000, -5.78241158658936E-016, 0.000000000000000 ) ); +#8950 = DIRECTION( '', ( 5.78241158658936E-016, 1.00000000000000, 0.000000000000000 ) ); +#8951 = ORIENTED_EDGE( '', *, *, #10006, .T. ); +#8952 = ORIENTED_EDGE( '', *, *, #10184, .T. ); +#8953 = ORIENTED_EDGE( '', *, *, #10087, .T. ); +#8954 = ORIENTED_EDGE( '', *, *, #10185, .T. ); +#8955 = CARTESIAN_POINT( '', ( -2.20000000000000, 3.45000000000000, -10.7832013797941 ) ); +#8956 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8957 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8958 = ORIENTED_EDGE( '', *, *, #10008, .T. ); +#8959 = ORIENTED_EDGE( '', *, *, #10186, .T. ); +#8960 = ORIENTED_EDGE( '', *, *, #10091, .T. ); +#8961 = ORIENTED_EDGE( '', *, *, #10187, .T. ); +#8962 = CARTESIAN_POINT( '', ( -2.20000000000000, 0.450000000000000, -10.7832013797941 ) ); +#8963 = DIRECTION( '', ( 3.08011980819746E-016, -1.00000000000000, 0.000000000000000 ) ); +#8964 = DIRECTION( '', ( 1.00000000000000, 3.08011980819746E-016, 0.000000000000000 ) ); +#8965 = ORIENTED_EDGE( '', *, *, #10188, .T. ); +#8966 = CARTESIAN_POINT( '', ( -3.75000000000000, 3.15000000000000, -1.80000000000000 ) ); +#8967 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#8968 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8969 = ORIENTED_EDGE( '', *, *, #10188, .F. ); +#8970 = ORIENTED_EDGE( '', *, *, #10061, .F. ); +#8971 = CARTESIAN_POINT( '', ( -3.75000000000000, 3.15000000000000, 11.8832013797941 ) ); +#8972 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#8973 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8974 = ORIENTED_EDGE( '', *, *, #10189, .F. ); +#8975 = CARTESIAN_POINT( '', ( -3.75000000000000, -3.15000000000000, -1.80000000000000 ) ); +#8976 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8977 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8978 = ORIENTED_EDGE( '', *, *, #10189, .T. ); +#8979 = ORIENTED_EDGE( '', *, *, #10149, .T. ); +#8980 = CARTESIAN_POINT( '', ( -3.75000000000000, -3.15000000000000, 11.8832013797941 ) ); +#8981 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#8982 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8983 = ORIENTED_EDGE( '', *, *, #10190, .T. ); +#8984 = CARTESIAN_POINT( '', ( 3.75000000000000, -3.15000000000000, -1.80000000000000 ) ); +#8985 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#8986 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8987 = ORIENTED_EDGE( '', *, *, #10190, .F. ); +#8988 = ORIENTED_EDGE( '', *, *, #10108, .F. ); +#8989 = CARTESIAN_POINT( '', ( 3.75000000000000, -3.15000000000000, 11.8832013797941 ) ); +#8990 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#8991 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8992 = ORIENTED_EDGE( '', *, *, #10191, .F. ); +#8993 = CARTESIAN_POINT( '', ( 3.75000000000000, 3.15000000000000, -1.80000000000000 ) ); +#8994 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#8995 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#8996 = ORIENTED_EDGE( '', *, *, #10191, .T. ); +#8997 = ORIENTED_EDGE( '', *, *, #10060, .T. ); +#8998 = CARTESIAN_POINT( '', ( 3.75000000000000, 3.15000000000000, 11.8832013797941 ) ); +#8999 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9000 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9001 = ORIENTED_EDGE( '', *, *, #10192, .T. ); +#9002 = ORIENTED_EDGE( '', *, *, #10193, .T. ); +#9003 = ORIENTED_EDGE( '', *, *, #10194, .T. ); +#9004 = ORIENTED_EDGE( '', *, *, #10195, .T. ); +#9005 = CARTESIAN_POINT( '', ( -4.75000000000000, -1.40000000000000, -1.40000000000000 ) ); +#9006 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9007 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9008 = ORIENTED_EDGE( '', *, *, #10070, .F. ); +#9009 = ORIENTED_EDGE( '', *, *, #10196, .T. ); +#9010 = ORIENTED_EDGE( '', *, *, #10197, .T. ); +#9011 = ORIENTED_EDGE( '', *, *, #10198, .T. ); +#9012 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.40000000000000, -1.40000000000000 ) ); +#9013 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#9014 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9015 = ORIENTED_EDGE( '', *, *, #10199, .T. ); +#9016 = ORIENTED_EDGE( '', *, *, #10200, .T. ); +#9017 = ORIENTED_EDGE( '', *, *, #10142, .F. ); +#9018 = ORIENTED_EDGE( '', *, *, #10201, .T. ); +#9019 = CARTESIAN_POINT( '', ( -4.75000000000000, -1.40000000000000, -1.40000000000000 ) ); +#9020 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#9021 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9022 = ORIENTED_EDGE( '', *, *, #10202, .T. ); +#9023 = ORIENTED_EDGE( '', *, *, #10102, .T. ); +#9024 = ORIENTED_EDGE( '', *, *, #10203, .T. ); +#9025 = ORIENTED_EDGE( '', *, *, #10204, .T. ); +#9026 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.45000000000000, -1.40000000000000 ) ); +#9027 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9028 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9029 = ORIENTED_EDGE( '', *, *, #10147, .T. ); +#9030 = ORIENTED_EDGE( '', *, *, #10205, .T. ); +#9031 = ORIENTED_EDGE( '', *, *, #10206, .T. ); +#9032 = ORIENTED_EDGE( '', *, *, #10207, .T. ); +#9033 = CARTESIAN_POINT( '', ( -2.00000000000000, -4.15000000000000, -1.40000000000000 ) ); +#9034 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9035 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9036 = ORIENTED_EDGE( '', *, *, #10208, .T. ); +#9037 = ORIENTED_EDGE( '', *, *, #10209, .T. ); +#9038 = ORIENTED_EDGE( '', *, *, #10210, .T. ); +#9039 = ORIENTED_EDGE( '', *, *, #10211, .T. ); +#9040 = CARTESIAN_POINT( '', ( 4.75000000000000, -1.40000000000000, -1.40000000000000 ) ); +#9041 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9042 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9043 = ORIENTED_EDGE( '', *, *, #10212, .T. ); +#9044 = ORIENTED_EDGE( '', *, *, #10213, .T. ); +#9045 = ORIENTED_EDGE( '', *, *, #10115, .T. ); +#9046 = ORIENTED_EDGE( '', *, *, #10214, .T. ); +#9047 = CARTESIAN_POINT( '', ( 4.75000000000000, -1.40000000000000, -1.40000000000000 ) ); +#9048 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#9049 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9050 = ORIENTED_EDGE( '', *, *, #10215, .T. ); +#9051 = ORIENTED_EDGE( '', *, *, #10216, .T. ); +#9052 = ORIENTED_EDGE( '', *, *, #10217, .T. ); +#9053 = ORIENTED_EDGE( '', *, *, #10076, .T. ); +#9054 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.45000000000000, -1.40000000000000 ) ); +#9055 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9056 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9057 = ORIENTED_EDGE( '', *, *, #10218, .T. ); +#9058 = ORIENTED_EDGE( '', *, *, #10219, .T. ); +#9059 = ORIENTED_EDGE( '', *, *, #10058, .F. ); +#9060 = ORIENTED_EDGE( '', *, *, #10079, .T. ); +#9061 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.45000000000000, -1.40000000000000 ) ); +#9062 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9063 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9064 = ORIENTED_EDGE( '', *, *, #10059, .F. ); +#9065 = ORIENTED_EDGE( '', *, *, #10219, .F. ); +#9066 = ORIENTED_EDGE( '', *, *, #10220, .F. ); +#9067 = ORIENTED_EDGE( '', *, *, #10164, .F. ); +#9068 = CARTESIAN_POINT( '', ( 1.90000000000000, 4.05000000000000, -1.40000000000000 ) ); +#9069 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9070 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9071 = ORIENTED_EDGE( '', *, *, #10077, .T. ); +#9072 = ORIENTED_EDGE( '', *, *, #10217, .F. ); +#9073 = ORIENTED_EDGE( '', *, *, #10221, .F. ); +#9074 = ORIENTED_EDGE( '', *, *, #10218, .F. ); +#9075 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.45000000000000, -1.30000000000000 ) ); +#9076 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#9077 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9078 = ORIENTED_EDGE( '', *, *, #10220, .T. ); +#9079 = ORIENTED_EDGE( '', *, *, #10221, .T. ); +#9080 = ORIENTED_EDGE( '', *, *, #10222, .F. ); +#9081 = CARTESIAN_POINT( '', ( 1.90000000000000, 4.05000000000000, -1.30000000000000 ) ); +#9082 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9083 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9084 = ORIENTED_EDGE( '', *, *, #10222, .T. ); +#9085 = ORIENTED_EDGE( '', *, *, #10216, .F. ); +#9086 = ORIENTED_EDGE( '', *, *, #10223, .F. ); +#9087 = ORIENTED_EDGE( '', *, *, #10165, .F. ); +#9088 = CARTESIAN_POINT( '', ( 4.75000000000000, 4.05000000000000, -1.30000000000000 ) ); +#9089 = DIRECTION( '', ( 1.00000000000000, -9.13012355777267E-017, -0.000000000000000 ) ); +#9090 = DIRECTION( '', ( -9.13012355777267E-017, -1.00000000000000, 0.000000000000000 ) ); +#9091 = ORIENTED_EDGE( '', *, *, #10224, .T. ); +#9092 = ORIENTED_EDGE( '', *, *, #10169, .F. ); +#9093 = ORIENTED_EDGE( '', *, *, #10052, .F. ); +#9094 = ORIENTED_EDGE( '', *, *, #10163, .F. ); +#9095 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.05000000000000, 3.50000000000000 ) ); +#9096 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9097 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9098 = ORIENTED_EDGE( '', *, *, #10225, .T. ); +#9099 = ORIENTED_EDGE( '', *, *, #10226, .T. ); +#9100 = ORIENTED_EDGE( '', *, *, #10224, .F. ); +#9101 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.05000000000000, 3.40000000000000 ) ); +#9102 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9103 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9104 = ORIENTED_EDGE( '', *, *, #10227, .T. ); +#9105 = ORIENTED_EDGE( '', *, *, #10170, .F. ); +#9106 = ORIENTED_EDGE( '', *, *, #10226, .F. ); +#9107 = ORIENTED_EDGE( '', *, *, #10156, .F. ); +#9108 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.15000000000000, 3.40000000000000 ) ); +#9109 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#9110 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9111 = ORIENTED_EDGE( '', *, *, #10110, .F. ); +#9112 = ORIENTED_EDGE( '', *, *, #10171, .F. ); +#9113 = ORIENTED_EDGE( '', *, *, #10228, .F. ); +#9114 = ORIENTED_EDGE( '', *, *, #10172, .F. ); +#9115 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.05000000000000, 3.50000000000000 ) ); +#9116 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9117 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9118 = ORIENTED_EDGE( '', *, *, #10229, .T. ); +#9119 = ORIENTED_EDGE( '', *, *, #10228, .T. ); +#9120 = ORIENTED_EDGE( '', *, *, #10227, .F. ); +#9121 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.05000000000000, 3.40000000000000 ) ); +#9122 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9123 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9124 = ORIENTED_EDGE( '', *, *, #10230, .T. ); +#9125 = ORIENTED_EDGE( '', *, *, #10173, .F. ); +#9126 = ORIENTED_EDGE( '', *, *, #10229, .F. ); +#9127 = ORIENTED_EDGE( '', *, *, #10159, .F. ); +#9128 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.05000000000000, 3.40000000000000 ) ); +#9129 = DIRECTION( '', ( 1.00000000000000, 9.13012355777267E-017, -0.000000000000000 ) ); +#9130 = DIRECTION( '', ( 9.13012355777267E-017, -1.00000000000000, 0.000000000000000 ) ); +#9131 = ORIENTED_EDGE( '', *, *, #10231, .F. ); +#9132 = ORIENTED_EDGE( '', *, *, #10178, .F. ); +#9133 = ORIENTED_EDGE( '', *, *, #10139, .F. ); +#9134 = ORIENTED_EDGE( '', *, *, #10174, .F. ); +#9135 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.05000000000000, 3.50000000000000 ) ); +#9136 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9137 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9138 = ORIENTED_EDGE( '', *, *, #10232, .F. ); +#9139 = ORIENTED_EDGE( '', *, *, #10231, .T. ); +#9140 = ORIENTED_EDGE( '', *, *, #10230, .F. ); +#9141 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.05000000000000, 3.40000000000000 ) ); +#9142 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9143 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9144 = ORIENTED_EDGE( '', *, *, #10232, .T. ); +#9145 = ORIENTED_EDGE( '', *, *, #10158, .F. ); +#9146 = ORIENTED_EDGE( '', *, *, #10233, .F. ); +#9147 = ORIENTED_EDGE( '', *, *, #10179, .F. ); +#9148 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.15000000000000, 3.40000000000000 ) ); +#9149 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#9150 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9151 = ORIENTED_EDGE( '', *, *, #10063, .F. ); +#9152 = ORIENTED_EDGE( '', *, *, #10180, .F. ); +#9153 = ORIENTED_EDGE( '', *, *, #10234, .F. ); +#9154 = ORIENTED_EDGE( '', *, *, #10161, .F. ); +#9155 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.05000000000000, 3.50000000000000 ) ); +#9156 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9157 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9158 = ORIENTED_EDGE( '', *, *, #10235, .F. ); +#9159 = ORIENTED_EDGE( '', *, *, #10175, .F. ); +#9160 = ORIENTED_EDGE( '', *, *, #10148, .F. ); +#9161 = ORIENTED_EDGE( '', *, *, #10207, .F. ); +#9162 = CARTESIAN_POINT( '', ( -1.90000000000000, -4.05000000000000, -1.40000000000000 ) ); +#9163 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#9164 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9165 = ORIENTED_EDGE( '', *, *, #10236, .F. ); +#9166 = ORIENTED_EDGE( '', *, *, #10235, .T. ); +#9167 = ORIENTED_EDGE( '', *, *, #10237, .F. ); +#9168 = CARTESIAN_POINT( '', ( -1.90000000000000, -4.05000000000000, -1.30000000000000 ) ); +#9169 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9170 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9171 = ORIENTED_EDGE( '', *, *, #10236, .T. ); +#9172 = ORIENTED_EDGE( '', *, *, #10203, .F. ); +#9173 = ORIENTED_EDGE( '', *, *, #10100, .F. ); +#9174 = ORIENTED_EDGE( '', *, *, #10176, .F. ); +#9175 = CARTESIAN_POINT( '', ( -2.00000000000000, -4.05000000000000, -1.30000000000000 ) ); +#9176 = DIRECTION( '', ( -1.00000000000000, -9.13012355777267E-017, -0.000000000000000 ) ); +#9177 = DIRECTION( '', ( -9.13012355777267E-017, 1.00000000000000, 0.000000000000000 ) ); +#9178 = ORIENTED_EDGE( '', *, *, #10238, .T. ); +#9179 = ORIENTED_EDGE( '', *, *, #10124, .F. ); +#9180 = ORIENTED_EDGE( '', *, *, #10104, .F. ); +#9181 = ORIENTED_EDGE( '', *, *, #10202, .F. ); +#9182 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.55000000000000, -1.30000000000000 ) ); +#9183 = DIRECTION( '', ( -1.00000000000000, -0.000000000000000, -0.000000000000000 ) ); +#9184 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9185 = ORIENTED_EDGE( '', *, *, #10146, .F. ); +#9186 = ORIENTED_EDGE( '', *, *, #10117, .F. ); +#9187 = ORIENTED_EDGE( '', *, *, #10239, .F. ); +#9188 = ORIENTED_EDGE( '', *, *, #10205, .F. ); +#9189 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.55000000000000, -10.7916003605365 ) ); +#9190 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9191 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9192 = ORIENTED_EDGE( '', *, *, #10240, .T. ); +#9193 = ORIENTED_EDGE( '', *, *, #10239, .T. ); +#9194 = ORIENTED_EDGE( '', *, *, #10238, .F. ); +#9195 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.55000000000000, -1.30000000000000 ) ); +#9196 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9197 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9198 = ORIENTED_EDGE( '', *, *, #10237, .T. ); +#9199 = ORIENTED_EDGE( '', *, *, #10206, .F. ); +#9200 = ORIENTED_EDGE( '', *, *, #10240, .F. ); +#9201 = ORIENTED_EDGE( '', *, *, #10204, .F. ); +#9202 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.45000000000000, -1.30000000000000 ) ); +#9203 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#9204 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9205 = ORIENTED_EDGE( '', *, *, #10069, .F. ); +#9206 = ORIENTED_EDGE( '', *, *, #10154, .F. ); +#9207 = ORIENTED_EDGE( '', *, *, #10241, .F. ); +#9208 = ORIENTED_EDGE( '', *, *, #10196, .F. ); +#9209 = CARTESIAN_POINT( '', ( -3.95000000000000, 1.30000000000000, -10.7916003605365 ) ); +#9210 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#9211 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9212 = ORIENTED_EDGE( '', *, *, #10242, .T. ); +#9213 = ORIENTED_EDGE( '', *, *, #10197, .F. ); +#9214 = ORIENTED_EDGE( '', *, *, #10243, .F. ); +#9215 = ORIENTED_EDGE( '', *, *, #10195, .F. ); +#9216 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.30000000000000, -1.30000000000000 ) ); +#9217 = DIRECTION( '', ( -1.00000000000000, -0.000000000000000, -0.000000000000000 ) ); +#9218 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9219 = ORIENTED_EDGE( '', *, *, #10244, .F. ); +#9220 = ORIENTED_EDGE( '', *, *, #10245, .T. ); +#9221 = ORIENTED_EDGE( '', *, *, #10242, .F. ); +#9222 = CARTESIAN_POINT( '', ( -4.65000000000000, 1.30000000000000, -1.30000000000000 ) ); +#9223 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9224 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9225 = ORIENTED_EDGE( '', *, *, #10245, .F. ); +#9226 = ORIENTED_EDGE( '', *, *, #10181, .F. ); +#9227 = ORIENTED_EDGE( '', *, *, #10071, .F. ); +#9228 = ORIENTED_EDGE( '', *, *, #10198, .F. ); +#9229 = CARTESIAN_POINT( '', ( -4.65000000000000, 1.30000000000000, -1.40000000000000 ) ); +#9230 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9231 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9232 = ORIENTED_EDGE( '', *, *, #10141, .F. ); +#9233 = ORIENTED_EDGE( '', *, *, #10177, .F. ); +#9234 = ORIENTED_EDGE( '', *, *, #10246, .F. ); +#9235 = ORIENTED_EDGE( '', *, *, #10201, .F. ); +#9236 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.30000000000000, 3.50000000000000 ) ); +#9237 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9238 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9239 = ORIENTED_EDGE( '', *, *, #10244, .T. ); +#9240 = ORIENTED_EDGE( '', *, *, #10194, .F. ); +#9241 = ORIENTED_EDGE( '', *, *, #10247, .F. ); +#9242 = ORIENTED_EDGE( '', *, *, #10182, .F. ); +#9243 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.15000000000000, -1.30000000000000 ) ); +#9244 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, -0.000000000000000 ) ); +#9245 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9246 = ORIENTED_EDGE( '', *, *, #10246, .T. ); +#9247 = ORIENTED_EDGE( '', *, *, #10247, .T. ); +#9248 = ORIENTED_EDGE( '', *, *, #10248, .F. ); +#9249 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.30000000000000, -1.30000000000000 ) ); +#9250 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9251 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9252 = ORIENTED_EDGE( '', *, *, #10248, .T. ); +#9253 = ORIENTED_EDGE( '', *, *, #10193, .F. ); +#9254 = ORIENTED_EDGE( '', *, *, #10249, .F. ); +#9255 = ORIENTED_EDGE( '', *, *, #10199, .F. ); +#9256 = CARTESIAN_POINT( '', ( -4.75000000000000, -1.30000000000000, -1.30000000000000 ) ); +#9257 = DIRECTION( '', ( 1.00000000000000, -0.000000000000000, -0.000000000000000 ) ); +#9258 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9259 = ORIENTED_EDGE( '', *, *, #10250, .T. ); +#9260 = ORIENTED_EDGE( '', *, *, #10152, .F. ); +#9261 = ORIENTED_EDGE( '', *, *, #10143, .F. ); +#9262 = ORIENTED_EDGE( '', *, *, #10200, .F. ); +#9263 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.30000000000000, -1.40000000000000 ) ); +#9264 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#9265 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9266 = ORIENTED_EDGE( '', *, *, #10251, .T. ); +#9267 = ORIENTED_EDGE( '', *, *, #10153, .F. ); +#9268 = ORIENTED_EDGE( '', *, *, #10252, .F. ); +#9269 = ORIENTED_EDGE( '', *, *, #10192, .F. ); +#9270 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.55189212808533, -1.30000000000000 ) ); +#9271 = DIRECTION( '', ( -1.39726486508203E-016, 1.00000000000000, -0.000000000000000 ) ); +#9272 = DIRECTION( '', ( 1.00000000000000, 1.39726486508203E-016, 0.000000000000000 ) ); +#9273 = ORIENTED_EDGE( '', *, *, #10249, .T. ); +#9274 = ORIENTED_EDGE( '', *, *, #10252, .T. ); +#9275 = ORIENTED_EDGE( '', *, *, #10250, .F. ); +#9276 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.30000000000000, -1.30000000000000 ) ); +#9277 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9278 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9279 = ORIENTED_EDGE( '', *, *, #10243, .T. ); +#9280 = ORIENTED_EDGE( '', *, *, #10241, .T. ); +#9281 = ORIENTED_EDGE( '', *, *, #10251, .F. ); +#9282 = CARTESIAN_POINT( '', ( -3.95000000000000, 1.30000000000000, -1.30000000000000 ) ); +#9283 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9284 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9285 = ORIENTED_EDGE( '', *, *, #10253, .T. ); +#9286 = ORIENTED_EDGE( '', *, *, #10150, .F. ); +#9287 = ORIENTED_EDGE( '', *, *, #10065, .F. ); +#9288 = ORIENTED_EDGE( '', *, *, #10160, .F. ); +#9289 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.05000000000000, 3.50000000000000 ) ); +#9290 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9291 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9292 = ORIENTED_EDGE( '', *, *, #10254, .T. ); +#9293 = ORIENTED_EDGE( '', *, *, #10166, .F. ); +#9294 = ORIENTED_EDGE( '', *, *, #10116, .F. ); +#9295 = ORIENTED_EDGE( '', *, *, #10213, .F. ); +#9296 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.30000000000000, -1.40000000000000 ) ); +#9297 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#9298 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9299 = ORIENTED_EDGE( '', *, *, #10255, .T. ); +#9300 = ORIENTED_EDGE( '', *, *, #10256, .T. ); +#9301 = ORIENTED_EDGE( '', *, *, #10254, .F. ); +#9302 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.30000000000000, -1.30000000000000 ) ); +#9303 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9304 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9305 = ORIENTED_EDGE( '', *, *, #10257, .T. ); +#9306 = ORIENTED_EDGE( '', *, *, #10210, .F. ); +#9307 = ORIENTED_EDGE( '', *, *, #10255, .F. ); +#9308 = ORIENTED_EDGE( '', *, *, #10212, .F. ); +#9309 = CARTESIAN_POINT( '', ( 4.75000000000000, -1.30000000000000, -1.30000000000000 ) ); +#9310 = DIRECTION( '', ( -1.00000000000000, -0.000000000000000, -0.000000000000000 ) ); +#9311 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#9312 = ORIENTED_EDGE( '', *, *, #10258, .T. ); +#9313 = ORIENTED_EDGE( '', *, *, #10167, .F. ); +#9314 = ORIENTED_EDGE( '', *, *, #10256, .F. ); +#9315 = ORIENTED_EDGE( '', *, *, #10209, .F. ); +#9316 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.40000000000000, -1.30000000000000 ) ); +#9317 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#9318 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9319 = ORIENTED_EDGE( '', *, *, #10259, .T. ); +#9320 = ORIENTED_EDGE( '', *, *, #10260, .T. ); +#9321 = ORIENTED_EDGE( '', *, *, #10257, .F. ); +#9322 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.30000000000000, -1.30000000000000 ) ); +#9323 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9324 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9325 = ORIENTED_EDGE( '', *, *, #10261, .T. ); +#9326 = ORIENTED_EDGE( '', *, *, #10262, .T. ); +#9327 = ORIENTED_EDGE( '', *, *, #10258, .F. ); +#9328 = CARTESIAN_POINT( '', ( 4.65000000000000, 1.30000000000000, -1.30000000000000 ) ); +#9329 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9330 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9331 = ORIENTED_EDGE( '', *, *, #10114, .F. ); +#9332 = ORIENTED_EDGE( '', *, *, #10081, .F. ); +#9333 = ORIENTED_EDGE( '', *, *, #10259, .F. ); +#9334 = ORIENTED_EDGE( '', *, *, #10214, .F. ); +#9335 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.30000000000000, -10.7916003605365 ) ); +#9336 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9337 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9338 = ORIENTED_EDGE( '', *, *, #10046, .T. ); +#9339 = ORIENTED_EDGE( '', *, *, #10211, .F. ); +#9340 = ORIENTED_EDGE( '', *, *, #10260, .F. ); +#9341 = ORIENTED_EDGE( '', *, *, #10084, .F. ); +#9342 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.40000000000000, -1.30000000000000 ) ); +#9343 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#9344 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9345 = ORIENTED_EDGE( '', *, *, #10047, .T. ); +#9346 = ORIENTED_EDGE( '', *, *, #10050, .F. ); +#9347 = ORIENTED_EDGE( '', *, *, #10261, .F. ); +#9348 = ORIENTED_EDGE( '', *, *, #10208, .F. ); +#9349 = CARTESIAN_POINT( '', ( 4.75000000000000, 1.30000000000000, -1.30000000000000 ) ); +#9350 = DIRECTION( '', ( 1.00000000000000, -0.000000000000000, -0.000000000000000 ) ); +#9351 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9352 = ORIENTED_EDGE( '', *, *, #10054, .F. ); +#9353 = ORIENTED_EDGE( '', *, *, #10168, .F. ); +#9354 = ORIENTED_EDGE( '', *, *, #10262, .F. ); +#9355 = ORIENTED_EDGE( '', *, *, #10049, .F. ); +#9356 = CARTESIAN_POINT( '', ( 4.65000000000000, 1.30000000000000, 3.50000000000000 ) ); +#9357 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#9358 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9359 = ORIENTED_EDGE( '', *, *, #10223, .T. ); +#9360 = ORIENTED_EDGE( '', *, *, #10263, .T. ); +#9361 = ORIENTED_EDGE( '', *, *, #10253, .F. ); +#9362 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.05000000000000, -1.30000000000000 ) ); +#9363 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9364 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9365 = ORIENTED_EDGE( '', *, *, #10264, .T. ); +#9366 = ORIENTED_EDGE( '', *, *, #10151, .F. ); +#9367 = ORIENTED_EDGE( '', *, *, #10263, .F. ); +#9368 = ORIENTED_EDGE( '', *, *, #10215, .F. ); +#9369 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.15000000000000, -1.30000000000000 ) ); +#9370 = DIRECTION( '', ( -0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#9371 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9372 = ORIENTED_EDGE( '', *, *, #10075, .T. ); +#9373 = ORIENTED_EDGE( '', *, *, #10073, .T. ); +#9374 = ORIENTED_EDGE( '', *, *, #10264, .F. ); +#9375 = CARTESIAN_POINT( '', ( -1.90000000000000, 3.55000000000000, -1.30000000000000 ) ); +#9376 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9377 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9378 = ORIENTED_EDGE( '', *, *, #10265, .T. ); +#9379 = ORIENTED_EDGE( '', *, *, #10157, .F. ); +#9380 = ORIENTED_EDGE( '', *, *, #10225, .F. ); +#9381 = ORIENTED_EDGE( '', *, *, #10162, .F. ); +#9382 = CARTESIAN_POINT( '', ( -4.75000000000000, 4.05000000000000, 3.40000000000000 ) ); +#9383 = DIRECTION( '', ( -1.00000000000000, 9.13012355777267E-017, -0.000000000000000 ) ); +#9384 = DIRECTION( '', ( 9.13012355777267E-017, 1.00000000000000, 0.000000000000000 ) ); +#9385 = ORIENTED_EDGE( '', *, *, #10234, .T. ); +#9386 = ORIENTED_EDGE( '', *, *, #10233, .T. ); +#9387 = ORIENTED_EDGE( '', *, *, #10265, .F. ); +#9388 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.05000000000000, 3.40000000000000 ) ); +#9389 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#9390 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9391 = ORIENTED_EDGE( '', *, *, #10029, .F. ); +#9392 = ORIENTED_EDGE( '', *, *, #10042, .F. ); +#9393 = ORIENTED_EDGE( '', *, *, #10088, .F. ); +#9394 = ORIENTED_EDGE( '', *, *, #10184, .F. ); +#9395 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.25000000000000, -10.7832013797941 ) ); +#9396 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, -1.00000000000000 ) ); +#9397 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9398 = ORIENTED_EDGE( '', *, *, #10007, .F. ); +#9399 = ORIENTED_EDGE( '', *, *, #10185, .F. ); +#9400 = ORIENTED_EDGE( '', *, *, #10092, .F. ); +#9401 = ORIENTED_EDGE( '', *, *, #10186, .F. ); +#9402 = CARTESIAN_POINT( '', ( -2.00000000000000, 0.650000000000000, -10.7832013797941 ) ); +#9403 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9404 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9405 = ORIENTED_EDGE( '', *, *, #10009, .F. ); +#9406 = ORIENTED_EDGE( '', *, *, #10187, .F. ); +#9407 = ORIENTED_EDGE( '', *, *, #10090, .F. ); +#9408 = ORIENTED_EDGE( '', *, *, #10183, .F. ); +#9409 = CARTESIAN_POINT( '', ( 2.00000000000000, 0.650000000000000, -10.7832013797941 ) ); +#9410 = DIRECTION( '', ( -0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#9411 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#9412 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9413 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.20000000000000, 0.950000000000000 ) ); +#9414 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.20000000000000, -0.950000000000000 ) ); +#9415 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.20000000000000, 1.15000000000000 ) ); +#9416 = VECTOR( '', #10266, 1000.00000000000 ); +#9417 = CARTESIAN_POINT( '', ( 2.12500000000000, -0.900000000000000, 0.950000000000000 ) ); +#9418 = AXIS2_PLACEMENT_3D( '', #10267, #10268, #10269 ); +#9419 = CARTESIAN_POINT( '', ( 2.12500000000000, -0.900000000000000, -0.950000000000000 ) ); +#9420 = CARTESIAN_POINT( '', ( 2.12500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9421 = VECTOR( '', #10270, 1000.00000000000 ); +#9422 = AXIS2_PLACEMENT_3D( '', #10271, #10272, #10273 ); +#9423 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9424 = CARTESIAN_POINT( '', ( 0.356426606768077, -1.40000000000000, 1.65619937007355 ) ); +#9425 = AXIS2_PLACEMENT_3D( '', #10274, #10275, #10276 ); +#9426 = CARTESIAN_POINT( '', ( 0.356426606768077, -2.20000000000000, 1.65619937007355 ) ); +#9427 = AXIS2_PLACEMENT_3D( '', #10277, #10278, #10279 ); +#9428 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9429 = CARTESIAN_POINT( '', ( 1.81500000000000, -2.20000000000000, 1.41000000000000 ) ); +#9430 = AXIS2_PLACEMENT_3D( '', #10280, #10281, #10282 ); +#9431 = CARTESIAN_POINT( '', ( 1.81500000000000, -2.66000000000000, 0.950000000000000 ) ); +#9432 = AXIS2_PLACEMENT_3D( '', #10283, #10284, #10285 ); +#9433 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9434 = CARTESIAN_POINT( '', ( 2.32500000000000, -0.900000000000000, -1.15000000000000 ) ); +#9435 = AXIS2_PLACEMENT_3D( '', #10286, #10287, #10288 ); +#9436 = CARTESIAN_POINT( '', ( 2.12500000000000, 0.900000000000000, -0.950000000000000 ) ); +#9437 = CARTESIAN_POINT( '', ( 2.12500000000000, -0.900000000000000, -0.950000000000000 ) ); +#9438 = VECTOR( '', #10289, 1000.00000000000 ); +#9439 = CARTESIAN_POINT( '', ( 2.32500000000000, 0.900000000000000, -1.15000000000000 ) ); +#9440 = AXIS2_PLACEMENT_3D( '', #10290, #10291, #10292 ); +#9441 = CARTESIAN_POINT( '', ( 2.32500000000000, 0.900000000000000, -1.15000000000000 ) ); +#9442 = VECTOR( '', #10293, 1000.00000000000 ); +#9443 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9444 = CARTESIAN_POINT( '', ( -3.32500000000000, -0.900000000000000, 0.950000000000000 ) ); +#9445 = CARTESIAN_POINT( '', ( -3.32500000000000, -0.900000000000000, -0.950000000000000 ) ); +#9446 = CARTESIAN_POINT( '', ( -3.32500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9447 = VECTOR( '', #10294, 1000.00000000000 ); +#9448 = CARTESIAN_POINT( '', ( -1.82500000000000, -2.40000000000000, -0.950000000000000 ) ); +#9449 = AXIS2_PLACEMENT_3D( '', #10295, #10296, #10297 ); +#9450 = CARTESIAN_POINT( '', ( -1.82500000000000, -2.40000000000000, 0.950000000000000 ) ); +#9451 = CARTESIAN_POINT( '', ( -1.82500000000000, -2.40000000000000, 1.15000000000000 ) ); +#9452 = VECTOR( '', #10298, 1000.00000000000 ); +#9453 = AXIS2_PLACEMENT_3D( '', #10299, #10300, #10301 ); +#9454 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9455 = CARTESIAN_POINT( '', ( -3.82345157800625, 2.97310629574353, -1.24933425048733 ) ); +#9456 = AXIS2_PLACEMENT_3D( '', #10302, #10303, #10304 ); +#9457 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9458 = CARTESIAN_POINT( '', ( -0.437980790532589, 2.20000000000000, 1.62651744040111 ) ); +#9459 = AXIS2_PLACEMENT_3D( '', #10305, #10306, #10307 ); +#9460 = CARTESIAN_POINT( '', ( -0.437980790532589, 2.87651744040111, 0.950000000000000 ) ); +#9461 = AXIS2_PLACEMENT_3D( '', #10308, #10309, #10310 ); +#9462 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9463 = CARTESIAN_POINT( '', ( 1.13051586317068, 2.20000000000000, 1.57069123857258 ) ); +#9464 = AXIS2_PLACEMENT_3D( '', #10311, #10312, #10313 ); +#9465 = CARTESIAN_POINT( '', ( 1.13051586317068, 2.82069123857258, 0.950000000000000 ) ); +#9466 = AXIS2_PLACEMENT_3D( '', #10314, #10315, #10316 ); +#9467 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9468 = CARTESIAN_POINT( '', ( 1.82500000000000, 2.20000000000000, -1.15000000000000 ) ); +#9469 = CARTESIAN_POINT( '', ( 1.82500000000000, 2.40000000000000, -0.950000000000000 ) ); +#9470 = AXIS2_PLACEMENT_3D( '', #10317, #10318, #10319 ); +#9471 = CARTESIAN_POINT( '', ( 3.32500000000000, 0.900000000000000, -0.950000000000000 ) ); +#9472 = AXIS2_PLACEMENT_3D( '', #10320, #10321, #10322 ); +#9473 = CARTESIAN_POINT( '', ( 3.12500000000000, 0.900000000000000, -1.15000000000000 ) ); +#9474 = AXIS2_PLACEMENT_3D( '', #10323, #10324, #10325 ); +#9475 = AXIS2_PLACEMENT_3D( '', #10326, #10327, #10328 ); +#9476 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9477 = CARTESIAN_POINT( '', ( -1.79993579632285, -1.02547029663075, -0.950000000000000 ) ); +#9478 = AXIS2_PLACEMENT_3D( '', #10329, #10330, #10331 ); +#9479 = CARTESIAN_POINT( '', ( -1.79993579632285, -1.02547029663075, 0.950000000000000 ) ); +#9480 = AXIS2_PLACEMENT_3D( '', #10332, #10333, #10334 ); +#9481 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9482 = CARTESIAN_POINT( '', ( -0.437980790532585, -2.87651744040111, -0.950000000000000 ) ); +#9483 = AXIS2_PLACEMENT_3D( '', #10335, #10336, #10337 ); +#9484 = CARTESIAN_POINT( '', ( -0.186358487231092, -2.18515903516378, -1.65620514726617 ) ); +#9485 = AXIS2_PLACEMENT_3D( '', #10338, #10339, #10340 ); +#9486 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9487 = CARTESIAN_POINT( '', ( 1.81500000000000, 2.66000000000000, 0.950000000000000 ) ); +#9488 = AXIS2_PLACEMENT_3D( '', #10341, #10342, #10343 ); +#9489 = CARTESIAN_POINT( '', ( 1.81500000000000, 2.66000000000000, -0.950000000000000 ) ); +#9490 = AXIS2_PLACEMENT_3D( '', #10344, #10345, #10346 ); +#9491 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9492 = CARTESIAN_POINT( '', ( 1.13051586317068, -2.82069123857258, -0.950000000000000 ) ); +#9493 = AXIS2_PLACEMENT_3D( '', #10347, #10348, #10349 ); +#9494 = CARTESIAN_POINT( '', ( 1.32717653623651, -2.28034561928629, -1.49550156199547 ) ); +#9495 = AXIS2_PLACEMENT_3D( '', #10350, #10351, #10352 ); +#9496 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9497 = CARTESIAN_POINT( '', ( 1.13051586317068, -0.779308761427416, 0.950000000000000 ) ); +#9498 = AXIS2_PLACEMENT_3D( '', #10353, #10354, #10355 ); +#9499 = CARTESIAN_POINT( '', ( 1.13051586317068, -1.40000000000000, 1.57069123857258 ) ); +#9500 = AXIS2_PLACEMENT_3D( '', #10356, #10357, #10358 ); +#9501 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9502 = CARTESIAN_POINT( '', ( -1.91240571080399, -1.33449306485368, -1.24933425048733 ) ); +#9503 = AXIS2_PLACEMENT_3D( '', #10359, #10360, #10361 ); +#9504 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9505 = CARTESIAN_POINT( '', ( 0.889052630318215, -1.44275406575048, -1.62650080557950 ) ); +#9506 = AXIS2_PLACEMENT_3D( '', #10362, #10363, #10364 ); +#9507 = CARTESIAN_POINT( '', ( 1.13051586317068, -0.779308761427416, -0.950000000000000 ) ); +#9508 = AXIS2_PLACEMENT_3D( '', #10365, #10366, #10367 ); +#9509 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9510 = CARTESIAN_POINT( '', ( 0.356426606768076, 2.20000000000000, 1.65619937007355 ) ); +#9511 = AXIS2_PLACEMENT_3D( '', #10368, #10369, #10370 ); +#9512 = CARTESIAN_POINT( '', ( 0.356426606768076, 2.90619937007355, 0.950000000000000 ) ); +#9513 = AXIS2_PLACEMENT_3D( '', #10371, #10372, #10373 ); +#9514 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9515 = CARTESIAN_POINT( '', ( -1.63250581280870, -2.11449843876170, -1.41003327092136 ) ); +#9516 = AXIS2_PLACEMENT_3D( '', #10374, #10375, #10376 ); +#9517 = CARTESIAN_POINT( '', ( -1.34134302211103, -1.31449843876170, -1.41003327092136 ) ); +#9518 = AXIS2_PLACEMENT_3D( '', #10377, #10378, #10379 ); +#9519 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9520 = CARTESIAN_POINT( '', ( 1.81500000000000, -2.66000000000000, -0.950000000000000 ) ); +#9521 = AXIS2_PLACEMENT_3D( '', #10380, #10381, #10382 ); +#9522 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9523 = CARTESIAN_POINT( '', ( -0.951528309913573, -2.13450769272237, -1.57071672478645 ) ); +#9524 = AXIS2_PLACEMENT_3D( '', #10383, #10384, #10385 ); +#9525 = CARTESIAN_POINT( '', ( -0.660365519215899, -1.33450769272237, -1.57071672478645 ) ); +#9526 = AXIS2_PLACEMENT_3D( '', #10386, #10387, #10388 ); +#9527 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9528 = CARTESIAN_POINT( '', ( -3.12500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9529 = AXIS2_PLACEMENT_3D( '', #10389, #10390, #10391 ); +#9530 = CARTESIAN_POINT( '', ( -3.12500000000000, 0.900000000000000, 1.15000000000000 ) ); +#9531 = CARTESIAN_POINT( '', ( -3.12500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9532 = VECTOR( '', #10392, 1000.00000000000 ); +#9533 = CARTESIAN_POINT( '', ( -3.32500000000000, 0.900000000000000, 0.950000000000000 ) ); +#9534 = AXIS2_PLACEMENT_3D( '', #10393, #10394, #10395 ); +#9535 = CARTESIAN_POINT( '', ( -3.32500000000000, 0.900000000000000, 0.950000000000000 ) ); +#9536 = VECTOR( '', #10396, 1000.00000000000 ); +#9537 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9538 = CARTESIAN_POINT( '', ( 0.889052630318215, 1.44275406575048, -1.62650080557950 ) ); +#9539 = AXIS2_PLACEMENT_3D( '', #10397, #10398, #10399 ); +#9540 = CARTESIAN_POINT( '', ( 1.13051586317068, 0.779308761427416, -0.950000000000000 ) ); +#9541 = AXIS2_PLACEMENT_3D( '', #10400, #10401, #10402 ); +#9542 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9543 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.20000000000000, 0.950000000000000 ) ); +#9544 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.20000000000000, -0.950000000000000 ) ); +#9545 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.20000000000000, 1.15000000000000 ) ); +#9546 = VECTOR( '', #10403, 1000.00000000000 ); +#9547 = CARTESIAN_POINT( '', ( -2.12500000000000, 0.900000000000000, 0.950000000000000 ) ); +#9548 = AXIS2_PLACEMENT_3D( '', #10404, #10405, #10406 ); +#9549 = CARTESIAN_POINT( '', ( -2.12500000000000, 0.900000000000000, -0.950000000000000 ) ); +#9550 = CARTESIAN_POINT( '', ( -2.12500000000000, 0.900000000000000, 1.15000000000000 ) ); +#9551 = VECTOR( '', #10407, 1000.00000000000 ); +#9552 = AXIS2_PLACEMENT_3D( '', #10408, #10409, #10410 ); +#9553 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9554 = CARTESIAN_POINT( '', ( -1.17391303859689, -2.74553282584585, -0.950000000000000 ) ); +#9555 = AXIS2_PLACEMENT_3D( '', #10411, #10412, #10413 ); +#9556 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9557 = CARTESIAN_POINT( '', ( -1.34134302211103, 1.31449843876170, -1.41003327092135 ) ); +#9558 = AXIS2_PLACEMENT_3D( '', #10414, #10415, #10416 ); +#9559 = CARTESIAN_POINT( '', ( -1.17391303859689, 0.854467174154151, -0.950000000000000 ) ); +#9560 = AXIS2_PLACEMENT_3D( '', #10417, #10418, #10419 ); +#9561 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9562 = CARTESIAN_POINT( '', ( -2.32500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9563 = CARTESIAN_POINT( '', ( -2.32500000000000, 0.900000000000000, 1.15000000000000 ) ); +#9564 = CARTESIAN_POINT( '', ( -2.32500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9565 = VECTOR( '', #10420, 1000.00000000000 ); +#9566 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.40000000000000, 1.15000000000000 ) ); +#9567 = AXIS2_PLACEMENT_3D( '', #10421, #10422, #10423 ); +#9568 = CARTESIAN_POINT( '', ( 1.82500000000000, 1.40000000000000, 1.15000000000000 ) ); +#9569 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.40000000000000, 1.15000000000000 ) ); +#9570 = VECTOR( '', #10424, 1000.00000000000 ); +#9571 = CARTESIAN_POINT( '', ( 2.32500000000000, 0.900000000000000, 1.15000000000000 ) ); +#9572 = AXIS2_PLACEMENT_3D( '', #10425, #10426, #10427 ); +#9573 = CARTESIAN_POINT( '', ( 2.32500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9574 = CARTESIAN_POINT( '', ( 2.32500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9575 = VECTOR( '', #10428, 1000.00000000000 ); +#9576 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.40000000000000, 1.15000000000000 ) ); +#9577 = AXIS2_PLACEMENT_3D( '', #10429, #10430, #10431 ); +#9578 = CARTESIAN_POINT( '', ( -1.82500000000000, -1.40000000000000, 1.15000000000000 ) ); +#9579 = CARTESIAN_POINT( '', ( -1.82500000000000, -1.40000000000000, 1.15000000000000 ) ); +#9580 = VECTOR( '', #10432, 1000.00000000000 ); +#9581 = AXIS2_PLACEMENT_3D( '', #10433, #10434, #10435 ); +#9582 = CARTESIAN_POINT( '', ( 3.12500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9583 = CARTESIAN_POINT( '', ( 3.12500000000000, 0.900000000000000, 1.15000000000000 ) ); +#9584 = CARTESIAN_POINT( '', ( 3.12500000000000, 0.900000000000000, 1.15000000000000 ) ); +#9585 = VECTOR( '', #10436, 1000.00000000000 ); +#9586 = CARTESIAN_POINT( '', ( 1.82500000000000, 2.20000000000000, 1.15000000000000 ) ); +#9587 = AXIS2_PLACEMENT_3D( '', #10437, #10438, #10439 ); +#9588 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.20000000000000, 1.15000000000000 ) ); +#9589 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.20000000000000, 1.15000000000000 ) ); +#9590 = VECTOR( '', #10440, 1000.00000000000 ); +#9591 = AXIS2_PLACEMENT_3D( '', #10441, #10442, #10443 ); +#9592 = CARTESIAN_POINT( '', ( -1.82500000000000, -2.20000000000000, 1.15000000000000 ) ); +#9593 = AXIS2_PLACEMENT_3D( '', #10444, #10445, #10446 ); +#9594 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.20000000000000, 1.15000000000000 ) ); +#9595 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.20000000000000, 1.15000000000000 ) ); +#9596 = VECTOR( '', #10447, 1000.00000000000 ); +#9597 = AXIS2_PLACEMENT_3D( '', #10448, #10449, #10450 ); +#9598 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9599 = CARTESIAN_POINT( '', ( 1.13051586317068, 2.82069123857258, -0.950000000000000 ) ); +#9600 = AXIS2_PLACEMENT_3D( '', #10451, #10452, #10453 ); +#9601 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9602 = CARTESIAN_POINT( '', ( 3.74999999999999, -3.15000000000000, -1.16000000000000 ) ); +#9603 = AXIS2_PLACEMENT_3D( '', #10454, #10455, #10456 ); +#9604 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9605 = CARTESIAN_POINT( '', ( 2.02499999999999, -2.66000000000000, -1.16000000000000 ) ); +#9606 = AXIS2_PLACEMENT_3D( '', #10457, #10458, #10459 ); +#9607 = CARTESIAN_POINT( '', ( 2.22748363481530, -2.68992509550648, -1.16000000000000 ) ); +#9608 = AXIS2_PLACEMENT_3D( '', #10460, #10461, #10462 ); +#9609 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9610 = CARTESIAN_POINT( '', ( -1.17391303859689, 2.74553282584585, -0.950000000000000 ) ); +#9611 = AXIS2_PLACEMENT_3D( '', #10463, #10464, #10465 ); +#9612 = CARTESIAN_POINT( '', ( -0.951528309913575, 2.13450769272237, -1.57071672478645 ) ); +#9613 = AXIS2_PLACEMENT_3D( '', #10466, #10467, #10468 ); +#9614 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9615 = CARTESIAN_POINT( '', ( 1.81500000000000, -0.940000000000000, 0.950000000000000 ) ); +#9616 = AXIS2_PLACEMENT_3D( '', #10469, #10470, #10471 ); +#9617 = CARTESIAN_POINT( '', ( 1.81500000000000, -1.40000000000000, 1.41000000000000 ) ); +#9618 = AXIS2_PLACEMENT_3D( '', #10472, #10473, #10474 ); +#9619 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9620 = CARTESIAN_POINT( '', ( 0.356426606768076, 2.90619937007355, -0.950000000000000 ) ); +#9621 = AXIS2_PLACEMENT_3D( '', #10475, #10476, #10477 ); +#9622 = CARTESIAN_POINT( '', ( 0.597889839620540, 2.24275406575048, -1.62650080557950 ) ); +#9623 = AXIS2_PLACEMENT_3D( '', #10478, #10479, #10480 ); +#9624 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9625 = CARTESIAN_POINT( '', ( -1.17391303859689, 2.74553282584585, 0.950000000000000 ) ); +#9626 = AXIS2_PLACEMENT_3D( '', #10481, #10482, #10483 ); +#9627 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9628 = CARTESIAN_POINT( '', ( 1.32717653623650, 2.28034561928629, -1.49550156199547 ) ); +#9629 = AXIS2_PLACEMENT_3D( '', #10484, #10485, #10486 ); +#9630 = CARTESIAN_POINT( '', ( 1.61833932693418, 1.48034561928629, -1.49550156199547 ) ); +#9631 = AXIS2_PLACEMENT_3D( '', #10487, #10488, #10489 ); +#9632 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9633 = AXIS2_PLACEMENT_3D( '', #10490, #10491, #10492 ); +#9634 = CARTESIAN_POINT( '', ( 2.12500000000000, 0.900000000000000, 0.950000000000000 ) ); +#9635 = AXIS2_PLACEMENT_3D( '', #10493, #10494, #10495 ); +#9636 = CARTESIAN_POINT( '', ( 2.12500000000000, 0.900000000000000, 0.950000000000000 ) ); +#9637 = VECTOR( '', #10496, 1000.00000000000 ); +#9638 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9639 = CARTESIAN_POINT( '', ( -1.17391303859689, -2.74553282584585, 0.950000000000000 ) ); +#9640 = AXIS2_PLACEMENT_3D( '', #10497, #10498, #10499 ); +#9641 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9642 = CARTESIAN_POINT( '', ( 3.32500000000000, -0.900000000000000, -0.950000000000000 ) ); +#9643 = CARTESIAN_POINT( '', ( 3.12500000000000, -0.900000000000000, -1.15000000000000 ) ); +#9644 = AXIS2_PLACEMENT_3D( '', #10500, #10501, #10502 ); +#9645 = CARTESIAN_POINT( '', ( 3.12500000000000, -0.900000000000000, -1.15000000000000 ) ); +#9646 = VECTOR( '', #10503, 1000.00000000000 ); +#9647 = CARTESIAN_POINT( '', ( 3.32500000000000, 0.900000000000000, -0.950000000000000 ) ); +#9648 = VECTOR( '', #10504, 1000.00000000000 ); +#9649 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9650 = CARTESIAN_POINT( '', ( 3.75000000000004, 3.15000000000000, -1.16000000000000 ) ); +#9651 = AXIS2_PLACEMENT_3D( '', #10505, #10506, #10507 ); +#9652 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9653 = CARTESIAN_POINT( '', ( 1.81500000000000, -0.940000000000000, -0.950000000000000 ) ); +#9654 = AXIS2_PLACEMENT_3D( '', #10508, #10509, #10510 ); +#9655 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9656 = CARTESIAN_POINT( '', ( -2.19830772259512, 2.12003852856096, -1.24933425048733 ) ); +#9657 = AXIS2_PLACEMENT_3D( '', #10511, #10512, #10513 ); +#9658 = CARTESIAN_POINT( '', ( -2.48112137285943, 2.41573048529332, -1.24933425048733 ) ); +#9659 = AXIS2_PLACEMENT_3D( '', #10514, #10515, #10516 ); +#9660 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9661 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9662 = CARTESIAN_POINT( '', ( -3.12500000000000, 0.900000000000000, -1.15000000000000 ) ); +#9663 = CARTESIAN_POINT( '', ( -3.32500000000000, 0.900000000000000, -0.950000000000000 ) ); +#9664 = AXIS2_PLACEMENT_3D( '', #10517, #10518, #10519 ); +#9665 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.40000000000000, -0.950000000000000 ) ); +#9666 = AXIS2_PLACEMENT_3D( '', #10520, #10521, #10522 ); +#9667 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.20000000000000, -1.15000000000000 ) ); +#9668 = AXIS2_PLACEMENT_3D( '', #10523, #10524, #10525 ); +#9669 = AXIS2_PLACEMENT_3D( '', #10526, #10527, #10528 ); +#9670 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9671 = CARTESIAN_POINT( '', ( -0.437980790532585, -0.723482559598887, -0.950000000000000 ) ); +#9672 = AXIS2_PLACEMENT_3D( '', #10529, #10530, #10531 ); +#9673 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9674 = CARTESIAN_POINT( '', ( 0.104804303466583, -1.38515903516378, -1.65620514726617 ) ); +#9675 = AXIS2_PLACEMENT_3D( '', #10532, #10533, #10534 ); +#9676 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9677 = CARTESIAN_POINT( '', ( -1.91240571080399, 1.33449306485368, -1.24933425048733 ) ); +#9678 = AXIS2_PLACEMENT_3D( '', #10535, #10536, #10537 ); +#9679 = CARTESIAN_POINT( '', ( -1.79993579632285, 1.02547029663075, -0.950000000000000 ) ); +#9680 = AXIS2_PLACEMENT_3D( '', #10538, #10539, #10540 ); +#9681 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9682 = CARTESIAN_POINT( '', ( -2.12500000000000, -0.900000000000000, 0.950000000000000 ) ); +#9683 = CARTESIAN_POINT( '', ( -2.12500000000000, -0.900000000000000, -0.950000000000000 ) ); +#9684 = CARTESIAN_POINT( '', ( -2.12500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9685 = VECTOR( '', #10541, 1000.00000000000 ); +#9686 = CARTESIAN_POINT( '', ( -1.82500000000000, -1.20000000000000, 0.950000000000000 ) ); +#9687 = AXIS2_PLACEMENT_3D( '', #10542, #10543, #10544 ); +#9688 = CARTESIAN_POINT( '', ( -1.82500000000000, -1.20000000000000, -0.950000000000000 ) ); +#9689 = CARTESIAN_POINT( '', ( -1.82500000000000, -1.20000000000000, 1.15000000000000 ) ); +#9690 = VECTOR( '', #10545, 1000.00000000000 ); +#9691 = AXIS2_PLACEMENT_3D( '', #10546, #10547, #10548 ); +#9692 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9693 = CARTESIAN_POINT( '', ( 1.13051586317068, 0.779308761427416, 0.950000000000000 ) ); +#9694 = AXIS2_PLACEMENT_3D( '', #10549, #10550, #10551 ); +#9695 = CARTESIAN_POINT( '', ( 1.13051586317068, 1.40000000000000, 1.57069123857258 ) ); +#9696 = AXIS2_PLACEMENT_3D( '', #10552, #10553, #10554 ); +#9697 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9698 = CARTESIAN_POINT( '', ( -1.79993579632285, 1.40000000000000, 1.32452970336925 ) ); +#9699 = AXIS2_PLACEMENT_3D( '', #10555, #10556, #10557 ); +#9700 = CARTESIAN_POINT( '', ( -1.79993579632285, 2.20000000000000, 1.32452970336925 ) ); +#9701 = AXIS2_PLACEMENT_3D( '', #10558, #10559, #10560 ); +#9702 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9703 = CARTESIAN_POINT( '', ( 1.82500000000000, 1.20000000000000, 0.950000000000000 ) ); +#9704 = AXIS2_PLACEMENT_3D( '', #10561, #10562, #10563 ); +#9705 = AXIS2_PLACEMENT_3D( '', #10564, #10565, #10566 ); +#9706 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9707 = CARTESIAN_POINT( '', ( -1.79993579632285, -2.57452970336925, -0.950000000000000 ) ); +#9708 = AXIS2_PLACEMENT_3D( '', #10567, #10568, #10569 ); +#9709 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9710 = AXIS2_PLACEMENT_3D( '', #10570, #10571, #10572 ); +#9711 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.20000000000000, 0.950000000000000 ) ); +#9712 = VECTOR( '', #10573, 1000.00000000000 ); +#9713 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9714 = CARTESIAN_POINT( '', ( 1.81500000000000, 0.940000000000000, -0.950000000000000 ) ); +#9715 = AXIS2_PLACEMENT_3D( '', #10574, #10575, #10576 ); +#9716 = CARTESIAN_POINT( '', ( 1.81500000000000, 0.940000000000000, 0.950000000000000 ) ); +#9717 = AXIS2_PLACEMENT_3D( '', #10577, #10578, #10579 ); +#9718 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9719 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.40000000000000, 0.950000000000000 ) ); +#9720 = AXIS2_PLACEMENT_3D( '', #10580, #10581, #10582 ); +#9721 = AXIS2_PLACEMENT_3D( '', #10583, #10584, #10585 ); +#9722 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9723 = CARTESIAN_POINT( '', ( -1.79993579632285, -1.40000000000000, 1.32452970336925 ) ); +#9724 = AXIS2_PLACEMENT_3D( '', #10586, #10587, #10588 ); +#9725 = CARTESIAN_POINT( '', ( -1.79993579632285, -2.20000000000000, 1.32452970336925 ) ); +#9726 = AXIS2_PLACEMENT_3D( '', #10589, #10590, #10591 ); +#9727 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9728 = CARTESIAN_POINT( '', ( 0.104804303466583, 1.38515903516378, -1.65620514726617 ) ); +#9729 = AXIS2_PLACEMENT_3D( '', #10592, #10593, #10594 ); +#9730 = CARTESIAN_POINT( '', ( 0.356426606768076, 0.693800629926454, -0.950000000000000 ) ); +#9731 = AXIS2_PLACEMENT_3D( '', #10595, #10596, #10597 ); +#9732 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9733 = CARTESIAN_POINT( '', ( 3.32500000000000, 0.900000000000000, 0.950000000000000 ) ); +#9734 = CARTESIAN_POINT( '', ( 3.32500000000000, 0.900000000000000, 1.15000000000000 ) ); +#9735 = VECTOR( '', #10598, 1000.00000000000 ); +#9736 = CARTESIAN_POINT( '', ( 1.82500000000000, 2.40000000000000, 0.950000000000000 ) ); +#9737 = CARTESIAN_POINT( '', ( 1.82500000000000, 2.40000000000000, 1.15000000000000 ) ); +#9738 = VECTOR( '', #10599, 1000.00000000000 ); +#9739 = AXIS2_PLACEMENT_3D( '', #10600, #10601, #10602 ); +#9740 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9741 = CARTESIAN_POINT( '', ( 0.356426606768076, 1.40000000000000, 1.65619937007355 ) ); +#9742 = AXIS2_PLACEMENT_3D( '', #10603, #10604, #10605 ); +#9743 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9744 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9745 = CARTESIAN_POINT( '', ( 2.22748363481535, 2.68992509550650, -1.16000000000000 ) ); +#9746 = AXIS2_PLACEMENT_3D( '', #10606, #10607, #10608 ); +#9747 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9748 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.40000000000000, -1.15000000000000 ) ); +#9749 = AXIS2_PLACEMENT_3D( '', #10609, #10610, #10611 ); +#9750 = AXIS2_PLACEMENT_3D( '', #10612, #10613, #10614 ); +#9751 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9752 = CARTESIAN_POINT( '', ( -2.32500000000000, 0.900000000000000, -1.15000000000000 ) ); +#9753 = AXIS2_PLACEMENT_3D( '', #10615, #10616, #10617 ); +#9754 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.40000000000000, -1.15000000000000 ) ); +#9755 = AXIS2_PLACEMENT_3D( '', #10618, #10619, #10620 ); +#9756 = AXIS2_PLACEMENT_3D( '', #10621, #10622, #10623 ); +#9757 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9758 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.20000000000000, 0.950000000000000 ) ); +#9759 = VECTOR( '', #10624, 1000.00000000000 ); +#9760 = CARTESIAN_POINT( '', ( -1.82500000000000, -1.20000000000000, -0.950000000000000 ) ); +#9761 = VECTOR( '', #10625, 1000.00000000000 ); +#9762 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9763 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9764 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.40000000000000, -0.950000000000000 ) ); +#9765 = VECTOR( '', #10626, 1000.00000000000 ); +#9766 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.20000000000000, -1.15000000000000 ) ); +#9767 = VECTOR( '', #10627, 1000.00000000000 ); +#9768 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9769 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.40000000000000, 0.950000000000000 ) ); +#9770 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.40000000000000, -0.950000000000000 ) ); +#9771 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.40000000000000, 1.15000000000000 ) ); +#9772 = VECTOR( '', #10628, 1000.00000000000 ); +#9773 = AXIS2_PLACEMENT_3D( '', #10629, #10630, #10631 ); +#9774 = CARTESIAN_POINT( '', ( 3.32500000000000, -0.900000000000000, 0.950000000000000 ) ); +#9775 = CARTESIAN_POINT( '', ( 3.32500000000000, -0.900000000000000, 1.15000000000000 ) ); +#9776 = VECTOR( '', #10632, 1000.00000000000 ); +#9777 = AXIS2_PLACEMENT_3D( '', #10633, #10634, #10635 ); +#9778 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9779 = CARTESIAN_POINT( '', ( 0.356426606768077, -0.693800629926453, 0.950000000000000 ) ); +#9780 = AXIS2_PLACEMENT_3D( '', #10636, #10637, #10638 ); +#9781 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9782 = CARTESIAN_POINT( '', ( 1.81500000000000, 1.40000000000000, 1.41000000000000 ) ); +#9783 = AXIS2_PLACEMENT_3D( '', #10639, #10640, #10641 ); +#9784 = CARTESIAN_POINT( '', ( 1.81500000000000, 2.20000000000000, 1.41000000000000 ) ); +#9785 = AXIS2_PLACEMENT_3D( '', #10642, #10643, #10644 ); +#9786 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9787 = CARTESIAN_POINT( '', ( -0.437980790532589, -2.20000000000000, 1.62651744040111 ) ); +#9788 = AXIS2_PLACEMENT_3D( '', #10645, #10646, #10647 ); +#9789 = CARTESIAN_POINT( '', ( -0.437980790532589, -2.87651744040111, 0.950000000000000 ) ); +#9790 = AXIS2_PLACEMENT_3D( '', #10648, #10649, #10650 ); +#9791 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9792 = CARTESIAN_POINT( '', ( -1.82500000000000, -1.40000000000000, -1.15000000000000 ) ); +#9793 = AXIS2_PLACEMENT_3D( '', #10651, #10652, #10653 ); +#9794 = CARTESIAN_POINT( '', ( -2.32500000000000, -0.900000000000000, -1.15000000000000 ) ); +#9795 = AXIS2_PLACEMENT_3D( '', #10654, #10655, #10656 ); +#9796 = AXIS2_PLACEMENT_3D( '', #10657, #10658, #10659 ); +#9797 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9798 = CARTESIAN_POINT( '', ( -1.17391303859689, 2.20000000000000, 1.49553282584585 ) ); +#9799 = AXIS2_PLACEMENT_3D( '', #10660, #10661, #10662 ); +#9800 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9801 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9802 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.40000000000000, -1.15000000000000 ) ); +#9803 = VECTOR( '', #10663, 1000.00000000000 ); +#9804 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9805 = CARTESIAN_POINT( '', ( -0.660365519215900, 1.33450769272237, -1.57071672478645 ) ); +#9806 = AXIS2_PLACEMENT_3D( '', #10664, #10665, #10666 ); +#9807 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9808 = CARTESIAN_POINT( '', ( -1.17391303859689, 0.854467174154151, 0.950000000000000 ) ); +#9809 = AXIS2_PLACEMENT_3D( '', #10667, #10668, #10669 ); +#9810 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9811 = CARTESIAN_POINT( '', ( -0.437980790532589, 1.40000000000000, 1.62651744040111 ) ); +#9812 = AXIS2_PLACEMENT_3D( '', #10670, #10671, #10672 ); +#9813 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9814 = CARTESIAN_POINT( '', ( -0.437980790532589, 0.723482559598888, -0.950000000000000 ) ); +#9815 = AXIS2_PLACEMENT_3D( '', #10673, #10674, #10675 ); +#9816 = CARTESIAN_POINT( '', ( -0.437980790532589, 0.723482559598888, 0.950000000000000 ) ); +#9817 = AXIS2_PLACEMENT_3D( '', #10676, #10677, #10678 ); +#9818 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9819 = CARTESIAN_POINT( '', ( 1.61833932693418, -1.48034561928629, -1.49550156199547 ) ); +#9820 = AXIS2_PLACEMENT_3D( '', #10679, #10680, #10681 ); +#9821 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9822 = CARTESIAN_POINT( '', ( 1.82500000000000, 1.40000000000000, -1.15000000000000 ) ); +#9823 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.40000000000000, -1.15000000000000 ) ); +#9824 = VECTOR( '', #10682, 1000.00000000000 ); +#9825 = CARTESIAN_POINT( '', ( 1.82500000000000, 1.20000000000000, -0.950000000000000 ) ); +#9826 = AXIS2_PLACEMENT_3D( '', #10683, #10684, #10685 ); +#9827 = CARTESIAN_POINT( '', ( 1.82500000000000, 1.20000000000000, -0.950000000000000 ) ); +#9828 = VECTOR( '', #10686, 1000.00000000000 ); +#9829 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9830 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9831 = CARTESIAN_POINT( '', ( 1.13051586317068, -2.82069123857258, 0.950000000000000 ) ); +#9832 = AXIS2_PLACEMENT_3D( '', #10687, #10688, #10689 ); +#9833 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9834 = AXIS2_PLACEMENT_3D( '', #10690, #10691, #10692 ); +#9835 = AXIS2_PLACEMENT_3D( '', #10693, #10694, #10695 ); +#9836 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9837 = CARTESIAN_POINT( '', ( -2.19830772259512, -2.12003852856097, -1.24933425048733 ) ); +#9838 = AXIS2_PLACEMENT_3D( '', #10696, #10697, #10698 ); +#9839 = CARTESIAN_POINT( '', ( -2.48112137285943, -2.41573048529332, -1.24933425048733 ) ); +#9840 = AXIS2_PLACEMENT_3D( '', #10699, #10700, #10701 ); +#9841 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9842 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9843 = CARTESIAN_POINT( '', ( 1.82500000000000, 1.20000000000000, 1.15000000000000 ) ); +#9844 = VECTOR( '', #10702, 1000.00000000000 ); +#9845 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9846 = CARTESIAN_POINT( '', ( -3.32500000000000, 0.900000000000000, 1.15000000000000 ) ); +#9847 = VECTOR( '', #10703, 1000.00000000000 ); +#9848 = CARTESIAN_POINT( '', ( -3.32500000000000, -0.900000000000000, -0.950000000000000 ) ); +#9849 = VECTOR( '', #10704, 1000.00000000000 ); +#9850 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9851 = CARTESIAN_POINT( '', ( -3.82345157800625, -2.97310629574353, -1.24933425048733 ) ); +#9852 = AXIS2_PLACEMENT_3D( '', #10705, #10706, #10707 ); +#9853 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9854 = CARTESIAN_POINT( '', ( -1.17391303859689, 1.40000000000000, 1.49553282584585 ) ); +#9855 = AXIS2_PLACEMENT_3D( '', #10708, #10709, #10710 ); +#9856 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9857 = CARTESIAN_POINT( '', ( -1.17391303859689, -0.854467174154151, -0.950000000000000 ) ); +#9858 = AXIS2_PLACEMENT_3D( '', #10711, #10712, #10713 ); +#9859 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9860 = CARTESIAN_POINT( '', ( 0.356426606768076, 0.693800629926454, 0.950000000000000 ) ); +#9861 = AXIS2_PLACEMENT_3D( '', #10714, #10715, #10716 ); +#9862 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9863 = CARTESIAN_POINT( '', ( 2.12500000000000, 0.900000000000000, 1.15000000000000 ) ); +#9864 = VECTOR( '', #10717, 1000.00000000000 ); +#9865 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9866 = AXIS2_PLACEMENT_3D( '', #10718, #10719, #10720 ); +#9867 = CARTESIAN_POINT( '', ( 3.32500000000000, -0.900000000000000, 0.950000000000000 ) ); +#9868 = VECTOR( '', #10721, 1000.00000000000 ); +#9869 = AXIS2_PLACEMENT_3D( '', #10722, #10723, #10724 ); +#9870 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9871 = CARTESIAN_POINT( '', ( -1.17391303859689, -0.854467174154151, 0.950000000000000 ) ); +#9872 = AXIS2_PLACEMENT_3D( '', #10725, #10726, #10727 ); +#9873 = CARTESIAN_POINT( '', ( -1.17391303859689, -1.40000000000000, 1.49553282584585 ) ); +#9874 = AXIS2_PLACEMENT_3D( '', #10728, #10729, #10730 ); +#9875 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9876 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9877 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.40000000000000, 1.15000000000000 ) ); +#9878 = VECTOR( '', #10731, 1000.00000000000 ); +#9879 = CARTESIAN_POINT( '', ( 1.82500000000000, 2.40000000000000, 0.950000000000000 ) ); +#9880 = VECTOR( '', #10732, 1000.00000000000 ); +#9881 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9882 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.20000000000000, -1.15000000000000 ) ); +#9883 = AXIS2_PLACEMENT_3D( '', #10733, #10734, #10735 ); +#9884 = CARTESIAN_POINT( '', ( -1.82500000000000, -2.20000000000000, -1.15000000000000 ) ); +#9885 = CARTESIAN_POINT( '', ( -1.82500000000000, -2.20000000000000, -1.15000000000000 ) ); +#9886 = VECTOR( '', #10736, 1000.00000000000 ); +#9887 = CARTESIAN_POINT( '', ( -3.12500000000000, -0.900000000000000, -1.15000000000000 ) ); +#9888 = AXIS2_PLACEMENT_3D( '', #10737, #10738, #10739 ); +#9889 = CARTESIAN_POINT( '', ( -3.12500000000000, -0.900000000000000, -1.15000000000000 ) ); +#9890 = VECTOR( '', #10740, 1000.00000000000 ); +#9891 = AXIS2_PLACEMENT_3D( '', #10741, #10742, #10743 ); +#9892 = CARTESIAN_POINT( '', ( -2.32500000000000, -0.900000000000000, -1.15000000000000 ) ); +#9893 = VECTOR( '', #10744, 1000.00000000000 ); +#9894 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9895 = AXIS2_PLACEMENT_3D( '', #10745, #10746, #10747 ); +#9896 = CARTESIAN_POINT( '', ( -2.12500000000000, -0.900000000000000, 0.950000000000000 ) ); +#9897 = VECTOR( '', #10748, 1000.00000000000 ); +#9898 = AXIS2_PLACEMENT_3D( '', #10749, #10750, #10751 ); +#9899 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9900 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9901 = CARTESIAN_POINT( '', ( -1.79993579632285, 1.02547029663075, 0.950000000000000 ) ); +#9902 = AXIS2_PLACEMENT_3D( '', #10752, #10753, #10754 ); +#9903 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9904 = CARTESIAN_POINT( '', ( -1.79993579632285, 2.57452970336925, 0.950000000000000 ) ); +#9905 = AXIS2_PLACEMENT_3D( '', #10755, #10756, #10757 ); +#9906 = CARTESIAN_POINT( '', ( -1.79993579632285, 2.57452970336925, -0.950000000000000 ) ); +#9907 = AXIS2_PLACEMENT_3D( '', #10758, #10759, #10760 ); +#9908 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9909 = CARTESIAN_POINT( '', ( 0.356426606768077, -2.90619937007355, 0.950000000000000 ) ); +#9910 = AXIS2_PLACEMENT_3D( '', #10761, #10762, #10763 ); +#9911 = CARTESIAN_POINT( '', ( 0.356426606768077, -2.90619937007355, -0.950000000000000 ) ); +#9912 = AXIS2_PLACEMENT_3D( '', #10764, #10765, #10766 ); +#9913 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9914 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9915 = CARTESIAN_POINT( '', ( 0.356426606768077, -0.693800629926453, -0.950000000000000 ) ); +#9916 = AXIS2_PLACEMENT_3D( '', #10767, #10768, #10769 ); +#9917 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9918 = AXIS2_PLACEMENT_3D( '', #10770, #10771, #10772 ); +#9919 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9920 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9921 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9922 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9923 = AXIS2_PLACEMENT_3D( '', #10773, #10774, #10775 ); +#9924 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.40000000000000, -0.950000000000000 ) ); +#9925 = VECTOR( '', #10776, 1000.00000000000 ); +#9926 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9927 = CARTESIAN_POINT( '', ( -1.17391303859689, -2.20000000000000, 1.49553282584585 ) ); +#9928 = AXIS2_PLACEMENT_3D( '', #10777, #10778, #10779 ); +#9929 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9930 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9931 = AXIS2_PLACEMENT_3D( '', #10780, #10781, #10782 ); +#9932 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9933 = AXIS2_PLACEMENT_3D( '', #10783, #10784, #10785 ); +#9934 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9935 = CARTESIAN_POINT( '', ( -1.79993579632285, -2.57452970336925, 0.950000000000000 ) ); +#9936 = AXIS2_PLACEMENT_3D( '', #10786, #10787, #10788 ); +#9937 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9938 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9939 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9940 = AXIS2_PLACEMENT_3D( '', #10789, #10790, #10791 ); +#9941 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9942 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9943 = CARTESIAN_POINT( '', ( -2.12500000000000, 0.900000000000000, -0.950000000000000 ) ); +#9944 = VECTOR( '', #10792, 1000.00000000000 ); +#9945 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9946 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9947 = AXIS2_PLACEMENT_3D( '', #10793, #10794, #10795 ); +#9948 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9949 = CARTESIAN_POINT( '', ( -1.63250581280871, 2.11449843876170, -1.41003327092135 ) ); +#9950 = AXIS2_PLACEMENT_3D( '', #10796, #10797, #10798 ); +#9951 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9952 = CARTESIAN_POINT( '', ( -0.437980790532589, 2.87651744040111, -0.950000000000000 ) ); +#9953 = AXIS2_PLACEMENT_3D( '', #10799, #10800, #10801 ); +#9954 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9955 = CARTESIAN_POINT( '', ( -0.186358487231093, 2.18515903516378, -1.65620514726617 ) ); +#9956 = AXIS2_PLACEMENT_3D( '', #10802, #10803, #10804 ); +#9957 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9958 = AXIS2_PLACEMENT_3D( '', #10805, #10806, #10807 ); +#9959 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9960 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9961 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9962 = CARTESIAN_POINT( '', ( -1.82500000000000, -2.40000000000000, 0.950000000000000 ) ); +#9963 = VECTOR( '', #10808, 1000.00000000000 ); +#9964 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9965 = CARTESIAN_POINT( '', ( -0.437980790532589, -1.40000000000000, 1.62651744040111 ) ); +#9966 = AXIS2_PLACEMENT_3D( '', #10809, #10810, #10811 ); +#9967 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9968 = CARTESIAN_POINT( '', ( -0.437980790532585, -0.723482559598887, 0.950000000000000 ) ); +#9969 = AXIS2_PLACEMENT_3D( '', #10812, #10813, #10814 ); +#9970 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9971 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9972 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9973 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9974 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9975 = CARTESIAN_POINT( '', ( 1.13051586317068, -2.20000000000000, 1.57069123857258 ) ); +#9976 = AXIS2_PLACEMENT_3D( '', #10815, #10816, #10817 ); +#9977 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9978 = CARTESIAN_POINT( '', ( 2.02499999999999, 2.66000000000000, -1.16000000000000 ) ); +#9979 = AXIS2_PLACEMENT_3D( '', #10818, #10819, #10820 ); +#9980 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9981 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9982 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9983 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9984 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9985 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9986 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9987 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9988 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9989 = CARTESIAN_POINT( '', ( 0.597889839620542, -2.24275406575048, -1.62650080557950 ) ); +#9990 = AXIS2_PLACEMENT_3D( '', #10821, #10822, #10823 ); +#9991 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9992 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9993 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9994 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9995 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9996 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9997 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#9998 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#9999 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#10000 = COLOUR_RGB( '', 0.119999997317791, 0.750000000000000, 0.119999997317791 ); +#10001 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#10002 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#10003 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#10004 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#10005 = COLOUR_RGB( '', 0.779999971389771, 0.529999971389771, 0.419999986886978 ); +#10006 = EDGE_CURVE( '', #10824, #10825, #10826, .T. ); +#10007 = EDGE_CURVE( '', #10824, #10827, #10828, .F. ); +#10008 = EDGE_CURVE( '', #10829, #10827, #10830, .T. ); +#10009 = EDGE_CURVE( '', #10829, #10831, #10832, .F. ); +#10010 = EDGE_CURVE( '', #10833, #10831, #10834, .T. ); +#10011 = EDGE_CURVE( '', #10833, #10835, #10836, .F. ); +#10012 = EDGE_CURVE( '', #10837, #10835, #10838, .T. ); +#10013 = EDGE_CURVE( '', #10837, #10839, #10840, .T. ); +#10014 = EDGE_CURVE( '', #10839, #10841, #10842, .T. ); +#10015 = EDGE_CURVE( '', #10841, #10843, #10844, .T. ); +#10016 = EDGE_CURVE( '', #10845, #10843, #10846, .T. ); +#10017 = EDGE_CURVE( '', #10845, #10847, #10848, .F. ); +#10018 = EDGE_CURVE( '', #10847, #10849, #10850, .T. ); +#10019 = EDGE_CURVE( '', #10849, #10851, #10852, .F. ); +#10020 = EDGE_CURVE( '', #10851, #10853, #10854, .T. ); +#10021 = EDGE_CURVE( '', #10853, #10855, #10856, .F. ); +#10022 = EDGE_CURVE( '', #10855, #10857, #10858, .T. ); +#10023 = EDGE_CURVE( '', #10857, #10859, #10860, .F. ); +#10024 = EDGE_CURVE( '', #10861, #10859, #10862, .T. ); +#10025 = EDGE_CURVE( '', #10861, #10863, #10864, .T. ); +#10026 = EDGE_CURVE( '', #10863, #10865, #10866, .T. ); +#10027 = EDGE_CURVE( '', #10865, #10867, #10868, .T. ); +#10028 = EDGE_CURVE( '', #10869, #10867, #10870, .T. ); +#10029 = EDGE_CURVE( '', #10869, #10825, #10871, .F. ); +#10030 = EDGE_CURVE( '', #10872, #10873, #10874, .T. ); +#10031 = EDGE_CURVE( '', #10875, #10872, #10876, .F. ); +#10032 = EDGE_CURVE( '', #10877, #10875, #10878, .T. ); +#10033 = EDGE_CURVE( '', #10873, #10877, #10879, .T. ); +#10034 = EDGE_CURVE( '', #10880, #10881, #10882, .T. ); +#10035 = EDGE_CURVE( '', #10881, #10883, #10884, .F. ); +#10036 = EDGE_CURVE( '', #10883, #10885, #10886, .T. ); +#10037 = EDGE_CURVE( '', #10885, #10887, #10888, .T. ); +#10038 = EDGE_CURVE( '', #10887, #10889, #10890, .T. ); +#10039 = EDGE_CURVE( '', #10889, #10837, #10891, .T. ); +#10040 = EDGE_CURVE( '', #10835, #10892, #10893, .T. ); +#10041 = EDGE_CURVE( '', #10892, #10894, #10895, .T. ); +#10042 = EDGE_CURVE( '', #10894, #10869, #10896, .F. ); +#10043 = EDGE_CURVE( '', #10880, #10867, #10897, .T. ); +#10044 = EDGE_CURVE( '', #10898, #10880, #10899, .T. ); +#10045 = EDGE_CURVE( '', #10898, #10865, #10900, .T. ); +#10046 = EDGE_CURVE( '', #10872, #10901, #10902, .F. ); +#10047 = EDGE_CURVE( '', #10901, #10873, #10903, .F. ); +#10048 = EDGE_CURVE( '', #10877, #10904, #10905, .T. ); +#10049 = EDGE_CURVE( '', #10904, #10906, #10907, .F. ); +#10050 = EDGE_CURVE( '', #10906, #10873, #10908, .F. ); +#10051 = EDGE_CURVE( '', #10909, #10910, #10911, .T. ); +#10052 = EDGE_CURVE( '', #10909, #10912, #10913, .F. ); +#10053 = EDGE_CURVE( '', #10914, #10912, #10915, .T. ); +#10054 = EDGE_CURVE( '', #10914, #10904, #10916, .T. ); +#10055 = EDGE_CURVE( '', #10917, #10875, #10918, .T. ); +#10056 = EDGE_CURVE( '', #10889, #10917, #10919, .T. ); +#10057 = EDGE_CURVE( '', #10887, #10920, #10921, .T. ); +#10058 = EDGE_CURVE( '', #10920, #10922, #10923, .T. ); +#10059 = EDGE_CURVE( '', #10922, #10910, #10924, .T. ); +#10060 = EDGE_CURVE( '', #10925, #10925, #10926, .F. ); +#10061 = EDGE_CURVE( '', #10927, #10927, #10928, .T. ); +#10062 = EDGE_CURVE( '', #10929, #10930, #10931, .T. ); +#10063 = EDGE_CURVE( '', #10929, #10932, #10933, .F. ); +#10064 = EDGE_CURVE( '', #10934, #10932, #10935, .T. ); +#10065 = EDGE_CURVE( '', #10934, #10936, #10937, .T. ); +#10066 = EDGE_CURVE( '', #10936, #10938, #10939, .T. ); +#10067 = EDGE_CURVE( '', #10938, #10881, #10940, .T. ); +#10068 = EDGE_CURVE( '', #10941, #10898, #10942, .T. ); +#10069 = EDGE_CURVE( '', #10941, #10943, #10944, .T. ); +#10070 = EDGE_CURVE( '', #10943, #10945, #10946, .T. ); +#10071 = EDGE_CURVE( '', #10945, #10930, #10947, .T. ); +#10072 = EDGE_CURVE( '', #10948, #10938, #10949, .T. ); +#10073 = EDGE_CURVE( '', #10883, #10948, #10950, .T. ); +#10074 = EDGE_CURVE( '', #10951, #10885, #10952, .F. ); +#10075 = EDGE_CURVE( '', #10953, #10883, #10954, .T. ); +#10076 = EDGE_CURVE( '', #10951, #10953, #10955, .F. ); +#10077 = EDGE_CURVE( '', #10956, #10951, #10957, .F. ); +#10078 = EDGE_CURVE( '', #10956, #10885, #10958, .T. ); +#10079 = EDGE_CURVE( '', #10920, #10956, #10959, .F. ); +#10080 = EDGE_CURVE( '', #10917, #10839, #10960, .T. ); +#10081 = EDGE_CURVE( '', #10961, #10962, #10963, .T. ); +#10082 = EDGE_CURVE( '', #10962, #10964, #10965, .T. ); +#10083 = EDGE_CURVE( '', #10964, #10841, #10966, .T. ); +#10084 = EDGE_CURVE( '', #10872, #10961, #10967, .F. ); +#10085 = EDGE_CURVE( '', #10968, #10833, #10969, .F. ); +#10086 = EDGE_CURVE( '', #10892, #10968, #10970, .F. ); +#10087 = EDGE_CURVE( '', #10971, #10972, #10973, .T. ); +#10088 = EDGE_CURVE( '', #10971, #10894, #10974, .F. ); +#10089 = EDGE_CURVE( '', #10975, #10968, #10976, .T. ); +#10090 = EDGE_CURVE( '', #10975, #10977, #10978, .F. ); +#10091 = EDGE_CURVE( '', #10979, #10977, #10980, .T. ); +#10092 = EDGE_CURVE( '', #10979, #10972, #10981, .F. ); +#10093 = EDGE_CURVE( '', #10982, #10983, #10984, .F. ); +#10094 = EDGE_CURVE( '', #10983, #10985, #10986, .T. ); +#10095 = EDGE_CURVE( '', #10985, #10987, #10988, .T. ); +#10096 = EDGE_CURVE( '', #10987, #10982, #10989, .F. ); +#10097 = EDGE_CURVE( '', #10990, #10987, #10991, .T. ); +#10098 = EDGE_CURVE( '', #10992, #10990, #10993, .T. ); +#10099 = EDGE_CURVE( '', #10982, #10992, #10994, .T. ); +#10100 = EDGE_CURVE( '', #10992, #10995, #10996, .T. ); +#10101 = EDGE_CURVE( '', #10982, #10995, #10997, .F. ); +#10102 = EDGE_CURVE( '', #10998, #10995, #10999, .T. ); +#10103 = EDGE_CURVE( '', #10983, #10998, #11000, .T. ); +#10104 = EDGE_CURVE( '', #10998, #11001, #11002, .T. ); +#10105 = EDGE_CURVE( '', #10983, #11001, #11003, .F. ); +#10106 = EDGE_CURVE( '', #11004, #11001, #11005, .F. ); +#10107 = EDGE_CURVE( '', #10985, #11004, #11006, .T. ); +#10108 = EDGE_CURVE( '', #11007, #11007, #11008, .T. ); +#10109 = EDGE_CURVE( '', #11009, #11010, #11011, .T. ); +#10110 = EDGE_CURVE( '', #11009, #11012, #11013, .F. ); +#10111 = EDGE_CURVE( '', #10990, #11012, #11014, .T. ); +#10112 = EDGE_CURVE( '', #11015, #11004, #11016, .T. ); +#10113 = EDGE_CURVE( '', #10964, #11015, #11017, .T. ); +#10114 = EDGE_CURVE( '', #10962, #11018, #11019, .T. ); +#10115 = EDGE_CURVE( '', #11020, #11018, #11021, .T. ); +#10116 = EDGE_CURVE( '', #11020, #11010, #11022, .T. ); +#10117 = EDGE_CURVE( '', #11023, #11024, #11025, .T. ); +#10118 = EDGE_CURVE( '', #11024, #11026, #11027, .T. ); +#10119 = EDGE_CURVE( '', #11026, #10861, #11028, .T. ); +#10120 = EDGE_CURVE( '', #10859, #11029, #11030, .T. ); +#10121 = EDGE_CURVE( '', #11031, #11029, #11032, .T. ); +#10122 = EDGE_CURVE( '', #11031, #10845, #11033, .F. ); +#10123 = EDGE_CURVE( '', #11015, #10843, #11034, .T. ); +#10124 = EDGE_CURVE( '', #11001, #11023, #11035, .F. ); +#10125 = EDGE_CURVE( '', #11036, #11031, #11037, .T. ); +#10126 = EDGE_CURVE( '', #10847, #11036, #11038, .T. ); +#10127 = EDGE_CURVE( '', #11039, #11036, #11040, .T. ); +#10128 = EDGE_CURVE( '', #11039, #10849, #11041, .F. ); +#10129 = EDGE_CURVE( '', #11042, #11039, #11043, .T. ); +#10130 = EDGE_CURVE( '', #10851, #11042, #11044, .T. ); +#10131 = EDGE_CURVE( '', #11045, #11042, #11046, .T. ); +#10132 = EDGE_CURVE( '', #11045, #10853, #11047, .F. ); +#10133 = EDGE_CURVE( '', #11029, #11048, #11049, .T. ); +#10134 = EDGE_CURVE( '', #11048, #11050, #11051, .T. ); +#10135 = EDGE_CURVE( '', #11050, #11045, #11052, .T. ); +#10136 = EDGE_CURVE( '', #10855, #11050, #11053, .T. ); +#10137 = EDGE_CURVE( '', #11048, #10857, #11054, .F. ); +#10138 = EDGE_CURVE( '', #11055, #11056, #11057, .T. ); +#10139 = EDGE_CURVE( '', #11055, #11058, #11059, .F. ); +#10140 = EDGE_CURVE( '', #11060, #11058, #11061, .T. ); +#10141 = EDGE_CURVE( '', #11060, #11062, #11063, .T. ); +#10142 = EDGE_CURVE( '', #11062, #11064, #11065, .T. ); +#10143 = EDGE_CURVE( '', #11064, #11066, #11067, .T. ); +#10144 = EDGE_CURVE( '', #11068, #11066, #11069, .T. ); +#10145 = EDGE_CURVE( '', #11026, #11068, #11070, .T. ); +#10146 = EDGE_CURVE( '', #11024, #11071, #11072, .T. ); +#10147 = EDGE_CURVE( '', #11073, #11071, #11074, .T. ); +#10148 = EDGE_CURVE( '', #11073, #11056, #11075, .T. ); +#10149 = EDGE_CURVE( '', #11076, #11076, #11077, .F. ); +#10150 = EDGE_CURVE( '', #10936, #11078, #11079, .F. ); +#10151 = EDGE_CURVE( '', #11078, #10948, #11080, .T. ); +#10152 = EDGE_CURVE( '', #11066, #11081, #11082, .F. ); +#10153 = EDGE_CURVE( '', #11081, #11083, #11084, .T. ); +#10154 = EDGE_CURVE( '', #11083, #10941, #11085, .T. ); +#10155 = EDGE_CURVE( '', #11068, #10863, #11086, .T. ); +#10156 = EDGE_CURVE( '', #11087, #11088, #11089, .T. ); +#10157 = EDGE_CURVE( '', #11088, #11090, #11091, .T. ); +#10158 = EDGE_CURVE( '', #11090, #11092, #11093, .T. ); +#10159 = EDGE_CURVE( '', #11092, #11087, #11094, .T. ); +#10160 = EDGE_CURVE( '', #11095, #10934, #11096, .T. ); +#10161 = EDGE_CURVE( '', #10932, #11097, #11098, .F. ); +#10162 = EDGE_CURVE( '', #11097, #11099, #11100, .F. ); +#10163 = EDGE_CURVE( '', #11099, #10909, #11101, .T. ); +#10164 = EDGE_CURVE( '', #10910, #11102, #11103, .F. ); +#10165 = EDGE_CURVE( '', #11102, #11095, #11104, .T. ); +#10166 = EDGE_CURVE( '', #11010, #11105, #11106, .F. ); +#10167 = EDGE_CURVE( '', #11105, #11107, #11108, .F. ); +#10168 = EDGE_CURVE( '', #11107, #10914, #11109, .T. ); +#10169 = EDGE_CURVE( '', #10912, #11110, #11111, .F. ); +#10170 = EDGE_CURVE( '', #11110, #11112, #11113, .F. ); +#10171 = EDGE_CURVE( '', #11112, #11009, #11114, .T. ); +#10172 = EDGE_CURVE( '', #11012, #11115, #11116, .F. ); +#10173 = EDGE_CURVE( '', #11115, #11117, #11118, .F. ); +#10174 = EDGE_CURVE( '', #11117, #11055, #11119, .T. ); +#10175 = EDGE_CURVE( '', #11056, #11120, #11121, .F. ); +#10176 = EDGE_CURVE( '', #11120, #10992, #11122, .F. ); +#10177 = EDGE_CURVE( '', #11123, #11060, #11124, .T. ); +#10178 = EDGE_CURVE( '', #11058, #11125, #11126, .F. ); +#10179 = EDGE_CURVE( '', #11125, #11127, #11128, .F. ); +#10180 = EDGE_CURVE( '', #11127, #10929, #11129, .T. ); +#10181 = EDGE_CURVE( '', #10930, #11130, #11131, .F. ); +#10182 = EDGE_CURVE( '', #11130, #11123, #11132, .T. ); +#10183 = EDGE_CURVE( '', #10831, #10975, #11133, .T. ); +#10184 = EDGE_CURVE( '', #10825, #10971, #11134, .T. ); +#10185 = EDGE_CURVE( '', #10972, #10824, #11135, .F. ); +#10186 = EDGE_CURVE( '', #10827, #10979, #11136, .T. ); +#10187 = EDGE_CURVE( '', #10977, #10829, #11137, .F. ); +#10188 = EDGE_CURVE( '', #11138, #11138, #11139, .T. ); +#10189 = EDGE_CURVE( '', #11140, #11140, #11141, .T. ); +#10190 = EDGE_CURVE( '', #11142, #11142, #11143, .T. ); +#10191 = EDGE_CURVE( '', #11144, #11144, #11145, .T. ); +#10192 = EDGE_CURVE( '', #11146, #11147, #11148, .F. ); +#10193 = EDGE_CURVE( '', #11147, #11149, #11150, .F. ); +#10194 = EDGE_CURVE( '', #11149, #11151, #11152, .F. ); +#10195 = EDGE_CURVE( '', #11151, #11146, #11153, .F. ); +#10196 = EDGE_CURVE( '', #10943, #11154, #11155, .F. ); +#10197 = EDGE_CURVE( '', #11154, #11156, #11157, .T. ); +#10198 = EDGE_CURVE( '', #11156, #10945, #11158, .T. ); +#10199 = EDGE_CURVE( '', #11159, #11160, #11161, .T. ); +#10200 = EDGE_CURVE( '', #11160, #11064, #11162, .T. ); +#10201 = EDGE_CURVE( '', #11062, #11159, #11163, .F. ); +#10202 = EDGE_CURVE( '', #11164, #10998, #11165, .T. ); +#10203 = EDGE_CURVE( '', #10995, #11166, #11167, .T. ); +#10204 = EDGE_CURVE( '', #11166, #11164, #11168, .T. ); +#10205 = EDGE_CURVE( '', #11071, #11169, #11170, .F. ); +#10206 = EDGE_CURVE( '', #11169, #11171, #11172, .F. ); +#10207 = EDGE_CURVE( '', #11171, #11073, #11173, .T. ); +#10208 = EDGE_CURVE( '', #10901, #11174, #11175, .T. ); +#10209 = EDGE_CURVE( '', #11174, #11176, #11177, .T. ); +#10210 = EDGE_CURVE( '', #11176, #11178, #11179, .T. ); +#10211 = EDGE_CURVE( '', #11178, #10901, #11180, .T. ); +#10212 = EDGE_CURVE( '', #11181, #11182, #11183, .F. ); +#10213 = EDGE_CURVE( '', #11182, #11020, #11184, .T. ); +#10214 = EDGE_CURVE( '', #11018, #11181, #11185, .F. ); +#10215 = EDGE_CURVE( '', #10953, #11186, #11187, .F. ); +#10216 = EDGE_CURVE( '', #11186, #11188, #11189, .F. ); +#10217 = EDGE_CURVE( '', #11188, #10951, #11190, .F. ); +#10218 = EDGE_CURVE( '', #10956, #11191, #11192, .T. ); +#10219 = EDGE_CURVE( '', #11191, #10922, #11193, .T. ); +#10220 = EDGE_CURVE( '', #11102, #11191, #11194, .T. ); +#10221 = EDGE_CURVE( '', #11191, #11188, #11195, .T. ); +#10222 = EDGE_CURVE( '', #11102, #11188, #11196, .F. ); +#10223 = EDGE_CURVE( '', #11095, #11186, #11197, .T. ); +#10224 = EDGE_CURVE( '', #11099, #11110, #11198, .F. ); +#10225 = EDGE_CURVE( '', #11099, #11088, #11199, .T. ); +#10226 = EDGE_CURVE( '', #11088, #11110, #11200, .T. ); +#10227 = EDGE_CURVE( '', #11087, #11112, #11201, .F. ); +#10228 = EDGE_CURVE( '', #11115, #11112, #11202, .T. ); +#10229 = EDGE_CURVE( '', #11087, #11115, #11203, .T. ); +#10230 = EDGE_CURVE( '', #11092, #11117, #11204, .F. ); +#10231 = EDGE_CURVE( '', #11125, #11117, #11205, .T. ); +#10232 = EDGE_CURVE( '', #11125, #11092, #11206, .F. ); +#10233 = EDGE_CURVE( '', #11127, #11090, #11207, .T. ); +#10234 = EDGE_CURVE( '', #11097, #11127, #11208, .T. ); +#10235 = EDGE_CURVE( '', #11120, #11171, #11209, .T. ); +#10236 = EDGE_CURVE( '', #11120, #11166, #11210, .F. ); +#10237 = EDGE_CURVE( '', #11166, #11171, #11211, .F. ); +#10238 = EDGE_CURVE( '', #11164, #11023, #11212, .F. ); +#10239 = EDGE_CURVE( '', #11169, #11023, #11213, .T. ); +#10240 = EDGE_CURVE( '', #11164, #11169, #11214, .T. ); +#10241 = EDGE_CURVE( '', #11154, #11083, #11215, .T. ); +#10242 = EDGE_CURVE( '', #11151, #11156, #11216, .F. ); +#10243 = EDGE_CURVE( '', #11146, #11154, #11217, .T. ); +#10244 = EDGE_CURVE( '', #11130, #11151, #11218, .F. ); +#10245 = EDGE_CURVE( '', #11130, #11156, #11219, .T. ); +#10246 = EDGE_CURVE( '', #11159, #11123, #11220, .T. ); +#10247 = EDGE_CURVE( '', #11123, #11149, #11221, .T. ); +#10248 = EDGE_CURVE( '', #11159, #11149, #11222, .F. ); +#10249 = EDGE_CURVE( '', #11160, #11147, #11223, .T. ); +#10250 = EDGE_CURVE( '', #11160, #11081, #11224, .F. ); +#10251 = EDGE_CURVE( '', #11146, #11083, #11225, .F. ); +#10252 = EDGE_CURVE( '', #11147, #11081, #11226, .T. ); +#10253 = EDGE_CURVE( '', #11095, #11078, #11227, .F. ); +#10254 = EDGE_CURVE( '', #11182, #11105, #11228, .F. ); +#10255 = EDGE_CURVE( '', #11182, #11176, #11229, .T. ); +#10256 = EDGE_CURVE( '', #11176, #11105, #11230, .T. ); +#10257 = EDGE_CURVE( '', #11181, #11178, #11231, .F. ); +#10258 = EDGE_CURVE( '', #11174, #11107, #11232, .F. ); +#10259 = EDGE_CURVE( '', #11181, #10961, #11233, .T. ); +#10260 = EDGE_CURVE( '', #10961, #11178, #11234, .T. ); +#10261 = EDGE_CURVE( '', #11174, #10906, #11235, .T. ); +#10262 = EDGE_CURVE( '', #10906, #11107, #11236, .T. ); +#10263 = EDGE_CURVE( '', #11186, #11078, #11237, .T. ); +#10264 = EDGE_CURVE( '', #10953, #10948, #11238, .F. ); +#10265 = EDGE_CURVE( '', #11097, #11090, #11239, .F. ); +#10266 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10267 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, 0.950000000000000 ) ); +#10268 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10269 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10270 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10271 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, -0.950000000000000 ) ); +#10272 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10273 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10274 = CARTESIAN_POINT( '', ( 0.313000000000005, -1.40000000000000, 1.41000000000000 ) ); +#10275 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#10276 = DIRECTION( '', ( 0.173706427072290, 0.000000000000000, 0.984797480294187 ) ); +#10277 = CARTESIAN_POINT( '', ( 0.313000000000005, -2.20000000000000, 1.41000000000000 ) ); +#10278 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, -3.77113799125393E-015 ) ); +#10279 = DIRECTION( '', ( 0.173706427072290, -3.71380719162855E-015, 0.984797480294187 ) ); +#10280 = CARTESIAN_POINT( '', ( 1.56500000000000, -2.20000000000000, 1.41000000000000 ) ); +#10281 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, -3.77113799125393E-015 ) ); +#10282 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10283 = CARTESIAN_POINT( '', ( 1.56500000000000, -2.66000000000000, 0.950000000000000 ) ); +#10284 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10285 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10286 = CARTESIAN_POINT( '', ( 2.32500000000000, -0.900000000000000, -0.950000000000000 ) ); +#10287 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10288 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10289 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#10290 = CARTESIAN_POINT( '', ( 2.32500000000000, 0.900000000000000, -0.950000000000000 ) ); +#10291 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10292 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10293 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#10294 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10295 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, -0.950000000000000 ) ); +#10296 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10297 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10298 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10299 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, 0.950000000000000 ) ); +#10300 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10301 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10302 = CARTESIAN_POINT( '', ( -3.74999999998363, 3.15000000003941, -1.41000000000000 ) ); +#10303 = DIRECTION( '', ( 0.923547284920600, -0.383484566202850, 0.000000000000000 ) ); +#10304 = DIRECTION( '', ( -0.293806312090465, -0.707574817183521, 0.642662998050695 ) ); +#10305 = CARTESIAN_POINT( '', ( -0.313000000000000, 2.20000000000000, 1.41000000000000 ) ); +#10306 = DIRECTION( '', ( -4.93038065763133E-032, 1.00000000000000, -9.42784497813482E-016 ) ); +#10307 = DIRECTION( '', ( -0.499923162130354, 8.16517145265693E-016, 0.866069761604449 ) ); +#10308 = CARTESIAN_POINT( '', ( -0.313000000000000, 2.66000000000000, 0.950000000000000 ) ); +#10309 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10310 = DIRECTION( '', ( -0.499923162130354, 0.866069761604449, 0.000000000000000 ) ); +#10311 = CARTESIAN_POINT( '', ( 0.939000000000000, 2.20000000000000, 1.41000000000000 ) ); +#10312 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, -1.88556899562697E-015 ) ); +#10313 = DIRECTION( '', ( 0.766063452682704, 1.21197766928544E-015, 0.642764954290334 ) ); +#10314 = CARTESIAN_POINT( '', ( 0.939000000000000, 2.66000000000000, 0.950000000000000 ) ); +#10315 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10316 = DIRECTION( '', ( 0.766063452682704, 0.642764954290334, 0.000000000000000 ) ); +#10317 = CARTESIAN_POINT( '', ( 1.82500000000000, 2.20000000000000, -0.950000000000000 ) ); +#10318 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10319 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10320 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, -0.950000000000000 ) ); +#10321 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10322 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10323 = CARTESIAN_POINT( '', ( 3.12500000000000, 0.900000000000000, -0.950000000000000 ) ); +#10324 = DIRECTION( '', ( 5.42101086242752E-016, 1.00000000000000, -5.42101086242752E-016 ) ); +#10325 = DIRECTION( '', ( 0.000000000000000, 5.42101086242752E-016, 1.00000000000000 ) ); +#10326 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, -1.15000000000000 ) ); +#10327 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10328 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10329 = CARTESIAN_POINT( '', ( -1.56500000000000, -0.940000000000000, -0.950000000000000 ) ); +#10330 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10331 = DIRECTION( '', ( -0.939743185291394, -0.341881186523015, 0.000000000000000 ) ); +#10332 = CARTESIAN_POINT( '', ( -1.56500000000000, -0.940000000000000, 0.950000000000000 ) ); +#10333 = DIRECTION( '', ( 0.000000000000000, -2.35696124453370E-016, 1.00000000000000 ) ); +#10334 = DIRECTION( '', ( -0.939743185291394, -0.341881186523015, -8.05800706869945E-017 ) ); +#10335 = CARTESIAN_POINT( '', ( -0.312999999999996, -2.66000000000000, -0.950000000000000 ) ); +#10336 = DIRECTION( '', ( -0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10337 = DIRECTION( '', ( -0.499923162130354, -0.866069761604450, 0.000000000000000 ) ); +#10338 = CARTESIAN_POINT( '', ( -0.145581395348834, -2.20000000000000, -1.41000000000000 ) ); +#10339 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, 6.93889390390723E-018 ) ); +#10340 = DIRECTION( '', ( -0.163108367529031, 0.0593638593448685, -0.984820589064677 ) ); +#10341 = CARTESIAN_POINT( '', ( 1.56500000000000, 2.66000000000000, 0.950000000000000 ) ); +#10342 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10343 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10344 = CARTESIAN_POINT( '', ( 1.56500000000000, 2.66000000000000, -0.950000000000000 ) ); +#10345 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10346 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10347 = CARTESIAN_POINT( '', ( 0.939000000000000, -2.66000000000000, -0.950000000000000 ) ); +#10348 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10349 = DIRECTION( '', ( 0.766063452682704, -0.642764954290334, 0.000000000000000 ) ); +#10350 = CARTESIAN_POINT( '', ( 1.10641860465117, -2.20000000000000, -1.41000000000000 ) ); +#10351 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, 0.000000000000000 ) ); +#10352 = DIRECTION( '', ( 0.883031726341352, -0.321382477145167, -0.342006247981887 ) ); +#10353 = CARTESIAN_POINT( '', ( 0.939000000000000, -0.940000000000000, 0.950000000000000 ) ); +#10354 = DIRECTION( '', ( 0.000000000000000, -2.35696124453370E-016, 1.00000000000000 ) ); +#10355 = DIRECTION( '', ( 0.766063452682704, 0.642764954290334, 1.51497208660680E-016 ) ); +#10356 = CARTESIAN_POINT( '', ( 0.939000000000000, -1.40000000000000, 1.41000000000000 ) ); +#10357 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#10358 = DIRECTION( '', ( 0.766063452682704, 0.000000000000000, 0.642764954290334 ) ); +#10359 = CARTESIAN_POINT( '', ( -1.73241860465117, -1.40000000000000, -1.41000000000000 ) ); +#10360 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -9.15933995315755E-016 ) ); +#10361 = DIRECTION( '', ( -0.719948424611285, 0.262027740585271, 0.642662998050694 ) ); +#10362 = CARTESIAN_POINT( '', ( 0.771581395348841, -1.40000000000000, -1.41000000000000 ) ); +#10363 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -1.80411241501588E-015 ) ); +#10364 = DIRECTION( '', ( 0.469884939877497, -0.171016263001928, -0.866003222318010 ) ); +#10365 = CARTESIAN_POINT( '', ( 0.939000000000000, -0.940000000000000, -0.950000000000000 ) ); +#10366 = DIRECTION( '', ( 0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#10367 = DIRECTION( '', ( 0.766063452682704, 0.642764954290334, 0.000000000000000 ) ); +#10368 = CARTESIAN_POINT( '', ( 0.313000000000004, 2.20000000000000, 1.41000000000000 ) ); +#10369 = DIRECTION( '', ( 4.93038065763133E-032, 1.00000000000000, -1.88556899562696E-015 ) ); +#10370 = DIRECTION( '', ( 0.173706427072290, 1.85690359581428E-015, 0.984797480294187 ) ); +#10371 = CARTESIAN_POINT( '', ( 0.313000000000004, 2.66000000000000, 0.950000000000000 ) ); +#10372 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10373 = DIRECTION( '', ( 0.173706427072290, 0.984797480294187, 0.000000000000000 ) ); +#10374 = CARTESIAN_POINT( '', ( -1.39758139534884, -2.20000000000000, -1.41000000000000 ) ); +#10375 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -1.35525271560688E-020 ) ); +#10376 = DIRECTION( '', ( -0.939697669839476, 0.342006244953205, -0.000133083685419755 ) ); +#10377 = CARTESIAN_POINT( '', ( -1.10641860465116, -1.40000000000000, -1.41000000000000 ) ); +#10378 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -9.24682151594996E-016 ) ); +#10379 = DIRECTION( '', ( -0.939697669839476, 0.342006244953205, -0.000133083685419755 ) ); +#10380 = CARTESIAN_POINT( '', ( 1.56500000000000, -2.66000000000000, -0.950000000000000 ) ); +#10381 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10382 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10383 = CARTESIAN_POINT( '', ( -0.771581395348834, -2.20000000000000, -1.41000000000000 ) ); +#10384 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -5.55111512312578E-017 ) ); +#10385 = DIRECTION( '', ( -0.719787658258956, 0.261969229110527, -0.642866899145791 ) ); +#10386 = CARTESIAN_POINT( '', ( -0.480418604651160, -1.40000000000000, -1.41000000000000 ) ); +#10387 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -1.33226762955019E-015 ) ); +#10388 = DIRECTION( '', ( -0.719787658258956, 0.261969229110527, -0.642866899145791 ) ); +#10389 = CARTESIAN_POINT( '', ( -3.12500000000000, -0.900000000000000, 0.950000000000000 ) ); +#10390 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10391 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10392 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10393 = CARTESIAN_POINT( '', ( -3.12500000000000, 0.900000000000000, 0.950000000000000 ) ); +#10394 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10395 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10396 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10397 = CARTESIAN_POINT( '', ( 0.771581395348841, 1.40000000000000, -1.41000000000000 ) ); +#10398 = DIRECTION( '', ( 0.342006247981888, -0.939697678161094, -5.55111512312579E-017 ) ); +#10399 = DIRECTION( '', ( 0.469884939877497, 0.171016263001927, -0.866003222318010 ) ); +#10400 = CARTESIAN_POINT( '', ( 0.939000000000000, 0.940000000000000, -0.950000000000000 ) ); +#10401 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10402 = DIRECTION( '', ( 0.766063452682704, -0.642764954290334, 0.000000000000000 ) ); +#10403 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10404 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, 0.950000000000000 ) ); +#10405 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10406 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10407 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10408 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, -0.950000000000000 ) ); +#10409 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10410 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10411 = CARTESIAN_POINT( '', ( -0.939000000000000, -2.66000000000000, -0.950000000000000 ) ); +#10412 = DIRECTION( '', ( -0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10413 = DIRECTION( '', ( -0.939652154387558, -0.342131303383395, 0.000000000000000 ) ); +#10414 = CARTESIAN_POINT( '', ( -1.10641860465116, 1.40000000000000, -1.41000000000000 ) ); +#10415 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, 0.000000000000000 ) ); +#10416 = DIRECTION( '', ( -0.939697669839476, -0.342006244953205, -0.000133083685419366 ) ); +#10417 = CARTESIAN_POINT( '', ( -0.939000000000000, 0.940000000000000, -0.950000000000000 ) ); +#10418 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10419 = DIRECTION( '', ( -0.939652154387558, -0.342131303383395, 0.000000000000000 ) ); +#10420 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10421 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, 1.15000000000000 ) ); +#10422 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10423 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10424 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10425 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, 1.15000000000000 ) ); +#10426 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10427 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10428 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#10429 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, 1.15000000000000 ) ); +#10430 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10431 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10432 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10433 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, 1.15000000000000 ) ); +#10434 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10435 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10436 = DIRECTION( '', ( -4.81867632215779E-016, -1.00000000000000, 0.000000000000000 ) ); +#10437 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, 1.15000000000000 ) ); +#10438 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10439 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10440 = DIRECTION( '', ( 1.00000000000000, -1.18816676436767E-016, 0.000000000000000 ) ); +#10441 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, 1.15000000000000 ) ); +#10442 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10443 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10444 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, 1.15000000000000 ) ); +#10445 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10446 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10447 = DIRECTION( '', ( -1.00000000000000, -1.18816676436767E-016, 0.000000000000000 ) ); +#10448 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, 1.15000000000000 ) ); +#10449 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10450 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10451 = CARTESIAN_POINT( '', ( 0.939000000000000, 2.66000000000000, -0.950000000000000 ) ); +#10452 = DIRECTION( '', ( 8.57824266905873E-017, -2.35696124453370E-016, -1.00000000000000 ) ); +#10453 = DIRECTION( '', ( 0.766063452682704, 0.642764954290334, -8.57824266905873E-017 ) ); +#10454 = CARTESIAN_POINT( '', ( 3.75000000000000, -3.15000000000000, -1.41000000000000 ) ); +#10455 = DIRECTION( '', ( 0.957249863562161, -0.289262335450407, 2.68693581876842E-014 ) ); +#10456 = DIRECTION( '', ( -2.57206894591635E-014, 7.77229330142303E-015, 1.00000000000000 ) ); +#10457 = CARTESIAN_POINT( '', ( 2.02500000000000, -2.66000000000000, -1.41000000000000 ) ); +#10458 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 2.68693581876841E-014 ) ); +#10459 = DIRECTION( '', ( -2.68693581876841E-014, 0.000000000000000, 1.00000000000000 ) ); +#10460 = CARTESIAN_POINT( '', ( 2.22748363481530, -2.68992509550649, -1.41000000000000 ) ); +#10461 = DIRECTION( '', ( 0.957249863562161, -0.289262335450407, 2.68693581876842E-014 ) ); +#10462 = DIRECTION( '', ( -2.57206894591635E-014, 7.77229330142303E-015, 1.00000000000000 ) ); +#10463 = CARTESIAN_POINT( '', ( -0.939000000000000, 2.66000000000000, -0.950000000000000 ) ); +#10464 = DIRECTION( '', ( 8.57824266905873E-017, -2.35696124453370E-016, -1.00000000000000 ) ); +#10465 = DIRECTION( '', ( -0.939652154387558, 0.342131303383395, -1.61244664310050E-016 ) ); +#10466 = CARTESIAN_POINT( '', ( -0.771581395348836, 2.20000000000000, -1.41000000000000 ) ); +#10467 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, -5.55111512312578E-017 ) ); +#10468 = DIRECTION( '', ( -0.719787658258956, -0.261969229110527, -0.642866899145791 ) ); +#10469 = CARTESIAN_POINT( '', ( 1.56500000000000, -0.940000000000000, 0.950000000000000 ) ); +#10470 = DIRECTION( '', ( 0.000000000000000, -2.35696124453370E-016, 1.00000000000000 ) ); +#10471 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10472 = CARTESIAN_POINT( '', ( 1.56500000000000, -1.40000000000000, 1.41000000000000 ) ); +#10473 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#10474 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10475 = CARTESIAN_POINT( '', ( 0.313000000000004, 2.66000000000000, -0.950000000000000 ) ); +#10476 = DIRECTION( '', ( 8.57824266905874E-017, -2.35696124453370E-016, -1.00000000000000 ) ); +#10477 = DIRECTION( '', ( 0.173706427072290, 0.984797480294187, -2.17211990630772E-016 ) ); +#10478 = CARTESIAN_POINT( '', ( 0.480418604651166, 2.20000000000000, -1.41000000000000 ) ); +#10479 = DIRECTION( '', ( 0.342006247981888, -0.939697678161094, -5.55111512312579E-017 ) ); +#10480 = DIRECTION( '', ( 0.469884939877497, 0.171016263001927, -0.866003222318010 ) ); +#10481 = CARTESIAN_POINT( '', ( -0.939000000000000, 2.66000000000000, 0.950000000000000 ) ); +#10482 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10483 = DIRECTION( '', ( -0.939652154387558, 0.342131303383395, 0.000000000000000 ) ); +#10484 = CARTESIAN_POINT( '', ( 1.10641860465117, 2.20000000000000, -1.41000000000000 ) ); +#10485 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, -1.38777878078145E-017 ) ); +#10486 = DIRECTION( '', ( 0.883031726341352, 0.321382477145167, -0.342006247981887 ) ); +#10487 = CARTESIAN_POINT( '', ( 1.39758139534884, 1.40000000000000, -1.41000000000000 ) ); +#10488 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, -1.38777878078145E-017 ) ); +#10489 = DIRECTION( '', ( 0.883031726341352, 0.321382477145167, -0.342006247981887 ) ); +#10490 = CARTESIAN_POINT( '', ( 2.32500000000000, -0.900000000000000, 0.950000000000000 ) ); +#10491 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10492 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10493 = CARTESIAN_POINT( '', ( 2.32500000000000, 0.900000000000000, 0.950000000000000 ) ); +#10494 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10495 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10496 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#10497 = CARTESIAN_POINT( '', ( -0.939000000000000, -2.66000000000000, 0.950000000000000 ) ); +#10498 = DIRECTION( '', ( -0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10499 = DIRECTION( '', ( -0.939652154387558, -0.342131303383395, 0.000000000000000 ) ); +#10500 = CARTESIAN_POINT( '', ( 3.12500000000000, -0.900000000000000, -0.950000000000000 ) ); +#10501 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 5.42101086242752E-016 ) ); +#10502 = DIRECTION( '', ( 0.000000000000000, -5.42101086242752E-016, 1.00000000000000 ) ); +#10503 = DIRECTION( '', ( -4.81867632215779E-016, -1.00000000000000, 0.000000000000000 ) ); +#10504 = DIRECTION( '', ( -4.81867632215779E-016, -1.00000000000000, 0.000000000000000 ) ); +#10505 = CARTESIAN_POINT( '', ( 3.75000000000005, 3.15000000000000, -1.41000000000000 ) ); +#10506 = DIRECTION( '', ( 0.957249863562139, 0.289262335450481, 2.68693581876841E-014 ) ); +#10507 = DIRECTION( '', ( -2.57206894591629E-014, -7.77229330142502E-015, 1.00000000000000 ) ); +#10508 = CARTESIAN_POINT( '', ( 1.56500000000000, -0.940000000000000, -0.950000000000000 ) ); +#10509 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10510 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10511 = CARTESIAN_POINT( '', ( -2.01832061644230, 2.18554546370728, -1.41000000000000 ) ); +#10512 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, 0.000000000000000 ) ); +#10513 = DIRECTION( '', ( -0.719948424611285, -0.262027740585270, 0.642662998050695 ) ); +#10514 = CARTESIAN_POINT( '', ( -2.40766979483681, 2.59262418958919, -1.41000000000000 ) ); +#10515 = DIRECTION( '', ( 0.923547284920600, -0.383484566202850, 0.000000000000000 ) ); +#10516 = DIRECTION( '', ( -0.293806312090465, -0.707574817183521, 0.642662998050695 ) ); +#10517 = CARTESIAN_POINT( '', ( -3.12500000000000, 0.900000000000000, -0.950000000000000 ) ); +#10518 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10519 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10520 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, -0.950000000000000 ) ); +#10521 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10522 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10523 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.20000000000000, -0.950000000000000 ) ); +#10524 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10525 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10526 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, -1.15000000000000 ) ); +#10527 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10528 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10529 = CARTESIAN_POINT( '', ( -0.312999999999997, -0.940000000000000, -0.950000000000000 ) ); +#10530 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10531 = DIRECTION( '', ( -0.499923162130354, 0.866069761604450, 0.000000000000000 ) ); +#10532 = CARTESIAN_POINT( '', ( 0.145581395348841, -1.40000000000000, -1.41000000000000 ) ); +#10533 = DIRECTION( '', ( 0.342006247981888, 0.939697678161094, -8.67361737988403E-016 ) ); +#10534 = DIRECTION( '', ( -0.163108367529032, 0.0593638593448678, -0.984820589064677 ) ); +#10535 = CARTESIAN_POINT( '', ( -1.73241860465117, 1.40000000000000, -1.41000000000000 ) ); +#10536 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, 0.000000000000000 ) ); +#10537 = DIRECTION( '', ( -0.719948424611285, -0.262027740585270, 0.642662998050695 ) ); +#10538 = CARTESIAN_POINT( '', ( -1.56500000000000, 0.940000000000000, -0.950000000000000 ) ); +#10539 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10540 = DIRECTION( '', ( -0.939743185291394, 0.341881186523015, 0.000000000000000 ) ); +#10541 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10542 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, 0.950000000000000 ) ); +#10543 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10544 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10545 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10546 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, -0.950000000000000 ) ); +#10547 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10548 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10549 = CARTESIAN_POINT( '', ( 0.939000000000000, 0.940000000000000, 0.950000000000000 ) ); +#10550 = DIRECTION( '', ( 0.000000000000000, -2.35696124453370E-016, 1.00000000000000 ) ); +#10551 = DIRECTION( '', ( 0.766063452682704, -0.642764954290334, -1.51497208660680E-016 ) ); +#10552 = CARTESIAN_POINT( '', ( 0.939000000000000, 1.40000000000000, 1.41000000000000 ) ); +#10553 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10554 = DIRECTION( '', ( 0.766063452682704, 0.000000000000000, 0.642764954290334 ) ); +#10555 = CARTESIAN_POINT( '', ( -1.56500000000000, 1.40000000000000, 1.41000000000000 ) ); +#10556 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10557 = DIRECTION( '', ( -0.939743185291394, 0.000000000000000, -0.341881186523015 ) ); +#10558 = CARTESIAN_POINT( '', ( -1.56500000000000, 2.20000000000000, 1.41000000000000 ) ); +#10559 = DIRECTION( '', ( -4.93038065763133E-032, 1.00000000000000, -9.42784497813482E-016 ) ); +#10560 = DIRECTION( '', ( -0.939743185291394, -3.22320282747978E-016, -0.341881186523015 ) ); +#10561 = CARTESIAN_POINT( '', ( 1.82500000000000, 1.40000000000000, 0.950000000000000 ) ); +#10562 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10563 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10564 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, 0.950000000000000 ) ); +#10565 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10566 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10567 = CARTESIAN_POINT( '', ( -1.56500000000000, -2.66000000000000, -0.950000000000000 ) ); +#10568 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10569 = DIRECTION( '', ( -0.939743185291394, 0.341881186523015, 0.000000000000000 ) ); +#10570 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.40000000000000, 0.950000000000000 ) ); +#10571 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10572 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10573 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10574 = CARTESIAN_POINT( '', ( 1.56500000000000, 0.940000000000000, -0.950000000000000 ) ); +#10575 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10576 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10577 = CARTESIAN_POINT( '', ( 1.56500000000000, 0.940000000000000, 0.950000000000000 ) ); +#10578 = DIRECTION( '', ( 0.000000000000000, -2.35696124453370E-016, 1.00000000000000 ) ); +#10579 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10580 = CARTESIAN_POINT( '', ( -1.82500000000000, 2.20000000000000, 0.950000000000000 ) ); +#10581 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10582 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10583 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, 0.950000000000000 ) ); +#10584 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10585 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10586 = CARTESIAN_POINT( '', ( -1.56500000000000, -1.40000000000000, 1.41000000000000 ) ); +#10587 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, -0.000000000000000 ) ); +#10588 = DIRECTION( '', ( -0.939743185291394, 0.000000000000000, -0.341881186523015 ) ); +#10589 = CARTESIAN_POINT( '', ( -1.56500000000000, -2.20000000000000, 1.41000000000000 ) ); +#10590 = DIRECTION( '', ( -1.97215226305253E-031, -1.00000000000000, -2.82835349344044E-015 ) ); +#10591 = DIRECTION( '', ( -0.939743185291394, 9.66960848243934E-016, -0.341881186523015 ) ); +#10592 = CARTESIAN_POINT( '', ( 0.145581395348841, 1.40000000000000, -1.41000000000000 ) ); +#10593 = DIRECTION( '', ( 0.342006247981888, -0.939697678161094, 1.38777878078145E-017 ) ); +#10594 = DIRECTION( '', ( -0.163108367529032, -0.0593638593448688, -0.984820589064677 ) ); +#10595 = CARTESIAN_POINT( '', ( 0.313000000000004, 0.940000000000000, -0.950000000000000 ) ); +#10596 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10597 = DIRECTION( '', ( 0.173706427072290, -0.984797480294187, 0.000000000000000 ) ); +#10598 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10599 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10600 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, 0.950000000000000 ) ); +#10601 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10602 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10603 = CARTESIAN_POINT( '', ( 0.313000000000004, 1.40000000000000, 1.41000000000000 ) ); +#10604 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10605 = DIRECTION( '', ( 0.173706427072290, 0.000000000000000, 0.984797480294187 ) ); +#10606 = CARTESIAN_POINT( '', ( 2.22748363481535, 2.68992509550650, -1.41000000000000 ) ); +#10607 = DIRECTION( '', ( 0.957249863562139, 0.289262335450481, 2.68693581876841E-014 ) ); +#10608 = DIRECTION( '', ( -2.57206894591629E-014, -7.77229330142502E-015, 1.00000000000000 ) ); +#10609 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.40000000000000, -0.950000000000000 ) ); +#10610 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10611 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10612 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, -1.15000000000000 ) ); +#10613 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10614 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10615 = CARTESIAN_POINT( '', ( -2.32500000000000, 0.900000000000000, -0.950000000000000 ) ); +#10616 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10617 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10618 = CARTESIAN_POINT( '', ( -1.82500000000000, 0.900000000000000, -1.15000000000000 ) ); +#10619 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10620 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10621 = CARTESIAN_POINT( '', ( -1.82500000000000, 1.40000000000000, -0.950000000000000 ) ); +#10622 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10623 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10624 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10625 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10626 = DIRECTION( '', ( 1.00000000000000, -1.18816676436767E-016, 0.000000000000000 ) ); +#10627 = DIRECTION( '', ( 1.00000000000000, -1.18816676436767E-016, 0.000000000000000 ) ); +#10628 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10629 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, -0.950000000000000 ) ); +#10630 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10631 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10632 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10633 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, 0.950000000000000 ) ); +#10634 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10635 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10636 = CARTESIAN_POINT( '', ( 0.313000000000005, -0.940000000000000, 0.950000000000000 ) ); +#10637 = DIRECTION( '', ( 0.000000000000000, -2.35696124453370E-016, 1.00000000000000 ) ); +#10638 = DIRECTION( '', ( 0.173706427072290, 0.984797480294187, 2.32112949476784E-016 ) ); +#10639 = CARTESIAN_POINT( '', ( 1.56500000000000, 1.40000000000000, 1.41000000000000 ) ); +#10640 = DIRECTION( '', ( -0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10641 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10642 = CARTESIAN_POINT( '', ( 1.56500000000000, 2.20000000000000, 1.41000000000000 ) ); +#10643 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, -1.88556899562697E-015 ) ); +#10644 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10645 = CARTESIAN_POINT( '', ( -0.313000000000000, -2.20000000000000, 1.41000000000000 ) ); +#10646 = DIRECTION( '', ( -3.94430452610505E-031, -1.00000000000000, -2.82835349344044E-015 ) ); +#10647 = DIRECTION( '', ( -0.499923162130354, -2.44955143579708E-015, 0.866069761604450 ) ); +#10648 = CARTESIAN_POINT( '', ( -0.313000000000000, -2.66000000000000, 0.950000000000000 ) ); +#10649 = DIRECTION( '', ( -0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10650 = DIRECTION( '', ( -0.499923162130354, -0.866069761604450, 0.000000000000000 ) ); +#10651 = CARTESIAN_POINT( '', ( -1.82500000000000, -1.40000000000000, -0.950000000000000 ) ); +#10652 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10653 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10654 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, -1.15000000000000 ) ); +#10655 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10656 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10657 = CARTESIAN_POINT( '', ( -2.32500000000000, -0.900000000000000, -0.950000000000000 ) ); +#10658 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10659 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10660 = CARTESIAN_POINT( '', ( -0.939000000000000, 2.20000000000000, 1.41000000000000 ) ); +#10661 = DIRECTION( '', ( 4.93038065763133E-032, 1.00000000000000, -9.42784497813482E-016 ) ); +#10662 = DIRECTION( '', ( -0.939652154387558, 3.22556089046586E-016, 0.342131303383395 ) ); +#10663 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10664 = CARTESIAN_POINT( '', ( -0.480418604651161, 1.40000000000000, -1.41000000000000 ) ); +#10665 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, 8.32667268468868E-017 ) ); +#10666 = DIRECTION( '', ( -0.719787658258956, -0.261969229110527, -0.642866899145791 ) ); +#10667 = CARTESIAN_POINT( '', ( -0.939000000000000, 0.940000000000000, 0.950000000000000 ) ); +#10668 = DIRECTION( '', ( -1.23259516440783E-032, -2.35696124453370E-016, 1.00000000000000 ) ); +#10669 = DIRECTION( '', ( -0.939652154387558, -0.342131303383395, -8.06390222616464E-017 ) ); +#10670 = CARTESIAN_POINT( '', ( -0.313000000000000, 1.40000000000000, 1.41000000000000 ) ); +#10671 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10672 = DIRECTION( '', ( -0.499923162130354, 0.000000000000000, 0.866069761604449 ) ); +#10673 = CARTESIAN_POINT( '', ( -0.313000000000000, 0.940000000000000, -0.950000000000000 ) ); +#10674 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10675 = DIRECTION( '', ( -0.499923162130354, -0.866069761604449, 0.000000000000000 ) ); +#10676 = CARTESIAN_POINT( '', ( -0.313000000000000, 0.940000000000000, 0.950000000000000 ) ); +#10677 = DIRECTION( '', ( 1.23259516440783E-032, -2.35696124453370E-016, 1.00000000000000 ) ); +#10678 = DIRECTION( '', ( -0.499923162130354, -0.866069761604449, -2.04129286316423E-016 ) ); +#10679 = CARTESIAN_POINT( '', ( 1.39758139534884, -1.40000000000000, -1.41000000000000 ) ); +#10680 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, -1.70696790036118E-015 ) ); +#10681 = DIRECTION( '', ( 0.883031726341352, -0.321382477145167, -0.342006247981887 ) ); +#10682 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10683 = CARTESIAN_POINT( '', ( 1.82500000000000, 1.40000000000000, -0.950000000000000 ) ); +#10684 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10685 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10686 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10687 = CARTESIAN_POINT( '', ( 0.939000000000000, -2.66000000000000, 0.950000000000000 ) ); +#10688 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10689 = DIRECTION( '', ( 0.766063452682704, -0.642764954290334, 0.000000000000000 ) ); +#10690 = CARTESIAN_POINT( '', ( 1.82500000000000, -1.40000000000000, 0.950000000000000 ) ); +#10691 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10692 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10693 = CARTESIAN_POINT( '', ( -1.82500000000000, -1.40000000000000, 0.950000000000000 ) ); +#10694 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10695 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10696 = CARTESIAN_POINT( '', ( -2.01832061644230, -2.18554546370728, -1.41000000000000 ) ); +#10697 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, 0.000000000000000 ) ); +#10698 = DIRECTION( '', ( -0.719948424611285, 0.262027740585270, 0.642662998050694 ) ); +#10699 = CARTESIAN_POINT( '', ( -2.40766979483681, -2.59262418958920, -1.41000000000000 ) ); +#10700 = DIRECTION( '', ( 0.923547284920601, 0.383484566202847, -2.77555756156289E-017 ) ); +#10701 = DIRECTION( '', ( -0.293806312090463, 0.707574817183522, 0.642662998050694 ) ); +#10702 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10703 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10704 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10705 = CARTESIAN_POINT( '', ( -3.74999999998363, -3.15000000003941, -1.41000000000000 ) ); +#10706 = DIRECTION( '', ( 0.923547284920601, 0.383484566202847, -2.77555756156289E-017 ) ); +#10707 = DIRECTION( '', ( -0.293806312090463, 0.707574817183522, 0.642662998050694 ) ); +#10708 = CARTESIAN_POINT( '', ( -0.939000000000000, 1.40000000000000, 1.41000000000000 ) ); +#10709 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10710 = DIRECTION( '', ( -0.939652154387558, 0.000000000000000, 0.342131303383395 ) ); +#10711 = CARTESIAN_POINT( '', ( -0.939000000000000, -0.940000000000000, -0.950000000000000 ) ); +#10712 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10713 = DIRECTION( '', ( -0.939652154387558, 0.342131303383395, 0.000000000000000 ) ); +#10714 = CARTESIAN_POINT( '', ( 0.313000000000004, 0.940000000000000, 0.950000000000000 ) ); +#10715 = DIRECTION( '', ( -6.16297582203916E-033, -2.35696124453370E-016, 1.00000000000000 ) ); +#10716 = DIRECTION( '', ( 0.173706427072290, -0.984797480294187, -2.32112949476784E-016 ) ); +#10717 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10718 = CARTESIAN_POINT( '', ( 3.12500000000000, -0.900000000000000, 0.950000000000000 ) ); +#10719 = DIRECTION( '', ( 5.42101086242752E-016, 1.00000000000000, 0.000000000000000 ) ); +#10720 = DIRECTION( '', ( -1.00000000000000, 5.42101086242752E-016, 0.000000000000000 ) ); +#10721 = DIRECTION( '', ( -4.81867632215779E-016, -1.00000000000000, 0.000000000000000 ) ); +#10722 = CARTESIAN_POINT( '', ( 3.12500000000000, 0.900000000000000, 0.950000000000000 ) ); +#10723 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10724 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10725 = CARTESIAN_POINT( '', ( -0.939000000000000, -0.940000000000000, 0.950000000000000 ) ); +#10726 = DIRECTION( '', ( 1.23259516440783E-032, -2.35696124453370E-016, 1.00000000000000 ) ); +#10727 = DIRECTION( '', ( -0.939652154387558, 0.342131303383395, 8.06390222616465E-017 ) ); +#10728 = CARTESIAN_POINT( '', ( -0.939000000000000, -1.40000000000000, 1.41000000000000 ) ); +#10729 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#10730 = DIRECTION( '', ( -0.939652154387558, 0.000000000000000, 0.342131303383395 ) ); +#10731 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10732 = DIRECTION( '', ( 1.00000000000000, -1.18816676436767E-016, 0.000000000000000 ) ); +#10733 = CARTESIAN_POINT( '', ( 1.82500000000000, -0.900000000000000, -1.15000000000000 ) ); +#10734 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10735 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10736 = DIRECTION( '', ( -1.00000000000000, -1.18816676436767E-016, 0.000000000000000 ) ); +#10737 = CARTESIAN_POINT( '', ( -1.82500000000000, -0.900000000000000, -1.15000000000000 ) ); +#10738 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10739 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10740 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10741 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, -1.15000000000000 ) ); +#10742 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10743 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10744 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10745 = CARTESIAN_POINT( '', ( -2.32500000000000, -0.900000000000000, 0.950000000000000 ) ); +#10746 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10747 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10748 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10749 = CARTESIAN_POINT( '', ( -2.32500000000000, 0.900000000000000, 0.950000000000000 ) ); +#10750 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10751 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10752 = CARTESIAN_POINT( '', ( -1.56500000000000, 0.940000000000000, 0.950000000000000 ) ); +#10753 = DIRECTION( '', ( 1.23259516440783E-032, -2.35696124453370E-016, 1.00000000000000 ) ); +#10754 = DIRECTION( '', ( -0.939743185291394, 0.341881186523015, 8.05800706869946E-017 ) ); +#10755 = CARTESIAN_POINT( '', ( -1.56500000000000, 2.66000000000000, 0.950000000000000 ) ); +#10756 = DIRECTION( '', ( -0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10757 = DIRECTION( '', ( -0.939743185291394, -0.341881186523015, 0.000000000000000 ) ); +#10758 = CARTESIAN_POINT( '', ( -1.56500000000000, 2.66000000000000, -0.950000000000000 ) ); +#10759 = DIRECTION( '', ( 8.57824266905873E-017, -2.35696124453370E-016, -1.00000000000000 ) ); +#10760 = DIRECTION( '', ( -0.939743185291394, -0.341881186523015, -3.33802132433938E-020 ) ); +#10761 = CARTESIAN_POINT( '', ( 0.313000000000005, -2.66000000000000, 0.950000000000000 ) ); +#10762 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10763 = DIRECTION( '', ( 0.173706427072290, -0.984797480294187, 0.000000000000000 ) ); +#10764 = CARTESIAN_POINT( '', ( 0.313000000000005, -2.66000000000000, -0.950000000000000 ) ); +#10765 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10766 = DIRECTION( '', ( 0.173706427072290, -0.984797480294187, 0.000000000000000 ) ); +#10767 = CARTESIAN_POINT( '', ( 0.313000000000005, -0.940000000000000, -0.950000000000000 ) ); +#10768 = DIRECTION( '', ( 0.000000000000000, -0.000000000000000, 1.00000000000000 ) ); +#10769 = DIRECTION( '', ( 0.173706427072290, 0.984797480294187, 0.000000000000000 ) ); +#10770 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.20000000000000, -0.950000000000000 ) ); +#10771 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10772 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10773 = CARTESIAN_POINT( '', ( -1.82500000000000, -2.20000000000000, -0.950000000000000 ) ); +#10774 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10775 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10776 = DIRECTION( '', ( -1.00000000000000, -1.18816676436767E-016, 0.000000000000000 ) ); +#10777 = CARTESIAN_POINT( '', ( -0.939000000000000, -2.20000000000000, 1.41000000000000 ) ); +#10778 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, -2.82835349344045E-015 ) ); +#10779 = DIRECTION( '', ( -0.939652154387558, -9.67668267139758E-016, 0.342131303383395 ) ); +#10780 = CARTESIAN_POINT( '', ( 1.82500000000000, 0.900000000000000, -0.950000000000000 ) ); +#10781 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10782 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10783 = CARTESIAN_POINT( '', ( -1.82500000000000, -2.20000000000000, 0.950000000000000 ) ); +#10784 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10785 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10786 = CARTESIAN_POINT( '', ( -1.56500000000000, -2.66000000000000, 0.950000000000000 ) ); +#10787 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10788 = DIRECTION( '', ( -0.939743185291394, 0.341881186523015, 0.000000000000000 ) ); +#10789 = CARTESIAN_POINT( '', ( 1.82500000000000, -2.20000000000000, 0.950000000000000 ) ); +#10790 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10791 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10792 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10793 = CARTESIAN_POINT( '', ( -3.12500000000000, -0.900000000000000, -0.950000000000000 ) ); +#10794 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#10795 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#10796 = CARTESIAN_POINT( '', ( -1.39758139534884, 2.20000000000000, -1.41000000000000 ) ); +#10797 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, 0.000000000000000 ) ); +#10798 = DIRECTION( '', ( -0.939697669839476, -0.342006244953205, -0.000133083685419366 ) ); +#10799 = CARTESIAN_POINT( '', ( -0.313000000000000, 2.66000000000000, -0.950000000000000 ) ); +#10800 = DIRECTION( '', ( 8.57824266905874E-017, -2.35696124453370E-016, -1.00000000000000 ) ); +#10801 = DIRECTION( '', ( -0.499923162130354, 0.866069761604449, -2.47013908322797E-016 ) ); +#10802 = CARTESIAN_POINT( '', ( -0.145581395348835, 2.20000000000000, -1.41000000000000 ) ); +#10803 = DIRECTION( '', ( 0.342006247981887, -0.939697678161095, 0.000000000000000 ) ); +#10804 = DIRECTION( '', ( -0.163108367529032, -0.0593638593448687, -0.984820589064677 ) ); +#10805 = CARTESIAN_POINT( '', ( 1.82500000000000, 2.20000000000000, 0.950000000000000 ) ); +#10806 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#10807 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#10808 = DIRECTION( '', ( -1.00000000000000, -1.18816676436767E-016, 0.000000000000000 ) ); +#10809 = CARTESIAN_POINT( '', ( -0.313000000000000, -1.40000000000000, 1.41000000000000 ) ); +#10810 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#10811 = DIRECTION( '', ( -0.499923162130354, 0.000000000000000, 0.866069761604450 ) ); +#10812 = CARTESIAN_POINT( '', ( -0.312999999999997, -0.940000000000000, 0.950000000000000 ) ); +#10813 = DIRECTION( '', ( -2.46519032881566E-032, -2.35696124453370E-016, 1.00000000000000 ) ); +#10814 = DIRECTION( '', ( -0.499923162130354, 0.866069761604450, 2.04129286316423E-016 ) ); +#10815 = CARTESIAN_POINT( '', ( 0.939000000000000, -2.20000000000000, 1.41000000000000 ) ); +#10816 = DIRECTION( '', ( 1.97215226305253E-031, -1.00000000000000, -2.82835349344044E-015 ) ); +#10817 = DIRECTION( '', ( 0.766063452682704, -1.81796650392815E-015, 0.642764954290334 ) ); +#10818 = CARTESIAN_POINT( '', ( 2.02500000000000, 2.66000000000000, -1.41000000000000 ) ); +#10819 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 2.68693581876841E-014 ) ); +#10820 = DIRECTION( '', ( -2.68693581876841E-014, 0.000000000000000, 1.00000000000000 ) ); +#10821 = CARTESIAN_POINT( '', ( 0.480418604651168, -2.20000000000000, -1.41000000000000 ) ); +#10822 = DIRECTION( '', ( 0.342006247981887, 0.939697678161095, 0.000000000000000 ) ); +#10823 = DIRECTION( '', ( 0.469884939877497, -0.171016263001926, -0.866003222318010 ) ); +#10824 = VERTEX_POINT( '', #11240 ); +#10825 = VERTEX_POINT( '', #11241 ); +#10826 = LINE( '', #11242, #11243 ); +#10827 = VERTEX_POINT( '', #11244 ); +#10828 = CIRCLE( '', #11245, 0.200000000000000 ); +#10829 = VERTEX_POINT( '', #11246 ); +#10830 = LINE( '', #11247, #11248 ); +#10831 = VERTEX_POINT( '', #11249 ); +#10832 = CIRCLE( '', #11250, 0.200000000000000 ); +#10833 = VERTEX_POINT( '', #11251 ); +#10834 = LINE( '', #11252, #11253 ); +#10835 = VERTEX_POINT( '', #11254 ); +#10836 = CIRCLE( '', #11255, 0.200000000000000 ); +#10837 = VERTEX_POINT( '', #11256 ); +#10838 = LINE( '', #11257, #11258 ); +#10839 = VERTEX_POINT( '', #11259 ); +#10840 = LINE( '', #11260, #11261 ); +#10841 = VERTEX_POINT( '', #11262 ); +#10842 = LINE( '', #11263, #11264 ); +#10843 = VERTEX_POINT( '', #11265 ); +#10844 = LINE( '', #11266, #11267 ); +#10845 = VERTEX_POINT( '', #11268 ); +#10846 = LINE( '', #11269, #11270 ); +#10847 = VERTEX_POINT( '', #11271 ); +#10848 = CIRCLE( '', #11272, 0.200000000000000 ); +#10849 = VERTEX_POINT( '', #11273 ); +#10850 = LINE( '', #11274, #11275 ); +#10851 = VERTEX_POINT( '', #11276 ); +#10852 = CIRCLE( '', #11277, 0.200000000000000 ); +#10853 = VERTEX_POINT( '', #11278 ); +#10854 = LINE( '', #11279, #11280 ); +#10855 = VERTEX_POINT( '', #11281 ); +#10856 = CIRCLE( '', #11282, 0.200000000000000 ); +#10857 = VERTEX_POINT( '', #11283 ); +#10858 = LINE( '', #11284, #11285 ); +#10859 = VERTEX_POINT( '', #11286 ); +#10860 = CIRCLE( '', #11287, 0.200000000000000 ); +#10861 = VERTEX_POINT( '', #11288 ); +#10862 = LINE( '', #11289, #11290 ); +#10863 = VERTEX_POINT( '', #11291 ); +#10864 = LINE( '', #11292, #11293 ); +#10865 = VERTEX_POINT( '', #11294 ); +#10866 = LINE( '', #11295, #11296 ); +#10867 = VERTEX_POINT( '', #11297 ); +#10868 = LINE( '', #11298, #11299 ); +#10869 = VERTEX_POINT( '', #11300 ); +#10870 = LINE( '', #11301, #11302 ); +#10871 = CIRCLE( '', #11303, 0.200000000000000 ); +#10872 = VERTEX_POINT( '', #11304 ); +#10873 = VERTEX_POINT( '', #11305 ); +#10874 = CIRCLE( '', #11306, 0.100000000000000 ); +#10875 = VERTEX_POINT( '', #11307 ); +#10876 = LINE( '', #11308, #11309 ); +#10877 = VERTEX_POINT( '', #11310 ); +#10878 = CIRCLE( '', #11311, 0.100000000000000 ); +#10879 = LINE( '', #11312, #11313 ); +#10880 = VERTEX_POINT( '', #11314 ); +#10881 = VERTEX_POINT( '', #11315 ); +#10882 = LINE( '', #11316, #11317 ); +#10883 = VERTEX_POINT( '', #11318 ); +#10884 = LINE( '', #11319, #11320 ); +#10885 = VERTEX_POINT( '', #11321 ); +#10886 = LINE( '', #11322, #11323 ); +#10887 = VERTEX_POINT( '', #11324 ); +#10888 = LINE( '', #11325, #11326 ); +#10889 = VERTEX_POINT( '', #11327 ); +#10890 = LINE( '', #11328, #11329 ); +#10891 = LINE( '', #11330, #11331 ); +#10892 = VERTEX_POINT( '', #11332 ); +#10893 = LINE( '', #11333, #11334 ); +#10894 = VERTEX_POINT( '', #11335 ); +#10895 = LINE( '', #11336, #11337 ); +#10896 = LINE( '', #11338, #11339 ); +#10897 = LINE( '', #11340, #11341 ); +#10898 = VERTEX_POINT( '', #11342 ); +#10899 = LINE( '', #11343, #11344 ); +#10900 = LINE( '', #11345, #11346 ); +#10901 = VERTEX_POINT( '', #11347 ); +#10902 = CIRCLE( '', #11348, 0.100000000000000 ); +#10903 = CIRCLE( '', #11349, 0.100000000000000 ); +#10904 = VERTEX_POINT( '', #11350 ); +#10905 = LINE( '', #11351, #11352 ); +#10906 = VERTEX_POINT( '', #11353 ); +#10907 = LINE( '', #11354, #11355 ); +#10908 = LINE( '', #11356, #11357 ); +#10909 = VERTEX_POINT( '', #11358 ); +#10910 = VERTEX_POINT( '', #11359 ); +#10911 = LINE( '', #11360, #11361 ); +#10912 = VERTEX_POINT( '', #11362 ); +#10913 = CIRCLE( '', #11363, 0.100000000000000 ); +#10914 = VERTEX_POINT( '', #11364 ); +#10915 = LINE( '', #11365, #11366 ); +#10916 = CIRCLE( '', #11367, 0.100000000000000 ); +#10917 = VERTEX_POINT( '', #11368 ); +#10918 = LINE( '', #11369, #11370 ); +#10919 = LINE( '', #11371, #11372 ); +#10920 = VERTEX_POINT( '', #11373 ); +#10921 = CIRCLE( '', #11374, 0.100000000000000 ); +#10922 = VERTEX_POINT( '', #11375 ); +#10923 = LINE( '', #11376, #11377 ); +#10924 = CIRCLE( '', #11378, 0.100000000000000 ); +#10925 = VERTEX_POINT( '', #11379 ); +#10926 = CIRCLE( '', #11380, 0.600000000000000 ); +#10927 = VERTEX_POINT( '', #11381 ); +#10928 = CIRCLE( '', #11382, 0.600000000000000 ); +#10929 = VERTEX_POINT( '', #11383 ); +#10930 = VERTEX_POINT( '', #11384 ); +#10931 = LINE( '', #11385, #11386 ); +#10932 = VERTEX_POINT( '', #11387 ); +#10933 = CIRCLE( '', #11388, 0.100000000000000 ); +#10934 = VERTEX_POINT( '', #11389 ); +#10935 = LINE( '', #11390, #11391 ); +#10936 = VERTEX_POINT( '', #11392 ); +#10937 = CIRCLE( '', #11393, 0.100000000000000 ); +#10938 = VERTEX_POINT( '', #11394 ); +#10939 = LINE( '', #11395, #11396 ); +#10940 = CIRCLE( '', #11397, 0.100000000000000 ); +#10941 = VERTEX_POINT( '', #11398 ); +#10942 = LINE( '', #11399, #11400 ); +#10943 = VERTEX_POINT( '', #11401 ); +#10944 = CIRCLE( '', #11402, 0.100000000000000 ); +#10945 = VERTEX_POINT( '', #11403 ); +#10946 = LINE( '', #11404, #11405 ); +#10947 = CIRCLE( '', #11406, 0.100000000000000 ); +#10948 = VERTEX_POINT( '', #11407 ); +#10949 = LINE( '', #11408, #11409 ); +#10950 = CIRCLE( '', #11410, 0.100000000000000 ); +#10951 = VERTEX_POINT( '', #11411 ); +#10952 = CIRCLE( '', #11412, 0.100000000000000 ); +#10953 = VERTEX_POINT( '', #11413 ); +#10954 = CIRCLE( '', #11414, 0.100000000000000 ); +#10955 = LINE( '', #11415, #11416 ); +#10956 = VERTEX_POINT( '', #11417 ); +#10957 = CIRCLE( '', #11418, 0.100000000000000 ); +#10958 = CIRCLE( '', #11419, 0.100000000000000 ); +#10959 = LINE( '', #11420, #11421 ); +#10960 = LINE( '', #11422, #11423 ); +#10961 = VERTEX_POINT( '', #11424 ); +#10962 = VERTEX_POINT( '', #11425 ); +#10963 = LINE( '', #11426, #11427 ); +#10964 = VERTEX_POINT( '', #11428 ); +#10965 = LINE( '', #11429, #11430 ); +#10966 = LINE( '', #11431, #11432 ); +#10967 = LINE( '', #11433, #11434 ); +#10968 = VERTEX_POINT( '', #11435 ); +#10969 = LINE( '', #11436, #11437 ); +#10970 = CIRCLE( '', #11438, 0.200000000000000 ); +#10971 = VERTEX_POINT( '', #11439 ); +#10972 = VERTEX_POINT( '', #11440 ); +#10973 = LINE( '', #11441, #11442 ); +#10974 = CIRCLE( '', #11443, 0.200000000000000 ); +#10975 = VERTEX_POINT( '', #11444 ); +#10976 = LINE( '', #11445, #11446 ); +#10977 = VERTEX_POINT( '', #11447 ); +#10978 = CIRCLE( '', #11448, 0.200000000000000 ); +#10979 = VERTEX_POINT( '', #11449 ); +#10980 = LINE( '', #11450, #11451 ); +#10981 = CIRCLE( '', #11452, 0.200000000000000 ); +#10982 = VERTEX_POINT( '', #11453 ); +#10983 = VERTEX_POINT( '', #11454 ); +#10984 = LINE( '', #11455, #11456 ); +#10985 = VERTEX_POINT( '', #11457 ); +#10986 = LINE( '', #11458, #11459 ); +#10987 = VERTEX_POINT( '', #11460 ); +#10988 = LINE( '', #11461, #11462 ); +#10989 = LINE( '', #11463, #11464 ); +#10990 = VERTEX_POINT( '', #11465 ); +#10991 = CIRCLE( '', #11466, 0.100000000000000 ); +#10992 = VERTEX_POINT( '', #11467 ); +#10993 = LINE( '', #11468, #11469 ); +#10994 = CIRCLE( '', #11470, 0.100000000000000 ); +#10995 = VERTEX_POINT( '', #11471 ); +#10996 = CIRCLE( '', #11472, 0.100000000000000 ); +#10997 = CIRCLE( '', #11473, 0.100000000000000 ); +#10998 = VERTEX_POINT( '', #11474 ); +#10999 = LINE( '', #11475, #11476 ); +#11000 = CIRCLE( '', #11477, 0.100000000000000 ); +#11001 = VERTEX_POINT( '', #11478 ); +#11002 = CIRCLE( '', #11479, 0.100000000000000 ); +#11003 = CIRCLE( '', #11480, 0.100000000000000 ); +#11004 = VERTEX_POINT( '', #11481 ); +#11005 = LINE( '', #11482, #11483 ); +#11006 = CIRCLE( '', #11484, 0.100000000000000 ); +#11007 = VERTEX_POINT( '', #11485 ); +#11008 = CIRCLE( '', #11486, 0.600000000000000 ); +#11009 = VERTEX_POINT( '', #11487 ); +#11010 = VERTEX_POINT( '', #11488 ); +#11011 = LINE( '', #11489, #11490 ); +#11012 = VERTEX_POINT( '', #11491 ); +#11013 = CIRCLE( '', #11492, 0.100000000000000 ); +#11014 = LINE( '', #11493, #11494 ); +#11015 = VERTEX_POINT( '', #11495 ); +#11016 = LINE( '', #11496, #11497 ); +#11017 = LINE( '', #11498, #11499 ); +#11018 = VERTEX_POINT( '', #11500 ); +#11019 = CIRCLE( '', #11501, 0.100000000000000 ); +#11020 = VERTEX_POINT( '', #11502 ); +#11021 = LINE( '', #11503, #11504 ); +#11022 = CIRCLE( '', #11505, 0.100000000000000 ); +#11023 = VERTEX_POINT( '', #11506 ); +#11024 = VERTEX_POINT( '', #11507 ); +#11025 = LINE( '', #11508, #11509 ); +#11026 = VERTEX_POINT( '', #11510 ); +#11027 = LINE( '', #11511, #11512 ); +#11028 = LINE( '', #11513, #11514 ); +#11029 = VERTEX_POINT( '', #11515 ); +#11030 = LINE( '', #11516, #11517 ); +#11031 = VERTEX_POINT( '', #11518 ); +#11032 = LINE( '', #11519, #11520 ); +#11033 = LINE( '', #11521, #11522 ); +#11034 = LINE( '', #11523, #11524 ); +#11035 = LINE( '', #11525, #11526 ); +#11036 = VERTEX_POINT( '', #11527 ); +#11037 = CIRCLE( '', #11528, 0.200000000000000 ); +#11038 = LINE( '', #11529, #11530 ); +#11039 = VERTEX_POINT( '', #11531 ); +#11040 = LINE( '', #11532, #11533 ); +#11041 = LINE( '', #11534, #11535 ); +#11042 = VERTEX_POINT( '', #11536 ); +#11043 = CIRCLE( '', #11537, 0.200000000000000 ); +#11044 = LINE( '', #11538, #11539 ); +#11045 = VERTEX_POINT( '', #11540 ); +#11046 = LINE( '', #11541, #11542 ); +#11047 = LINE( '', #11543, #11544 ); +#11048 = VERTEX_POINT( '', #11545 ); +#11049 = CIRCLE( '', #11546, 0.200000000000000 ); +#11050 = VERTEX_POINT( '', #11547 ); +#11051 = LINE( '', #11548, #11549 ); +#11052 = CIRCLE( '', #11550, 0.200000000000000 ); +#11053 = LINE( '', #11551, #11552 ); +#11054 = LINE( '', #11553, #11554 ); +#11055 = VERTEX_POINT( '', #11555 ); +#11056 = VERTEX_POINT( '', #11556 ); +#11057 = LINE( '', #11557, #11558 ); +#11058 = VERTEX_POINT( '', #11559 ); +#11059 = CIRCLE( '', #11560, 0.100000000000000 ); +#11060 = VERTEX_POINT( '', #11561 ); +#11061 = LINE( '', #11562, #11563 ); +#11062 = VERTEX_POINT( '', #11564 ); +#11063 = CIRCLE( '', #11565, 0.100000000000000 ); +#11064 = VERTEX_POINT( '', #11566 ); +#11065 = LINE( '', #11567, #11568 ); +#11066 = VERTEX_POINT( '', #11569 ); +#11067 = CIRCLE( '', #11570, 0.100000000000000 ); +#11068 = VERTEX_POINT( '', #11571 ); +#11069 = LINE( '', #11572, #11573 ); +#11070 = LINE( '', #11574, #11575 ); +#11071 = VERTEX_POINT( '', #11576 ); +#11072 = CIRCLE( '', #11577, 0.100000000000000 ); +#11073 = VERTEX_POINT( '', #11578 ); +#11074 = LINE( '', #11579, #11580 ); +#11075 = CIRCLE( '', #11581, 0.100000000000000 ); +#11076 = VERTEX_POINT( '', #11582 ); +#11077 = CIRCLE( '', #11583, 0.600000000000000 ); +#11078 = VERTEX_POINT( '', #11584 ); +#11079 = LINE( '', #11585, #11586 ); +#11080 = LINE( '', #11587, #11588 ); +#11081 = VERTEX_POINT( '', #11589 ); +#11082 = LINE( '', #11590, #11591 ); +#11083 = VERTEX_POINT( '', #11592 ); +#11084 = LINE( '', #11593, #11594 ); +#11085 = LINE( '', #11595, #11596 ); +#11086 = LINE( '', #11597, #11598 ); +#11087 = VERTEX_POINT( '', #11599 ); +#11088 = VERTEX_POINT( '', #11600 ); +#11089 = LINE( '', #11601, #11602 ); +#11090 = VERTEX_POINT( '', #11603 ); +#11091 = LINE( '', #11604, #11605 ); +#11092 = VERTEX_POINT( '', #11606 ); +#11093 = LINE( '', #11607, #11608 ); +#11094 = LINE( '', #11609, #11610 ); +#11095 = VERTEX_POINT( '', #11611 ); +#11096 = LINE( '', #11612, #11613 ); +#11097 = VERTEX_POINT( '', #11614 ); +#11098 = LINE( '', #11615, #11616 ); +#11099 = VERTEX_POINT( '', #11617 ); +#11100 = LINE( '', #11618, #11619 ); +#11101 = LINE( '', #11620, #11621 ); +#11102 = VERTEX_POINT( '', #11622 ); +#11103 = LINE( '', #11623, #11624 ); +#11104 = LINE( '', #11625, #11626 ); +#11105 = VERTEX_POINT( '', #11627 ); +#11106 = LINE( '', #11628, #11629 ); +#11107 = VERTEX_POINT( '', #11630 ); +#11108 = LINE( '', #11631, #11632 ); +#11109 = LINE( '', #11633, #11634 ); +#11110 = VERTEX_POINT( '', #11635 ); +#11111 = LINE( '', #11636, #11637 ); +#11112 = VERTEX_POINT( '', #11638 ); +#11113 = LINE( '', #11639, #11640 ); +#11114 = LINE( '', #11641, #11642 ); +#11115 = VERTEX_POINT( '', #11643 ); +#11116 = LINE( '', #11644, #11645 ); +#11117 = VERTEX_POINT( '', #11646 ); +#11118 = LINE( '', #11647, #11648 ); +#11119 = LINE( '', #11649, #11650 ); +#11120 = VERTEX_POINT( '', #11651 ); +#11121 = LINE( '', #11652, #11653 ); +#11122 = LINE( '', #11654, #11655 ); +#11123 = VERTEX_POINT( '', #11656 ); +#11124 = LINE( '', #11657, #11658 ); +#11125 = VERTEX_POINT( '', #11659 ); +#11126 = LINE( '', #11660, #11661 ); +#11127 = VERTEX_POINT( '', #11662 ); +#11128 = LINE( '', #11663, #11664 ); +#11129 = LINE( '', #11665, #11666 ); +#11130 = VERTEX_POINT( '', #11667 ); +#11131 = LINE( '', #11668, #11669 ); +#11132 = LINE( '', #11670, #11671 ); +#11133 = LINE( '', #11672, #11673 ); +#11134 = LINE( '', #11674, #11675 ); +#11135 = LINE( '', #11676, #11677 ); +#11136 = LINE( '', #11678, #11679 ); +#11137 = LINE( '', #11680, #11681 ); +#11138 = VERTEX_POINT( '', #11682 ); +#11139 = CIRCLE( '', #11683, 0.600000000000000 ); +#11140 = VERTEX_POINT( '', #11684 ); +#11141 = CIRCLE( '', #11685, 0.600000000000000 ); +#11142 = VERTEX_POINT( '', #11686 ); +#11143 = CIRCLE( '', #11687, 0.600000000000000 ); +#11144 = VERTEX_POINT( '', #11688 ); +#11145 = CIRCLE( '', #11689, 0.600000000000000 ); +#11146 = VERTEX_POINT( '', #11690 ); +#11147 = VERTEX_POINT( '', #11691 ); +#11148 = LINE( '', #11692, #11693 ); +#11149 = VERTEX_POINT( '', #11694 ); +#11150 = LINE( '', #11695, #11696 ); +#11151 = VERTEX_POINT( '', #11697 ); +#11152 = LINE( '', #11698, #11699 ); +#11153 = LINE( '', #11700, #11701 ); +#11154 = VERTEX_POINT( '', #11702 ); +#11155 = LINE( '', #11703, #11704 ); +#11156 = VERTEX_POINT( '', #11705 ); +#11157 = LINE( '', #11706, #11707 ); +#11158 = LINE( '', #11708, #11709 ); +#11159 = VERTEX_POINT( '', #11710 ); +#11160 = VERTEX_POINT( '', #11711 ); +#11161 = LINE( '', #11712, #11713 ); +#11162 = LINE( '', #11714, #11715 ); +#11163 = LINE( '', #11716, #11717 ); +#11164 = VERTEX_POINT( '', #11718 ); +#11165 = LINE( '', #11719, #11720 ); +#11166 = VERTEX_POINT( '', #11721 ); +#11167 = LINE( '', #11722, #11723 ); +#11168 = LINE( '', #11724, #11725 ); +#11169 = VERTEX_POINT( '', #11726 ); +#11170 = LINE( '', #11727, #11728 ); +#11171 = VERTEX_POINT( '', #11729 ); +#11172 = LINE( '', #11730, #11731 ); +#11173 = LINE( '', #11732, #11733 ); +#11174 = VERTEX_POINT( '', #11734 ); +#11175 = LINE( '', #11735, #11736 ); +#11176 = VERTEX_POINT( '', #11737 ); +#11177 = LINE( '', #11738, #11739 ); +#11178 = VERTEX_POINT( '', #11740 ); +#11179 = LINE( '', #11741, #11742 ); +#11180 = LINE( '', #11743, #11744 ); +#11181 = VERTEX_POINT( '', #11745 ); +#11182 = VERTEX_POINT( '', #11746 ); +#11183 = LINE( '', #11747, #11748 ); +#11184 = LINE( '', #11749, #11750 ); +#11185 = LINE( '', #11751, #11752 ); +#11186 = VERTEX_POINT( '', #11753 ); +#11187 = LINE( '', #11754, #11755 ); +#11188 = VERTEX_POINT( '', #11756 ); +#11189 = LINE( '', #11757, #11758 ); +#11190 = LINE( '', #11759, #11760 ); +#11191 = VERTEX_POINT( '', #11761 ); +#11192 = LINE( '', #11762, #11763 ); +#11193 = LINE( '', #11764, #11765 ); +#11194 = CIRCLE( '', #11766, 0.100000000000000 ); +#11195 = CIRCLE( '', #11767, 0.100000000000000 ); +#11196 = CIRCLE( '', #11768, 0.100000000000000 ); +#11197 = CIRCLE( '', #11769, 0.100000000000000 ); +#11198 = CIRCLE( '', #11770, 0.100000000000000 ); +#11199 = CIRCLE( '', #11771, 0.100000000000000 ); +#11200 = CIRCLE( '', #11772, 0.100000000000000 ); +#11201 = CIRCLE( '', #11773, 0.100000000000000 ); +#11202 = CIRCLE( '', #11774, 0.100000000000000 ); +#11203 = CIRCLE( '', #11775, 0.100000000000000 ); +#11204 = CIRCLE( '', #11776, 0.100000000000000 ); +#11205 = CIRCLE( '', #11777, 0.100000000000000 ); +#11206 = CIRCLE( '', #11778, 0.100000000000000 ); +#11207 = CIRCLE( '', #11779, 0.100000000000000 ); +#11208 = CIRCLE( '', #11780, 0.100000000000000 ); +#11209 = CIRCLE( '', #11781, 0.100000000000000 ); +#11210 = CIRCLE( '', #11782, 0.100000000000000 ); +#11211 = CIRCLE( '', #11783, 0.100000000000000 ); +#11212 = CIRCLE( '', #11784, 0.100000000000000 ); +#11213 = CIRCLE( '', #11785, 0.100000000000000 ); +#11214 = CIRCLE( '', #11786, 0.100000000000000 ); +#11215 = CIRCLE( '', #11787, 0.100000000000000 ); +#11216 = CIRCLE( '', #11788, 0.100000000000000 ); +#11217 = CIRCLE( '', #11789, 0.100000000000000 ); +#11218 = CIRCLE( '', #11790, 0.100000000000000 ); +#11219 = CIRCLE( '', #11791, 0.100000000000000 ); +#11220 = CIRCLE( '', #11792, 0.100000000000000 ); +#11221 = CIRCLE( '', #11793, 0.100000000000000 ); +#11222 = CIRCLE( '', #11794, 0.100000000000000 ); +#11223 = CIRCLE( '', #11795, 0.100000000000000 ); +#11224 = CIRCLE( '', #11796, 0.100000000000000 ); +#11225 = CIRCLE( '', #11797, 0.100000000000000 ); +#11226 = CIRCLE( '', #11798, 0.100000000000000 ); +#11227 = CIRCLE( '', #11799, 0.100000000000000 ); +#11228 = CIRCLE( '', #11800, 0.100000000000000 ); +#11229 = CIRCLE( '', #11801, 0.100000000000000 ); +#11230 = CIRCLE( '', #11802, 0.100000000000000 ); +#11231 = CIRCLE( '', #11803, 0.100000000000000 ); +#11232 = CIRCLE( '', #11804, 0.100000000000000 ); +#11233 = CIRCLE( '', #11805, 0.100000000000000 ); +#11234 = CIRCLE( '', #11806, 0.100000000000000 ); +#11235 = CIRCLE( '', #11807, 0.100000000000000 ); +#11236 = CIRCLE( '', #11808, 0.100000000000000 ); +#11237 = CIRCLE( '', #11809, 0.100000000000000 ); +#11238 = CIRCLE( '', #11810, 0.100000000000000 ); +#11239 = CIRCLE( '', #11811, 0.100000000000000 ); +#11240 = CARTESIAN_POINT( '', ( -2.20000000000000, 0.650000000000000, 2.30000000000000 ) ); +#11241 = CARTESIAN_POINT( '', ( -2.20000000000000, 3.25000000000000, 2.30000000000000 ) ); +#11242 = CARTESIAN_POINT( '', ( -2.20000000000000, 0.000000000000000, 2.30000000000000 ) ); +#11243 = VECTOR( '', #11812, 1000.00000000000 ); +#11244 = CARTESIAN_POINT( '', ( -2.00000000000000, 0.450000000000000, 2.30000000000000 ) ); +#11245 = AXIS2_PLACEMENT_3D( '', #11813, #11814, #11815 ); +#11246 = CARTESIAN_POINT( '', ( 2.00000000000000, 0.450000000000000, 2.30000000000000 ) ); +#11247 = CARTESIAN_POINT( '', ( -5.19903924546598, 0.450000000000000, 2.30000000000000 ) ); +#11248 = VECTOR( '', #11816, 1000.00000000000 ); +#11249 = CARTESIAN_POINT( '', ( 2.20000000000000, 0.650000000000000, 2.30000000000000 ) ); +#11250 = AXIS2_PLACEMENT_3D( '', #11817, #11818, #11819 ); +#11251 = CARTESIAN_POINT( '', ( 2.20000000000000, 3.25000000000000, 2.30000000000000 ) ); +#11252 = CARTESIAN_POINT( '', ( 2.20000000000000, -4.27842902626118E-015, 2.30000000000000 ) ); +#11253 = VECTOR( '', #11820, 1000.00000000000 ); +#11254 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.45000000000000, 2.30000000000000 ) ); +#11255 = AXIS2_PLACEMENT_3D( '', #11821, #11822, #11823 ); +#11256 = CARTESIAN_POINT( '', ( 2.20000000000000, 3.45000000000000, 2.30000000000000 ) ); +#11257 = CARTESIAN_POINT( '', ( -5.19903924546598, 3.45000000000000, 2.30000000000000 ) ); +#11258 = VECTOR( '', #11824, 1000.00000000000 ); +#11259 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.55189212808533, 2.30000000000000 ) ); +#11260 = CARTESIAN_POINT( '', ( 2.20000000000000, 3.45000000000000, 2.30000000000000 ) ); +#11261 = VECTOR( '', #11825, 1000.00000000000 ); +#11262 = CARTESIAN_POINT( '', ( 3.85000000000000, -1.55189212808533, 2.30000000000000 ) ); +#11263 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.55189212808533, 2.30000000000000 ) ); +#11264 = VECTOR( '', #11826, 1000.00000000000 ); +#11265 = CARTESIAN_POINT( '', ( 2.20000000000000, -3.45000000000000, 2.30000000000000 ) ); +#11266 = CARTESIAN_POINT( '', ( 3.85000000000000, -1.55189212808533, 2.30000000000000 ) ); +#11267 = VECTOR( '', #11827, 1000.00000000000 ); +#11268 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.45000000000000, 2.30000000000000 ) ); +#11269 = CARTESIAN_POINT( '', ( -5.19903924546598, -3.45000000000000, 2.30000000000000 ) ); +#11270 = VECTOR( '', #11828, 1000.00000000000 ); +#11271 = CARTESIAN_POINT( '', ( 2.20000000000000, -3.25000000000000, 2.30000000000000 ) ); +#11272 = AXIS2_PLACEMENT_3D( '', #11829, #11830, #11831 ); +#11273 = CARTESIAN_POINT( '', ( 2.20000000000000, -0.650000000000000, 2.30000000000000 ) ); +#11274 = CARTESIAN_POINT( '', ( 2.20000000000000, 4.27842902626118E-015, 2.30000000000000 ) ); +#11275 = VECTOR( '', #11832, 1000.00000000000 ); +#11276 = CARTESIAN_POINT( '', ( 2.00000000000000, -0.450000000000000, 2.30000000000000 ) ); +#11277 = AXIS2_PLACEMENT_3D( '', #11833, #11834, #11835 ); +#11278 = CARTESIAN_POINT( '', ( -2.00000000000000, -0.450000000000000, 2.30000000000000 ) ); +#11279 = CARTESIAN_POINT( '', ( -5.19903924546598, -0.450000000000000, 2.30000000000000 ) ); +#11280 = VECTOR( '', #11836, 1000.00000000000 ); +#11281 = CARTESIAN_POINT( '', ( -2.20000000000000, -0.650000000000000, 2.30000000000000 ) ); +#11282 = AXIS2_PLACEMENT_3D( '', #11837, #11838, #11839 ); +#11283 = CARTESIAN_POINT( '', ( -2.20000000000000, -3.25000000000000, 2.30000000000000 ) ); +#11284 = CARTESIAN_POINT( '', ( -2.20000000000000, 0.000000000000000, 2.30000000000000 ) ); +#11285 = VECTOR( '', #11840, 1000.00000000000 ); +#11286 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.45000000000000, 2.30000000000000 ) ); +#11287 = AXIS2_PLACEMENT_3D( '', #11841, #11842, #11843 ); +#11288 = CARTESIAN_POINT( '', ( -2.20000000000000, -3.45000000000000, 2.30000000000000 ) ); +#11289 = CARTESIAN_POINT( '', ( -5.19903924546598, -3.45000000000000, 2.30000000000000 ) ); +#11290 = VECTOR( '', #11844, 1000.00000000000 ); +#11291 = CARTESIAN_POINT( '', ( -3.85000000000000, -1.55189212808533, 2.30000000000000 ) ); +#11292 = CARTESIAN_POINT( '', ( -2.20000000000000, -3.45000000000000, 2.30000000000000 ) ); +#11293 = VECTOR( '', #11845, 1000.00000000000 ); +#11294 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.55189212808533, 2.30000000000000 ) ); +#11295 = CARTESIAN_POINT( '', ( -3.85000000000000, -1.55189212808533, 2.30000000000000 ) ); +#11296 = VECTOR( '', #11846, 1000.00000000000 ); +#11297 = CARTESIAN_POINT( '', ( -2.20000000000000, 3.45000000000000, 2.30000000000000 ) ); +#11298 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.55189212808533, 2.30000000000000 ) ); +#11299 = VECTOR( '', #11847, 1000.00000000000 ); +#11300 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.45000000000000, 2.30000000000000 ) ); +#11301 = CARTESIAN_POINT( '', ( -5.19903924546598, 3.45000000000000, 2.30000000000000 ) ); +#11302 = VECTOR( '', #11848, 1000.00000000000 ); +#11303 = AXIS2_PLACEMENT_3D( '', #11849, #11850, #11851 ); +#11304 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.30000000000000, -1.30000000000000 ) ); +#11305 = CARTESIAN_POINT( '', ( 3.95000000000000, 1.40000000000000, -1.30000000000000 ) ); +#11306 = AXIS2_PLACEMENT_3D( '', #11852, #11853, #11854 ); +#11307 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.30000000000000, 0.000000000000000 ) ); +#11308 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.30000000000000, -1.40000000000000 ) ); +#11309 = VECTOR( '', #11855, 1000.00000000000 ); +#11310 = CARTESIAN_POINT( '', ( 3.95000000000000, 1.40000000000000, 0.000000000000000 ) ); +#11311 = AXIS2_PLACEMENT_3D( '', #11856, #11857, #11858 ); +#11312 = CARTESIAN_POINT( '', ( 3.95000000000000, 1.40000000000000, 0.000000000000000 ) ); +#11313 = VECTOR( '', #11859, 1000.00000000000 ); +#11314 = CARTESIAN_POINT( '', ( -2.20000000000000, 3.45000000000000, -3.46944695195362E-015 ) ); +#11315 = CARTESIAN_POINT( '', ( -1.90000000000000, 3.45000000000000, 0.000000000000000 ) ); +#11316 = CARTESIAN_POINT( '', ( -4.75000000000000, 3.45000000000000, 0.000000000000000 ) ); +#11317 = VECTOR( '', #11860, 1000.00000000000 ); +#11318 = CARTESIAN_POINT( '', ( -1.90000000000000, 3.45000000000000, -1.30000000000000 ) ); +#11319 = CARTESIAN_POINT( '', ( -1.90000000000000, 3.45000000000000, -10.7916003605365 ) ); +#11320 = VECTOR( '', #11861, 1000.00000000000 ); +#11321 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.45000000000000, -1.30000000000000 ) ); +#11322 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.45000000000000, -1.30000000000000 ) ); +#11323 = VECTOR( '', #11862, 1000.00000000000 ); +#11324 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.45000000000000, 0.000000000000000 ) ); +#11325 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.45000000000000, -10.7916003605365 ) ); +#11326 = VECTOR( '', #11863, 1000.00000000000 ); +#11327 = CARTESIAN_POINT( '', ( 2.20000000000000, 3.45000000000000, -3.46944695195362E-015 ) ); +#11328 = CARTESIAN_POINT( '', ( -4.75000000000000, 3.45000000000000, 0.000000000000000 ) ); +#11329 = VECTOR( '', #11864, 1000.00000000000 ); +#11330 = CARTESIAN_POINT( '', ( 2.20000000000000, 3.45000000000000, -10.7916003605365 ) ); +#11331 = VECTOR( '', #11865, 1000.00000000000 ); +#11332 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.45000000000000, 2.90000000000000 ) ); +#11333 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.45000000000000, -10.7916003605365 ) ); +#11334 = VECTOR( '', #11866, 1000.00000000000 ); +#11335 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.45000000000000, 2.90000000000000 ) ); +#11336 = CARTESIAN_POINT( '', ( 2.20000000000000, 3.45000000000000, 2.90000000000000 ) ); +#11337 = VECTOR( '', #11867, 1000.00000000000 ); +#11338 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.45000000000000, -10.7916003605365 ) ); +#11339 = VECTOR( '', #11868, 1000.00000000000 ); +#11340 = CARTESIAN_POINT( '', ( -2.20000000000000, 3.45000000000000, -10.7916003605365 ) ); +#11341 = VECTOR( '', #11869, 1000.00000000000 ); +#11342 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.55189212808533, -3.46944695195362E-015 ) ); +#11343 = CARTESIAN_POINT( '', ( -7.06057295749388, -2.14144957129376, 0.000000000000000 ) ); +#11344 = VECTOR( '', #11870, 1000.00000000000 ); +#11345 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.55189212808533, -10.7916003605365 ) ); +#11346 = VECTOR( '', #11871, 1000.00000000000 ); +#11347 = CARTESIAN_POINT( '', ( 3.95000000000000, 1.30000000000000, -1.40000000000000 ) ); +#11348 = AXIS2_PLACEMENT_3D( '', #11872, #11873, #11874 ); +#11349 = AXIS2_PLACEMENT_3D( '', #11875, #11876, #11877 ); +#11350 = CARTESIAN_POINT( '', ( 4.65000000000000, 1.40000000000000, 0.000000000000000 ) ); +#11351 = CARTESIAN_POINT( '', ( -4.75000000000000, 1.40000000000000, 0.000000000000000 ) ); +#11352 = VECTOR( '', #11878, 1000.00000000000 ); +#11353 = CARTESIAN_POINT( '', ( 4.65000000000000, 1.40000000000000, -1.30000000000000 ) ); +#11354 = CARTESIAN_POINT( '', ( 4.65000000000000, 1.40000000000000, -1.40000000000000 ) ); +#11355 = VECTOR( '', #11879, 1000.00000000000 ); +#11356 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.40000000000000, -1.30000000000000 ) ); +#11357 = VECTOR( '', #11880, 1000.00000000000 ); +#11358 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.15000000000000, 0.000000000000000 ) ); +#11359 = CARTESIAN_POINT( '', ( 1.90000000000000, 4.15000000000000, 0.000000000000000 ) ); +#11360 = CARTESIAN_POINT( '', ( 4.75000000000000, 4.15000000000000, 0.000000000000000 ) ); +#11361 = VECTOR( '', #11881, 1000.00000000000 ); +#11362 = CARTESIAN_POINT( '', ( 4.75000000000000, 4.05000000000000, 0.000000000000000 ) ); +#11363 = AXIS2_PLACEMENT_3D( '', #11882, #11883, #11884 ); +#11364 = CARTESIAN_POINT( '', ( 4.75000000000000, 1.30000000000000, 0.000000000000000 ) ); +#11365 = CARTESIAN_POINT( '', ( 4.75000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11366 = VECTOR( '', #11885, 1000.00000000000 ); +#11367 = AXIS2_PLACEMENT_3D( '', #11886, #11887, #11888 ); +#11368 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.55189212808533, -3.46944695195362E-015 ) ); +#11369 = CARTESIAN_POINT( '', ( 3.85000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11370 = VECTOR( '', #11889, 1000.00000000000 ); +#11371 = CARTESIAN_POINT( '', ( 2.97164518705420, 2.56232375522870, 0.000000000000000 ) ); +#11372 = VECTOR( '', #11890, 1000.00000000000 ); +#11373 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.55000000000000, 0.000000000000000 ) ); +#11374 = AXIS2_PLACEMENT_3D( '', #11891, #11892, #11893 ); +#11375 = CARTESIAN_POINT( '', ( 2.00000000000000, 4.05000000000000, 0.000000000000000 ) ); +#11376 = CARTESIAN_POINT( '', ( 2.00000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11377 = VECTOR( '', #11894, 1000.00000000000 ); +#11378 = AXIS2_PLACEMENT_3D( '', #11895, #11896, #11897 ); +#11379 = CARTESIAN_POINT( '', ( 4.35000000000000, 3.15000000000000, 0.000000000000000 ) ); +#11380 = AXIS2_PLACEMENT_3D( '', #11898, #11899, #11900 ); +#11381 = CARTESIAN_POINT( '', ( -3.15000000000000, 3.15000000000000, 0.000000000000000 ) ); +#11382 = AXIS2_PLACEMENT_3D( '', #11901, #11902, #11903 ); +#11383 = CARTESIAN_POINT( '', ( -4.75000000000000, 4.05000000000000, 0.000000000000000 ) ); +#11384 = CARTESIAN_POINT( '', ( -4.75000000000000, 1.30000000000000, 0.000000000000000 ) ); +#11385 = CARTESIAN_POINT( '', ( -4.75000000000000, 4.15000000000000, 0.000000000000000 ) ); +#11386 = VECTOR( '', #11904, 1000.00000000000 ); +#11387 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.15000000000000, 0.000000000000000 ) ); +#11388 = AXIS2_PLACEMENT_3D( '', #11905, #11906, #11907 ); +#11389 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.15000000000000, 0.000000000000000 ) ); +#11390 = CARTESIAN_POINT( '', ( 4.75000000000000, 4.15000000000000, 0.000000000000000 ) ); +#11391 = VECTOR( '', #11908, 1000.00000000000 ); +#11392 = CARTESIAN_POINT( '', ( -2.00000000000000, 4.05000000000000, 0.000000000000000 ) ); +#11393 = AXIS2_PLACEMENT_3D( '', #11909, #11910, #11911 ); +#11394 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.55000000000000, 0.000000000000000 ) ); +#11395 = CARTESIAN_POINT( '', ( -2.00000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11396 = VECTOR( '', #11912, 1000.00000000000 ); +#11397 = AXIS2_PLACEMENT_3D( '', #11913, #11914, #11915 ); +#11398 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.30000000000000, 0.000000000000000 ) ); +#11399 = CARTESIAN_POINT( '', ( -3.85000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11400 = VECTOR( '', #11916, 1000.00000000000 ); +#11401 = CARTESIAN_POINT( '', ( -3.95000000000000, 1.40000000000000, 0.000000000000000 ) ); +#11402 = AXIS2_PLACEMENT_3D( '', #11917, #11918, #11919 ); +#11403 = CARTESIAN_POINT( '', ( -4.65000000000000, 1.40000000000000, 0.000000000000000 ) ); +#11404 = CARTESIAN_POINT( '', ( -4.75000000000000, 1.40000000000000, 0.000000000000000 ) ); +#11405 = VECTOR( '', #11920, 1000.00000000000 ); +#11406 = AXIS2_PLACEMENT_3D( '', #11921, #11922, #11923 ); +#11407 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.55000000000000, -1.30000000000000 ) ); +#11408 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.55000000000000, -1.40000000000000 ) ); +#11409 = VECTOR( '', #11924, 1000.00000000000 ); +#11410 = AXIS2_PLACEMENT_3D( '', #11925, #11926, #11927 ); +#11411 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.55000000000000, -1.40000000000000 ) ); +#11412 = AXIS2_PLACEMENT_3D( '', #11928, #11929, #11930 ); +#11413 = CARTESIAN_POINT( '', ( -1.90000000000000, 3.55000000000000, -1.40000000000000 ) ); +#11414 = AXIS2_PLACEMENT_3D( '', #11931, #11932, #11933 ); +#11415 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.55000000000000, -1.40000000000000 ) ); +#11416 = VECTOR( '', #11934, 1000.00000000000 ); +#11417 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.55000000000000, -1.30000000000000 ) ); +#11418 = AXIS2_PLACEMENT_3D( '', #11935, #11936, #11937 ); +#11419 = AXIS2_PLACEMENT_3D( '', #11938, #11939, #11940 ); +#11420 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.55000000000000, -1.40000000000000 ) ); +#11421 = VECTOR( '', #11941, 1000.00000000000 ); +#11422 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.55189212808533, -10.7916003605365 ) ); +#11423 = VECTOR( '', #11942, 1000.00000000000 ); +#11424 = CARTESIAN_POINT( '', ( 3.85000000000000, -1.30000000000000, -1.30000000000000 ) ); +#11425 = CARTESIAN_POINT( '', ( 3.85000000000000, -1.30000000000000, 0.000000000000000 ) ); +#11426 = CARTESIAN_POINT( '', ( 3.85000000000000, -1.30000000000000, 0.000000000000000 ) ); +#11427 = VECTOR( '', #11943, 1000.00000000000 ); +#11428 = CARTESIAN_POINT( '', ( 3.85000000000000, -1.55189212808533, -3.46944695195362E-015 ) ); +#11429 = CARTESIAN_POINT( '', ( 3.85000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11430 = VECTOR( '', #11944, 1000.00000000000 ); +#11431 = CARTESIAN_POINT( '', ( 3.85000000000000, -1.55189212808533, -10.7916003605365 ) ); +#11432 = VECTOR( '', #11945, 1000.00000000000 ); +#11433 = CARTESIAN_POINT( '', ( 3.85000000000000, 1.55189212808533, -1.30000000000000 ) ); +#11434 = VECTOR( '', #11946, 1000.00000000000 ); +#11435 = CARTESIAN_POINT( '', ( 2.20000000000000, 3.25000000000000, 2.90000000000000 ) ); +#11436 = CARTESIAN_POINT( '', ( 2.20000000000000, 3.25000000000000, -10.7832013797941 ) ); +#11437 = VECTOR( '', #11947, 1000.00000000000 ); +#11438 = AXIS2_PLACEMENT_3D( '', #11948, #11949, #11950 ); +#11439 = CARTESIAN_POINT( '', ( -2.20000000000000, 3.25000000000000, 2.90000000000000 ) ); +#11440 = CARTESIAN_POINT( '', ( -2.20000000000000, 0.650000000000000, 2.90000000000000 ) ); +#11441 = CARTESIAN_POINT( '', ( -2.20000000000000, 3.45000000000000, 2.90000000000000 ) ); +#11442 = VECTOR( '', #11951, 1000.00000000000 ); +#11443 = AXIS2_PLACEMENT_3D( '', #11952, #11953, #11954 ); +#11444 = CARTESIAN_POINT( '', ( 2.20000000000000, 0.650000000000000, 2.90000000000000 ) ); +#11445 = CARTESIAN_POINT( '', ( 2.20000000000000, 0.450000000000000, 2.90000000000000 ) ); +#11446 = VECTOR( '', #11955, 1000.00000000000 ); +#11447 = CARTESIAN_POINT( '', ( 2.00000000000000, 0.450000000000000, 2.90000000000000 ) ); +#11448 = AXIS2_PLACEMENT_3D( '', #11956, #11957, #11958 ); +#11449 = CARTESIAN_POINT( '', ( -2.00000000000000, 0.450000000000000, 2.90000000000000 ) ); +#11450 = CARTESIAN_POINT( '', ( -2.20000000000000, 0.450000000000000, 2.90000000000000 ) ); +#11451 = VECTOR( '', #11959, 1000.00000000000 ); +#11452 = AXIS2_PLACEMENT_3D( '', #11960, #11961, #11962 ); +#11453 = CARTESIAN_POINT( '', ( 2.00000000000000, -4.05000000000000, -1.30000000000000 ) ); +#11454 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.55000000000000, -1.30000000000000 ) ); +#11455 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.45000000000000, -1.30000000000000 ) ); +#11456 = VECTOR( '', #11963, 1000.00000000000 ); +#11457 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.55000000000000, 0.000000000000000 ) ); +#11458 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.55000000000000, -3.46944695195362E-015 ) ); +#11459 = VECTOR( '', #11964, 1000.00000000000 ); +#11460 = CARTESIAN_POINT( '', ( 2.00000000000000, -4.05000000000000, 0.000000000000000 ) ); +#11461 = CARTESIAN_POINT( '', ( 2.00000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11462 = VECTOR( '', #11965, 1000.00000000000 ); +#11463 = CARTESIAN_POINT( '', ( 2.00000000000000, -4.05000000000000, -1.40000000000000 ) ); +#11464 = VECTOR( '', #11966, 1000.00000000000 ); +#11465 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11466 = AXIS2_PLACEMENT_3D( '', #11967, #11968, #11969 ); +#11467 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.15000000000000, -1.30000000000000 ) ); +#11468 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.15000000000000, 3.50000000000000 ) ); +#11469 = VECTOR( '', #11970, 1000.00000000000 ); +#11470 = AXIS2_PLACEMENT_3D( '', #11971, #11972, #11973 ); +#11471 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.05000000000000, -1.40000000000000 ) ); +#11472 = AXIS2_PLACEMENT_3D( '', #11974, #11975, #11976 ); +#11473 = AXIS2_PLACEMENT_3D( '', #11977, #11978, #11979 ); +#11474 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.55000000000000, -1.40000000000000 ) ); +#11475 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.15000000000000, -1.40000000000000 ) ); +#11476 = VECTOR( '', #11980, 1000.00000000000 ); +#11477 = AXIS2_PLACEMENT_3D( '', #11981, #11982, #11983 ); +#11478 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.45000000000000, -1.30000000000000 ) ); +#11479 = AXIS2_PLACEMENT_3D( '', #11984, #11985, #11986 ); +#11480 = AXIS2_PLACEMENT_3D( '', #11987, #11988, #11989 ); +#11481 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.45000000000000, 0.000000000000000 ) ); +#11482 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.45000000000000, -1.40000000000000 ) ); +#11483 = VECTOR( '', #11990, 1000.00000000000 ); +#11484 = AXIS2_PLACEMENT_3D( '', #11991, #11992, #11993 ); +#11485 = CARTESIAN_POINT( '', ( 4.35000000000000, -3.15000000000000, 0.000000000000000 ) ); +#11486 = AXIS2_PLACEMENT_3D( '', #11994, #11995, #11996 ); +#11487 = CARTESIAN_POINT( '', ( 4.75000000000000, -4.05000000000000, 0.000000000000000 ) ); +#11488 = CARTESIAN_POINT( '', ( 4.75000000000000, -1.30000000000000, 0.000000000000000 ) ); +#11489 = CARTESIAN_POINT( '', ( 4.75000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11490 = VECTOR( '', #11997, 1000.00000000000 ); +#11491 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11492 = AXIS2_PLACEMENT_3D( '', #11998, #11999, #12000 ); +#11493 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11494 = VECTOR( '', #12001, 1000.00000000000 ); +#11495 = CARTESIAN_POINT( '', ( 2.20000000000000, -3.45000000000000, -3.46944695195362E-015 ) ); +#11496 = CARTESIAN_POINT( '', ( -4.75000000000000, -3.45000000000000, 0.000000000000000 ) ); +#11497 = VECTOR( '', #12002, 1000.00000000000 ); +#11498 = CARTESIAN_POINT( '', ( -1.13796729822332, -7.28989212421298, 0.000000000000000 ) ); +#11499 = VECTOR( '', #12003, 1000.00000000000 ); +#11500 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.40000000000000, 0.000000000000000 ) ); +#11501 = AXIS2_PLACEMENT_3D( '', #12004, #12005, #12006 ); +#11502 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.40000000000000, 0.000000000000000 ) ); +#11503 = CARTESIAN_POINT( '', ( -4.75000000000000, -1.40000000000000, 0.000000000000000 ) ); +#11504 = VECTOR( '', #12007, 1000.00000000000 ); +#11505 = AXIS2_PLACEMENT_3D( '', #12008, #12009, #12010 ); +#11506 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.45000000000000, -1.30000000000000 ) ); +#11507 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.45000000000000, 0.000000000000000 ) ); +#11508 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.45000000000000, -3.46944695195362E-015 ) ); +#11509 = VECTOR( '', #12011, 1000.00000000000 ); +#11510 = CARTESIAN_POINT( '', ( -2.20000000000000, -3.45000000000000, 0.000000000000000 ) ); +#11511 = CARTESIAN_POINT( '', ( -4.75000000000000, -3.45000000000000, 0.000000000000000 ) ); +#11512 = VECTOR( '', #12012, 1000.00000000000 ); +#11513 = CARTESIAN_POINT( '', ( -2.20000000000000, -3.45000000000000, -10.7916003605365 ) ); +#11514 = VECTOR( '', #12013, 1000.00000000000 ); +#11515 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.45000000000000, 2.90000000000000 ) ); +#11516 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.45000000000000, 2.90000000000000 ) ); +#11517 = VECTOR( '', #12014, 1000.00000000000 ); +#11518 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.45000000000000, 2.90000000000000 ) ); +#11519 = CARTESIAN_POINT( '', ( 2.20000000000000, -3.45000000000000, 2.90000000000000 ) ); +#11520 = VECTOR( '', #12015, 1000.00000000000 ); +#11521 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.45000000000000, 2.30000000000000 ) ); +#11522 = VECTOR( '', #12016, 1000.00000000000 ); +#11523 = CARTESIAN_POINT( '', ( 2.20000000000000, -3.45000000000000, -10.7916003605365 ) ); +#11524 = VECTOR( '', #12017, 1000.00000000000 ); +#11525 = CARTESIAN_POINT( '', ( 2.20000000000000, -3.45000000000000, -1.30000000000000 ) ); +#11526 = VECTOR( '', #12018, 1000.00000000000 ); +#11527 = CARTESIAN_POINT( '', ( 2.20000000000000, -3.25000000000000, 2.90000000000000 ) ); +#11528 = AXIS2_PLACEMENT_3D( '', #12019, #12020, #12021 ); +#11529 = CARTESIAN_POINT( '', ( 2.20000000000000, -3.25000000000000, 2.90000000000000 ) ); +#11530 = VECTOR( '', #12022, 1000.00000000000 ); +#11531 = CARTESIAN_POINT( '', ( 2.20000000000000, -0.650000000000000, 2.90000000000000 ) ); +#11532 = CARTESIAN_POINT( '', ( 2.20000000000000, -0.450000000000000, 2.90000000000000 ) ); +#11533 = VECTOR( '', #12023, 1000.00000000000 ); +#11534 = CARTESIAN_POINT( '', ( 2.20000000000000, -0.650000000000000, 2.30000000000000 ) ); +#11535 = VECTOR( '', #12024, 1000.00000000000 ); +#11536 = CARTESIAN_POINT( '', ( 2.00000000000000, -0.450000000000000, 2.90000000000000 ) ); +#11537 = AXIS2_PLACEMENT_3D( '', #12025, #12026, #12027 ); +#11538 = CARTESIAN_POINT( '', ( 2.00000000000000, -0.450000000000000, 2.90000000000000 ) ); +#11539 = VECTOR( '', #12028, 1000.00000000000 ); +#11540 = CARTESIAN_POINT( '', ( -2.00000000000000, -0.450000000000000, 2.90000000000000 ) ); +#11541 = CARTESIAN_POINT( '', ( -2.20000000000000, -0.450000000000000, 2.90000000000000 ) ); +#11542 = VECTOR( '', #12029, 1000.00000000000 ); +#11543 = CARTESIAN_POINT( '', ( -2.00000000000000, -0.450000000000000, 2.30000000000000 ) ); +#11544 = VECTOR( '', #12030, 1000.00000000000 ); +#11545 = CARTESIAN_POINT( '', ( -2.20000000000000, -3.25000000000000, 2.90000000000000 ) ); +#11546 = AXIS2_PLACEMENT_3D( '', #12031, #12032, #12033 ); +#11547 = CARTESIAN_POINT( '', ( -2.20000000000000, -0.650000000000000, 2.90000000000000 ) ); +#11548 = CARTESIAN_POINT( '', ( -2.20000000000000, -3.45000000000000, 2.90000000000000 ) ); +#11549 = VECTOR( '', #12034, 1000.00000000000 ); +#11550 = AXIS2_PLACEMENT_3D( '', #12035, #12036, #12037 ); +#11551 = CARTESIAN_POINT( '', ( -2.20000000000000, -0.650000000000000, 2.90000000000000 ) ); +#11552 = VECTOR( '', #12038, 1000.00000000000 ); +#11553 = CARTESIAN_POINT( '', ( -2.20000000000000, -3.25000000000000, 2.30000000000000 ) ); +#11554 = VECTOR( '', #12039, 1000.00000000000 ); +#11555 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11556 = CARTESIAN_POINT( '', ( -1.90000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11557 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11558 = VECTOR( '', #12040, 1000.00000000000 ); +#11559 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.05000000000000, 0.000000000000000 ) ); +#11560 = AXIS2_PLACEMENT_3D( '', #12041, #12042, #12043 ); +#11561 = CARTESIAN_POINT( '', ( -4.75000000000000, -1.30000000000000, 0.000000000000000 ) ); +#11562 = CARTESIAN_POINT( '', ( -4.75000000000000, 4.15000000000000, 0.000000000000000 ) ); +#11563 = VECTOR( '', #12044, 1000.00000000000 ); +#11564 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.40000000000000, 0.000000000000000 ) ); +#11565 = AXIS2_PLACEMENT_3D( '', #12045, #12046, #12047 ); +#11566 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.40000000000000, 0.000000000000000 ) ); +#11567 = CARTESIAN_POINT( '', ( -4.75000000000000, -1.40000000000000, 0.000000000000000 ) ); +#11568 = VECTOR( '', #12048, 1000.00000000000 ); +#11569 = CARTESIAN_POINT( '', ( -3.85000000000000, -1.30000000000000, 0.000000000000000 ) ); +#11570 = AXIS2_PLACEMENT_3D( '', #12049, #12050, #12051 ); +#11571 = CARTESIAN_POINT( '', ( -3.85000000000000, -1.55189212808533, -3.46944695195362E-015 ) ); +#11572 = CARTESIAN_POINT( '', ( -3.85000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11573 = VECTOR( '', #12052, 1000.00000000000 ); +#11574 = CARTESIAN_POINT( '', ( -2.95096047221636, -2.58611879769052, 0.000000000000000 ) ); +#11575 = VECTOR( '', #12053, 1000.00000000000 ); +#11576 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.55000000000000, 0.000000000000000 ) ); +#11577 = AXIS2_PLACEMENT_3D( '', #12054, #12055, #12056 ); +#11578 = CARTESIAN_POINT( '', ( -2.00000000000000, -4.05000000000000, 0.000000000000000 ) ); +#11579 = CARTESIAN_POINT( '', ( -2.00000000000000, -4.15000000000000, 0.000000000000000 ) ); +#11580 = VECTOR( '', #12057, 1000.00000000000 ); +#11581 = AXIS2_PLACEMENT_3D( '', #12058, #12059, #12060 ); +#11582 = CARTESIAN_POINT( '', ( -3.15000000000000, -3.15000000000000, 0.000000000000000 ) ); +#11583 = AXIS2_PLACEMENT_3D( '', #12061, #12062, #12063 ); +#11584 = CARTESIAN_POINT( '', ( -2.00000000000000, 4.05000000000000, -1.30000000000000 ) ); +#11585 = CARTESIAN_POINT( '', ( -2.00000000000000, 4.05000000000000, -1.40000000000000 ) ); +#11586 = VECTOR( '', #12064, 1000.00000000000 ); +#11587 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.45000000000000, -1.30000000000000 ) ); +#11588 = VECTOR( '', #12065, 1000.00000000000 ); +#11589 = CARTESIAN_POINT( '', ( -3.85000000000000, -1.30000000000000, -1.30000000000000 ) ); +#11590 = CARTESIAN_POINT( '', ( -3.85000000000000, -1.30000000000000, -10.7916003605365 ) ); +#11591 = VECTOR( '', #12066, 1000.00000000000 ); +#11592 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.30000000000000, -1.30000000000000 ) ); +#11593 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.40000000000000, -1.30000000000000 ) ); +#11594 = VECTOR( '', #12067, 1000.00000000000 ); +#11595 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.30000000000000, -10.7916003605365 ) ); +#11596 = VECTOR( '', #12068, 1000.00000000000 ); +#11597 = CARTESIAN_POINT( '', ( -3.85000000000000, -1.55189212808533, -10.7916003605365 ) ); +#11598 = VECTOR( '', #12069, 1000.00000000000 ); +#11599 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.05000000000000, 3.50000000000000 ) ); +#11600 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.05000000000000, 3.50000000000000 ) ); +#11601 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.15000000000000, 3.50000000000000 ) ); +#11602 = VECTOR( '', #12070, 1000.00000000000 ); +#11603 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.05000000000000, 3.50000000000000 ) ); +#11604 = CARTESIAN_POINT( '', ( -4.75000000000000, 4.05000000000000, 3.50000000000000 ) ); +#11605 = VECTOR( '', #12071, 1000.00000000000 ); +#11606 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.05000000000000, 3.50000000000000 ) ); +#11607 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.15000000000000, 3.50000000000000 ) ); +#11608 = VECTOR( '', #12072, 1000.00000000000 ); +#11609 = CARTESIAN_POINT( '', ( 4.75000000000000, -4.05000000000000, 3.50000000000000 ) ); +#11610 = VECTOR( '', #12073, 1000.00000000000 ); +#11611 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.15000000000000, -1.30000000000000 ) ); +#11612 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.15000000000000, 0.000000000000000 ) ); +#11613 = VECTOR( '', #12074, 1000.00000000000 ); +#11614 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.15000000000000, 3.40000000000000 ) ); +#11615 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.15000000000000, 3.50000000000000 ) ); +#11616 = VECTOR( '', #12075, 1000.00000000000 ); +#11617 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.15000000000000, 3.40000000000000 ) ); +#11618 = CARTESIAN_POINT( '', ( 4.75000000000000, 4.15000000000000, 3.40000000000000 ) ); +#11619 = VECTOR( '', #12076, 1000.00000000000 ); +#11620 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.15000000000000, 3.50000000000000 ) ); +#11621 = VECTOR( '', #12077, 1000.00000000000 ); +#11622 = CARTESIAN_POINT( '', ( 1.90000000000000, 4.15000000000000, -1.30000000000000 ) ); +#11623 = CARTESIAN_POINT( '', ( 1.90000000000000, 4.15000000000000, -1.40000000000000 ) ); +#11624 = VECTOR( '', #12078, 1000.00000000000 ); +#11625 = CARTESIAN_POINT( '', ( 4.75000000000000, 4.15000000000000, -1.30000000000000 ) ); +#11626 = VECTOR( '', #12079, 1000.00000000000 ); +#11627 = CARTESIAN_POINT( '', ( 4.75000000000000, -1.30000000000000, -1.30000000000000 ) ); +#11628 = CARTESIAN_POINT( '', ( 4.75000000000000, -1.30000000000000, 3.50000000000000 ) ); +#11629 = VECTOR( '', #12080, 1000.00000000000 ); +#11630 = CARTESIAN_POINT( '', ( 4.75000000000000, 1.30000000000000, -1.30000000000000 ) ); +#11631 = CARTESIAN_POINT( '', ( 4.75000000000000, 1.40000000000000, -1.30000000000000 ) ); +#11632 = VECTOR( '', #12081, 1000.00000000000 ); +#11633 = CARTESIAN_POINT( '', ( 4.75000000000000, 1.30000000000000, 3.50000000000000 ) ); +#11634 = VECTOR( '', #12082, 1000.00000000000 ); +#11635 = CARTESIAN_POINT( '', ( 4.75000000000000, 4.05000000000000, 3.40000000000000 ) ); +#11636 = CARTESIAN_POINT( '', ( 4.75000000000000, 4.05000000000000, 3.50000000000000 ) ); +#11637 = VECTOR( '', #12083, 1000.00000000000 ); +#11638 = CARTESIAN_POINT( '', ( 4.75000000000000, -4.05000000000000, 3.40000000000000 ) ); +#11639 = CARTESIAN_POINT( '', ( 4.75000000000000, -4.15000000000000, 3.40000000000000 ) ); +#11640 = VECTOR( '', #12084, 1000.00000000000 ); +#11641 = CARTESIAN_POINT( '', ( 4.75000000000000, -4.05000000000000, 3.50000000000000 ) ); +#11642 = VECTOR( '', #12085, 1000.00000000000 ); +#11643 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.15000000000000, 3.40000000000000 ) ); +#11644 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.15000000000000, 3.50000000000000 ) ); +#11645 = VECTOR( '', #12086, 1000.00000000000 ); +#11646 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.15000000000000, 3.40000000000000 ) ); +#11647 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.15000000000000, 3.40000000000000 ) ); +#11648 = VECTOR( '', #12087, 1000.00000000000 ); +#11649 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.15000000000000, 3.50000000000000 ) ); +#11650 = VECTOR( '', #12088, 1000.00000000000 ); +#11651 = CARTESIAN_POINT( '', ( -1.90000000000000, -4.15000000000000, -1.30000000000000 ) ); +#11652 = CARTESIAN_POINT( '', ( -1.90000000000000, -4.15000000000000, 3.50000000000000 ) ); +#11653 = VECTOR( '', #12089, 1000.00000000000 ); +#11654 = CARTESIAN_POINT( '', ( 2.00000000000000, -4.15000000000000, -1.30000000000000 ) ); +#11655 = VECTOR( '', #12090, 1000.00000000000 ); +#11656 = CARTESIAN_POINT( '', ( -4.75000000000000, -1.30000000000000, -1.30000000000000 ) ); +#11657 = CARTESIAN_POINT( '', ( -4.75000000000000, -1.30000000000000, 0.000000000000000 ) ); +#11658 = VECTOR( '', #12091, 1000.00000000000 ); +#11659 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.05000000000000, 3.40000000000000 ) ); +#11660 = CARTESIAN_POINT( '', ( -4.75000000000000, -4.05000000000000, 3.50000000000000 ) ); +#11661 = VECTOR( '', #12092, 1000.00000000000 ); +#11662 = CARTESIAN_POINT( '', ( -4.75000000000000, 4.05000000000000, 3.40000000000000 ) ); +#11663 = CARTESIAN_POINT( '', ( -4.75000000000000, 4.15000000000000, 3.40000000000000 ) ); +#11664 = VECTOR( '', #12093, 1000.00000000000 ); +#11665 = CARTESIAN_POINT( '', ( -4.75000000000000, 4.05000000000000, 3.50000000000000 ) ); +#11666 = VECTOR( '', #12094, 1000.00000000000 ); +#11667 = CARTESIAN_POINT( '', ( -4.75000000000000, 1.30000000000000, -1.30000000000000 ) ); +#11668 = CARTESIAN_POINT( '', ( -4.75000000000000, 1.30000000000000, -1.40000000000000 ) ); +#11669 = VECTOR( '', #12095, 1000.00000000000 ); +#11670 = CARTESIAN_POINT( '', ( -4.75000000000000, 4.15000000000000, -1.30000000000000 ) ); +#11671 = VECTOR( '', #12096, 1000.00000000000 ); +#11672 = CARTESIAN_POINT( '', ( 2.20000000000000, 0.650000000000000, 2.90000000000000 ) ); +#11673 = VECTOR( '', #12097, 1000.00000000000 ); +#11674 = CARTESIAN_POINT( '', ( -2.20000000000000, 3.25000000000000, -10.7832013797941 ) ); +#11675 = VECTOR( '', #12098, 1000.00000000000 ); +#11676 = CARTESIAN_POINT( '', ( -2.20000000000000, 0.650000000000000, 2.30000000000000 ) ); +#11677 = VECTOR( '', #12099, 1000.00000000000 ); +#11678 = CARTESIAN_POINT( '', ( -2.00000000000000, 0.450000000000000, 2.90000000000000 ) ); +#11679 = VECTOR( '', #12100, 1000.00000000000 ); +#11680 = CARTESIAN_POINT( '', ( 2.00000000000000, 0.450000000000000, 2.30000000000000 ) ); +#11681 = VECTOR( '', #12101, 1000.00000000000 ); +#11682 = CARTESIAN_POINT( '', ( -3.15000000000000, 3.15000000000000, -1.80000000000000 ) ); +#11683 = AXIS2_PLACEMENT_3D( '', #12102, #12103, #12104 ); +#11684 = CARTESIAN_POINT( '', ( -3.15000000000000, -3.15000000000000, -1.80000000000000 ) ); +#11685 = AXIS2_PLACEMENT_3D( '', #12105, #12106, #12107 ); +#11686 = CARTESIAN_POINT( '', ( 4.35000000000000, -3.15000000000000, -1.80000000000000 ) ); +#11687 = AXIS2_PLACEMENT_3D( '', #12108, #12109, #12110 ); +#11688 = CARTESIAN_POINT( '', ( 4.35000000000000, 3.15000000000000, -1.80000000000000 ) ); +#11689 = AXIS2_PLACEMENT_3D( '', #12111, #12112, #12113 ); +#11690 = CARTESIAN_POINT( '', ( -3.95000000000000, 1.30000000000000, -1.40000000000000 ) ); +#11691 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.30000000000000, -1.40000000000000 ) ); +#11692 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.40000000000000, -1.40000000000000 ) ); +#11693 = VECTOR( '', #12114, 1000.00000000000 ); +#11694 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.30000000000000, -1.40000000000000 ) ); +#11695 = CARTESIAN_POINT( '', ( -4.75000000000000, -1.30000000000000, -1.40000000000000 ) ); +#11696 = VECTOR( '', #12115, 1000.00000000000 ); +#11697 = CARTESIAN_POINT( '', ( -4.65000000000000, 1.30000000000000, -1.40000000000000 ) ); +#11698 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.40000000000000, -1.40000000000000 ) ); +#11699 = VECTOR( '', #12116, 1000.00000000000 ); +#11700 = CARTESIAN_POINT( '', ( -3.85000000000000, 1.30000000000000, -1.40000000000000 ) ); +#11701 = VECTOR( '', #12117, 1000.00000000000 ); +#11702 = CARTESIAN_POINT( '', ( -3.95000000000000, 1.40000000000000, -1.30000000000000 ) ); +#11703 = CARTESIAN_POINT( '', ( -3.95000000000000, 1.40000000000000, -1.40000000000000 ) ); +#11704 = VECTOR( '', #12118, 1000.00000000000 ); +#11705 = CARTESIAN_POINT( '', ( -4.65000000000000, 1.40000000000000, -1.30000000000000 ) ); +#11706 = CARTESIAN_POINT( '', ( -4.75000000000000, 1.40000000000000, -1.30000000000000 ) ); +#11707 = VECTOR( '', #12119, 1000.00000000000 ); +#11708 = CARTESIAN_POINT( '', ( -4.65000000000000, 1.40000000000000, 0.000000000000000 ) ); +#11709 = VECTOR( '', #12120, 1000.00000000000 ); +#11710 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.40000000000000, -1.30000000000000 ) ); +#11711 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.40000000000000, -1.30000000000000 ) ); +#11712 = CARTESIAN_POINT( '', ( -3.85000000000000, -1.40000000000000, -1.30000000000000 ) ); +#11713 = VECTOR( '', #12121, 1000.00000000000 ); +#11714 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.40000000000000, -1.40000000000000 ) ); +#11715 = VECTOR( '', #12122, 1000.00000000000 ); +#11716 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.40000000000000, -1.40000000000000 ) ); +#11717 = VECTOR( '', #12123, 1000.00000000000 ); +#11718 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.55000000000000, -1.40000000000000 ) ); +#11719 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.55000000000000, -1.40000000000000 ) ); +#11720 = VECTOR( '', #12124, 1000.00000000000 ); +#11721 = CARTESIAN_POINT( '', ( -1.90000000000000, -4.05000000000000, -1.40000000000000 ) ); +#11722 = CARTESIAN_POINT( '', ( -2.00000000000000, -4.05000000000000, -1.40000000000000 ) ); +#11723 = VECTOR( '', #12125, 1000.00000000000 ); +#11724 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.45000000000000, -1.40000000000000 ) ); +#11725 = VECTOR( '', #12126, 1000.00000000000 ); +#11726 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.55000000000000, -1.30000000000000 ) ); +#11727 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.55000000000000, -1.40000000000000 ) ); +#11728 = VECTOR( '', #12127, 1000.00000000000 ); +#11729 = CARTESIAN_POINT( '', ( -2.00000000000000, -4.05000000000000, -1.30000000000000 ) ); +#11730 = CARTESIAN_POINT( '', ( -2.00000000000000, -4.15000000000000, -1.30000000000000 ) ); +#11731 = VECTOR( '', #12128, 1000.00000000000 ); +#11732 = CARTESIAN_POINT( '', ( -2.00000000000000, -4.05000000000000, -1.40000000000000 ) ); +#11733 = VECTOR( '', #12129, 1000.00000000000 ); +#11734 = CARTESIAN_POINT( '', ( 4.65000000000000, 1.30000000000000, -1.40000000000000 ) ); +#11735 = CARTESIAN_POINT( '', ( 4.75000000000000, 1.30000000000000, -1.40000000000000 ) ); +#11736 = VECTOR( '', #12130, 1000.00000000000 ); +#11737 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.30000000000000, -1.40000000000000 ) ); +#11738 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.40000000000000, -1.40000000000000 ) ); +#11739 = VECTOR( '', #12131, 1000.00000000000 ); +#11740 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.30000000000000, -1.40000000000000 ) ); +#11741 = CARTESIAN_POINT( '', ( 3.85000000000000, -1.30000000000000, -1.40000000000000 ) ); +#11742 = VECTOR( '', #12132, 1000.00000000000 ); +#11743 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.40000000000000, -1.40000000000000 ) ); +#11744 = VECTOR( '', #12133, 1000.00000000000 ); +#11745 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.40000000000000, -1.30000000000000 ) ); +#11746 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.40000000000000, -1.30000000000000 ) ); +#11747 = CARTESIAN_POINT( '', ( 4.75000000000000, -1.40000000000000, -1.30000000000000 ) ); +#11748 = VECTOR( '', #12134, 1000.00000000000 ); +#11749 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.40000000000000, -1.40000000000000 ) ); +#11750 = VECTOR( '', #12135, 1000.00000000000 ); +#11751 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.40000000000000, -1.40000000000000 ) ); +#11752 = VECTOR( '', #12136, 1000.00000000000 ); +#11753 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.05000000000000, -1.40000000000000 ) ); +#11754 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.15000000000000, -1.40000000000000 ) ); +#11755 = VECTOR( '', #12137, 1000.00000000000 ); +#11756 = CARTESIAN_POINT( '', ( 1.90000000000000, 4.05000000000000, -1.40000000000000 ) ); +#11757 = CARTESIAN_POINT( '', ( -2.00000000000000, 4.05000000000000, -1.40000000000000 ) ); +#11758 = VECTOR( '', #12138, 1000.00000000000 ); +#11759 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.45000000000000, -1.40000000000000 ) ); +#11760 = VECTOR( '', #12139, 1000.00000000000 ); +#11761 = CARTESIAN_POINT( '', ( 2.00000000000000, 4.05000000000000, -1.30000000000000 ) ); +#11762 = CARTESIAN_POINT( '', ( 2.00000000000000, 4.15000000000000, -1.30000000000000 ) ); +#11763 = VECTOR( '', #12140, 1000.00000000000 ); +#11764 = CARTESIAN_POINT( '', ( 2.00000000000000, 4.05000000000000, 0.000000000000000 ) ); +#11765 = VECTOR( '', #12141, 1000.00000000000 ); +#11766 = AXIS2_PLACEMENT_3D( '', #12142, #12143, #12144 ); +#11767 = AXIS2_PLACEMENT_3D( '', #12145, #12146, #12147 ); +#11768 = AXIS2_PLACEMENT_3D( '', #12148, #12149, #12150 ); +#11769 = AXIS2_PLACEMENT_3D( '', #12151, #12152, #12153 ); +#11770 = AXIS2_PLACEMENT_3D( '', #12154, #12155, #12156 ); +#11771 = AXIS2_PLACEMENT_3D( '', #12157, #12158, #12159 ); +#11772 = AXIS2_PLACEMENT_3D( '', #12160, #12161, #12162 ); +#11773 = AXIS2_PLACEMENT_3D( '', #12163, #12164, #12165 ); +#11774 = AXIS2_PLACEMENT_3D( '', #12166, #12167, #12168 ); +#11775 = AXIS2_PLACEMENT_3D( '', #12169, #12170, #12171 ); +#11776 = AXIS2_PLACEMENT_3D( '', #12172, #12173, #12174 ); +#11777 = AXIS2_PLACEMENT_3D( '', #12175, #12176, #12177 ); +#11778 = AXIS2_PLACEMENT_3D( '', #12178, #12179, #12180 ); +#11779 = AXIS2_PLACEMENT_3D( '', #12181, #12182, #12183 ); +#11780 = AXIS2_PLACEMENT_3D( '', #12184, #12185, #12186 ); +#11781 = AXIS2_PLACEMENT_3D( '', #12187, #12188, #12189 ); +#11782 = AXIS2_PLACEMENT_3D( '', #12190, #12191, #12192 ); +#11783 = AXIS2_PLACEMENT_3D( '', #12193, #12194, #12195 ); +#11784 = AXIS2_PLACEMENT_3D( '', #12196, #12197, #12198 ); +#11785 = AXIS2_PLACEMENT_3D( '', #12199, #12200, #12201 ); +#11786 = AXIS2_PLACEMENT_3D( '', #12202, #12203, #12204 ); +#11787 = AXIS2_PLACEMENT_3D( '', #12205, #12206, #12207 ); +#11788 = AXIS2_PLACEMENT_3D( '', #12208, #12209, #12210 ); +#11789 = AXIS2_PLACEMENT_3D( '', #12211, #12212, #12213 ); +#11790 = AXIS2_PLACEMENT_3D( '', #12214, #12215, #12216 ); +#11791 = AXIS2_PLACEMENT_3D( '', #12217, #12218, #12219 ); +#11792 = AXIS2_PLACEMENT_3D( '', #12220, #12221, #12222 ); +#11793 = AXIS2_PLACEMENT_3D( '', #12223, #12224, #12225 ); +#11794 = AXIS2_PLACEMENT_3D( '', #12226, #12227, #12228 ); +#11795 = AXIS2_PLACEMENT_3D( '', #12229, #12230, #12231 ); +#11796 = AXIS2_PLACEMENT_3D( '', #12232, #12233, #12234 ); +#11797 = AXIS2_PLACEMENT_3D( '', #12235, #12236, #12237 ); +#11798 = AXIS2_PLACEMENT_3D( '', #12238, #12239, #12240 ); +#11799 = AXIS2_PLACEMENT_3D( '', #12241, #12242, #12243 ); +#11800 = AXIS2_PLACEMENT_3D( '', #12244, #12245, #12246 ); +#11801 = AXIS2_PLACEMENT_3D( '', #12247, #12248, #12249 ); +#11802 = AXIS2_PLACEMENT_3D( '', #12250, #12251, #12252 ); +#11803 = AXIS2_PLACEMENT_3D( '', #12253, #12254, #12255 ); +#11804 = AXIS2_PLACEMENT_3D( '', #12256, #12257, #12258 ); +#11805 = AXIS2_PLACEMENT_3D( '', #12259, #12260, #12261 ); +#11806 = AXIS2_PLACEMENT_3D( '', #12262, #12263, #12264 ); +#11807 = AXIS2_PLACEMENT_3D( '', #12265, #12266, #12267 ); +#11808 = AXIS2_PLACEMENT_3D( '', #12268, #12269, #12270 ); +#11809 = AXIS2_PLACEMENT_3D( '', #12271, #12272, #12273 ); +#11810 = AXIS2_PLACEMENT_3D( '', #12274, #12275, #12276 ); +#11811 = AXIS2_PLACEMENT_3D( '', #12277, #12278, #12279 ); +#11812 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#11813 = CARTESIAN_POINT( '', ( -2.00000000000000, 0.650000000000000, 2.30000000000000 ) ); +#11814 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11815 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11816 = DIRECTION( '', ( -1.00000000000000, -3.08011980819746E-016, 0.000000000000000 ) ); +#11817 = CARTESIAN_POINT( '', ( 2.00000000000000, 0.650000000000000, 2.30000000000000 ) ); +#11818 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11819 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11820 = DIRECTION( '', ( -5.78241158658936E-016, -1.00000000000000, 0.000000000000000 ) ); +#11821 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.25000000000000, 2.30000000000000 ) ); +#11822 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11823 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11824 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11825 = DIRECTION( '', ( 0.656059028990507, -0.754709580222773, 0.000000000000000 ) ); +#11826 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11827 = DIRECTION( '', ( -0.656059028990507, -0.754709580222772, 0.000000000000000 ) ); +#11828 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11829 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.25000000000000, 2.30000000000000 ) ); +#11830 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11831 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11832 = DIRECTION( '', ( -5.78241158658936E-016, 1.00000000000000, 0.000000000000000 ) ); +#11833 = CARTESIAN_POINT( '', ( 2.00000000000000, -0.650000000000000, 2.30000000000000 ) ); +#11834 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11835 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11836 = DIRECTION( '', ( -1.00000000000000, -3.08011980819746E-016, 0.000000000000000 ) ); +#11837 = CARTESIAN_POINT( '', ( -2.00000000000000, -0.650000000000000, 2.30000000000000 ) ); +#11838 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11839 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11840 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11841 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.25000000000000, 2.30000000000000 ) ); +#11842 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11843 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11844 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11845 = DIRECTION( '', ( -0.656059028990507, 0.754709580222772, 0.000000000000000 ) ); +#11846 = DIRECTION( '', ( -1.39726486508203E-016, 1.00000000000000, 0.000000000000000 ) ); +#11847 = DIRECTION( '', ( 0.656059028990507, 0.754709580222772, 0.000000000000000 ) ); +#11848 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11849 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.25000000000000, 2.30000000000000 ) ); +#11850 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11851 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11852 = CARTESIAN_POINT( '', ( 3.95000000000000, 1.30000000000000, -1.30000000000000 ) ); +#11853 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11854 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11855 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11856 = CARTESIAN_POINT( '', ( 3.95000000000000, 1.30000000000000, 0.000000000000000 ) ); +#11857 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11858 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11859 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11860 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11861 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11862 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11863 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11864 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11865 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11866 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11867 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11868 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11869 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11870 = DIRECTION( '', ( 0.656059028990507, 0.754709580222772, 0.000000000000000 ) ); +#11871 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11872 = CARTESIAN_POINT( '', ( 3.95000000000000, 1.30000000000000, -1.30000000000000 ) ); +#11873 = DIRECTION( '', ( -2.16840434497101E-015, 1.00000000000000, 0.000000000000000 ) ); +#11874 = DIRECTION( '', ( -1.00000000000000, -2.16840434497101E-015, 0.000000000000000 ) ); +#11875 = CARTESIAN_POINT( '', ( 3.95000000000000, 1.30000000000000, -1.30000000000000 ) ); +#11876 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11877 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11878 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11879 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11880 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11881 = DIRECTION( '', ( -1.00000000000000, 9.13012355777267E-017, 0.000000000000000 ) ); +#11882 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.05000000000000, 0.000000000000000 ) ); +#11883 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11884 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11885 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#11886 = CARTESIAN_POINT( '', ( 4.65000000000000, 1.30000000000000, 0.000000000000000 ) ); +#11887 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11888 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11889 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11890 = DIRECTION( '', ( 0.656059028990507, -0.754709580222773, 0.000000000000000 ) ); +#11891 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.55000000000000, 0.000000000000000 ) ); +#11892 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11893 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11894 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#11895 = CARTESIAN_POINT( '', ( 1.90000000000000, 4.05000000000000, 0.000000000000000 ) ); +#11896 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11897 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11898 = CARTESIAN_POINT( '', ( 3.75000000000000, 3.15000000000000, 0.000000000000000 ) ); +#11899 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11900 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11901 = CARTESIAN_POINT( '', ( -3.75000000000000, 3.15000000000000, 0.000000000000000 ) ); +#11902 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11903 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11904 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11905 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.05000000000000, 0.000000000000000 ) ); +#11906 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11907 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11908 = DIRECTION( '', ( -1.00000000000000, 9.13012355777267E-017, 0.000000000000000 ) ); +#11909 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.05000000000000, 0.000000000000000 ) ); +#11910 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11911 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11912 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11913 = CARTESIAN_POINT( '', ( -1.90000000000000, 3.55000000000000, 0.000000000000000 ) ); +#11914 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11915 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11916 = DIRECTION( '', ( -1.39726486508203E-016, 1.00000000000000, 0.000000000000000 ) ); +#11917 = CARTESIAN_POINT( '', ( -3.95000000000000, 1.30000000000000, 0.000000000000000 ) ); +#11918 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11919 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11920 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11921 = CARTESIAN_POINT( '', ( -4.65000000000000, 1.30000000000000, 0.000000000000000 ) ); +#11922 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11923 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11924 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11925 = CARTESIAN_POINT( '', ( -1.90000000000000, 3.55000000000000, -1.30000000000000 ) ); +#11926 = DIRECTION( '', ( -2.16840434497101E-015, 0.000000000000000, -1.00000000000000 ) ); +#11927 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 2.16840434497101E-015 ) ); +#11928 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.55000000000000, -1.30000000000000 ) ); +#11929 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, -2.16840434497101E-015 ) ); +#11930 = DIRECTION( '', ( -2.16840434497101E-015, 0.000000000000000, -1.00000000000000 ) ); +#11931 = CARTESIAN_POINT( '', ( -1.90000000000000, 3.55000000000000, -1.30000000000000 ) ); +#11932 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11933 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11934 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11935 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.55000000000000, -1.30000000000000 ) ); +#11936 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11937 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11938 = CARTESIAN_POINT( '', ( 1.90000000000000, 3.55000000000000, -1.30000000000000 ) ); +#11939 = DIRECTION( '', ( 0.000000000000000, 2.16840434497101E-015, -1.00000000000000 ) ); +#11940 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 2.16840434497101E-015 ) ); +#11941 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11942 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11943 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11944 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11945 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11946 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#11947 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11948 = CARTESIAN_POINT( '', ( 2.00000000000000, 3.25000000000000, 2.90000000000000 ) ); +#11949 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11950 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11951 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11952 = CARTESIAN_POINT( '', ( -2.00000000000000, 3.25000000000000, 2.90000000000000 ) ); +#11953 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11954 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11955 = DIRECTION( '', ( 5.78241158658936E-016, 1.00000000000000, 0.000000000000000 ) ); +#11956 = CARTESIAN_POINT( '', ( 2.00000000000000, 0.650000000000000, 2.90000000000000 ) ); +#11957 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11958 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11959 = DIRECTION( '', ( 1.00000000000000, 3.08011980819746E-016, 0.000000000000000 ) ); +#11960 = CARTESIAN_POINT( '', ( -2.00000000000000, 0.650000000000000, 2.90000000000000 ) ); +#11961 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11962 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11963 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11964 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11965 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11966 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11967 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.05000000000000, 0.000000000000000 ) ); +#11968 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11969 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11970 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11971 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.05000000000000, -1.30000000000000 ) ); +#11972 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11973 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11974 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.05000000000000, -1.30000000000000 ) ); +#11975 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11976 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11977 = CARTESIAN_POINT( '', ( 1.90000000000000, -4.05000000000000, -1.30000000000000 ) ); +#11978 = DIRECTION( '', ( 8.67361737988401E-015, -1.00000000000000, 0.000000000000000 ) ); +#11979 = DIRECTION( '', ( 1.00000000000000, 8.67361737988401E-015, 0.000000000000000 ) ); +#11980 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#11981 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.55000000000000, -1.30000000000000 ) ); +#11982 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#11983 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11984 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.55000000000000, -1.30000000000000 ) ); +#11985 = DIRECTION( '', ( 1.00000000000000, -2.16840434497101E-015, 0.000000000000000 ) ); +#11986 = DIRECTION( '', ( 2.16840434497101E-015, 1.00000000000000, 0.000000000000000 ) ); +#11987 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.55000000000000, -1.30000000000000 ) ); +#11988 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#11989 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11990 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11991 = CARTESIAN_POINT( '', ( 1.90000000000000, -3.55000000000000, 0.000000000000000 ) ); +#11992 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11993 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11994 = CARTESIAN_POINT( '', ( 3.75000000000000, -3.15000000000000, 0.000000000000000 ) ); +#11995 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#11996 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#11997 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#11998 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.05000000000000, 0.000000000000000 ) ); +#11999 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12000 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12001 = DIRECTION( '', ( 1.00000000000000, 9.13012355777267E-017, 0.000000000000000 ) ); +#12002 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12003 = DIRECTION( '', ( -0.656059028990507, -0.754709580222772, 0.000000000000000 ) ); +#12004 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.30000000000000, 0.000000000000000 ) ); +#12005 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12006 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12007 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12008 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.30000000000000, 0.000000000000000 ) ); +#12009 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12010 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12011 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12012 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12013 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12014 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12015 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12016 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12017 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12018 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12019 = CARTESIAN_POINT( '', ( 2.00000000000000, -3.25000000000000, 2.90000000000000 ) ); +#12020 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12021 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12022 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12023 = DIRECTION( '', ( 5.78241158658936E-016, -1.00000000000000, 0.000000000000000 ) ); +#12024 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12025 = CARTESIAN_POINT( '', ( 2.00000000000000, -0.650000000000000, 2.90000000000000 ) ); +#12026 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12027 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12028 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12029 = DIRECTION( '', ( 1.00000000000000, 3.08011980819746E-016, 0.000000000000000 ) ); +#12030 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12031 = CARTESIAN_POINT( '', ( -2.00000000000000, -3.25000000000000, 2.90000000000000 ) ); +#12032 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12033 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12034 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12035 = CARTESIAN_POINT( '', ( -2.00000000000000, -0.650000000000000, 2.90000000000000 ) ); +#12036 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12037 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12038 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12039 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12040 = DIRECTION( '', ( 1.00000000000000, 9.13012355777267E-017, 0.000000000000000 ) ); +#12041 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.05000000000000, 0.000000000000000 ) ); +#12042 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12043 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12044 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12045 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.30000000000000, 0.000000000000000 ) ); +#12046 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12047 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12048 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12049 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.30000000000000, 0.000000000000000 ) ); +#12050 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12051 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12052 = DIRECTION( '', ( -1.39726486508203E-016, 1.00000000000000, 0.000000000000000 ) ); +#12053 = DIRECTION( '', ( -0.656059028990507, 0.754709580222772, 0.000000000000000 ) ); +#12054 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.55000000000000, 0.000000000000000 ) ); +#12055 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12056 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12057 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12058 = CARTESIAN_POINT( '', ( -1.90000000000000, -4.05000000000000, 0.000000000000000 ) ); +#12059 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12060 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12061 = CARTESIAN_POINT( '', ( -3.75000000000000, -3.15000000000000, 0.000000000000000 ) ); +#12062 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12063 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12064 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12065 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12066 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12067 = DIRECTION( '', ( -1.39726486508203E-016, 1.00000000000000, 0.000000000000000 ) ); +#12068 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12069 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12070 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12071 = DIRECTION( '', ( -1.00000000000000, 9.13012355777267E-017, 0.000000000000000 ) ); +#12072 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12073 = DIRECTION( '', ( 1.00000000000000, 9.13012355777267E-017, 0.000000000000000 ) ); +#12074 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12075 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12076 = DIRECTION( '', ( -1.00000000000000, 9.13012355777267E-017, 0.000000000000000 ) ); +#12077 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12078 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12079 = DIRECTION( '', ( -1.00000000000000, 9.13012355777267E-017, 0.000000000000000 ) ); +#12080 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12081 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12082 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12083 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12084 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12085 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12086 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12087 = DIRECTION( '', ( 1.00000000000000, 9.13012355777267E-017, 0.000000000000000 ) ); +#12088 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12089 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12090 = DIRECTION( '', ( -1.00000000000000, -9.13012355777267E-017, 0.000000000000000 ) ); +#12091 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12092 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12093 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12094 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12095 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12096 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12097 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12098 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12099 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12100 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12101 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12102 = CARTESIAN_POINT( '', ( -3.75000000000000, 3.15000000000000, -1.80000000000000 ) ); +#12103 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12104 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12105 = CARTESIAN_POINT( '', ( -3.75000000000000, -3.15000000000000, -1.80000000000000 ) ); +#12106 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12107 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12108 = CARTESIAN_POINT( '', ( 3.75000000000000, -3.15000000000000, -1.80000000000000 ) ); +#12109 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12110 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12111 = CARTESIAN_POINT( '', ( 3.75000000000000, 3.15000000000000, -1.80000000000000 ) ); +#12112 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12113 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12114 = DIRECTION( '', ( -1.39726486508203E-016, 1.00000000000000, 0.000000000000000 ) ); +#12115 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12116 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12117 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12118 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12119 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12120 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12121 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12122 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12123 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12124 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12125 = DIRECTION( '', ( -1.00000000000000, -9.13012355777267E-017, 0.000000000000000 ) ); +#12126 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12127 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12128 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12129 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12130 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12131 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12132 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12133 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12134 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12135 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12136 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12137 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12138 = DIRECTION( '', ( -1.00000000000000, 9.13012355777267E-017, 0.000000000000000 ) ); +#12139 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12140 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12141 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12142 = CARTESIAN_POINT( '', ( 1.90000000000000, 4.05000000000000, -1.30000000000000 ) ); +#12143 = DIRECTION( '', ( -2.16840434497101E-015, 0.000000000000000, -1.00000000000000 ) ); +#12144 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 2.16840434497101E-015 ) ); +#12145 = CARTESIAN_POINT( '', ( 1.90000000000000, 4.05000000000000, -1.30000000000000 ) ); +#12146 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12147 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12148 = CARTESIAN_POINT( '', ( 1.90000000000000, 4.05000000000000, -1.30000000000000 ) ); +#12149 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12150 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12151 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.05000000000000, -1.30000000000000 ) ); +#12152 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 2.16840434497100E-015 ) ); +#12153 = DIRECTION( '', ( 2.16840434497101E-015, 0.000000000000000, 1.00000000000000 ) ); +#12154 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.05000000000000, 3.40000000000000 ) ); +#12155 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12156 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12157 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.05000000000000, 3.40000000000000 ) ); +#12158 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 8.67361737988405E-015 ) ); +#12159 = DIRECTION( '', ( 8.67361737988405E-015, 0.000000000000000, -1.00000000000000 ) ); +#12160 = CARTESIAN_POINT( '', ( 4.65000000000000, 4.05000000000000, 3.40000000000000 ) ); +#12161 = DIRECTION( '', ( -8.67361737988394E-015, 1.00000000000000, 0.000000000000000 ) ); +#12162 = DIRECTION( '', ( -1.00000000000000, -8.67361737988394E-015, 0.000000000000000 ) ); +#12163 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.05000000000000, 3.40000000000000 ) ); +#12164 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12165 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12166 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.05000000000000, 3.40000000000000 ) ); +#12167 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12168 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12169 = CARTESIAN_POINT( '', ( 4.65000000000000, -4.05000000000000, 3.40000000000000 ) ); +#12170 = DIRECTION( '', ( 1.00000000000000, 8.67361737988401E-015, 0.000000000000000 ) ); +#12171 = DIRECTION( '', ( -8.67361737988401E-015, 1.00000000000000, 0.000000000000000 ) ); +#12172 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.05000000000000, 3.40000000000000 ) ); +#12173 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12174 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12175 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.05000000000000, 3.40000000000000 ) ); +#12176 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12177 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12178 = CARTESIAN_POINT( '', ( -4.65000000000000, -4.05000000000000, 3.40000000000000 ) ); +#12179 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12180 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12181 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.05000000000000, 3.40000000000000 ) ); +#12182 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 8.67361737988405E-015 ) ); +#12183 = DIRECTION( '', ( 0.000000000000000, -8.67361737988405E-015, 1.00000000000000 ) ); +#12184 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.05000000000000, 3.40000000000000 ) ); +#12185 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12186 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12187 = CARTESIAN_POINT( '', ( -1.90000000000000, -4.05000000000000, -1.30000000000000 ) ); +#12188 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12189 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12190 = CARTESIAN_POINT( '', ( -1.90000000000000, -4.05000000000000, -1.30000000000000 ) ); +#12191 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12192 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12193 = CARTESIAN_POINT( '', ( -1.90000000000000, -4.05000000000000, -1.30000000000000 ) ); +#12194 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12195 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12196 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.55000000000000, -1.30000000000000 ) ); +#12197 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, -2.16840434497101E-015 ) ); +#12198 = DIRECTION( '', ( -2.16840434497101E-015, 0.000000000000000, 1.00000000000000 ) ); +#12199 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.55000000000000, -1.30000000000000 ) ); +#12200 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12201 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12202 = CARTESIAN_POINT( '', ( -1.90000000000000, -3.55000000000000, -1.30000000000000 ) ); +#12203 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12204 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12205 = CARTESIAN_POINT( '', ( -3.95000000000000, 1.30000000000000, -1.30000000000000 ) ); +#12206 = DIRECTION( '', ( -2.16840434497102E-015, 0.000000000000000, -1.00000000000000 ) ); +#12207 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 2.16840434497102E-015 ) ); +#12208 = CARTESIAN_POINT( '', ( -4.65000000000000, 1.30000000000000, -1.30000000000000 ) ); +#12209 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12210 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12211 = CARTESIAN_POINT( '', ( -3.95000000000000, 1.30000000000000, -1.30000000000000 ) ); +#12212 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12213 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12214 = CARTESIAN_POINT( '', ( -4.65000000000000, 1.30000000000000, -1.30000000000000 ) ); +#12215 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12216 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12217 = CARTESIAN_POINT( '', ( -4.65000000000000, 1.30000000000000, -1.30000000000000 ) ); +#12218 = DIRECTION( '', ( 0.000000000000000, -2.16840434497101E-015, -1.00000000000000 ) ); +#12219 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, -2.16840434497101E-015 ) ); +#12220 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12221 = DIRECTION( '', ( -2.16840434497100E-015, 0.000000000000000, -1.00000000000000 ) ); +#12222 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 2.16840434497101E-015 ) ); +#12223 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12224 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12225 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12226 = CARTESIAN_POINT( '', ( -4.65000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12227 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12228 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12229 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12230 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12231 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12232 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12233 = DIRECTION( '', ( 0.000000000000000, -2.16840434497101E-015, -1.00000000000000 ) ); +#12234 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, -2.16840434497101E-015 ) ); +#12235 = CARTESIAN_POINT( '', ( -3.95000000000000, 1.30000000000000, -1.30000000000000 ) ); +#12236 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 0.000000000000000 ) ); +#12237 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12238 = CARTESIAN_POINT( '', ( -3.95000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12239 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12240 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12241 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.05000000000000, -1.30000000000000 ) ); +#12242 = DIRECTION( '', ( 0.000000000000000, 2.16840434497100E-015, -1.00000000000000 ) ); +#12243 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 2.16840434497101E-015 ) ); +#12244 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12245 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12246 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12247 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12248 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12249 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12250 = CARTESIAN_POINT( '', ( 4.65000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12251 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12252 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12253 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12254 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12255 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +#12256 = CARTESIAN_POINT( '', ( 4.65000000000000, 1.30000000000000, -1.30000000000000 ) ); +#12257 = DIRECTION( '', ( 0.000000000000000, 1.00000000000000, 2.16840434497101E-015 ) ); +#12258 = DIRECTION( '', ( 0.000000000000000, -2.16840434497101E-015, 1.00000000000000 ) ); +#12259 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12260 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12261 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12262 = CARTESIAN_POINT( '', ( 3.95000000000000, -1.30000000000000, -1.30000000000000 ) ); +#12263 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12264 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12265 = CARTESIAN_POINT( '', ( 4.65000000000000, 1.30000000000000, -1.30000000000000 ) ); +#12266 = DIRECTION( '', ( 1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12267 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12268 = CARTESIAN_POINT( '', ( 4.65000000000000, 1.30000000000000, -1.30000000000000 ) ); +#12269 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12270 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12271 = CARTESIAN_POINT( '', ( -1.90000000000000, 4.05000000000000, -1.30000000000000 ) ); +#12272 = DIRECTION( '', ( 8.67361737988403E-015, 1.00000000000000, 0.000000000000000 ) ); +#12273 = DIRECTION( '', ( -1.00000000000000, 8.67361737988403E-015, 0.000000000000000 ) ); +#12274 = CARTESIAN_POINT( '', ( -1.90000000000000, 3.55000000000000, -1.30000000000000 ) ); +#12275 = DIRECTION( '', ( 0.000000000000000, -1.00000000000000, 0.000000000000000 ) ); +#12276 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, -1.00000000000000 ) ); +#12277 = CARTESIAN_POINT( '', ( -4.65000000000000, 4.05000000000000, 3.40000000000000 ) ); +#12278 = DIRECTION( '', ( -1.00000000000000, 0.000000000000000, 0.000000000000000 ) ); +#12279 = DIRECTION( '', ( 0.000000000000000, 0.000000000000000, 1.00000000000000 ) ); +ENDSEC; +END-ISO-10303-21;