dsp/bench: fmt

master
Robert Jördens 2021-02-27 15:02:16 +01:00
parent f4c6e07a38
commit b2d6b5c10c
1 changed files with 1 additions and 1 deletions

View File

@ -3,7 +3,7 @@ use core::f32::consts::PI;
use easybench::bench_env;
use generic_array::typenum::U4;
use dsp::{Lowpass, atan2, cossin, iir, iir_int, PLL, RPLL};
use dsp::{atan2, cossin, iir, iir_int, Lowpass, PLL, RPLL};
fn atan2_bench() {
let xi = (10 << 16) as i32;