From 3b055b81657e2cc837faf4a074f8378cdb87266c Mon Sep 17 00:00:00 2001 From: Ryan Summers Date: Tue, 10 Aug 2021 16:45:17 +0200 Subject: [PATCH] Updating after review --- src/hardware/signal_generator.rs | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/hardware/signal_generator.rs b/src/hardware/signal_generator.rs index cfade3f..b0d744f 100644 --- a/src/hardware/signal_generator.rs +++ b/src/hardware/signal_generator.rs @@ -183,13 +183,13 @@ impl core::iter::Iterator for SignalGenerator { Signal::Cosine => (dsp::cossin(self.phase_accumulator).0 >> 16), Signal::Square => { if sign { - -i16::MAX as i32 + -1 << 15 } else { - i16::MAX as i32 + 1 << 15 } } Signal::Triangle => { - i16::MAX as i32 - (self.phase_accumulator.abs() >> 15) + (self.phase_accumulator >> 15).abs() - (1 << 15) } };