ad7172: rm debug

master
Astro 2019-09-02 00:00:50 +02:00
parent f94d915328
commit 3f7da6e328
1 changed files with 0 additions and 4 deletions

View File

@ -94,10 +94,6 @@ impl<SPI: Transfer<u8>, NSS: OutputPin> Adc<SPI, NSS> {
fn read_reg(&mut self, reg: Register, buffer: &'_ mut [u8]) -> Result<(), SPI::Error> {
buffer[0] = 0x40 | (reg as u8);
self.transfer(buffer)?;
use core::fmt::Write;
use cortex_m_semihosting::hio;
let mut stdout = hio::hstdout().unwrap();
writeln!(stdout, "ad rreg {}: {:?}", reg as u8, buffer);
Ok(())
}