From 25f62e361c20743c741172f4d383b864f40ed4ca Mon Sep 17 00:00:00 2001 From: Sebastien Bourdeauducq Date: Mon, 24 Jul 2017 15:55:30 +0800 Subject: [PATCH] add Kicad design files --- hardware/rev1/kicad/IONPAK1-cache.lib | 1156 ++ hardware/rev1/kicad/IONPAK1.kicad_pcb | 15286 ++++++++++++++++ hardware/rev1/kicad/IONPAK1.net | 4068 ++++ hardware/rev1/kicad/IONPAK1.pro | 72 + hardware/rev1/kicad/IONPAK1.sch | 6913 +++++++ hardware/rev1/kicad/ionpak.lib | 5572 ++++++ .../28F0121-0SR-10.kicad_mod | 16 + .../proj_footprints.pretty/3528RGB.kicad_mod | 14 + .../3mm_EARTH.kicad_mod | 9 + .../proj_footprints.pretty/6-SMD.kicad_mod | 29 + .../BOURNS-GDT-2051.kicad_mod | 25 + .../BOURNS-GDT-2051_ns.kicad_mod | 19 + .../COTO-9001.kicad_mod | 35 + .../COTO-9001_ns.kicad_mod | 29 + .../C_1206_ns.kicad_mod | 29 + .../proj_footprints.pretty/DO-214AB.kicad_mod | 25 + .../proj_footprints.pretty/DO-214AC.kicad_mod | 17 + .../proj_footprints.pretty/DPAK.kicad_mod | 29 + .../FCI_54601-908WPLF.kicad_mod | 23 + .../proj_footprints.pretty/H2019FNL.kicad_mod | 31 + .../NPTH_3mm_ID.kicad_mod | 9 + .../PhoenixContact_1786417_03.kicad_mod | 24 + ...Header_Straight_2x05_Pitch1.27mm.kicad_mod | 32 + .../R_1206_ns.kicad_mod | 29 + .../proj_footprints.pretty/SC-90A.kicad_mod | 18 + .../proj_footprints.pretty/SC70-5.kicad_mod | 18 + .../proj_footprints.pretty/SC70-6.kicad_mod | 32 + .../SOIC-16_3.9x9.9mm_Pitch1.27mm.kicad_mod | 48 + .../SOIC-8-N_ns.kicad_mod | 29 + .../proj_footprints.pretty/SOIC-8.kicad_mod | 23 + .../proj_footprints.pretty/SOT-223.kicad_mod | 33 + .../proj_footprints.pretty/SOT-23-5.kicad_mod | 30 + .../proj_footprints.pretty/SOT-23-6.kicad_mod | 27 + .../proj_footprints.pretty/SOT-23.kicad_mod | 16 + .../proj_footprints.pretty/SPB05A.kicad_mod | 27 + .../SW_Tactile_SKHH_Angled_v.kicad_mod | 55 + .../TDK_B66206X.kicad_mod | 23 + .../TDK_B66208X.kicad_mod | 23 + .../proj_footprints.pretty/TE_FSM5J.kicad_mod | 20 + .../proj_footprints.pretty/TQFP-128.kicad_mod | 830 + .../XTAL3_2X2_5.kicad_mod | 18 + .../bnc_90_1-1337543-0.kicad_mod | 37 + .../bnc_90_1-1337543-0_ns.kicad_mod | 37 + 43 files changed, 34835 insertions(+) create mode 100644 hardware/rev1/kicad/IONPAK1-cache.lib create mode 100644 hardware/rev1/kicad/IONPAK1.kicad_pcb create mode 100644 hardware/rev1/kicad/IONPAK1.net create mode 100644 hardware/rev1/kicad/IONPAK1.pro create mode 100644 hardware/rev1/kicad/IONPAK1.sch create mode 100644 hardware/rev1/kicad/ionpak.lib create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/28F0121-0SR-10.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/3528RGB.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/3mm_EARTH.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/6-SMD.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/BOURNS-GDT-2051.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/BOURNS-GDT-2051_ns.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/COTO-9001.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/COTO-9001_ns.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/C_1206_ns.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/DO-214AB.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/DO-214AC.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/DPAK.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/FCI_54601-908WPLF.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/H2019FNL.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/NPTH_3mm_ID.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/PhoenixContact_1786417_03.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/Pin_Header_Straight_2x05_Pitch1.27mm.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/R_1206_ns.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SC-90A.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SC70-5.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SC70-6.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SOIC-16_3.9x9.9mm_Pitch1.27mm.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SOIC-8-N_ns.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SOIC-8.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SOT-223.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SOT-23-5.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SOT-23-6.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SOT-23.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SPB05A.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/SW_Tactile_SKHH_Angled_v.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/TDK_B66206X.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/TDK_B66208X.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/TE_FSM5J.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/TQFP-128.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/XTAL3_2X2_5.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/bnc_90_1-1337543-0.kicad_mod create mode 100644 hardware/rev1/kicad/proj_footprints.pretty/bnc_90_1-1337543-0_ns.kicad_mod diff --git a/hardware/rev1/kicad/IONPAK1-cache.lib b/hardware/rev1/kicad/IONPAK1-cache.lib new file mode 100644 index 0000000..9b032c3 --- /dev/null +++ b/hardware/rev1/kicad/IONPAK1-cache.lib @@ -0,0 +1,1156 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# 3mm_Mounting_Hole +# +DEF 3mm_Mounting_Hole H 0 40 N N 1 F N +F0 "H" -150 100 60 H V C CNN +F1 "3mm_Mounting_Hole" 0 -150 60 H V C CNN +F2 "" -100 0 60 H I C CNN +F3 "" -100 0 60 H I C CNN +DRAW +C 0 0 100 0 1 0 N +ENDDRAW +ENDDEF +# +# 3mm_Mounting_Hole_SH +# +DEF 3mm_Mounting_Hole_SH H 0 40 N N 1 F N +F0 "H" -150 100 60 H V C CNN +F1 "3mm_Mounting_Hole_SH" 0 -150 60 H V C CNN +F2 "" -100 0 60 H I C CNN +F3 "" -100 0 60 H I C CNN +DRAW +C 0 0 100 0 1 0 N +X SH 1 -300 0 200 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# 74LS00 +# +DEF 74LS00 U 0 30 Y Y 4 F N +F0 "U" 0 50 50 H V C CNN +F1 "74LS00" 0 -100 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +ALIAS 74LS37 7400 74HCT00 74HC00 +$FPLIST + 14DIP300* + SO14* +$ENDFPLIST +DRAW +X GND 7 -200 -200 0 U 40 40 0 0 W N +X VCC 14 -200 200 0 D 40 40 0 0 W N +A 100 0 200 -899 899 0 1 0 N 100 -200 100 200 +P 4 0 1 0 100 200 -300 200 -300 -200 100 -200 N +X ~ 1 -600 100 300 R 50 50 1 1 I +X ~ 2 -600 -100 300 R 50 50 1 1 I +X ~ 3 600 0 300 L 50 50 1 1 O I +X ~ 4 -600 100 300 R 50 50 2 1 I +X ~ 5 -600 -100 300 R 50 50 2 1 I +X ~ 6 600 0 300 L 50 50 2 1 O I +X ~ 8 600 0 300 L 50 50 3 1 O I +X ~ 9 -600 100 300 R 50 50 3 1 I +X ~ 10 -600 -100 300 R 50 50 3 1 I +X ~ 11 600 0 300 L 50 50 4 1 O I +X ~ 12 -600 100 300 R 50 50 4 1 I +X ~ 13 -600 -100 300 R 50 50 4 1 I +A -465 0 259 504 -504 0 2 0 N -300 200 -300 -200 +A -11 143 343 -881 -246 0 2 0 N 0 -200 300 0 +A -10 -141 340 244 883 0 2 0 N 300 0 0 200 +P 2 0 2 0 -300 -200 0 -200 N +P 2 0 2 0 -300 200 0 200 N +X ~ 1 -600 100 370 R 50 50 1 2 I I +X ~ 2 -600 -100 370 R 50 50 1 2 I I +X ~ 3 600 0 300 L 50 50 1 2 O +X ~ 4 -600 100 370 R 50 50 2 2 I I +X ~ 5 -600 -100 370 R 50 50 2 2 I I +X ~ 6 600 0 300 L 50 50 2 2 O +X ~ 8 600 0 300 L 50 50 3 2 O +X ~ 9 -600 100 370 R 50 50 3 2 I I +X ~ 10 -600 -100 370 R 50 50 3 2 I I +X ~ 11 600 0 300 L 50 50 4 2 O +X ~ 12 -600 100 370 R 50 50 4 2 I I +X ~ 13 -600 -100 370 R 50 50 4 2 I I +ENDDRAW +ENDDEF +# +# 9001 +# +DEF 9001 RL 0 40 Y Y 1 F N +F0 "RL" 450 150 50 H V L CNN +F1 "9001" 450 50 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -400 200 400 -200 0 1 10 N +S -325 75 -75 -75 0 1 10 N +P 2 0 1 10 -300 -75 -100 75 N +P 2 0 1 0 -200 -200 -200 -75 N +P 2 0 1 0 -200 200 -200 75 N +P 2 0 1 10 -75 0 -50 0 N +P 2 0 1 10 -25 0 0 0 N +P 2 0 1 10 25 0 50 0 N +P 2 0 1 10 75 0 100 0 N +P 2 0 1 10 125 0 150 0 N +P 2 0 1 20 200 -100 125 150 N +P 2 0 1 0 200 -100 200 -200 N +P 2 0 1 0 300 100 300 200 N +P 3 0 1 0 300 100 275 125 300 150 N +X ~ 1 200 -300 100 U 50 50 1 1 P +X ~ 2 -200 300 100 D 50 50 1 1 P +X ~ 3 -200 -300 100 U 50 50 1 1 P +X ~ 4 300 300 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# AMS1117 +# +DEF AMS1117 U 0 30 Y Y 1 F N +F0 "U" 100 -250 50 H V C CNN +F1 "AMS1117" 0 250 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + SOT223 + SOT89-3 + TO220-3 + TO252 + TO263 +$ENDFPLIST +DRAW +S -200 -200 200 200 0 1 10 N +X GND/ADJ 1 0 -300 100 U 50 50 1 1 W +X VO 2 300 0 100 L 50 50 1 1 w +X VI 3 -300 0 100 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# BAS116 +# +DEF BAS116 D 0 10 Y N 1 F N +F0 "D" -50 80 50 H V L CNN +F1 "BAS116" -150 -80 50 H V L CNN +F2 "" 0 0 50 V V C CNN +F3 "" 0 0 50 V V C CNN +DRAW +P 2 0 1 0 -30 -40 -30 40 N +P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F +X A 1 100 0 70 L 50 50 1 1 P +X K 3 -100 0 70 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# BC807-40 +# +DEF BC807-40 Q 0 0 Y Y 1 F N +F0 "Q" 200 75 50 H V L CNN +F1 "BC807-40" 200 0 50 H V L CNN +F2 "SOT-23" 200 -75 50 H V L CIN +F3 "" 0 0 50 H V L CNN +ALIAS BC808-40 +$FPLIST + SOT-23* +$ENDFPLIST +DRAW +C 50 0 111 0 1 10 N +P 2 0 1 0 0 0 25 0 N +P 2 0 1 0 100 100 25 25 N +P 3 0 1 0 25 -25 100 -100 100 -100 N +P 3 0 1 20 25 75 25 -75 25 -75 N +P 5 0 1 0 75 -55 55 -75 35 -35 75 -55 75 -55 F +X B 1 -200 0 200 R 50 50 1 1 I +X E 2 100 -200 100 U 50 50 1 1 P +X C 3 100 200 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# BNC +# +DEF BNC P 0 40 Y N 1 F N +F0 "P" 10 120 50 H V C CNN +F1 "BNC" 110 -60 50 V V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + BNC_* + bnc + bnc-* +$ENDFPLIST +DRAW +C 0 0 20 0 1 8 N +C 0 0 70 0 1 12 N +X In 1 -150 0 130 R 40 40 1 1 P +X Ext 2 0 -200 130 U 40 40 1 1 P +ENDDRAW +ENDDEF +# +# CONN_01X02 +# +DEF CONN_01X02 P 0 40 Y N 1 F N +F0 "P" 0 150 50 H V C CNN +F1 "CONN_01X02" 100 0 50 V V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Pin_Header_Straight_1X02 + Pin_Header_Angled_1X02 + Socket_Strip_Straight_1X02 + Socket_Strip_Angled_1X02 +$ENDFPLIST +DRAW +S -50 -45 10 -55 0 1 0 N +S -50 55 10 45 0 1 0 N +S -50 100 50 -100 0 1 0 N +X P1 1 -200 50 150 R 50 50 1 1 P +X P2 2 -200 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# CONN_01X03 +# +DEF CONN_01X03 P 0 40 Y N 1 F N +F0 "P" 0 200 50 H V C CNN +F1 "CONN_01X03" 100 0 50 V V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Pin_Header_Straight_1X03 + Pin_Header_Angled_1X03 + Socket_Strip_Straight_1X03 + Socket_Strip_Angled_1X03 +$ENDFPLIST +DRAW +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 150 50 -150 0 1 0 N +X P1 1 -200 100 150 R 50 50 1 1 P +X P2 2 -200 0 150 R 50 50 1 1 P +X P3 3 -200 -100 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# CONN_01X05 +# +DEF CONN_01X05 P 0 40 Y N 1 F N +F0 "P" 0 300 50 H V C CNN +F1 "CONN_01X05" 100 0 50 V V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Pin_Header_Straight_1X05 + Pin_Header_Angled_1X05 + Socket_Strip_Straight_1X05 + Socket_Strip_Angled_1X05 +$ENDFPLIST +DRAW +S -50 -195 10 -205 0 1 0 N +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 205 10 195 0 1 0 N +S -50 250 50 -250 0 1 0 N +X P1 1 -200 200 150 R 50 50 1 1 P +X P2 2 -200 100 150 R 50 50 1 1 P +X P3 3 -200 0 150 R 50 50 1 1 P +X P4 4 -200 -100 150 R 50 50 1 1 P +X P5 5 -200 -200 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# CONN_01X06 +# +DEF CONN_01X06 P 0 40 Y N 1 F N +F0 "P" 0 350 50 H V C CNN +F1 "CONN_01X06" 100 0 50 V V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Pin_Header_Straight_1X06 + Pin_Header_Angled_1X06 + Socket_Strip_Straight_1X06 + Socket_Strip_Angled_1X06 +$ENDFPLIST +DRAW +S -50 -245 10 -255 0 1 0 N +S -50 -145 10 -155 0 1 0 N +S -50 -45 10 -55 0 1 0 N +S -50 55 10 45 0 1 0 N +S -50 155 10 145 0 1 0 N +S -50 255 10 245 0 1 0 N +S -50 300 50 -300 0 1 0 N +X P1 1 -200 250 150 R 50 50 1 1 P +X P2 2 -200 150 150 R 50 50 1 1 P +X P3 3 -200 50 150 R 50 50 1 1 P +X P4 4 -200 -50 150 R 50 50 1 1 P +X P5 5 -200 -150 150 R 50 50 1 1 P +X P6 6 -200 -250 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# CONN_02X05 +# +DEF CONN_02X05 P 0 1 Y N 1 F N +F0 "P" 0 300 50 H V C CNN +F1 "CONN_02X05" 0 -300 50 H V C CNN +F2 "" 0 -1200 50 H V C CNN +F3 "" 0 -1200 50 H V C CNN +$FPLIST + Pin_Header_Straight_2X05 + Pin_Header_Angled_2X05 + Socket_Strip_Straight_2X05 + Socket_Strip_Angled_2X05 +$ENDFPLIST +DRAW +S -100 -195 -50 -205 0 1 0 N +S -100 -95 -50 -105 0 1 0 N +S -100 5 -50 -5 0 1 0 N +S -100 105 -50 95 0 1 0 N +S -100 205 -50 195 0 1 0 N +S -100 250 100 -250 0 1 0 N +S 50 -195 100 -205 0 1 0 N +S 50 -95 100 -105 0 1 0 N +S 50 5 100 -5 0 1 0 N +S 50 105 100 95 0 1 0 N +S 50 205 100 195 0 1 0 N +X P1 1 -250 200 150 R 50 50 1 1 P +X P2 2 250 200 150 L 50 50 1 1 P +X P3 3 -250 100 150 R 50 50 1 1 P +X P4 4 250 100 150 L 50 50 1 1 P +X P5 5 -250 0 150 R 50 50 1 1 P +X P6 6 250 0 150 L 50 50 1 1 P +X P7 7 -250 -100 150 R 50 50 1 1 P +X P8 8 250 -100 150 L 50 50 1 1 P +X P9 9 -250 -200 150 R 50 50 1 1 P +X P10 10 250 -200 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# CP1_Small +# +DEF CP1_Small C 0 10 N N 1 F N +F0 "C" 10 70 50 H V L CNN +F1 "CP1_Small" 10 -80 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + CP* + Elko* + TantalC* + C*elec + c_elec* + SMD*_Pol +$ENDFPLIST +DRAW +A 0 -140 125 1186 614 0 1 12 N -60 -30 60 -30 +P 2 0 1 12 -60 20 60 20 N +P 2 0 1 0 -50 60 -30 60 N +P 2 0 1 0 -40 50 -40 70 N +X ~ 1 0 100 80 D 40 40 1 1 P +X ~ 2 0 -100 80 U 40 40 1 1 P +ENDDRAW +ENDDEF +# +# C_Small +# +DEF C_Small C 0 10 N N 1 F N +F0 "C" 10 70 50 H V L CNN +F1 "C_Small" 10 -80 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + C? + C_????_* + C_???? + SMD*_c + Capacitor* +$ENDFPLIST +DRAW +P 2 0 1 13 -60 -20 60 -20 N +P 2 0 1 12 -60 20 60 20 N +X ~ 1 0 100 75 D 40 40 1 1 P +X ~ 2 0 -100 80 U 40 40 1 1 P +ENDDRAW +ENDDEF +# +# Crystal_4_Pin_1_3_Active +# +DEF Crystal_4_Pin_1_3_Active Y 0 40 N N 1 F N +F0 "Y" 0 150 50 H V C CNN +F1 "Crystal_4_Pin_1_3_Active" 0 -200 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Crystal_ +$ENDFPLIST +DRAW +S -100 100 100 -150 0 1 0 N +S -30 -60 30 60 0 1 0 N +P 2 0 1 0 -50 -30 -50 30 N +P 2 0 1 0 50 -30 50 30 N +X 1 1 -150 0 98 R 40 40 1 1 P +X GND 2 -150 -100 100 R 50 50 1 1 W +X 3 3 150 0 98 L 40 40 1 1 P +X GND 4 150 -100 100 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# D_Small +# +DEF D_Small D 0 10 N N 1 F N +F0 "D" -50 80 50 H V L CNN +F1 "D_Small" -150 -80 50 H V L CNN +F2 "" 0 0 50 V V C CNN +F3 "" 0 0 50 V V C CNN +$FPLIST + Diode_* + D-Pak_TO252AA + *SingleDiode + *SingleDiode* + *_Diode_* +$ENDFPLIST +DRAW +P 2 0 1 0 -30 -40 -30 40 N +P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F +X K 1 -100 0 70 R 50 50 1 1 P +X A 2 100 0 70 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# FAN3100 +# +DEF FAN3100 U 0 40 Y Y 1 F N +F0 "U" -350 400 60 H V L CNN +F1 "FAN3100" 350 400 60 H V R CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -350 350 350 -250 0 1 0 N +X VDD 1 -500 250 150 R 30 50 1 1 P +X GND 2 0 -400 150 U 30 50 1 1 P +X IN+ 3 -500 50 150 R 30 50 1 1 P +X IN- 4 -500 -50 150 R 30 50 1 1 P +X OUT 5 500 0 150 L 30 50 1 1 P +ENDDRAW +ENDDEF +# +# GDT +# +DEF GDT GDT 0 0 N Y 1 F N +F0 "GDT" 200 50 50 H V L CNN +F1 "GDT" 200 -50 50 H V L CNN +F2 "" -70 0 50 V V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +C 0 0 180 0 1 0 N +P 4 0 1 0 -50 150 0 100 50 150 -50 150 F +P 4 0 1 0 50 -150 -50 -150 0 -100 50 -150 F +X ~ 1 0 250 100 D 50 50 1 1 P +X ~ 2 0 -250 100 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# GND +# +DEF GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# GNDPWR +# +DEF GNDPWR #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -200 50 H I C CNN +F1 "GNDPWR" 0 -130 50 H V C CNN +F2 "" 0 -50 50 H V C CNN +F3 "" 0 -50 50 H V C CNN +DRAW +P 2 0 1 0 0 -50 0 0 N +P 3 0 1 8 -40 -50 -50 -80 -50 -80 N +P 3 0 1 8 -20 -50 -30 -80 -30 -80 N +P 3 0 1 8 0 -50 -10 -80 -10 -80 N +P 3 0 1 8 20 -50 10 -80 10 -80 N +P 3 0 1 8 40 -50 -40 -50 -40 -50 N +P 4 0 1 8 40 -50 30 -80 30 -80 30 -80 N +X GNDPWR 1 0 0 0 U 40 40 1 1 W N +ENDDRAW +ENDDEF +# +# H11L +# +DEF H11L Q 0 1 Y Y 1 F N +F0 "Q" -300 600 60 H V C CNN +F1 "H11L" 50 600 60 H V C CNN +F2 "" 0 -75 60 H I C CNN +F3 "" 0 -75 60 H I C CNN +DRAW +S 50 300 300 -100 0 0 0 N +S 300 350 -150 -150 0 1 0 N +P 2 0 1 0 -90 90 -60 90 N +P 4 0 1 0 -90 120 -75 95 -60 120 -90 120 F +P 5 0 1 0 -150 250 -75 250 -75 -50 -100 -50 -150 -50 N +P 5 0 1 0 -40 85 -5 85 -25 95 -25 75 -5 85 F +P 5 0 1 0 -40 125 -5 125 -25 135 -25 115 -5 125 F +X ~ 1 -250 250 100 R 50 50 1 1 P +X ~ 2 -250 -50 100 R 50 50 1 1 P +X OUT 4 400 100 100 L 50 50 1 1 P +X GND 5 400 -50 100 L 50 50 1 1 W +X VCC 6 400 250 100 L 50 50 1 1 w +ENDDRAW +ENDDEF +# +# HX1198FNL +# +DEF HX1198FNL T 0 0 Y Y 1 F N +F0 "T" -475 925 50 H V C CNN +F1 "HX1198FNL" 325 925 50 H V C CNN +F2 "" -278 -199 50 H V C CIN +F3 "" -277 451 50 H V C CNN +DRAW +A -363 -714 30 -899 899 0 1 8 N -363 -744 -363 -684 +A -363 -654 30 -899 899 0 1 8 N -363 -684 -363 -624 +A -363 -654 30 -899 899 0 1 8 N -363 -684 -363 -624 +A -363 -594 30 -899 899 0 1 8 N -363 -624 -363 -564 +A -363 -594 30 -899 899 0 1 8 N -363 -624 -363 -564 +A -363 -314 30 -899 899 0 1 8 N -363 -344 -363 -284 +A -363 -254 30 -899 899 0 1 8 N -363 -284 -363 -224 +A -363 -254 30 -899 899 0 1 8 N -363 -284 -363 -224 +A -363 -194 30 -899 899 0 1 8 N -363 -224 -363 -164 +A -363 -194 30 -899 899 0 1 8 N -363 -224 -363 -164 +A -362 186 30 -899 899 0 1 8 N -362 156 -362 216 +A -362 246 30 -899 899 0 1 8 N -362 216 -362 276 +A -362 246 30 -899 899 0 1 8 N -362 216 -362 276 +A -362 306 30 -899 899 0 1 8 N -362 276 -362 336 +A -362 306 30 -899 899 0 1 8 N -362 276 -362 336 +A -362 586 30 -899 899 0 1 8 N -362 556 -362 616 +A -362 646 30 -899 899 0 1 8 N -362 616 -362 676 +A -362 646 30 -899 899 0 1 8 N -362 616 -362 676 +A -362 706 30 -899 899 0 1 8 N -362 676 -362 736 +A -362 706 30 -899 899 0 1 8 N -362 676 -362 736 +A -193 -714 30 901 -901 0 1 8 N -193 -684 -193 -744 +A -193 -654 30 901 -901 0 1 8 N -193 -624 -193 -684 +A -193 -654 30 901 -901 0 1 8 N -193 -624 -193 -684 +A -193 -594 30 901 -901 0 1 8 N -193 -564 -193 -624 +A -193 -594 30 901 -901 0 1 8 N -193 -564 -193 -624 +A -193 -314 30 901 -901 0 1 8 N -193 -284 -193 -344 +A -193 -254 30 901 -901 0 1 8 N -193 -224 -193 -284 +A -193 -254 30 901 -901 0 1 8 N -193 -224 -193 -284 +A -193 -194 30 901 -901 0 1 8 N -193 -164 -193 -224 +A -193 -194 30 901 -901 0 1 8 N -193 -164 -193 -224 +A -192 186 30 901 -901 0 1 8 N -192 216 -192 156 +A -192 246 30 901 -901 0 1 8 N -192 276 -192 216 +A -192 246 30 901 -901 0 1 8 N -192 276 -192 216 +A -192 306 30 901 -901 0 1 8 N -192 336 -192 276 +A -192 306 30 901 -901 0 1 8 N -192 336 -192 276 +A -192 586 30 901 -901 0 1 8 N -192 616 -192 556 +A -192 646 30 901 -901 0 1 8 N -192 676 -192 616 +A -192 646 30 901 -901 0 1 8 N -192 676 -192 616 +A -192 706 30 901 -901 0 1 8 N -192 736 -192 676 +A -192 706 30 901 -901 0 1 8 N -192 736 -192 676 +A 257 -269 30 1 1799 0 1 8 N 287 -269 227 -269 +A 257 -269 30 1 1799 0 1 8 N 287 -269 227 -269 +A 257 -99 30 -1799 -1 0 1 8 N 227 -99 287 -99 +A 257 -99 30 -1799 -1 0 1 8 N 227 -99 287 -99 +A 258 101 30 1 1799 0 1 8 N 288 101 228 101 +A 258 101 30 1 1799 0 1 8 N 288 101 228 101 +A 258 271 30 -1799 -1 0 1 8 N 228 271 288 271 +A 258 271 30 -1799 -1 0 1 8 N 228 271 288 271 +A 317 -269 30 1 1799 0 1 8 N 347 -269 287 -269 +A 317 -269 30 1 1799 0 1 8 N 347 -269 287 -269 +A 317 -99 30 -1799 -1 0 1 8 N 287 -99 347 -99 +A 317 -99 30 -1799 -1 0 1 8 N 287 -99 347 -99 +A 318 101 30 1 1799 0 1 8 N 348 101 288 101 +A 318 101 30 1 1799 0 1 8 N 348 101 288 101 +A 318 271 30 -1799 -1 0 1 8 N 288 271 348 271 +A 318 271 30 -1799 -1 0 1 8 N 288 271 348 271 +A 377 -269 30 1 1799 0 1 8 N 407 -269 347 -269 +A 377 -99 30 -1799 -1 0 1 8 N 347 -99 407 -99 +A 378 101 30 1 1799 0 1 8 N 408 101 348 101 +A 378 271 30 -1799 -1 0 1 8 N 348 271 408 271 +C -363 -594 5 0 1 0 N +C -363 -449 11 0 1 0 F +C -363 -194 5 0 1 0 N +C -362 306 5 0 1 0 N +C -362 451 11 0 1 0 F +C -362 706 5 0 1 0 N +C -193 -594 5 0 1 0 N +C -193 -449 11 0 1 0 F +C -193 -194 5 0 1 0 N +C -192 306 5 0 1 0 N +C -192 451 11 0 1 0 F +C -192 706 5 0 1 0 N +C 257 -269 5 0 1 0 N +C 257 -99 5 0 1 0 N +C 258 101 5 0 1 0 N +C 258 271 5 0 1 0 N +S -500 875 525 -850 0 1 0 N +P 2 0 1 0 -363 -449 -553 -449 N +P 2 0 1 0 -363 -344 -363 -564 N +P 2 0 1 0 -362 451 -552 451 N +P 2 0 1 0 -362 556 -362 336 N +P 2 0 1 0 -303 -164 -303 -744 N +P 2 0 1 0 -302 736 -302 156 N +P 2 0 1 0 -258 -744 -258 -164 N +P 2 0 1 0 -257 156 -257 736 N +P 2 0 1 0 -193 -564 -193 -344 N +P 2 0 1 0 -193 -449 598 -449 N +P 2 0 1 0 -192 336 -192 556 N +P 2 0 1 0 -192 451 598 450 N +P 2 0 1 0 158 161 408 161 N +P 2 0 1 0 222 -159 482 -159 N +P 2 0 1 0 407 -99 597 -99 N +P 2 0 1 0 408 101 598 101 N +P 2 0 1 0 408 206 158 206 N +P 2 0 1 0 482 -204 222 -204 N +P 3 0 1 0 -553 -99 -363 -99 -363 -164 N +P 3 0 1 0 -552 801 -362 801 -362 736 N +P 3 0 1 0 -363 -744 -363 -799 -553 -799 N +P 3 0 1 0 -362 156 -362 101 -552 101 N +P 3 0 1 0 -193 -164 -193 -99 227 -99 N +P 3 0 1 0 -192 156 -192 101 228 101 N +P 4 0 1 2 155 137 155 37 480 -28 480 -133 N +P 4 0 1 0 407 -269 447 -269 447 -799 598 -799 N +P 4 0 1 0 408 271 448 271 448 801 598 801 N +P 5 0 1 0 227 -269 182 -269 182 -799 -193 -799 -193 -744 N +P 5 0 1 0 228 271 183 271 183 801 -192 801 -192 736 N +X TD+ 1 -650 800 100 R 50 50 1 1 P +X TCT 2 -650 450 100 R 50 50 1 1 P +X TD- 3 -650 100 100 R 50 50 1 1 P +X ~ 4 -450 50 100 R 50 50 1 1 N N +X ~ 5 -450 -50 100 R 50 50 1 1 N N +X RD+ 6 -650 -100 100 R 50 50 1 1 P +X RCT 7 -650 -450 100 R 50 50 1 1 P +X RD- 8 -650 -800 100 R 50 50 1 1 P +X RX- 9 700 -800 100 L 50 50 1 1 P +X RXCT 10 700 -450 100 L 50 50 1 1 P +X RX+ 11 700 -100 100 L 50 50 1 1 P +X ~ 12 0 50 100 R 50 50 1 1 N N +X ~ 13 0 -50 100 R 50 50 1 1 N N +X TX- 14 700 100 100 L 50 50 1 1 P +X TXCT 15 700 450 100 L 50 50 1 1 P +X TX+ 16 700 800 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# INDUCTOR_SMALL +# +DEF INDUCTOR_SMALL L 0 0 N N 1 F N +F0 "L" 0 100 50 H V C CNN +F1 "INDUCTOR_SMALL" 0 -50 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +A -150 0 50 1 1799 0 1 0 N -100 0 -200 0 +A -50 0 50 1 1799 0 1 0 N 0 0 -100 0 +A 50 0 50 1 1799 0 1 0 N 100 0 0 0 +A 150 0 50 1 1799 0 1 0 N 200 0 100 0 +X 1 1 -250 0 50 R 30 30 1 1 I +X 2 2 250 0 50 L 30 30 1 1 I +ENDDRAW +ENDDEF +# +# LM2664 +# +DEF LM2664 U 0 40 Y Y 1 F N +F0 "U" -300 300 50 H V L CNN +F1 "LM2664" -300 -450 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -300 250 300 -400 0 1 0 N +X CAP+ 6 -600 150 300 R 50 50 0 1 P +X GND 1 -600 -150 300 R 50 50 1 1 W +X OUT 2 600 -300 300 L 50 50 1 1 P +X CAP1 3 -600 -300 300 R 50 50 1 1 P +X SDn 4 600 -150 300 L 50 50 1 1 I +X V+ 5 600 150 300 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM337L +# +DEF LM337L U 0 30 Y Y 1 F N +F0 "U" 150 -350 50 H V C CNN +F1 "LM337L" 0 250 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + SOT223 + SOT89-3 + TO220-3 + TO252 + TO263 +$ENDFPLIST +DRAW +S -200 -300 200 200 0 1 10 N +X VO 1 300 100 100 L 50 50 1 1 w +X VI 2 -300 100 100 R 50 50 1 1 P +X VI 3 -300 0 100 R 50 50 1 1 P +X ADJ 4 0 -400 100 U 50 50 1 1 P +X VI 6 -300 -100 100 R 50 50 1 1 P +X VI 7 -300 -200 100 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM339PT +# +DEF LM339PT U 0 20 Y Y 5 L N +F0 "U" 0 200 50 H V L CNN +F1 "LM339PT" 0 -200 50 H V L CNN +F2 "" -50 100 50 H V C CNN +F3 "" 50 200 50 H V C CNN +DRAW +P 4 0 1 6 -200 200 200 0 -200 -200 -200 200 N +X ~ 2 300 0 100 L 50 50 1 1 O +X - 4 -300 -100 100 R 50 50 1 1 I +X + 5 -300 100 100 R 50 50 1 1 I +X ~ 1 300 0 100 L 50 50 2 1 O +X - 6 -300 -100 100 R 50 50 2 1 I +X + 7 -300 100 100 R 50 50 2 1 I +X - 8 -300 -100 100 R 50 50 3 1 I +X + 9 -300 100 100 R 50 50 3 1 I +X ~ 14 300 0 100 L 50 50 3 1 O +X - 10 -300 -100 100 R 50 50 4 1 I +X + 11 -300 100 100 R 50 50 4 1 I +X ~ 13 300 0 100 L 50 50 4 1 O +X V+ 3 -100 300 150 D 50 50 5 1 W +X V- 12 -100 -300 150 U 50 50 5 1 W +ENDDRAW +ENDDEF +# +# LMP7721 +# +DEF LMP7721 U 0 20 Y Y 1 F N +F0 "U" -50 200 50 H V L CNN +F1 "LMP7721" -50 -250 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +P 4 0 1 6 -200 200 200 0 -200 -200 -200 200 N +X V- 3 -100 -300 150 U 50 50 0 1 W +X V+ 6 -100 300 150 D 50 50 0 1 W +X + 1 -300 100 100 R 50 50 1 1 P +X GND 2 550 250 200 L 50 50 1 1 W N +X ~ 4 300 0 100 L 50 50 1 1 O +X GND 7 550 150 200 L 50 50 1 1 W N +X - 8 -300 -100 100 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Led_Small_th1 +# +DEF Led_Small_th1 D 0 10 Y N 1 F N +F0 "D" -50 125 50 H V L CNN +F1 "Led_Small_th1" -175 -100 50 H V L CNN +F2 "" 0 0 50 V V C CNN +F3 "" 0 0 50 V V C CNN +DRAW +P 2 0 1 0 -30 -40 -30 40 N +P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F +P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N +P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N +X A 1 100 0 70 L 40 40 1 1 P +X K 2 -100 0 70 R 40 40 1 1 P +ENDDRAW +ENDDEF +# +# Q_NMOS_GSD +# +DEF Q_NMOS_GSD Q 0 0 Y N 1 F N +F0 "Q" 300 50 50 H V R CNN +F1 "Q_NMOS_GSD" 650 -50 50 H V R CNN +F2 "" 200 100 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +C 50 0 111 0 1 10 N +P 2 0 1 0 30 -70 100 -70 N +P 2 0 1 10 30 -50 30 -90 N +P 2 0 1 0 30 0 100 0 N +P 2 0 1 10 30 20 30 -20 N +P 2 0 1 0 30 70 100 70 N +P 2 0 1 10 30 90 30 50 N +P 2 0 1 0 100 -70 100 -100 N +P 2 0 1 0 100 -70 100 0 N +P 2 0 1 0 100 100 100 70 N +P 3 0 1 10 10 75 10 -75 10 -75 N +P 4 0 1 0 40 0 80 15 80 -15 40 0 F +X G 1 -200 0 210 R 50 50 1 1 I +X S 2 100 -200 100 U 50 50 1 1 P +X D 3 100 200 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Q_PMOS_GSD +# +DEF Q_PMOS_GSD Q 0 0 Y N 1 F N +F0 "Q" 300 50 50 H V R CNN +F1 "Q_PMOS_GSD" 650 -50 50 H V R CNN +F2 "" 200 100 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +C 50 0 111 0 1 10 N +P 2 0 1 0 30 -70 100 -70 N +P 2 0 1 10 30 -50 30 -90 N +P 2 0 1 0 30 0 100 0 N +P 2 0 1 10 30 20 30 -20 N +P 2 0 1 0 30 70 100 70 N +P 2 0 1 10 30 90 30 50 N +P 2 0 1 0 100 -70 100 -100 N +P 2 0 1 0 100 -70 100 0 N +P 2 0 1 0 100 100 100 70 N +P 3 0 1 10 10 75 10 -75 10 -75 N +P 4 0 1 0 90 0 50 -15 50 15 90 0 F +X G 1 -200 0 210 R 50 50 1 1 I +X S 2 100 -200 100 U 50 50 1 1 P +X D 3 100 200 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# RJ45_NO_SHIELD +# +DEF RJ45_NO_SHIELD J 0 40 Y Y 1 F N +F0 "J" -350 500 50 H V C CNN +F1 "RJ45_NO_SHIELD" 100 500 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -400 -300 400 450 0 1 0 N +P 3 0 1 0 -175 200 -175 250 -175 250 N +P 3 0 1 0 -125 250 -125 200 -125 200 N +P 3 0 1 0 -75 250 -75 200 -75 200 N +P 3 0 1 0 -25 250 -25 200 -25 200 N +P 3 0 1 0 25 250 25 200 25 200 N +P 3 0 1 0 75 250 75 200 75 200 N +P 3 0 1 0 125 200 125 250 125 250 N +P 3 0 1 0 175 200 175 250 175 250 N +P 14 0 1 0 -225 250 225 250 225 -150 125 -150 125 -200 75 -200 75 -250 -75 -250 -75 -200 -125 -200 -125 -150 -225 -150 -225 250 -225 250 N +X ~ 1 -350 -450 150 U 50 50 1 1 P +X ~ 2 -250 -450 150 U 50 50 1 1 P +X ~ 3 -150 -450 150 U 50 50 1 1 P +X ~ 4 -50 -450 150 U 50 50 1 1 P +X ~ 5 50 -450 150 U 50 50 1 1 P +X ~ 6 150 -450 150 U 50 50 1 1 P +X ~ 7 250 -450 150 U 50 30 1 1 P +X ~ 8 350 -450 150 U 50 30 1 1 P +ENDDRAW +ENDDEF +# +# R_Small +# +DEF R_Small R 0 10 N N 1 F N +F0 "R" 30 20 50 H V L CNN +F1 "R_Small" 30 -40 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Resistor_* + R_* +$ENDFPLIST +DRAW +S -30 70 30 -70 0 1 8 N +X ~ 1 0 100 30 D 40 40 1 1 P +X ~ 2 0 -100 30 U 40 40 1 1 P +ENDDRAW +ENDDEF +# +# SPB05A-05 +# +DEF SPB05A-05 U 0 40 Y Y 1 F N +F0 "U" -300 300 50 H V L CNN +F1 "SPB05A-05" -300 -450 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -300 250 300 -400 0 1 0 N +X IN+ 2 -600 150 300 R 50 50 0 1 P +X VIN- 1 -600 -150 300 R 50 50 1 1 P +X VOUT+ 6 600 150 300 L 50 50 1 1 P +X VOUT- 7 600 -150 300 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# SRV05-4 +# +DEF SRV05-4 D 0 40 Y Y 1 F N +F0 "D" -400 100 60 H V L CNN +F1 "SRV05-4" 400 100 60 H V R CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +S -400 50 400 -350 0 1 0 N +X ESD1 1 -600 0 200 R 50 50 1 1 P +X GND 2 600 -300 200 L 50 50 1 1 W +X ESD2 3 -600 -100 200 R 50 50 1 1 P +X ESD3 4 -600 -200 200 R 50 50 1 1 P +X VCC 5 600 0 200 L 50 50 1 1 P +X ESD4 6 -600 -300 200 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# SW_PBTN_2PIN_V +# +DEF SW_PBTN_2PIN_V S 0 40 N N 1 F N +F0 "S" 80 110 50 H V C CNN +F1 "SW_PBTN_2PIN_V" 360 -60 50 H V C CNN +F2 "" 0 200 50 H V C CNN +F3 "" 0 200 50 H V C CNN +DRAW +C -90 0 10 0 1 0 N +C 90 0 10 0 1 0 N +P 2 0 1 0 -110 30 110 30 N +P 4 0 1 0 -110 30 -110 40 110 40 110 30 N +P 4 0 1 0 -50 40 -40 60 40 60 50 40 N +X 1 1 -150 0 50 R 50 50 0 1 P +X 2 2 150 0 50 L 50 50 0 1 P +X GND 3 -150 -100 70 R 40 40 1 1 P +X GND 4 150 -100 70 L 40 40 1 1 P +ENDDRAW +ENDDEF +# +# TLV271 +# +DEF TLV271 U 0 20 Y Y 1 F N +F0 "U" 0 250 50 H V L CNN +F1 "TLV271" 0 150 50 H V L CNN +F2 "" 50 200 50 H V C CNN +F3 "" 0 250 50 H V C CNN +DRAW +P 4 0 1 6 -200 200 200 0 -200 -200 -200 200 N +X ~ 1 300 0 100 L 50 50 1 1 O +X V- 2 -100 -300 150 U 50 50 1 1 P +X + 3 -300 100 100 R 50 50 1 1 I +X - 4 -300 -100 100 R 50 50 1 1 I +X V+ 5 -100 300 150 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# TM4C1294KCPDT +# +DEF TM4C1294KCPDT U 0 40 Y Y 1 F N +F0 "U" -1900 2250 60 H V L CNN +F1 "TM4C1294KCPDT" -375 2250 60 H V R CNN +F2 "" 0 -100 60 H V C CNN +F3 "" 0 -100 60 H V C CNN +DRAW +S -1900 2200 -350 -6075 0 1 0 N +X T0CCP0/PD0 1 -150 1200 200 L 50 50 1 1 B +X T0CCP1/PD1 2 -150 1100 200 L 50 50 1 1 B +X T1CCP0/PD2 3 -150 1000 200 L 50 50 1 1 B +X T1CCP1/PD3 4 -150 900 200 L 50 50 1 1 B +X PQ0 5 -150 -2800 200 L 50 50 1 1 B +X PQ1 6 -150 -2900 200 L 50 50 1 1 B +X VDD1 7 -150 -4300 200 L 50 50 1 1 W +X VDDA 8 -150 -4000 200 L 50 50 1 1 P +X VREFA+ 9 -150 -4100 200 L 50 50 1 1 P +X GNDA 10 -2100 -4900 200 R 50 50 1 1 P +X PK2 20 -2100 -1200 200 R 50 50 1 1 B +X U0CTS/PH1 30 -150 -500 200 L 50 50 1 1 B +X PA6/T3CCP0 40 -2100 1500 200 R 50 50 1 1 B +X PG1/M0PWM5 50 -2100 -500 200 R 50 50 1 1 B +X PK7 60 -2100 -1700 200 R 50 50 1 1 B +X RESETN 70 -2100 -3800 200 R 50 50 1 1 I +X GND5 80 -2100 -5500 200 R 50 50 1 1 W +X VDD12 90 -150 -5300 200 L 50 50 1 1 W +X PQ2 11 -150 -3000 200 L 50 50 1 1 B +X PK3 21 -2100 -1300 200 R 50 50 1 1 B +X PH2 31 -150 -600 200 L 50 50 1 1 B +X PA7/T3CCP1 41 -2100 1400 200 R 50 50 1 1 B +X VDD8 51 -150 -4900 200 L 50 50 1 1 W +X PK6/EN0LED1 61 -2100 -1600 200 R 50 50 1 1 B +X PM7 71 -2100 -2600 200 R 50 50 1 1 B +X PL0 81 -150 -1000 200 L 50 50 1 1 B +X PB2 91 -150 1900 200 L 50 50 1 1 B +X PE3/AIN0 12 -2100 0 200 R 50 50 1 1 B +X PC7 22 -2100 500 200 R 50 50 1 1 B +X PH3 32 -150 -700 200 L 50 50 1 1 B +X M0PWM0/PF0 42 -150 300 200 L 50 50 1 1 B +X VDD9 52 -150 -5000 200 L 50 50 1 1 W +X PK5/EN0LED2 62 -2100 -1500 200 R 50 50 1 1 B +X PM6 72 -2100 -2500 200 R 50 50 1 1 B +X PL1 82 -150 -1100 200 L 50 50 1 1 B +X PB3 92 -150 1800 200 L 50 50 1 1 B +X PE2/AIN1 13 -2100 100 200 R 50 50 1 1 B +X PC6 23 -2100 600 200 R 50 50 1 1 B +X PA0/U0RX 33 -2100 2100 200 R 50 50 1 1 B +X M0PWM1/PF1 43 -150 200 200 L 50 50 1 1 B +X EN0RXIN 53 -2100 -4300 200 R 50 50 1 1 P +X PK4/EN0LED0 63 -2100 -1400 200 R 50 50 1 1 B +X PM5 73 -2100 -2400 200 R 50 50 1 1 B +X PL2 83 -150 -1200 200 L 50 50 1 1 B +X PL7 93 -150 -1700 200 L 50 50 1 1 B +X PE1/AIN2 14 -2100 200 200 R 50 50 1 1 B +X PC5 24 -2100 700 200 R 50 50 1 1 B +X PA1/U0TX 34 -2100 2000 200 R 50 50 1 1 B +X M0PWM2/PF2 44 -150 100 200 L 50 50 1 1 B +X EN0RXIP 54 -2100 -4400 200 R 50 50 1 1 P +X WAKEN 64 -150 -3600 200 L 50 50 1 1 I +X PM4 74 -2100 -2300 200 R 50 50 1 1 B +X PL3 84 -150 -1300 200 L 50 50 1 1 B +X PL6 94 -150 -1600 200 L 50 50 1 1 B +X PE0/AIN3 15 -2100 300 200 R 50 50 1 1 B +X PC4 25 -2100 800 200 R 50 50 1 1 B +X PA2 35 -2100 1900 200 R 50 50 1 1 B +X M0PWM3/PF3 45 -150 0 200 L 50 50 1 1 B +X GND3 55 -2100 -5300 200 R 50 50 1 1 W +X HIBN 65 -150 -3500 200 L 50 50 1 1 O +X PM3 75 -2100 -2200 200 R 50 50 1 1 B +X PL4 85 -150 -1400 200 L 50 50 1 1 B +X PB0 95 -150 2100 200 L 50 50 1 1 B +X VDD2 16 -150 -4400 200 L 50 50 1 1 W +X VDD3 26 -150 -4500 200 L 50 50 1 1 W +X PA3 36 -2100 1800 200 R 50 50 1 1 B +X PF4 46 -150 -100 200 L 50 50 1 1 B +X EN0TXON 56 -2100 -4500 200 R 50 50 1 1 P +X XOSC0 66 -2100 -3500 200 R 50 50 1 1 B +X PM2 76 -2100 -2100 200 R 50 50 1 1 B +X PL5 86 -150 -1500 200 L 50 50 1 1 B +X PB1 96 -150 2000 200 L 50 50 1 1 B +X GND1 17 -2100 -5100 200 R 50 50 1 1 W +X PQ3 27 -150 -3100 200 L 50 50 1 1 B +X PA4/T2CCP0 37 -2100 1700 200 R 50 50 1 1 B +X VDD6 47 -150 -4800 200 L 50 50 1 1 W +X EN0TXOP 57 -2100 -4600 200 R 50 50 1 1 P +X XOSC1 67 -2100 -3600 200 R 50 50 1 1 B +X PM1 77 -2100 -2000 200 R 50 50 1 1 B +X VDDC1 87 -150 -5800 200 L 50 50 1 1 P +X PC3/TDO/SWO 97 -2100 900 200 R 50 50 1 1 B +X PK0 18 -2100 -1000 200 R 50 50 1 1 B +X VDD4 28 -150 -4600 200 L 50 50 1 1 W +X PA5/T2CCP1 38 -2100 1600 200 R 50 50 1 1 B +X GND2 48 -2100 -5200 200 R 50 50 1 1 W +X GND4 58 -2100 -5400 200 R 50 50 1 1 W +X VBAT 68 -150 -3800 200 L 50 50 1 1 P +X PM0 78 -2100 -1900 200 R 50 50 1 1 B +X OSC0 88 -2100 -4000 200 R 50 50 1 1 P +X PC2/TDI 98 -2100 1000 200 R 50 50 1 1 B +X PK1 19 -2100 -1100 200 R 50 50 1 1 B +X U0RTS/PH0 29 -150 -400 200 L 50 50 1 1 B +X VDD5 39 -150 -4700 200 L 50 50 1 1 W +X PG0/M0PWM4 49 -2100 -400 200 R 50 50 1 1 B +X RBIAS 59 -2100 -4700 200 R 50 50 1 1 P +X VDD10 69 -150 -5100 200 L 50 50 1 1 W +X VDD11 79 -150 -5200 200 L 50 50 1 1 W +X OSC1 89 -2100 -4100 200 R 50 50 1 1 P +X PC1/TMS/SWDIO 99 -2100 1100 200 R 50 50 1 1 B +X PC0/TCK/SWCLK 100 -2100 1200 200 R 50 50 1 1 B +X PN3 110 -150 -2200 200 L 50 50 1 1 B +X PB5 120 -150 1600 200 L 50 50 1 1 B +X VDD13 101 -150 -5400 200 L 50 50 1 1 W +X PN4 111 -150 -2300 200 L 50 50 1 1 B +X PB4 121 -150 1700 200 L 50 50 1 1 W +X PQ4 102 -150 -3200 200 L 50 50 1 1 B +X PN5 112 -150 -2400 200 L 50 50 1 1 B +X VDD15 122 -150 -5600 200 L 50 50 1 1 W +X PP2 103 -2100 -3000 200 R 50 50 1 1 B +X VDD14 113 -150 -5500 200 L 50 50 1 1 W +X PE4 123 -2100 -100 200 R 50 50 1 1 B +X PP3 104 -2100 -3100 200 R 50 50 1 1 B +X GND6 114 -2100 -5600 200 R 50 50 1 1 W +X PE5 124 -2100 -200 200 R 50 50 1 1 B +X PP4 105 -2100 -3200 200 R 50 50 1 1 B +X VDDC2 115 -150 -5900 200 L 50 50 1 1 P +X AIN7/PD4 125 -150 800 200 L 50 50 1 1 B +X PP5 106 -2100 -3300 200 R 50 50 1 1 B +X PJ0 116 -2100 -700 200 R 50 50 1 1 B +X AIN6/PD5 126 -150 700 200 L 50 50 1 1 B +X PN0 107 -150 -1900 200 L 50 50 1 1 B +X PJ1 117 -2100 -800 200 R 50 50 1 1 B +X AIN5/PD6 127 -150 600 200 L 50 50 1 1 B +X PN1 108 -150 -2000 200 L 50 50 1 1 B +X PP0 118 -2100 -2800 200 R 50 50 1 1 B +X AIN4/PD7 128 -150 500 200 L 50 50 1 1 B +X PN2 109 -150 -2100 200 L 50 50 1 1 B +X PP1 119 -2100 -2900 200 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# TRANS1 +# +DEF TRANS1 TR 0 1 Y Y 1 F N +F0 "TR" -150 625 50 H V C CNN +F1 "TRANS1" 150 625 50 H V C CNN +F2 "" 0 -550 50 H V C CIN +F3 "" 0 0 50 H V C CNN +DRAW +T 0 -200 300 60 0 0 0 Pri Normal 0 C C +T 0 200 300 60 0 0 0 Sec Normal 0 C C +P 2 0 0 0 -25 505 -25 100 N +P 2 0 0 0 25 100 25 505 N +A -85 150 30 -899 899 0 1 8 N -85 120 -85 180 +A -85 210 30 -899 899 0 1 8 N -85 180 -85 240 +A -85 270 30 -899 899 0 1 8 N -85 240 -85 300 +A -85 330 30 -899 899 0 1 8 N -85 300 -85 360 +A -85 390 30 -899 899 0 1 8 N -85 360 -85 420 +A -85 450 30 -899 899 0 1 8 N -85 420 -85 480 +A 85 185 30 901 -901 0 1 8 N 85 215 85 155 +A 85 245 30 901 -901 0 1 8 N 85 275 85 215 +A 85 305 30 901 -901 0 1 8 N 85 335 85 275 +A 85 365 30 901 -901 0 1 8 N 85 395 85 335 +A 85 425 30 901 -901 0 1 8 N 85 455 85 395 +C -105 480 5 0 1 0 N +C 105 155 5 0 1 0 N +P 3 0 1 0 200 100 85 100 85 155 N +P 3 0 1 0 200 500 85 500 85 455 N +P 4 0 1 0 -85 120 -85 100 -150 100 -125 100 N +P 4 0 1 0 -85 480 -85 500 -150 500 -125 500 N +X ~ 1 -250 500 100 R 50 50 1 1 P +X ~ 2 -250 100 100 R 50 50 1 1 P +X ~ 9 300 500 100 L 50 50 1 1 P +X ~ 10 300 100 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# TSV358A +# +DEF TSV358A U 0 20 Y Y 5 L N +F0 "U" 0 200 50 H V L CNN +F1 "TSV358A" 0 -200 50 H V L CNN +F2 "" -50 100 50 H V C CNN +F3 "" 50 200 50 H V C CNN +DRAW +P 4 0 1 6 -200 200 200 0 -200 -200 -200 200 N +X ~ 1 300 0 100 L 50 50 1 1 O +X - 2 -300 -100 100 R 50 50 1 1 I +X + 3 -300 100 100 R 50 50 1 1 I +X + 5 -300 100 100 R 50 50 2 1 I +X - 6 -300 -100 100 R 50 50 2 1 I +X ~ 7 300 0 100 L 50 50 2 1 O +X ~ 8 300 0 100 L 50 50 3 1 O +X - 9 -300 -100 100 R 50 50 3 1 I +X + 10 -300 100 100 R 50 50 3 1 I +X + 12 -300 100 100 R 50 50 4 1 I +X - 13 -300 -100 100 R 50 50 4 1 I +X ~ 14 300 0 100 L 50 50 4 1 O +X V+ 4 -100 300 150 D 50 50 5 1 W +X V- 11 -100 -300 150 U 50 50 5 1 W +ENDDRAW +ENDDEF +# +# ZENER +# +DEF ZENER D 0 40 N N 1 F N +F0 "D" 0 100 50 H V C CNN +F1 "ZENER" 0 -100 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + D? + SO* + SM* +$ENDFPLIST +DRAW +P 5 0 1 8 -70 50 -50 30 -50 -30 -30 -50 -30 -50 N +P 5 0 1 0 -50 0 50 50 50 -50 -50 0 -50 0 F +X K 1 -200 0 150 R 50 50 1 1 P +X A 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +#End Library diff --git a/hardware/rev1/kicad/IONPAK1.kicad_pcb b/hardware/rev1/kicad/IONPAK1.kicad_pcb new file mode 100644 index 0000000..0af57e2 --- /dev/null +++ b/hardware/rev1/kicad/IONPAK1.kicad_pcb @@ -0,0 +1,15286 @@ +(kicad_pcb (version 4) (host pcbnew 4.0.2-stable) + + (general + (links 541) + (no_connects 0) + (area 112.555762 144.541 325.506906 254.520001) + (thickness 1.6) + (drawings 15) + (tracks 2746) + (zones 0) + (modules 246) + (nets 164) + ) + + (page A3) + (layers + (0 F.Cu signal) + (1 In1.Cu signal) + (2 In2.Cu signal) + (31 B.Cu signal hide) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user hide) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user hide) + (48 B.Fab user hide) + (49 F.Fab user hide) + ) + + (setup + (last_trace_width 0.18) + (user_trace_width 0.3) + (user_trace_width 0.4) + (user_trace_width 0.5) + (user_trace_width 0.7) + (user_trace_width 1) + (user_trace_width 1.5) + (user_trace_width 2) + (user_trace_width 2.5) + (trace_clearance 0.17) + (zone_clearance 1) + (zone_45_only no) + (trace_min 0.18) + (segment_width 0.2) + (edge_width 0.1) + (via_size 0.6) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.8 1.8) + (pad_drill 0.8) + (pad_to_mask_clearance 0) + (aux_axis_origin 0 0) + (visible_elements 7FFEEEBF) + (pcbplotparams + (layerselection 0x020f0_80000007) + (usegerberextensions false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15) + (hpglpenoverlay 2) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue false) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory fab/)) + ) + + (net 0 "") + (net 1 "Net-(C100-Pad1)") + (net 2 "Net-(C100-Pad2)") + (net 3 "Net-(C101-Pad2)") + (net 4 "Net-(C102-Pad1)") + (net 5 GND) + (net 6 /VCC3V) + (net 7 /VEE2V5) + (net 8 /FIL-) + (net 9 "Net-(C201-Pad1)") + (net 10 "Net-(C201-Pad2)") + (net 11 "Net-(C202-Pad1)") + (net 12 /VCC5V) + (net 13 /VEE5V) + (net 14 /VCC12V) + (net 15 GNDPWR) + (net 16 "Net-(C304-Pad1)") + (net 17 "Net-(C305-Pad2)") + (net 18 /ANODE_HV) + (net 19 /FIL+) + (net 20 "Net-(C403-Pad1)") + (net 21 "Net-(C403-Pad2)") + (net 22 /VREFA) + (net 23 VCC) + (net 24 "Net-(C410-Pad1)") + (net 25 "Net-(C410-Pad2)") + (net 26 "Net-(C500-Pad1)") + (net 27 /ERR_RESN) + (net 28 "Net-(C501-Pad2)") + (net 29 "Net-(C502-Pad1)") + (net 30 "Net-(C503-Pad1)") + (net 31 "Net-(C509-Pad1)") + (net 32 "Net-(C512-Pad1)") + (net 33 /OSC0) + (net 34 "Net-(C515-Pad1)") + (net 35 "Net-(C515-Pad2)") + (net 36 "Net-(D100-Pad3)") + (net 37 "Net-(D101-Pad3)") + (net 38 "Net-(D201-Pad1)") + (net 39 "Net-(D201-Pad2)") + (net 40 "Net-(D202-Pad1)") + (net 41 "Net-(D202-Pad3)") + (net 42 "Net-(D204-Pad1)") + (net 43 "Net-(D204-Pad3)") + (net 44 "Net-(D205-Pad1)") + (net 45 "Net-(D205-Pad3)") + (net 46 /FV_ADC) + (net 47 /FD_ADC) + (net 48 "Net-(D208-Pad1)") + (net 49 /FBV_ERRN) + (net 50 /FBI_ERRN) + (net 51 /FBV_ADC) + (net 52 "Net-(D300-Pad2)") + (net 53 /AV_ERRN) + (net 54 /AI_ERRN) + (net 55 "Net-(D500-Pad3)") + (net 56 /EN_TXOP) + (net 57 /EN_TXON) + (net 58 /EN_RXIP) + (net 59 /EN_RXIN) + (net 60 /TCK) + (net 61 /TDO) + (net 62 /TDI) + (net 63 /RESETN) + (net 64 /TMS) + (net 65 /RTS) + (net 66 /RXD) + (net 67 /TXD) + (net 68 /CTS) + (net 69 /LED1) + (net 70 /LED2) + (net 71 "Net-(P4-Pad2)") + (net 72 "Net-(P4-Pad4)") + (net 73 "Net-(P4-Pad6)") + (net 74 "Net-(P4-Pad7)") + (net 75 "Net-(P4-Pad1)") + (net 76 "Net-(P4-Pad3)") + (net 77 "Net-(Q100-Pad1)") + (net 78 "Net-(Q101-Pad1)") + (net 79 /IC_GAIN_RELAY2) + (net 80 /IC_GAIN_RELAY1) + (net 81 /IC_GAIN) + (net 82 "Net-(Q104-Pad3)") + (net 83 "Net-(Q200-Pad1)") + (net 84 /FBI_MED) + (net 85 /FBI_HIGH) + (net 86 /FBI_GAIN) + (net 87 "Net-(Q203-Pad3)") + (net 88 "Net-(Q300-Pad1)") + (net 89 "Net-(Q301-Pad2)") + (net 90 "Net-(R101-Pad2)") + (net 91 "Net-(R104-Pad2)") + (net 92 "Net-(R110-Pad2)") + (net 93 "Net-(R111-Pad1)") + (net 94 "Net-(R112-Pad2)") + (net 95 /IC_ADC) + (net 96 "Net-(R200-Pad2)") + (net 97 "Net-(R201-Pad2)") + (net 98 "Net-(R204-Pad1)") + (net 99 "Net-(R204-Pad2)") + (net 100 "Net-(R205-Pad2)") + (net 101 "Net-(R206-Pad2)") + (net 102 "Net-(R207-Pad2)") + (net 103 "Net-(R208-Pad2)") + (net 104 "Net-(R209-Pad2)") + (net 105 "Net-(R212-Pad2)") + (net 106 "Net-(R214-Pad2)") + (net 107 /FBV_PWM) + (net 108 /VREF_ERR) + (net 109 "Net-(R226-Pad2)") + (net 110 "Net-(R227-Pad1)") + (net 111 "Net-(R228-Pad2)") + (net 112 /FBI_ADC) + (net 113 "Net-(R233-Pad2)") + (net 114 "Net-(R234-Pad2)") + (net 115 "Net-(R235-Pad2)") + (net 116 "Net-(R300-Pad2)") + (net 117 "Net-(R301-Pad2)") + (net 118 "Net-(R304-Pad1)") + (net 119 "Net-(R308-Pad2)") + (net 120 "Net-(R310-Pad2)") + (net 121 "Net-(R313-Pad2)") + (net 122 "Net-(R318-Pad1)") + (net 123 "Net-(R318-Pad2)") + (net 124 /AV_ADC) + (net 125 "Net-(R350-Pad2)") + (net 126 "Net-(R351-Pad2)") + (net 127 "Net-(R354-Pad1)") + (net 128 "Net-(R400-Pad1)") + (net 129 "Net-(R505-Pad2)") + (net 130 /OSC1) + (net 131 "Net-(R515-Pad1)") + (net 132 "Net-(R516-Pad1)") + (net 133 "Net-(S1-Pad1)") + (net 134 /FV_ERRN) + (net 135 "Net-(U300-Pad2)") + (net 136 /HV_PWM) + (net 137 /FV_PWM) + (net 138 /ERR_LATCHN) + (net 139 "Net-(U501-Pad6)") + (net 140 "Net-(U501-Pad12)") + (net 141 "Net-(U501-Pad10)") + (net 142 "Net-(C103-Pad1)") + (net 143 "Net-(C306-Pad1)") + (net 144 "Net-(C306-Pad2)") + (net 145 "Net-(C307-Pad2)") + (net 146 "Net-(C307-Pad1)") + (net 147 "Net-(C308-Pad2)") + (net 148 "Net-(C353-Pad1)") + (net 149 "Net-(C354-Pad2)") + (net 150 "Net-(GDT100-Pad1)") + (net 151 "Net-(R102-Pad1)") + (net 152 "Net-(R103-Pad2)") + (net 153 "Net-(R105-Pad2)") + (net 154 "Net-(R113-Pad2)") + (net 155 "Net-(R114-Pad1)") + (net 156 "Net-(R115-Pad2)") + (net 157 "Net-(D350-Pad2)") + (net 158 "Net-(D351-Pad2)") + (net 159 "Net-(Q350-Pad1)") + (net 160 "Net-(U350-Pad2)") + (net 161 /LEDP_K) + (net 162 /LED1_K) + (net 163 /LED2_K) + + (net_class Default "This is the default net class." + (clearance 0.17) + (trace_width 0.18) + (via_dia 0.6) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net /AI_ERRN) + (add_net /ANODE_HV) + (add_net /AV_ADC) + (add_net /AV_ERRN) + (add_net /CTS) + (add_net /EN_RXIN) + (add_net /EN_RXIP) + (add_net /EN_TXON) + (add_net /EN_TXOP) + (add_net /ERR_LATCHN) + (add_net /ERR_RESN) + (add_net /FBI_ADC) + (add_net /FBI_ERRN) + (add_net /FBI_GAIN) + (add_net /FBI_HIGH) + (add_net /FBI_MED) + (add_net /FBV_ADC) + (add_net /FBV_ERRN) + (add_net /FBV_PWM) + (add_net /FD_ADC) + (add_net /FIL+) + (add_net /FIL-) + (add_net /FV_ADC) + (add_net /FV_ERRN) + (add_net /FV_PWM) + (add_net /HV_PWM) + (add_net /IC_ADC) + (add_net /IC_GAIN) + (add_net /IC_GAIN_RELAY1) + (add_net /IC_GAIN_RELAY2) + (add_net /LED1) + (add_net /LED1_K) + (add_net /LED2) + (add_net /LED2_K) + (add_net /LEDP_K) + (add_net /OSC0) + (add_net /OSC1) + (add_net /RESETN) + (add_net /RTS) + (add_net /RXD) + (add_net /TCK) + (add_net /TDI) + (add_net /TDO) + (add_net /TMS) + (add_net /TXD) + (add_net /VCC12V) + (add_net /VCC3V) + (add_net /VCC5V) + (add_net /VEE2V5) + (add_net /VEE5V) + (add_net /VREFA) + (add_net /VREF_ERR) + (add_net GND) + (add_net GNDPWR) + (add_net "Net-(C100-Pad1)") + (add_net "Net-(C100-Pad2)") + (add_net "Net-(C101-Pad2)") + (add_net "Net-(C102-Pad1)") + (add_net "Net-(C103-Pad1)") + (add_net "Net-(C201-Pad1)") + (add_net "Net-(C201-Pad2)") + (add_net "Net-(C202-Pad1)") + (add_net "Net-(C304-Pad1)") + (add_net "Net-(C305-Pad2)") + (add_net "Net-(C306-Pad1)") + (add_net "Net-(C306-Pad2)") + (add_net "Net-(C307-Pad1)") + (add_net "Net-(C307-Pad2)") + (add_net "Net-(C308-Pad2)") + (add_net "Net-(C353-Pad1)") + (add_net "Net-(C354-Pad2)") + (add_net "Net-(C403-Pad1)") + (add_net "Net-(C403-Pad2)") + (add_net "Net-(C410-Pad1)") + (add_net "Net-(C410-Pad2)") + (add_net "Net-(C500-Pad1)") + (add_net "Net-(C501-Pad2)") + (add_net "Net-(C502-Pad1)") + (add_net "Net-(C503-Pad1)") + (add_net "Net-(C509-Pad1)") + (add_net "Net-(C512-Pad1)") + (add_net "Net-(C515-Pad1)") + (add_net "Net-(C515-Pad2)") + (add_net "Net-(D100-Pad3)") + (add_net "Net-(D101-Pad3)") + (add_net "Net-(D201-Pad1)") + (add_net "Net-(D201-Pad2)") + (add_net "Net-(D202-Pad1)") + (add_net "Net-(D202-Pad3)") + (add_net "Net-(D204-Pad1)") + (add_net "Net-(D204-Pad3)") + (add_net "Net-(D205-Pad1)") + (add_net "Net-(D205-Pad3)") + (add_net "Net-(D208-Pad1)") + (add_net "Net-(D300-Pad2)") + (add_net "Net-(D350-Pad2)") + (add_net "Net-(D351-Pad2)") + (add_net "Net-(D500-Pad3)") + (add_net "Net-(GDT100-Pad1)") + (add_net "Net-(P4-Pad1)") + (add_net "Net-(P4-Pad2)") + (add_net "Net-(P4-Pad3)") + (add_net "Net-(P4-Pad4)") + (add_net "Net-(P4-Pad6)") + (add_net "Net-(P4-Pad7)") + (add_net "Net-(Q100-Pad1)") + (add_net "Net-(Q101-Pad1)") + (add_net "Net-(Q104-Pad3)") + (add_net "Net-(Q200-Pad1)") + (add_net "Net-(Q203-Pad3)") + (add_net "Net-(Q300-Pad1)") + (add_net "Net-(Q301-Pad2)") + (add_net "Net-(Q350-Pad1)") + (add_net "Net-(R101-Pad2)") + (add_net "Net-(R102-Pad1)") + (add_net "Net-(R103-Pad2)") + (add_net "Net-(R104-Pad2)") + (add_net "Net-(R105-Pad2)") + (add_net "Net-(R110-Pad2)") + (add_net "Net-(R111-Pad1)") + (add_net "Net-(R112-Pad2)") + (add_net "Net-(R113-Pad2)") + (add_net "Net-(R114-Pad1)") + (add_net "Net-(R115-Pad2)") + (add_net "Net-(R200-Pad2)") + (add_net "Net-(R201-Pad2)") + (add_net "Net-(R204-Pad1)") + (add_net "Net-(R204-Pad2)") + (add_net "Net-(R205-Pad2)") + (add_net "Net-(R206-Pad2)") + (add_net "Net-(R207-Pad2)") + (add_net "Net-(R208-Pad2)") + (add_net "Net-(R209-Pad2)") + (add_net "Net-(R212-Pad2)") + (add_net "Net-(R214-Pad2)") + (add_net "Net-(R226-Pad2)") + (add_net "Net-(R227-Pad1)") + (add_net "Net-(R228-Pad2)") + (add_net "Net-(R233-Pad2)") + (add_net "Net-(R234-Pad2)") + (add_net "Net-(R235-Pad2)") + (add_net "Net-(R300-Pad2)") + (add_net "Net-(R301-Pad2)") + (add_net "Net-(R304-Pad1)") + (add_net "Net-(R308-Pad2)") + (add_net "Net-(R310-Pad2)") + (add_net "Net-(R313-Pad2)") + (add_net "Net-(R318-Pad1)") + (add_net "Net-(R318-Pad2)") + (add_net "Net-(R350-Pad2)") + (add_net "Net-(R351-Pad2)") + (add_net "Net-(R354-Pad1)") + (add_net "Net-(R400-Pad1)") + (add_net "Net-(R505-Pad2)") + (add_net "Net-(R515-Pad1)") + (add_net "Net-(R516-Pad1)") + (add_net "Net-(S1-Pad1)") + (add_net "Net-(U300-Pad2)") + (add_net "Net-(U350-Pad2)") + (add_net "Net-(U501-Pad10)") + (add_net "Net-(U501-Pad12)") + (add_net "Net-(U501-Pad6)") + (add_net VCC) + ) + + (module Capacitors_SMD:C_1812 (layer F.Cu) (tedit 58FF46FA) (tstamp 58FBC87D) + (at 194.056 208.534 270) + (descr "Capacitor SMD 1812, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1812") + (path /590D5BAD) + (attr smd) + (fp_text reference C413 (at -2.54 4.064 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 2200pF/2kV/1812 (at 0 2.75 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -2.75 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.25 1.6) (end -2.25 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 2.25 1.6) (end -2.25 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 2.25 -1.6) (end 2.25 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -2.25 -1.6) (end 2.25 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1.8 -1.73) (end -1.8 -1.73) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 1.73) (end 1.8 1.73) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.05 -1.85) (end 3.05 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.05 -1.85) (end -3.05 1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.05 1.85) (end 3.05 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.05 1.85) (end -3.05 1.85) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -2.3 0 270) (size 1 3) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (pad 2 smd rect (at 2.3 0 270) (size 1 3) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_1812.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4B18) (tstamp 58FB9F83) + (at 267.462 194.056 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F692FE) + (attr smd) + (fp_text reference R121 (at 0 1.778 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 80 /IC_GAIN_RELAY1)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4B02) (tstamp 58FB9F72) + (at 260.35 192.024) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F72D4A) + (attr smd) + (fp_text reference R120 (at 3.302 -0.508) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 33K (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 78 "Net-(Q101-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4B08) (tstamp 58FB9F61) + (at 261.62 189.23 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /5913ED21) + (attr smd) + (fp_text reference R119 (at 3.302 0.254 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 79 /IC_GAIN_RELAY2)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4FCD) (tstamp 58FB9F21) + (at 283.1465 203.073) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F57CD8) + (attr smd) + (fp_text reference R102 (at 3.3655 0.127) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100K (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 151 "Net-(R102-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Diodes_THT:Diode_DO-41_SOD81_Horizontal_RM10 (layer F.Cu) (tedit 58FF3FE1) (tstamp 58FB9F0E) + (at 166.624 226.822 180) + (descr "Diode, DO-41, SOD81, Horizontal, RM 10mm,") + (tags "Diode, DO-41, SOD81, Horizontal, RM 10mm, 1N4007, SB140,") + (path /58F8CA35) + (fp_text reference D301 (at 5.08 -2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value UF4007 (at 4.37134 -3.55854 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 7.62 -0.00254) (end 8.636 -0.00254) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.794 -0.00254) (end 1.524 -0.00254) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.048 -1.27254) (end 3.048 1.26746) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.302 -1.27254) (end 3.302 1.26746) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.556 -1.27254) (end 3.556 1.26746) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.794 -1.27254) (end 2.794 1.26746) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.81 -1.27254) (end 2.54 1.26746) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 -1.27254) (end 3.81 1.26746) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.81 -1.27254) (end 3.81 1.26746) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.175 -1.27254) (end 3.175 1.26746) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 1.26746) (end 2.54 -1.27254) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 -1.27254) (end 7.62 -1.27254) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.62 -1.27254) (end 7.62 1.26746) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.62 1.26746) (end 2.54 1.26746) (layer F.SilkS) (width 0.15)) + (pad 2 thru_hole circle (at 10.16 -0.00254) (size 1.99898 1.99898) (drill 1.27) (layers *.Cu *.Mask) + (net 143 "Net-(C306-Pad1)")) + (pad 1 thru_hole rect (at 0 -0.00254) (size 1.99898 1.99898) (drill 1.00076) (layers *.Cu *.Mask) + (net 146 "Net-(C307-Pad1)")) + ) + + (module Capacitors_THT:C_Radial_D13_L21_P5 (layer F.Cu) (tedit 58FF3EE6) (tstamp 58FB9ECA) + (at 131.826 192.024) + (descr "Radial Electrolytic Capacitor 13mm x Length 21mm, Pitch 5mm") + (tags "Electrolytic Capacitor") + (path /592F8857) + (fp_text reference C412 (at -3.302 -6.35) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470uF/16V (at 2.5 7.8) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.575 -6.5) (end 2.575 6.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.715 -6.496) (end 2.715 6.496) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.855 -6.49) (end 2.855 6.49) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.995 -6.481) (end 2.995 6.481) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.135 -6.469) (end 3.135 6.469) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.275 -6.454) (end 3.275 6.454) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.415 -6.435) (end 3.415 6.435) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.555 -6.414) (end 3.555 6.414) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.695 -6.389) (end 3.695 6.389) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.835 -6.361) (end 3.835 6.361) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.975 -6.33) (end 3.975 6.33) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 -6.296) (end 4.115 -0.466) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 0.466) (end 4.115 6.296) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 -6.259) (end 4.255 -0.667) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 0.667) (end 4.255 6.259) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 -6.218) (end 4.395 -0.796) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 0.796) (end 4.395 6.218) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 -6.173) (end 4.535 -0.885) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 0.885) (end 4.535 6.173) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 -6.125) (end 4.675 -0.946) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 0.946) (end 4.675 6.125) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 -6.074) (end 4.815 -0.983) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 0.983) (end 4.815 6.074) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 -6.019) (end 4.955 -0.999) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 0.999) (end 4.955 6.019) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 -5.96) (end 5.095 -0.995) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 0.995) (end 5.095 5.96) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 -5.897) (end 5.235 -0.972) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 0.972) (end 5.235 5.897) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 -5.83) (end 5.375 -0.927) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 0.927) (end 5.375 5.83) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 -5.758) (end 5.515 -0.857) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 0.857) (end 5.515 5.758) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 -5.683) (end 5.655 -0.756) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 0.756) (end 5.655 5.683) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 -5.603) (end 5.795 -0.607) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 0.607) (end 5.795 5.603) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 -5.518) (end 5.935 -0.355) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 0.355) (end 5.935 5.518) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.075 -5.429) (end 6.075 5.429) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.215 -5.334) (end 6.215 5.334) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.355 -5.233) (end 6.355 5.233) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.495 -5.127) (end 6.495 5.127) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.635 -5.015) (end 6.635 5.015) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.775 -4.896) (end 6.775 4.896) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.915 -4.771) (end 6.915 4.771) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.055 -4.637) (end 7.055 4.637) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.195 -4.495) (end 7.195 4.495) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.335 -4.344) (end 7.335 4.344) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.475 -4.183) (end 7.475 4.183) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.615 -4.011) (end 7.615 4.011) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.755 -3.826) (end 7.755 3.826) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.895 -3.625) (end 7.895 3.625) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.035 -3.408) (end 8.035 3.408) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.175 -3.169) (end 8.175 3.169) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.315 -2.904) (end 8.315 2.904) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.455 -2.605) (end 8.455 2.605) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.595 -2.259) (end 8.595 2.259) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.735 -1.837) (end 8.735 1.837) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.875 -1.269) (end 8.875 1.269) (layer F.SilkS) (width 0.15)) + (fp_circle (center 5 0) (end 5 -1) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -6.5375) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -6.8) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole rect (at 0 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask) + (net 14 /VCC12V)) + (pad 2 thru_hole circle (at 5 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask) + (net 15 GNDPWR)) + (model Capacitors_ThroughHole.3dshapes/C_Radial_D13_L21_P5.wrl + (at (xyz 0.0984252 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Capacitors_THT:C_Radial_D13_L21_P5 (layer F.Cu) (tedit 58FF494F) (tstamp 58FB9EC9) + (at 234.696 202.438) + (descr "Radial Electrolytic Capacitor 13mm x Length 21mm, Pitch 5mm") + (tags "Electrolytic Capacitor") + (path /58FB7511) + (fp_text reference C356 (at -3.556 -5.842) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470uF/16V (at 2.5 7.8) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.575 -6.5) (end 2.575 6.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.715 -6.496) (end 2.715 6.496) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.855 -6.49) (end 2.855 6.49) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.995 -6.481) (end 2.995 6.481) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.135 -6.469) (end 3.135 6.469) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.275 -6.454) (end 3.275 6.454) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.415 -6.435) (end 3.415 6.435) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.555 -6.414) (end 3.555 6.414) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.695 -6.389) (end 3.695 6.389) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.835 -6.361) (end 3.835 6.361) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.975 -6.33) (end 3.975 6.33) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 -6.296) (end 4.115 -0.466) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 0.466) (end 4.115 6.296) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 -6.259) (end 4.255 -0.667) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 0.667) (end 4.255 6.259) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 -6.218) (end 4.395 -0.796) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 0.796) (end 4.395 6.218) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 -6.173) (end 4.535 -0.885) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 0.885) (end 4.535 6.173) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 -6.125) (end 4.675 -0.946) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 0.946) (end 4.675 6.125) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 -6.074) (end 4.815 -0.983) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 0.983) (end 4.815 6.074) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 -6.019) (end 4.955 -0.999) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 0.999) (end 4.955 6.019) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 -5.96) (end 5.095 -0.995) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 0.995) (end 5.095 5.96) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 -5.897) (end 5.235 -0.972) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 0.972) (end 5.235 5.897) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 -5.83) (end 5.375 -0.927) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 0.927) (end 5.375 5.83) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 -5.758) (end 5.515 -0.857) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 0.857) (end 5.515 5.758) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 -5.683) (end 5.655 -0.756) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 0.756) (end 5.655 5.683) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 -5.603) (end 5.795 -0.607) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 0.607) (end 5.795 5.603) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 -5.518) (end 5.935 -0.355) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 0.355) (end 5.935 5.518) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.075 -5.429) (end 6.075 5.429) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.215 -5.334) (end 6.215 5.334) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.355 -5.233) (end 6.355 5.233) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.495 -5.127) (end 6.495 5.127) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.635 -5.015) (end 6.635 5.015) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.775 -4.896) (end 6.775 4.896) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.915 -4.771) (end 6.915 4.771) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.055 -4.637) (end 7.055 4.637) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.195 -4.495) (end 7.195 4.495) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.335 -4.344) (end 7.335 4.344) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.475 -4.183) (end 7.475 4.183) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.615 -4.011) (end 7.615 4.011) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.755 -3.826) (end 7.755 3.826) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.895 -3.625) (end 7.895 3.625) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.035 -3.408) (end 8.035 3.408) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.175 -3.169) (end 8.175 3.169) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.315 -2.904) (end 8.315 2.904) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.455 -2.605) (end 8.455 2.605) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.595 -2.259) (end 8.595 2.259) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.735 -1.837) (end 8.735 1.837) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.875 -1.269) (end 8.875 1.269) (layer F.SilkS) (width 0.15)) + (fp_circle (center 5 0) (end 5 -1) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -6.5375) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -6.8) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole rect (at 0 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask) + (net 19 /FIL+)) + (pad 2 thru_hole circle (at 5 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask) + (net 8 /FIL-)) + (model Capacitors_ThroughHole.3dshapes/C_Radial_D13_L21_P5.wrl + (at (xyz 0.0984252 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58AA844E) (tstamp 58FB9E84) + (at 237.236 194.31) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /59149B79) + (attr smd) + (fp_text reference C355 (at 0 -1.5) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 19 /FIL+)) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 8 /FIL-)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_1206 (layer F.Cu) (tedit 58FF4787) (tstamp 58FB9E64) + (at 197.866 187.198 270) + (descr "Capacitor SMD 1206, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1206") + (path /58FF5A1B) + (attr smd) + (fp_text reference C354 (at 0.508 3.048 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF/100V/1206 (at 0 2 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.75 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -1.02) (end -1 -1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 1.02) (end 1 1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.25 -1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 -1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.5 0 270) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 1.5 0 270) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 149 "Net-(C354-Pad2)")) + (model Capacitors_SMD.3dshapes/C_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_1206 (layer F.Cu) (tedit 58FF474A) (tstamp 58FB9E54) + (at 196.85 182.118 180) + (descr "Capacitor SMD 1206, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1206") + (path /58FFD842) + (attr smd) + (fp_text reference C351 (at 4.318 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF/25V/1206 (at 0 2 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.75 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -1.02) (end -1 -1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 1.02) (end 1 1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.25 -1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 -1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.5 0 180) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 1.5 0 180) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (model Capacitors_SMD.3dshapes/C_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Radial_D13_L21_P5 (layer F.Cu) (tedit 58FF476A) (tstamp 58FB9E10) + (at 210.566 184.912 90) + (descr "Radial Electrolytic Capacitor 13mm x Length 21mm, Pitch 5mm") + (tags "Electrolytic Capacitor") + (path /590DD1FE) + (fp_text reference C350 (at 10.16 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470uF/16V (at 2.5 7.8 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.575 -6.5) (end 2.575 6.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.715 -6.496) (end 2.715 6.496) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.855 -6.49) (end 2.855 6.49) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.995 -6.481) (end 2.995 6.481) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.135 -6.469) (end 3.135 6.469) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.275 -6.454) (end 3.275 6.454) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.415 -6.435) (end 3.415 6.435) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.555 -6.414) (end 3.555 6.414) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.695 -6.389) (end 3.695 6.389) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.835 -6.361) (end 3.835 6.361) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.975 -6.33) (end 3.975 6.33) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 -6.296) (end 4.115 -0.466) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 0.466) (end 4.115 6.296) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 -6.259) (end 4.255 -0.667) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 0.667) (end 4.255 6.259) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 -6.218) (end 4.395 -0.796) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 0.796) (end 4.395 6.218) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 -6.173) (end 4.535 -0.885) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 0.885) (end 4.535 6.173) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 -6.125) (end 4.675 -0.946) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 0.946) (end 4.675 6.125) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 -6.074) (end 4.815 -0.983) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 0.983) (end 4.815 6.074) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 -6.019) (end 4.955 -0.999) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 0.999) (end 4.955 6.019) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 -5.96) (end 5.095 -0.995) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 0.995) (end 5.095 5.96) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 -5.897) (end 5.235 -0.972) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 0.972) (end 5.235 5.897) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 -5.83) (end 5.375 -0.927) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 0.927) (end 5.375 5.83) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 -5.758) (end 5.515 -0.857) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 0.857) (end 5.515 5.758) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 -5.683) (end 5.655 -0.756) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 0.756) (end 5.655 5.683) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 -5.603) (end 5.795 -0.607) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 0.607) (end 5.795 5.603) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 -5.518) (end 5.935 -0.355) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 0.355) (end 5.935 5.518) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.075 -5.429) (end 6.075 5.429) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.215 -5.334) (end 6.215 5.334) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.355 -5.233) (end 6.355 5.233) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.495 -5.127) (end 6.495 5.127) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.635 -5.015) (end 6.635 5.015) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.775 -4.896) (end 6.775 4.896) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.915 -4.771) (end 6.915 4.771) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.055 -4.637) (end 7.055 4.637) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.195 -4.495) (end 7.195 4.495) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.335 -4.344) (end 7.335 4.344) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.475 -4.183) (end 7.475 4.183) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.615 -4.011) (end 7.615 4.011) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.755 -3.826) (end 7.755 3.826) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.895 -3.625) (end 7.895 3.625) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.035 -3.408) (end 8.035 3.408) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.175 -3.169) (end 8.175 3.169) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.315 -2.904) (end 8.315 2.904) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.455 -2.605) (end 8.455 2.605) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.595 -2.259) (end 8.595 2.259) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.735 -1.837) (end 8.735 1.837) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.875 -1.269) (end 8.875 1.269) (layer F.SilkS) (width 0.15)) + (fp_circle (center 5 0) (end 5 -1) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -6.5375) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -6.8) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole rect (at 0 0 90) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask) + (net 14 /VCC12V)) + (pad 2 thru_hole circle (at 5 0 90) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask) + (net 15 GNDPWR)) + (model Capacitors_ThroughHole.3dshapes/C_Radial_D13_L21_P5.wrl + (at (xyz 0.0984252 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Capacitors_SMD:C_1812 (layer F.Cu) (tedit 58FF47E7) (tstamp 58FB9E0F) + (at 222.25 218.44 90) + (descr "Capacitor SMD 1812, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1812") + (path /59399FDF) + (attr smd) + (fp_text reference C309 (at 2.794 -3.81 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 2200pF/2kV/1812 (at 0 2.75 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -2.75 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.25 1.6) (end -2.25 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 2.25 1.6) (end -2.25 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 2.25 -1.6) (end 2.25 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -2.25 -1.6) (end 2.25 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1.8 -1.73) (end -1.8 -1.73) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 1.73) (end 1.8 1.73) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.05 -1.85) (end 3.05 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.05 -1.85) (end -3.05 1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.05 1.85) (end 3.05 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.05 1.85) (end -3.05 1.85) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -2.3 0 90) (size 1 3) (layers F.Cu F.Paste F.Mask) + (net 18 /ANODE_HV)) + (pad 2 smd rect (at 2.3 0 90) (size 1 3) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_1812.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Radial_D14_L25_P5 (layer F.Cu) (tedit 58FF3FF1) (tstamp 58FB9DFE) + (at 180.34 222.25 90) + (descr "Radial Electrolytic Capacitor Diameter 14mm x Length 25mm, Pitch 5mm") + (tags "Electrolytic Capacitor") + (path /590D5DD5) + (fp_text reference C308 (at 10.668 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF/450V (at 2.5 8.3 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.575 -7) (end 2.575 7) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.715 -6.997) (end 2.715 6.997) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.855 -6.991) (end 2.855 6.991) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.995 -6.982) (end 2.995 6.982) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.135 -6.971) (end 3.135 6.971) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.275 -6.957) (end 3.275 6.957) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.415 -6.94) (end 3.415 6.94) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.555 -6.92) (end 3.555 6.92) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.695 -6.897) (end 3.695 6.897) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.835 -6.872) (end 3.835 6.872) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.975 -6.843) (end 3.975 -0.521) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.975 0.521) (end 3.975 6.843) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 -6.811) (end 4.115 -0.734) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 0.734) (end 4.115 6.811) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 -6.776) (end 4.255 -0.876) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 0.876) (end 4.255 6.776) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 -6.739) (end 4.395 -0.978) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 0.978) (end 4.395 6.739) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 -6.698) (end 4.535 -1.052) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 1.052) (end 4.535 6.698) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 -6.654) (end 4.675 -1.103) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 1.103) (end 4.675 6.654) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 -6.606) (end 4.815 -1.135) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 1.135) (end 4.815 6.606) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 -6.555) (end 4.955 -1.149) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 1.149) (end 4.955 6.555) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 -6.501) (end 5.095 -1.146) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 1.146) (end 5.095 6.501) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 -6.444) (end 5.235 -1.126) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 1.126) (end 5.235 6.444) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 -6.382) (end 5.375 -1.087) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 1.087) (end 5.375 6.382) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 -6.317) (end 5.515 -1.028) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 1.028) (end 5.515 6.317) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 -6.249) (end 5.655 -0.945) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 0.945) (end 5.655 6.249) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 -6.176) (end 5.795 -0.831) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 0.831) (end 5.795 6.176) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 -6.099) (end 5.935 -0.67) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 0.67) (end 5.935 6.099) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.075 -6.018) (end 6.075 -0.409) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.075 0.409) (end 6.075 6.018) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.215 -5.933) (end 6.215 5.933) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.355 -5.843) (end 6.355 5.843) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.495 -5.748) (end 6.495 5.748) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.635 -5.648) (end 6.635 5.648) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.775 -5.543) (end 6.775 5.543) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.915 -5.432) (end 6.915 5.432) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.055 -5.315) (end 7.055 5.315) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.195 -5.192) (end 7.195 5.192) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.335 -5.062) (end 7.335 5.062) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.475 -4.924) (end 7.475 4.924) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.615 -4.779) (end 7.615 4.779) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.755 -4.624) (end 7.755 4.624) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.895 -4.46) (end 7.895 4.46) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.035 -4.285) (end 8.035 4.285) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.175 -4.098) (end 8.175 4.098) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.315 -3.897) (end 8.315 3.897) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.455 -3.679) (end 8.455 3.679) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.595 -3.443) (end 8.595 3.443) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.735 -3.182) (end 8.735 3.182) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.875 -2.891) (end 8.875 2.891) (layer F.SilkS) (width 0.15)) + (fp_line (start 9.015 -2.56) (end 9.015 2.56) (layer F.SilkS) (width 0.15)) + (fp_line (start 9.155 -2.17) (end 9.155 2.17) (layer F.SilkS) (width 0.15)) + (fp_line (start 9.295 -1.682) (end 9.295 1.682) (layer F.SilkS) (width 0.15)) + (fp_line (start 9.435 -0.952) (end 9.435 0.952) (layer F.SilkS) (width 0.15)) + (fp_circle (center 5 0) (end 5 -1.15) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -7.0375) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -7.3) (layer F.CrtYd) (width 0.05)) + (pad 2 thru_hole circle (at 5 0 90) (size 1.7 1.7) (drill 1.2) (layers *.Cu *.Mask) + (net 147 "Net-(C308-Pad2)")) + (pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1.2) (layers *.Cu *.Mask) + (net 145 "Net-(C307-Pad2)")) + (model Capacitors_ThroughHole.3dshapes/C_Radial_D14_L25_P5.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Radial_D14_L25_P5 (layer F.Cu) (tedit 58FF46F3) (tstamp 58FB9DB3) + (at 195.58 222.25 90) + (descr "Radial Electrolytic Capacitor Diameter 14mm x Length 25mm, Pitch 5mm") + (tags "Electrolytic Capacitor") + (path /58F94869) + (fp_text reference C307 (at 10.414 2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF/450V (at 2.5 8.3 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.575 -7) (end 2.575 7) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.715 -6.997) (end 2.715 6.997) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.855 -6.991) (end 2.855 6.991) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.995 -6.982) (end 2.995 6.982) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.135 -6.971) (end 3.135 6.971) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.275 -6.957) (end 3.275 6.957) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.415 -6.94) (end 3.415 6.94) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.555 -6.92) (end 3.555 6.92) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.695 -6.897) (end 3.695 6.897) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.835 -6.872) (end 3.835 6.872) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.975 -6.843) (end 3.975 -0.521) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.975 0.521) (end 3.975 6.843) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 -6.811) (end 4.115 -0.734) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 0.734) (end 4.115 6.811) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 -6.776) (end 4.255 -0.876) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 0.876) (end 4.255 6.776) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 -6.739) (end 4.395 -0.978) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 0.978) (end 4.395 6.739) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 -6.698) (end 4.535 -1.052) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 1.052) (end 4.535 6.698) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 -6.654) (end 4.675 -1.103) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 1.103) (end 4.675 6.654) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 -6.606) (end 4.815 -1.135) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 1.135) (end 4.815 6.606) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 -6.555) (end 4.955 -1.149) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 1.149) (end 4.955 6.555) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 -6.501) (end 5.095 -1.146) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 1.146) (end 5.095 6.501) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 -6.444) (end 5.235 -1.126) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 1.126) (end 5.235 6.444) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 -6.382) (end 5.375 -1.087) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 1.087) (end 5.375 6.382) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 -6.317) (end 5.515 -1.028) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 1.028) (end 5.515 6.317) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 -6.249) (end 5.655 -0.945) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 0.945) (end 5.655 6.249) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 -6.176) (end 5.795 -0.831) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 0.831) (end 5.795 6.176) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 -6.099) (end 5.935 -0.67) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 0.67) (end 5.935 6.099) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.075 -6.018) (end 6.075 -0.409) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.075 0.409) (end 6.075 6.018) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.215 -5.933) (end 6.215 5.933) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.355 -5.843) (end 6.355 5.843) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.495 -5.748) (end 6.495 5.748) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.635 -5.648) (end 6.635 5.648) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.775 -5.543) (end 6.775 5.543) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.915 -5.432) (end 6.915 5.432) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.055 -5.315) (end 7.055 5.315) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.195 -5.192) (end 7.195 5.192) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.335 -5.062) (end 7.335 5.062) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.475 -4.924) (end 7.475 4.924) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.615 -4.779) (end 7.615 4.779) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.755 -4.624) (end 7.755 4.624) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.895 -4.46) (end 7.895 4.46) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.035 -4.285) (end 8.035 4.285) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.175 -4.098) (end 8.175 4.098) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.315 -3.897) (end 8.315 3.897) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.455 -3.679) (end 8.455 3.679) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.595 -3.443) (end 8.595 3.443) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.735 -3.182) (end 8.735 3.182) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.875 -2.891) (end 8.875 2.891) (layer F.SilkS) (width 0.15)) + (fp_line (start 9.015 -2.56) (end 9.015 2.56) (layer F.SilkS) (width 0.15)) + (fp_line (start 9.155 -2.17) (end 9.155 2.17) (layer F.SilkS) (width 0.15)) + (fp_line (start 9.295 -1.682) (end 9.295 1.682) (layer F.SilkS) (width 0.15)) + (fp_line (start 9.435 -0.952) (end 9.435 0.952) (layer F.SilkS) (width 0.15)) + (fp_circle (center 5 0) (end 5 -1.15) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -7.0375) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -7.3) (layer F.CrtYd) (width 0.05)) + (pad 2 thru_hole circle (at 5 0 90) (size 1.7 1.7) (drill 1.2) (layers *.Cu *.Mask) + (net 145 "Net-(C307-Pad2)")) + (pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1.2) (layers *.Cu *.Mask) + (net 146 "Net-(C307-Pad1)")) + (model Capacitors_ThroughHole.3dshapes/C_Radial_D14_L25_P5.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_1206 (layer F.Cu) (tedit 58FF3FDC) (tstamp 58FB9D59) + (at 153.416 224.79 90) + (descr "Capacitor SMD 1206, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1206") + (path /58F8FC62) + (attr smd) + (fp_text reference C306 (at 0 -2.032 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10pF/1206 (at 0 2 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.75 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -1.02) (end -1 -1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 1.02) (end 1 1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.25 -1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 -1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.5 0 90) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 143 "Net-(C306-Pad1)")) + (pad 2 smd rect (at 1.5 0 90) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 144 "Net-(C306-Pad2)")) + (model Capacitors_SMD.3dshapes/C_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_1206 (layer F.Cu) (tedit 58FF4031) (tstamp 58FB9D49) + (at 162.814 193.548 90) + (descr "Capacitor SMD 1206, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1206") + (path /58FCB9FE) + (attr smd) + (fp_text reference C305 (at 4.572 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF/100V/1206 (at 0 2 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.75 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -1.02) (end -1 -1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 1.02) (end 1 1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.25 -1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 -1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.5 0 90) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 1.5 0 90) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 17 "Net-(C305-Pad2)")) + (model Capacitors_SMD.3dshapes/C_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF400D) (tstamp 58FB9D39) + (at 152.146 180.848 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58FE4855) + (attr smd) + (fp_text reference C304 (at -3.556 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 16 "Net-(C304-Pad1)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Radial_D13_L21_P5 (layer F.Cu) (tedit 58FF404D) (tstamp 58FB9CB1) + (at 178.054 201.422) + (descr "Radial Electrolytic Capacitor 13mm x Length 21mm, Pitch 5mm") + (tags "Electrolytic Capacitor") + (path /58FECAC7) + (fp_text reference C300 (at -1.524 -6.858) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470uF/16V (at 2.5 7.8) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.575 -6.5) (end 2.575 6.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.715 -6.496) (end 2.715 6.496) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.855 -6.49) (end 2.855 6.49) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.995 -6.481) (end 2.995 6.481) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.135 -6.469) (end 3.135 6.469) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.275 -6.454) (end 3.275 6.454) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.415 -6.435) (end 3.415 6.435) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.555 -6.414) (end 3.555 6.414) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.695 -6.389) (end 3.695 6.389) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.835 -6.361) (end 3.835 6.361) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.975 -6.33) (end 3.975 6.33) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 -6.296) (end 4.115 -0.466) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 0.466) (end 4.115 6.296) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 -6.259) (end 4.255 -0.667) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 0.667) (end 4.255 6.259) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 -6.218) (end 4.395 -0.796) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 0.796) (end 4.395 6.218) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 -6.173) (end 4.535 -0.885) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 0.885) (end 4.535 6.173) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 -6.125) (end 4.675 -0.946) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 0.946) (end 4.675 6.125) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 -6.074) (end 4.815 -0.983) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 0.983) (end 4.815 6.074) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 -6.019) (end 4.955 -0.999) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 0.999) (end 4.955 6.019) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 -5.96) (end 5.095 -0.995) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 0.995) (end 5.095 5.96) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 -5.897) (end 5.235 -0.972) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 0.972) (end 5.235 5.897) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 -5.83) (end 5.375 -0.927) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 0.927) (end 5.375 5.83) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 -5.758) (end 5.515 -0.857) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 0.857) (end 5.515 5.758) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 -5.683) (end 5.655 -0.756) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 0.756) (end 5.655 5.683) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 -5.603) (end 5.795 -0.607) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 0.607) (end 5.795 5.603) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 -5.518) (end 5.935 -0.355) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 0.355) (end 5.935 5.518) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.075 -5.429) (end 6.075 5.429) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.215 -5.334) (end 6.215 5.334) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.355 -5.233) (end 6.355 5.233) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.495 -5.127) (end 6.495 5.127) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.635 -5.015) (end 6.635 5.015) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.775 -4.896) (end 6.775 4.896) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.915 -4.771) (end 6.915 4.771) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.055 -4.637) (end 7.055 4.637) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.195 -4.495) (end 7.195 4.495) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.335 -4.344) (end 7.335 4.344) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.475 -4.183) (end 7.475 4.183) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.615 -4.011) (end 7.615 4.011) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.755 -3.826) (end 7.755 3.826) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.895 -3.625) (end 7.895 3.625) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.035 -3.408) (end 8.035 3.408) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.175 -3.169) (end 8.175 3.169) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.315 -2.904) (end 8.315 2.904) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.455 -2.605) (end 8.455 2.605) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.595 -2.259) (end 8.595 2.259) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.735 -1.837) (end 8.735 1.837) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.875 -1.269) (end 8.875 1.269) (layer F.SilkS) (width 0.15)) + (fp_circle (center 5 0) (end 5 -1) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -6.5375) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -6.8) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole rect (at 0 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask) + (net 14 /VCC12V)) + (pad 2 thru_hole circle (at 5 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask) + (net 15 GNDPWR)) + (model Capacitors_ThroughHole.3dshapes/C_Radial_D13_L21_P5.wrl + (at (xyz 0.0984252 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF54FA) (tstamp 58FB9CB0) + (at 293.497 179.324) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F8DF55) + (attr smd) + (fp_text reference C104 (at 2.667 0.635 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 7 /VEE2V5)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4BBC) (tstamp 58FB9C90) + (at 282.0035 210.6295) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58FCE288) + (attr smd) + (fp_text reference C101 (at 3.4925 -0.0635) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DNP (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 2 "Net-(C100-Pad2)")) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 3 "Net-(C101-Pad2)")) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:XTAL3_2X2_5 (layer F.Cu) (tedit 58FF51E4) (tstamp 58FA5B1E) + (at 315.468 198.882 180) + (path /594A96CC) + (fp_text reference Y500 (at 0 -2.794 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 25MHz (at 0 3.1 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.4 1.2) (end -2.4 2) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.4 2) (end -1.6 2) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.1 -1.7) (end 2.1 -1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 -1.7) (end 2.1 -0.8) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 0.7) (end -2.1 1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 1.7) (end -1.1 1.7) (layer F.SilkS) (width 0.15)) + (pad 3 smd rect (at 1.1 -0.8 180) (size 1.4 1.15) (layers F.Cu F.Paste F.Mask) + (net 33 /OSC0)) + (pad 2 smd rect (at 1.1 0.8 180) (size 1.4 1.15) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 1 smd rect (at -1.1 0.8 180) (size 1.4 1.15) (layers F.Cu F.Paste F.Mask) + (net 32 "Net-(C512-Pad1)")) + (pad 4 smd rect (at -1.1 -0.8 180) (size 1.4 1.15) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + ) + + (module SMD_Packages:SOIC-14_N (layer F.Cu) (tedit 58FF4AA3) (tstamp 58FA5B10) + (at 286.766 161.798) + (descr "Module CMS SOJ 14 pins Large") + (tags "CMS SOJ") + (path /592685D6) + (attr smd) + (fp_text reference U502 (at 1.524 -4.826) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 74HC00 (at 0 1.27) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.08 -2.286) (end 5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 2.54) (end -5.08 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -2.286) (end 5.08 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -0.508) (end -4.445 -0.508) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.445 -0.508) (end -4.445 0.762) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.445 0.762) (end -5.08 0.762) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -3.81 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 136 /HV_PWM)) + (pad 2 smd rect (at -2.54 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 138 /ERR_LATCHN)) + (pad 3 smd rect (at -1.27 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 135 "Net-(U300-Pad2)")) + (pad 4 smd rect (at 0 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 140 "Net-(U501-Pad12)")) + (pad 5 smd rect (at 1.27 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 140 "Net-(U501-Pad12)")) + (pad 6 smd rect (at 2.54 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 138 /ERR_LATCHN)) + (pad 7 smd rect (at 3.81 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 8 smd rect (at 3.81 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 9 smd rect (at 2.54 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 11 smd rect (at 0 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 160 "Net-(U350-Pad2)")) + (pad 12 smd rect (at -1.27 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 137 /FV_PWM)) + (pad 13 smd rect (at -2.54 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 138 /ERR_LATCHN)) + (pad 14 smd rect (at -3.81 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 10 smd rect (at 1.27 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model SMD_Packages.3dshapes/SOIC-14_N.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.5 0.4 0.5)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SMD_Packages:SOIC-14_N (layer F.Cu) (tedit 58FF5336) (tstamp 58FA5AF7) + (at 297.942 161.798) + (descr "Module CMS SOJ 14 pins Large") + (tags "CMS SOJ") + (path /591F5961) + (attr smd) + (fp_text reference U501 (at 1.27 -4.826) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 74HC00 (at 0 1.27) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.08 -2.286) (end 5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 2.54) (end -5.08 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -2.286) (end 5.08 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -0.508) (end -4.445 -0.508) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.445 -0.508) (end -4.445 0.762) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.445 0.762) (end -5.08 0.762) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -3.81 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 134 /FV_ERRN)) + (pad 2 smd rect (at -2.54 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 48 "Net-(D208-Pad1)")) + (pad 3 smd rect (at -1.27 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 55 "Net-(D500-Pad3)")) + (pad 4 smd rect (at 0 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 26 "Net-(C500-Pad1)")) + (pad 5 smd rect (at 1.27 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 26 "Net-(C500-Pad1)")) + (pad 6 smd rect (at 2.54 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 139 "Net-(U501-Pad6)")) + (pad 7 smd rect (at 3.81 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 8 smd rect (at 3.81 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 140 "Net-(U501-Pad12)")) + (pad 9 smd rect (at 2.54 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 139 "Net-(U501-Pad6)")) + (pad 11 smd rect (at 0 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 141 "Net-(U501-Pad10)")) + (pad 12 smd rect (at -1.27 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 140 "Net-(U501-Pad12)")) + (pad 13 smd rect (at -2.54 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 28 "Net-(C501-Pad2)")) + (pad 14 smd rect (at -3.81 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 10 smd rect (at 1.27 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 141 "Net-(U501-Pad10)")) + (model SMD_Packages.3dshapes/SOIC-14_N.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.5 0.4 0.5)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:TQFP-128 (layer F.Cu) (tedit 58FF555F) (tstamp 58FA5ADE) + (at 303.022 193.294 315) + (path /593CBD05) + (solder_mask_margin 0.1) + (attr smd) + (fp_text reference U500 (at 2.514472 -9.878282 315) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TM4C1294KCPDT (at -0.8636 10.1346 315) (layer F.SilkS) + (effects (font (size 1.64 1.64) (thickness 0.05))) + ) + (fp_line (start -6.6548 -7.0104) (end -7.0104 -7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start 7.0104 -6.6548) (end 7.0104 -7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start 6.6548 7.0104) (end 7.0104 7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start -6.5786 -6.1468) (end -6.1468 -6.5786) (layer F.SilkS) (width 0.1524)) + (fp_line (start -7.0104 7.0104) (end -6.6548 7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start 7.0104 7.0104) (end 7.0104 6.6548) (layer F.SilkS) (width 0.1524)) + (fp_line (start 7.0104 -7.0104) (end 6.6548 -7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start -7.0104 -7.0104) (end -7.0104 -6.6548) (layer F.SilkS) (width 0.1524)) + (fp_line (start -7.0104 6.6548) (end -7.0104 7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 -2.794) (end -8.89 -2.413) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 -2.413) (end -8.636 -2.413) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.636 -2.413) (end -8.636 -2.794) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 1.2192) (end -8.89 1.6002) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 1.6002) (end -8.636 1.6002) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.636 1.6002) (end -8.636 1.2192) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 5.207) (end -8.89 5.588) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 5.588) (end -8.636 5.588) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.636 5.588) (end -8.636 5.207) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.5814 8.636) (end -3.5814 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.5814 8.89) (end -3.2004 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.2004 8.89) (end -3.2004 8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.4064 8.636) (end 0.4064 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.4064 8.89) (end 0.7874 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.7874 8.89) (end 0.7874 8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.4196 8.636) (end 4.4196 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.4196 8.89) (end 4.8006 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.8006 8.89) (end 4.8006 8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 4.0132) (end 8.89 4.3942) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 4.3942) (end 8.636 4.3942) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.636 4.3942) (end 8.636 4.0132) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 0) (end 8.89 0.381) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 0.381) (end 8.636 0.381) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.636 0.381) (end 8.636 0) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 -3.9878) (end 8.89 -3.6068) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 -3.6068) (end 8.636 -3.6068) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.636 -3.6068) (end 8.636 -3.9878) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.8006 -8.636) (end 4.8006 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.8006 -8.89) (end 5.1816 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 5.1816 -8.89) (end 5.1816 -8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.8128 -8.636) (end 0.8128 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.8128 -8.89) (end 1.1938 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1.1938 -8.89) (end 1.1938 -8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.2004 -8.636) (end -3.2004 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.2004 -8.89) (end -2.8194 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -2.8194 -8.89) (end -2.8194 -8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start 6.096 -7.0104) (end 6.3246 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.3246 -7.0104) (end 6.3246 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.3246 -8.0264) (end 6.096 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.096 -8.0264) (end 6.096 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.6896 -7.0104) (end 5.9182 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.9182 -7.0104) (end 5.9182 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.9182 -8.0264) (end 5.6896 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.6896 -8.0264) (end 5.6896 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.2832 -7.0104) (end 5.5118 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.5118 -7.0104) (end 5.5118 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.5118 -8.0264) (end 5.2832 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.2832 -8.0264) (end 5.2832 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.8768 -7.0104) (end 5.1054 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.1054 -7.0104) (end 5.1054 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.1054 -8.0264) (end 4.8768 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.8768 -8.0264) (end 4.8768 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.4958 -7.0104) (end 4.7244 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.7244 -7.0104) (end 4.7244 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.7244 -8.0264) (end 4.4958 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.4958 -8.0264) (end 4.4958 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.0894 -7.0104) (end 4.318 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.318 -7.0104) (end 4.318 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.318 -8.0264) (end 4.0894 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.0894 -8.0264) (end 4.0894 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.683 -7.0104) (end 3.9116 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.9116 -7.0104) (end 3.9116 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.9116 -8.0264) (end 3.683 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.683 -8.0264) (end 3.683 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.2766 -7.0104) (end 3.5052 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.5052 -7.0104) (end 3.5052 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.5052 -8.0264) (end 3.2766 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.2766 -8.0264) (end 3.2766 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.8956 -7.0104) (end 3.1242 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.1242 -7.0104) (end 3.1242 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.1242 -8.0264) (end 2.8956 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.8956 -8.0264) (end 2.8956 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.4892 -7.0104) (end 2.7178 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.7178 -7.0104) (end 2.7178 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.7178 -8.0264) (end 2.4892 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.4892 -8.0264) (end 2.4892 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.0828 -7.0104) (end 2.3114 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.3114 -7.0104) (end 2.3114 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.3114 -8.0264) (end 2.0828 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.0828 -8.0264) (end 2.0828 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.6764 -7.0104) (end 1.905 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.905 -7.0104) (end 1.905 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.905 -8.0264) (end 1.6764 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.6764 -8.0264) (end 1.6764 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.2954 -7.0104) (end 1.524 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.524 -7.0104) (end 1.524 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.524 -8.0264) (end 1.2954 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.2954 -8.0264) (end 1.2954 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.889 -7.0104) (end 1.1176 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.1176 -7.0104) (end 1.1176 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.1176 -8.0264) (end 0.889 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.889 -8.0264) (end 0.889 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.4826 -7.0104) (end 0.7112 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.7112 -7.0104) (end 0.7112 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.7112 -8.0264) (end 0.4826 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.4826 -8.0264) (end 0.4826 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.0762 -7.0104) (end 0.3048 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.3048 -7.0104) (end 0.3048 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.3048 -8.0264) (end 0.0762 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.0762 -8.0264) (end 0.0762 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.3048 -7.0104) (end -0.0762 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.0762 -7.0104) (end -0.0762 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.0762 -8.0264) (end -0.3048 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.3048 -8.0264) (end -0.3048 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.7112 -7.0104) (end -0.4826 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.4826 -7.0104) (end -0.4826 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.4826 -8.0264) (end -0.7112 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.7112 -8.0264) (end -0.7112 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.1176 -7.0104) (end -0.889 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.889 -7.0104) (end -0.889 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.889 -8.0264) (end -1.1176 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.1176 -8.0264) (end -1.1176 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.524 -7.0104) (end -1.2954 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.2954 -7.0104) (end -1.2954 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.2954 -8.0264) (end -1.524 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.524 -8.0264) (end -1.524 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.905 -7.0104) (end -1.6764 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.6764 -7.0104) (end -1.6764 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.6764 -8.0264) (end -1.905 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.905 -8.0264) (end -1.905 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.3114 -7.0104) (end -2.0828 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.0828 -7.0104) (end -2.0828 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.0828 -8.0264) (end -2.3114 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.3114 -8.0264) (end -2.3114 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.7178 -7.0104) (end -2.4892 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.4892 -7.0104) (end -2.4892 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.4892 -8.0264) (end -2.7178 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.7178 -8.0264) (end -2.7178 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.1242 -7.0104) (end -2.8956 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.8956 -7.0104) (end -2.8956 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.8956 -8.0264) (end -3.1242 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.1242 -8.0264) (end -3.1242 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.5052 -7.0104) (end -3.2766 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.2766 -7.0104) (end -3.2766 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.2766 -8.0264) (end -3.5052 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.5052 -8.0264) (end -3.5052 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.9116 -7.0104) (end -3.683 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.683 -7.0104) (end -3.683 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.683 -8.0264) (end -3.9116 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.9116 -8.0264) (end -3.9116 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.318 -7.0104) (end -4.0894 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.0894 -7.0104) (end -4.0894 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.0894 -8.0264) (end -4.318 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.318 -8.0264) (end -4.318 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.7244 -7.0104) (end -4.4958 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.4958 -7.0104) (end -4.4958 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.4958 -8.0264) (end -4.7244 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.7244 -8.0264) (end -4.7244 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.1054 -7.0104) (end -4.8768 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.8768 -7.0104) (end -4.8768 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.8768 -8.0264) (end -5.1054 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.1054 -8.0264) (end -5.1054 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.5118 -7.0104) (end -5.2832 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.2832 -7.0104) (end -5.2832 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.2832 -8.0264) (end -5.5118 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.5118 -8.0264) (end -5.5118 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.9182 -7.0104) (end -5.7404 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.7404 -7.0104) (end -5.6896 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.6896 -7.0104) (end -5.6896 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.6896 -8.0264) (end -5.9182 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.9182 -8.0264) (end -5.9182 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.3246 -7.0104) (end -6.096 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.096 -7.0104) (end -6.096 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.096 -8.0264) (end -6.3246 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.3246 -8.0264) (end -6.3246 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -6.096) (end -7.0104 -6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -6.3246) (end -8.0264 -6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -6.3246) (end -8.0264 -6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -6.096) (end -7.0104 -6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.6896) (end -7.0104 -5.7404) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.7404) (end -7.0104 -5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.9182) (end -8.0264 -5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -5.9182) (end -8.0264 -5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -5.6896) (end -7.0104 -5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.2832) (end -7.0104 -5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.5118) (end -8.0264 -5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -5.5118) (end -8.0264 -5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -5.2832) (end -7.0104 -5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -4.8768) (end -7.0104 -5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.1054) (end -8.0264 -5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -5.1054) (end -8.0264 -4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -4.8768) (end -7.0104 -4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -4.4958) (end -7.0104 -4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -4.7244) (end -8.0264 -4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -4.7244) (end -8.0264 -4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -4.4958) (end -7.0104 -4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -4.0894) (end -7.0104 -4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -4.318) (end -8.0264 -4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -4.318) (end -8.0264 -4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -4.0894) (end -7.0104 -4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -3.683) (end -7.0104 -3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -3.9116) (end -8.0264 -3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -3.9116) (end -8.0264 -3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -3.683) (end -7.0104 -3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -3.2766) (end -7.0104 -3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -3.5052) (end -8.0264 -3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -3.5052) (end -8.0264 -3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -3.2766) (end -7.0104 -3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -2.8956) (end -7.0104 -3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -3.1242) (end -8.0264 -3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -3.1242) (end -8.0264 -2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -2.8956) (end -7.0104 -2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -2.4892) (end -7.0104 -2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -2.7178) (end -8.0264 -2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -2.7178) (end -8.0264 -2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -2.4892) (end -7.0104 -2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -2.0828) (end -7.0104 -2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -2.3114) (end -8.0264 -2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -2.3114) (end -8.0264 -2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -2.0828) (end -7.0104 -2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -1.6764) (end -7.0104 -1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -1.905) (end -8.0264 -1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -1.905) (end -8.0264 -1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -1.6764) (end -7.0104 -1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -1.2954) (end -7.0104 -1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -1.524) (end -8.0264 -1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -1.524) (end -8.0264 -1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -1.2954) (end -7.0104 -1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -0.889) (end -7.0104 -1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -1.1176) (end -8.0264 -1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -1.1176) (end -8.0264 -0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -0.889) (end -7.0104 -0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -0.4826) (end -7.0104 -0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -0.7112) (end -8.0264 -0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -0.7112) (end -8.0264 -0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -0.4826) (end -7.0104 -0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -0.0762) (end -7.0104 -0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -0.3048) (end -8.0264 -0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -0.3048) (end -8.0264 -0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -0.0762) (end -7.0104 -0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 0.3048) (end -7.0104 0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 0.0762) (end -8.0264 0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 0.0762) (end -8.0264 0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 0.3048) (end -7.0104 0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 0.7112) (end -7.0104 0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 0.4826) (end -8.0264 0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 0.4826) (end -8.0264 0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 0.7112) (end -7.0104 0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 1.1176) (end -7.0104 0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 0.889) (end -8.0264 0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 0.889) (end -8.0264 1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 1.1176) (end -7.0104 1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 1.524) (end -7.0104 1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 1.2954) (end -8.0264 1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 1.2954) (end -8.0264 1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 1.524) (end -7.0104 1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 1.905) (end -7.0104 1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 1.6764) (end -8.0264 1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 1.6764) (end -8.0264 1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 1.905) (end -7.0104 1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 2.3114) (end -7.0104 2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 2.0828) (end -8.0264 2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 2.0828) (end -8.0264 2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 2.3114) (end -7.0104 2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 2.7178) (end -7.0104 2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 2.4892) (end -8.0264 2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 2.4892) (end -8.0264 2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 2.7178) (end -7.0104 2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 3.1242) (end -7.0104 2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 2.8956) (end -8.0264 2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 2.8956) (end -8.0264 3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 3.1242) (end -7.0104 3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 3.5052) (end -7.0104 3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 3.2766) (end -8.0264 3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 3.2766) (end -8.0264 3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 3.5052) (end -7.0104 3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 3.9116) (end -7.0104 3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 3.683) (end -8.0264 3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 3.683) (end -8.0264 3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 3.9116) (end -7.0104 3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 4.318) (end -7.0104 4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 4.0894) (end -8.0264 4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 4.0894) (end -8.0264 4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 4.318) (end -7.0104 4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 4.7244) (end -7.0104 4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 4.4958) (end -8.0264 4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 4.4958) (end -8.0264 4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 4.7244) (end -7.0104 4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 5.1054) (end -7.0104 4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 4.8768) (end -8.0264 4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 4.8768) (end -8.0264 5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 5.1054) (end -7.0104 5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 5.5118) (end -7.0104 5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 5.2832) (end -8.0264 5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 5.2832) (end -8.0264 5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 5.5118) (end -7.0104 5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 5.9182) (end -7.0104 5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 5.6896) (end -8.0264 5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 5.6896) (end -8.0264 5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 5.9182) (end -7.0104 5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 6.3246) (end -7.0104 6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 6.096) (end -8.0264 6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 6.096) (end -8.0264 6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 6.3246) (end -7.0104 6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.096 7.0104) (end -6.3246 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.3246 7.0104) (end -6.3246 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.3246 8.0264) (end -6.096 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.096 8.0264) (end -6.096 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.6896 7.0104) (end -5.9182 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.9182 7.0104) (end -5.9182 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.9182 8.0264) (end -5.6896 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.6896 8.0264) (end -5.6896 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.2832 7.0104) (end -5.5118 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.5118 7.0104) (end -5.5118 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.5118 8.0264) (end -5.2832 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.2832 8.0264) (end -5.2832 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.8768 7.0104) (end -5.1054 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.1054 7.0104) (end -5.1054 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.1054 8.0264) (end -4.8768 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.8768 8.0264) (end -4.8768 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.4958 7.0104) (end -4.7244 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.7244 7.0104) (end -4.7244 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.7244 8.0264) (end -4.4958 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.4958 8.0264) (end -4.4958 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.0894 7.0104) (end -4.318 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.318 7.0104) (end -4.318 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.318 8.0264) (end -4.0894 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.0894 8.0264) (end -4.0894 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.683 7.0104) (end -3.9116 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.9116 7.0104) (end -3.9116 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.9116 8.0264) (end -3.683 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.683 8.0264) (end -3.683 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.2766 7.0104) (end -3.5052 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.5052 7.0104) (end -3.5052 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.5052 8.0264) (end -3.2766 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.2766 8.0264) (end -3.2766 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.8956 7.0104) (end -3.1242 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.1242 7.0104) (end -3.1242 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.1242 8.0264) (end -2.8956 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.8956 8.0264) (end -2.8956 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.4892 7.0104) (end -2.7178 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.7178 7.0104) (end -2.7178 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.7178 8.0264) (end -2.4892 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.4892 8.0264) (end -2.4892 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.0828 7.0104) (end -2.3114 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.3114 7.0104) (end -2.3114 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.3114 8.0264) (end -2.0828 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.0828 8.0264) (end -2.0828 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.6764 7.0104) (end -1.905 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.905 7.0104) (end -1.905 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.905 8.0264) (end -1.6764 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.6764 8.0264) (end -1.6764 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.2954 7.0104) (end -1.524 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.524 7.0104) (end -1.524 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.524 8.0264) (end -1.2954 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.2954 8.0264) (end -1.2954 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.889 7.0104) (end -1.1176 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.1176 7.0104) (end -1.1176 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.1176 8.0264) (end -0.889 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.889 8.0264) (end -0.889 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.4826 7.0104) (end -0.7112 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.7112 7.0104) (end -0.7112 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.7112 8.0264) (end -0.4826 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.4826 8.0264) (end -0.4826 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.0762 7.0104) (end -0.3048 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.3048 7.0104) (end -0.3048 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.3048 8.0264) (end -0.0762 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.0762 8.0264) (end -0.0762 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.3048 7.0104) (end 0.0762 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.0762 7.0104) (end 0.0762 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.0762 8.0264) (end 0.3048 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.3048 8.0264) (end 0.3048 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.7112 7.0104) (end 0.4826 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.4826 7.0104) (end 0.4826 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.4826 8.0264) (end 0.7112 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.7112 8.0264) (end 0.7112 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.1176 7.0104) (end 0.889 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.889 7.0104) (end 0.889 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.889 8.0264) (end 1.1176 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.1176 8.0264) (end 1.1176 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.524 7.0104) (end 1.2954 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.2954 7.0104) (end 1.2954 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.2954 8.0264) (end 1.524 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.524 8.0264) (end 1.524 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.905 7.0104) (end 1.6764 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.6764 7.0104) (end 1.6764 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.6764 8.0264) (end 1.905 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.905 8.0264) (end 1.905 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.3114 7.0104) (end 2.0828 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.0828 7.0104) (end 2.0828 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.0828 8.0264) (end 2.3114 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.3114 8.0264) (end 2.3114 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.7178 7.0104) (end 2.4892 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.4892 7.0104) (end 2.4892 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.4892 8.0264) (end 2.7178 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.7178 8.0264) (end 2.7178 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.1242 7.0104) (end 2.8956 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.8956 7.0104) (end 2.8956 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.8956 8.0264) (end 3.1242 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.1242 8.0264) (end 3.1242 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.5052 7.0104) (end 3.2766 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.2766 7.0104) (end 3.2766 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.2766 8.0264) (end 3.5052 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.5052 8.0264) (end 3.5052 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.9116 7.0104) (end 3.683 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.683 7.0104) (end 3.683 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.683 8.0264) (end 3.9116 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.9116 8.0264) (end 3.9116 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.318 7.0104) (end 4.0894 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.0894 7.0104) (end 4.0894 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.0894 8.0264) (end 4.318 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.318 8.0264) (end 4.318 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.7244 7.0104) (end 4.4958 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.4958 7.0104) (end 4.4958 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.4958 8.0264) (end 4.7244 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.7244 8.0264) (end 4.7244 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.1054 7.0104) (end 4.8768 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.8768 7.0104) (end 4.8768 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.8768 8.0264) (end 5.1054 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.1054 8.0264) (end 5.1054 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.5118 7.0104) (end 5.2832 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.2832 7.0104) (end 5.2832 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.2832 8.0264) (end 5.5118 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.5118 8.0264) (end 5.5118 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.9182 7.0104) (end 5.6896 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.6896 7.0104) (end 5.6896 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.6896 8.0264) (end 5.9182 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.9182 8.0264) (end 5.9182 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.3246 7.0104) (end 6.096 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.096 7.0104) (end 6.096 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.096 8.0264) (end 6.3246 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.3246 8.0264) (end 6.3246 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 6.096) (end 7.0104 6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 6.3246) (end 8.0264 6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 6.3246) (end 8.0264 6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 6.096) (end 7.0104 6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 5.6896) (end 7.0104 5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 5.9182) (end 8.0264 5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 5.9182) (end 8.0264 5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 5.6896) (end 7.0104 5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 5.2832) (end 7.0104 5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 5.5118) (end 8.0264 5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 5.5118) (end 8.0264 5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 5.2832) (end 7.0104 5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 4.8768) (end 7.0104 5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 5.1054) (end 8.0264 5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 5.1054) (end 8.0264 4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 4.8768) (end 7.0104 4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 4.4958) (end 7.0104 4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 4.7244) (end 8.0264 4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 4.7244) (end 8.0264 4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 4.4958) (end 7.0104 4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 4.0894) (end 7.0104 4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 4.318) (end 8.0264 4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 4.318) (end 8.0264 4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 4.0894) (end 7.0104 4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 3.683) (end 7.0104 3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 3.9116) (end 8.0264 3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 3.9116) (end 8.0264 3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 3.683) (end 7.0104 3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 3.2766) (end 7.0104 3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 3.5052) (end 8.0264 3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 3.5052) (end 8.0264 3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 3.2766) (end 7.0104 3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 2.8956) (end 7.0104 3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 3.1242) (end 8.0264 3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 3.1242) (end 8.0264 2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 2.8956) (end 7.0104 2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 2.4892) (end 7.0104 2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 2.7178) (end 8.0264 2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 2.7178) (end 8.0264 2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 2.4892) (end 7.0104 2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 2.0828) (end 7.0104 2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 2.3114) (end 8.0264 2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 2.3114) (end 8.0264 2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 2.0828) (end 7.0104 2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 1.6764) (end 7.0104 1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 1.905) (end 8.0264 1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 1.905) (end 8.0264 1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 1.6764) (end 7.0104 1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 1.2954) (end 7.0104 1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 1.524) (end 8.0264 1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 1.524) (end 8.0264 1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 1.2954) (end 7.0104 1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 0.889) (end 7.0104 1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 1.1176) (end 8.0264 1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 1.1176) (end 8.0264 0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 0.889) (end 7.0104 0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 0.4826) (end 7.0104 0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 0.7112) (end 8.0264 0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 0.7112) (end 8.0264 0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 0.4826) (end 7.0104 0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 0.0762) (end 7.0104 0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 0.3048) (end 8.0264 0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 0.3048) (end 8.0264 0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 0.0762) (end 7.0104 0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -0.3048) (end 7.0104 -0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -0.0762) (end 8.0264 -0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -0.0762) (end 8.0264 -0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -0.3048) (end 7.0104 -0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -0.7112) (end 7.0104 -0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -0.4826) (end 8.0264 -0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -0.4826) (end 8.0264 -0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -0.7112) (end 7.0104 -0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -1.1176) (end 7.0104 -0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -0.889) (end 8.0264 -0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -0.889) (end 8.0264 -1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -1.1176) (end 7.0104 -1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -1.524) (end 7.0104 -1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -1.2954) (end 8.0264 -1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -1.2954) (end 8.0264 -1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -1.524) (end 7.0104 -1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -1.905) (end 7.0104 -1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -1.6764) (end 8.0264 -1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -1.6764) (end 8.0264 -1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -1.905) (end 7.0104 -1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -2.3114) (end 7.0104 -2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -2.0828) (end 8.0264 -2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -2.0828) (end 8.0264 -2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -2.3114) (end 7.0104 -2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -2.7178) (end 7.0104 -2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -2.4892) (end 8.0264 -2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -2.4892) (end 8.0264 -2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -2.7178) (end 7.0104 -2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -3.1242) (end 7.0104 -2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -2.8956) (end 8.0264 -2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -2.8956) (end 8.0264 -3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -3.1242) (end 7.0104 -3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -3.5052) (end 7.0104 -3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -3.2766) (end 8.0264 -3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -3.2766) (end 8.0264 -3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -3.5052) (end 7.0104 -3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -3.9116) (end 7.0104 -3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -3.683) (end 8.0264 -3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -3.683) (end 8.0264 -3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -3.9116) (end 7.0104 -3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -4.318) (end 7.0104 -4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -4.0894) (end 8.0264 -4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -4.0894) (end 8.0264 -4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -4.318) (end 7.0104 -4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -4.7244) (end 7.0104 -4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -4.4958) (end 8.0264 -4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -4.4958) (end 8.0264 -4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -4.7244) (end 7.0104 -4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -5.1054) (end 7.0104 -4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -4.8768) (end 8.0264 -4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -4.8768) (end 8.0264 -5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -5.1054) (end 7.0104 -5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -5.5118) (end 7.0104 -5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -5.2832) (end 8.0264 -5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -5.2832) (end 8.0264 -5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -5.5118) (end 7.0104 -5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -5.9182) (end 7.0104 -5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -5.6896) (end 8.0264 -5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -5.6896) (end 8.0264 -5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -5.9182) (end 7.0104 -5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -6.3246) (end 7.0104 -6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -6.096) (end 8.0264 -6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -6.096) (end 8.0264 -6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -6.3246) (end 7.0104 -6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.7404) (end -5.7404 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 7.0104) (end 7.0104 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 7.0104) (end 7.0104 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -7.0104) (end -7.0104 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -7.0104) (end -7.0104 7.0104) (layer Dwgs.User) (width 0.1524)) + (pad 1 smd rect (at -7.6454 -6.1976 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 107 /FBV_PWM) (solder_mask_margin 0.2)) + (pad 2 smd rect (at -7.6454 -5.7912 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 3 smd rect (at -7.6454 -5.4102 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 4 smd rect (at -7.6454 -5.0038 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 5 smd rect (at -7.6454 -4.5974 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 27 /ERR_RESN) (solder_mask_margin 0.2)) + (pad 6 smd rect (at -7.6454 -4.191 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 7 smd rect (at -7.6454 -3.81 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 8 smd rect (at -7.6454 -3.4036 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 9 smd rect (at -7.6454 -2.9972 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 22 /VREFA) (solder_mask_margin 0.2)) + (pad 10 smd rect (at -7.6454 -2.5908 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 5 GND) (solder_mask_margin 0.2)) + (pad 11 smd rect (at -7.6454 -2.2098 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 12 smd rect (at -7.6454 -1.8034 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 95 /IC_ADC) (solder_mask_margin 0.2)) + (pad 13 smd rect (at -7.6454 -1.397 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 112 /FBI_ADC) (solder_mask_margin 0.2)) + (pad 14 smd rect (at -7.6454 -0.9906 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 46 /FV_ADC) (solder_mask_margin 0.2)) + (pad 15 smd rect (at -7.6454 -0.6096 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 47 /FD_ADC) (solder_mask_margin 0.2)) + (pad 16 smd rect (at -7.6454 -0.2032 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 17 smd rect (at -7.6454 0.2032 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 5 GND) (solder_mask_margin 0.2)) + (pad 18 smd rect (at -7.6454 0.6096 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 19 smd rect (at -7.6454 0.9906 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 20 smd rect (at -7.6454 1.397 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 21 smd rect (at -7.6454 1.8034 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 22 smd rect (at -7.6454 2.2098 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 23 smd rect (at -7.6454 2.5908 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 24 smd rect (at -7.6454 2.9972 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 25 smd rect (at -7.6454 3.4036 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 26 smd rect (at -7.6454 3.81 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 27 smd rect (at -7.6454 4.191 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 28 smd rect (at -7.6454 4.5974 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 29 smd rect (at -7.6454 5.0038 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 65 /RTS) (solder_mask_margin 0.2)) + (pad 30 smd rect (at -7.6454 5.4102 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 68 /CTS) (solder_mask_margin 0.2)) + (pad 31 smd rect (at -7.6454 5.7912 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 32 smd rect (at -7.6454 6.1976 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 33 smd rect (at -6.1976 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 66 /RXD) (solder_mask_margin 0.2)) + (pad 34 smd rect (at -5.7912 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 67 /TXD) (solder_mask_margin 0.2)) + (pad 35 smd rect (at -5.4102 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 36 smd rect (at -5.0038 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 37 smd rect (at -4.5974 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 136 /HV_PWM) (solder_mask_margin 0.2)) + (pad 38 smd rect (at -4.191 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 39 smd rect (at -3.81 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 40 smd rect (at -3.4036 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 137 /FV_PWM) (solder_mask_margin 0.2)) + (pad 41 smd rect (at -2.9972 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 42 smd rect (at -2.5908 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 136 /HV_PWM) (solder_mask_margin 0.2)) + (pad 43 smd rect (at -2.2098 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 69 /LED1) (solder_mask_margin 0.2)) + (pad 44 smd rect (at -1.8034 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 137 /FV_PWM) (solder_mask_margin 0.2)) + (pad 45 smd rect (at -1.397 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 70 /LED2) (solder_mask_margin 0.2)) + (pad 46 smd rect (at -0.9906 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 47 smd rect (at -0.6096 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 48 smd rect (at -0.2032 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 5 GND) (solder_mask_margin 0.2)) + (pad 49 smd rect (at 0.2032 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 107 /FBV_PWM) (solder_mask_margin 0.2)) + (pad 50 smd rect (at 0.6096 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 51 smd rect (at 0.9906 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 52 smd rect (at 1.397 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 53 smd rect (at 1.8034 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 59 /EN_RXIN) (solder_mask_margin 0.2)) + (pad 54 smd rect (at 2.2098 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 58 /EN_RXIP) (solder_mask_margin 0.2)) + (pad 55 smd rect (at 2.5908 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 5 GND) (solder_mask_margin 0.2)) + (pad 56 smd rect (at 2.9972 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 57 /EN_TXON) (solder_mask_margin 0.2)) + (pad 57 smd rect (at 3.4036 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 56 /EN_TXOP) (solder_mask_margin 0.2)) + (pad 58 smd rect (at 3.81 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 5 GND) (solder_mask_margin 0.2)) + (pad 59 smd rect (at 4.191 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 129 "Net-(R505-Pad2)") (solder_mask_margin 0.2)) + (pad 60 smd rect (at 4.5974 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 61 smd rect (at 5.0038 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 70 /LED2) (solder_mask_margin 0.2)) + (pad 62 smd rect (at 5.4102 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 63 smd rect (at 5.7912 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 69 /LED1) (solder_mask_margin 0.2)) + (pad 64 smd rect (at 6.1976 7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 65 smd rect (at 7.6454 6.1976 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 66 smd rect (at 7.6454 5.7912 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 67 smd rect (at 7.6454 5.4102 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 68 smd rect (at 7.6454 5.0038 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 30 "Net-(C503-Pad1)") (solder_mask_margin 0.2)) + (pad 69 smd rect (at 7.6454 4.5974 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 70 smd rect (at 7.6454 4.191 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 29 "Net-(C502-Pad1)") (solder_mask_margin 0.2)) + (pad 71 smd rect (at 7.6454 3.81 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 72 smd rect (at 7.6454 3.4036 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 73 smd rect (at 7.6454 2.9972 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 74 smd rect (at 7.6454 2.5908 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 75 smd rect (at 7.6454 2.2098 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 76 smd rect (at 7.6454 1.8034 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 77 smd rect (at 7.6454 1.397 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 78 smd rect (at 7.6454 0.9906 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 79 smd rect (at 7.6454 0.6096 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 80 smd rect (at 7.6454 0.2032 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 5 GND) (solder_mask_margin 0.2)) + (pad 81 smd rect (at 7.6454 -0.2032 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 134 /FV_ERRN) (solder_mask_margin 0.2)) + (pad 82 smd rect (at 7.6454 -0.6096 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 49 /FBV_ERRN) (solder_mask_margin 0.2)) + (pad 83 smd rect (at 7.6454 -0.9906 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 50 /FBI_ERRN) (solder_mask_margin 0.2)) + (pad 84 smd rect (at 7.6454 -1.397 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 53 /AV_ERRN) (solder_mask_margin 0.2)) + (pad 85 smd rect (at 7.6454 -1.8034 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 54 /AI_ERRN) (solder_mask_margin 0.2)) + (pad 86 smd rect (at 7.6454 -2.2098 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 138 /ERR_LATCHN) (solder_mask_margin 0.2)) + (pad 87 smd rect (at 7.6454 -2.5908 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(C509-Pad1)") (solder_mask_margin 0.2)) + (pad 88 smd rect (at 7.6454 -2.9972 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 33 /OSC0) (solder_mask_margin 0.2)) + (pad 89 smd rect (at 7.6454 -3.4036 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 130 /OSC1) (solder_mask_margin 0.2)) + (pad 90 smd rect (at 7.6454 -3.81 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 91 smd rect (at 7.6454 -4.191 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 92 smd rect (at 7.6454 -4.5974 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 93 smd rect (at 7.6454 -5.0038 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 133 "Net-(S1-Pad1)") (solder_mask_margin 0.2)) + (pad 94 smd rect (at 7.6454 -5.4102 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 95 smd rect (at 7.6454 -5.7912 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 96 smd rect (at 7.6454 -6.1976 225) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 97 smd rect (at 6.1976 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 61 /TDO) (solder_mask_margin 0.2)) + (pad 98 smd rect (at 5.7912 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 62 /TDI) (solder_mask_margin 0.2)) + (pad 99 smd rect (at 5.4102 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 64 /TMS) (solder_mask_margin 0.2)) + (pad 100 smd rect (at 5.0038 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 60 /TCK) (solder_mask_margin 0.2)) + (pad 101 smd rect (at 4.5974 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 102 smd rect (at 4.191 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 103 smd rect (at 3.81 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 81 /IC_GAIN) (solder_mask_margin 0.2)) + (pad 104 smd rect (at 3.4036 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 84 /FBI_MED) (solder_mask_margin 0.2)) + (pad 105 smd rect (at 2.9972 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 85 /FBI_HIGH) (solder_mask_margin 0.2)) + (pad 106 smd rect (at 2.5908 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 86 /FBI_GAIN) (solder_mask_margin 0.2)) + (pad 107 smd rect (at 2.2098 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 108 smd rect (at 1.8034 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 109 smd rect (at 1.397 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 110 smd rect (at 0.9906 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 111 smd rect (at 0.6096 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 112 smd rect (at 0.2032 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 113 smd rect (at -0.2032 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 114 smd rect (at -0.6096 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 5 GND) (solder_mask_margin 0.2)) + (pad 115 smd rect (at -0.9906 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(C509-Pad1)") (solder_mask_margin 0.2)) + (pad 116 smd rect (at -1.397 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 117 smd rect (at -1.8034 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 118 smd rect (at -2.2098 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 80 /IC_GAIN_RELAY1) (solder_mask_margin 0.2)) + (pad 119 smd rect (at -2.5908 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 79 /IC_GAIN_RELAY2) (solder_mask_margin 0.2)) + (pad 120 smd rect (at -2.9972 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 121 smd rect (at -3.4036 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 122 smd rect (at -3.81 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 23 VCC) (solder_mask_margin 0.2)) + (pad 123 smd rect (at -4.191 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 124 smd rect (at -4.5974 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 125 smd rect (at -5.0038 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 126 smd rect (at -5.4102 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 51 /FBV_ADC) (solder_mask_margin 0.2)) + (pad 127 smd rect (at -5.7912 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (net 124 /AV_ADC) (solder_mask_margin 0.2)) + (pad 128 smd rect (at -6.1976 -7.6454 135) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + ) + + (module proj_footprints:SOT-223 (layer F.Cu) (tedit 58FF4A8B) (tstamp 58FA5826) + (at 270.1544 159.4612 180) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (path /59667234) + (attr smd) + (fp_text reference U404 (at -0.1016 4.5212 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value AMS1117-3.3 (at 0 4.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.85 -2.3) (end -0.8 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -2.3) (end -1.85 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.8 -3.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1)) + (pad 4 smd rect (at 3.15 0 180) (size 2 3.8) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -3.15 0 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 3 smd rect (at -3.15 2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 1 smd rect (at -3.15 -2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model TO_SOT_Packages_SMD.3dshapes/SOT-223.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz 0 0 90)) + ) + ) + + (module proj_footprints:SOT-223 (layer F.Cu) (tedit 58FF4A02) (tstamp 58FA5811) + (at 235.966 159.512 180) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (path /58F63D59) + (attr smd) + (fp_text reference U403 (at 0 4.572 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value AMS1117-3.0 (at 0 4.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.85 -2.3) (end -0.8 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -2.3) (end -1.85 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.8 -3.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1)) + (pad 4 smd rect (at 3.15 0 180) (size 2 3.8) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -3.15 0 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + (pad 3 smd rect (at -3.15 2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 1 smd rect (at -3.15 -2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model TO_SOT_Packages_SMD.3dshapes/SOT-223.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz 0 0 90)) + ) + ) + + (module SMD_Packages:SOIC-8-N (layer F.Cu) (tedit 58FF4A4C) (tstamp 58FA57FC) + (at 251.968 161.417 90) + (descr "Module Narrow CMS SOJ 8 pins large") + (tags "CMS SOJ") + (path /590E4F71) + (attr smd) + (fp_text reference U402 (at 3.937 -2.794 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LM337L (at 0 1.27 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.54 -2.286) (end 2.54 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 -2.286) (end 2.54 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 2.286) (end -2.54 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.54 2.286) (end -2.54 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.54 -0.762) (end -2.032 -0.762) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.032 -0.762) (end -2.032 0.508) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.032 0.508) (end -2.54 0.508) (layer F.SilkS) (width 0.15)) + (pad 8 smd rect (at -1.905 -3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 7 smd rect (at -0.635 -3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 13 /VEE5V)) + (pad 6 smd rect (at 0.635 -3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 13 /VEE5V)) + (pad 5 smd rect (at 1.905 -3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 1.905 3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 128 "Net-(R400-Pad1)")) + (pad 3 smd rect (at 0.635 3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 13 /VEE5V)) + (pad 2 smd rect (at -0.635 3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 13 /VEE5V)) + (pad 1 smd rect (at -1.905 3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 7 /VEE2V5)) + (model SMD_Packages.3dshapes/SOIC-8-N.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.5 0.38 0.5)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SOT-23-6 (layer F.Cu) (tedit 58FF4A78) (tstamp 58FA57E9) + (at 260.096 161.163) + (descr "6-pin SOT-23 package") + (tags SOT-23-6) + (path /58F59D4D) + (attr smd) + (fp_text reference U401 (at 0 2.413) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LM2664 (at 0 2.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center -0.4 -1.7) (end -0.3 -1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 -1.45) (end -0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 1.45) (end 0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 1.45) (end 0.25 1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 -1.45) (end -0.25 1.45) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 2 smd rect (at -1.1 0) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 13 /VEE5V)) + (pad 3 smd rect (at -1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 21 "Net-(C403-Pad2)")) + (pad 4 smd rect (at 1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 6 smd rect (at 1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 20 "Net-(C403-Pad1)")) + (pad 5 smd rect (at 1.1 0) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (model TO_SOT_Packages_SMD.3dshapes/SOT-23-6.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SPB05A (layer F.Cu) (tedit 58FF3EE0) (tstamp 58FA57DA) + (at 134.62 179.832 180) + (descr SPB05A) + (path /58F5B862) + (fp_text reference U400 (at 0 22.606 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SPB05A-05 (at 0 -7.62 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -7.62 -3.048) (end 7.62 -3.048) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.524 -1.524) (end -1.524 1.524) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.524 1.524) (end 1.524 1.524) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 1.524) (end 1.524 -1.524) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 -1.524) (end -1.524 -1.524) (layer F.SilkS) (width 0.15)) + (fp_line (start 0 21.59) (end 7.62 21.59) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.62 21.59) (end 7.62 -3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.62 -3.81) (end -7.62 -3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.62 20.32) (end -7.62 21.59) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.62 -3.81) (end -7.62 20.32) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.62 21.59) (end 0 21.59) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 15 GNDPWR)) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 14 /VCC12V)) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS)) + (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS)) + (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 12 /VCC5V)) + (pad 7 thru_hole oval (at 0 15.24 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 5 GND)) + (pad 8 thru_hole oval (at 0 17.78 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS)) + ) + + (module proj_footprints:SOT-23-5 (layer F.Cu) (tedit 58FF4736) (tstamp 58FA57C4) + (at 190.754 192.786 270) + (descr "5-pin SOT23 package") + (tags SOT-23-5) + (path /58FF5A2E) + (attr smd) + (fp_text reference U351 (at -0.254 -2.54 450) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value FAN3100C (at -0.05 2.35 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 -1.6) (end 1.8 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.6) (end 1.8 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 1.6) (end -1.8 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 1.6) (end -1.8 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_circle (center -0.3 -1.7) (end -0.2 -1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 -1.45) (end -0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 1.45) (end 0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 1.45) (end 0.25 1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 -1.45) (end -0.25 1.45) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.1 -0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 148 "Net-(C353-Pad1)")) + (pad 2 smd rect (at -1.1 0 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (pad 3 smd rect (at -1.1 0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 148 "Net-(C353-Pad1)")) + (pad 4 smd rect (at 1.1 0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 126 "Net-(R351-Pad2)")) + (pad 5 smd rect (at 1.1 -0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 127 "Net-(R354-Pad1)")) + (model TO_SOT_Packages_SMD.3dshapes/SOT-23-5.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:6-SMD (layer F.Cu) (tedit 58FF4774) (tstamp 58FA57B2) + (at 188.722 174.244 180) + (descr "6-300 smd shape") + (tags "smd cms 6smd") + (path /58FF5A4C) + (attr smd) + (fp_text reference U350 (at -1.27 6.604 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value H11L (at 0 0.762 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.08 -2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 2.54) (end 2.54 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 2.54) (end 2.54 -2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 -2.54) (end -5.08 -2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -1.27) (end -3.81 -1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.81 -1.27) (end -3.81 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.81 1.27) (end -5.08 1.27) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -3.81 4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask) + (net 125 "Net-(R350-Pad2)")) + (pad 2 smd rect (at -1.27 4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask) + (net 160 "Net-(U350-Pad2)")) + (pad 3 smd rect (at 1.27 4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 1.27 -4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask) + (net 126 "Net-(R351-Pad2)")) + (pad 5 smd rect (at -1.27 -4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (pad 6 smd rect (at -3.81 -4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask) + (net 148 "Net-(C353-Pad1)")) + (model SMD_Packages.3dshapes/6-SMD.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 0.5 0.8)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SOT-23-5 (layer F.Cu) (tedit 58FF4009) (tstamp 58FA57A1) + (at 151.384 183.642 270) + (descr "5-pin SOT23 package") + (tags SOT-23-5) + (path /58FDE12A) + (attr smd) + (fp_text reference U301 (at -2.54 3.302 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value FAN3100C (at -0.05 2.35 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 -1.6) (end 1.8 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.6) (end 1.8 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 1.6) (end -1.8 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 1.6) (end -1.8 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_circle (center -0.3 -1.7) (end -0.2 -1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 -1.45) (end -0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 1.45) (end 0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 1.45) (end 0.25 1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 -1.45) (end -0.25 1.45) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.1 -0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 16 "Net-(C304-Pad1)")) + (pad 2 smd rect (at -1.1 0 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (pad 3 smd rect (at -1.1 0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 16 "Net-(C304-Pad1)")) + (pad 4 smd rect (at 1.1 0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 117 "Net-(R301-Pad2)")) + (pad 5 smd rect (at 1.1 -0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 118 "Net-(R304-Pad1)")) + (model TO_SOT_Packages_SMD.3dshapes/SOT-23-5.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SMD_Packages:SOIC-14_N (layer F.Cu) (tedit 58FF53CA) (tstamp 58FA577E) + (at 305.054 173.228 180) + (descr "Module CMS SOJ 14 pins Large") + (tags "CMS SOJ") + (path /58F6EF0E) + (attr smd) + (fp_text reference U202 (at -6.223 0.127 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LM339PT (at 0 1.27 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.08 -2.286) (end 5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 2.54) (end -5.08 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -2.286) (end 5.08 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -0.508) (end -4.445 -0.508) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.445 -0.508) (end -4.445 0.762) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.445 0.762) (end -5.08 0.762) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -3.81 3.302 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 49 /FBV_ERRN)) + (pad 2 smd rect (at -2.54 3.302 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 134 /FV_ERRN)) + (pad 3 smd rect (at -1.27 3.302 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 4 smd rect (at 0 3.302 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 105 "Net-(R212-Pad2)")) + (pad 5 smd rect (at 1.27 3.302 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 106 "Net-(R214-Pad2)")) + (pad 6 smd rect (at 2.54 3.302 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 102 "Net-(R207-Pad2)")) + (pad 7 smd rect (at 3.81 3.302 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 108 /VREF_ERR)) + (pad 8 smd rect (at 3.81 -3.048 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 111 "Net-(R228-Pad2)")) + (pad 9 smd rect (at 2.54 -3.048 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 108 /VREF_ERR)) + (pad 11 smd rect (at 0 -3.048 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 108 /VREF_ERR)) + (pad 12 smd rect (at -1.27 -3.048 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 13 smd rect (at -2.54 -3.048 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 53 /AV_ERRN)) + (pad 14 smd rect (at -3.81 -3.048 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 50 /FBI_ERRN)) + (pad 10 smd rect (at 1.27 -3.048 180) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 123 "Net-(R318-Pad2)")) + (model SMD_Packages.3dshapes/SOIC-14_N.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.5 0.4 0.5)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SOT-23-5 (layer F.Cu) (tedit 58FF507B) (tstamp 58FA5765) + (at 272.923 185.293 270) + (descr "5-pin SOT23 package") + (tags SOT-23-5) + (path /590634E6) + (attr smd) + (fp_text reference U201 (at -2.413 -0.127 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TLV271 (at -0.05 2.35 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 -1.6) (end 1.8 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.6) (end 1.8 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 1.6) (end -1.8 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 1.6) (end -1.8 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_circle (center -0.3 -1.7) (end -0.2 -1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 -1.45) (end -0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 1.45) (end 0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 1.45) (end 0.25 1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 -1.45) (end -0.25 1.45) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.1 -0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 115 "Net-(R235-Pad2)")) + (pad 2 smd rect (at -1.1 0 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 13 /VEE5V)) + (pad 3 smd rect (at -1.1 0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 113 "Net-(R233-Pad2)")) + (pad 4 smd rect (at 1.1 0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 114 "Net-(R234-Pad2)")) + (pad 5 smd rect (at 1.1 -0.95 270) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (model TO_SOT_Packages_SMD.3dshapes/SOT-23-5.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SMD_Packages:SOIC-14_N (layer F.Cu) (tedit 58FF49C2) (tstamp 58FA5753) + (at 236.982 176.276) + (descr "Module CMS SOJ 14 pins Large") + (tags "CMS SOJ") + (path /58F62540) + (attr smd) + (fp_text reference U200 (at -7.112 3.556) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TSV324A (at 0 1.27) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.08 -2.286) (end 5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 2.54) (end -5.08 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -2.286) (end 5.08 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -0.508) (end -4.445 -0.508) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.445 -0.508) (end -4.445 0.762) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.445 0.762) (end -5.08 0.762) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -3.81 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 100 "Net-(R205-Pad2)")) + (pad 2 smd rect (at -2.54 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 98 "Net-(R204-Pad1)")) + (pad 3 smd rect (at -1.27 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 96 "Net-(R200-Pad2)")) + (pad 4 smd rect (at 0 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 5 smd rect (at 1.27 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 97 "Net-(R201-Pad2)")) + (pad 6 smd rect (at 2.54 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 99 "Net-(R204-Pad2)")) + (pad 7 smd rect (at 3.81 3.302) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 101 "Net-(R206-Pad2)")) + (pad 8 smd rect (at 3.81 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 9 "Net-(C201-Pad1)")) + (pad 9 smd rect (at 2.54 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 10 "Net-(C201-Pad2)")) + (pad 11 smd rect (at 0 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 12 smd rect (at -1.27 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 104 "Net-(R209-Pad2)")) + (pad 13 smd rect (at -2.54 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 103 "Net-(R208-Pad2)")) + (pad 14 smd rect (at -3.81 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 105 "Net-(R212-Pad2)")) + (pad 10 smd rect (at 1.27 -3.048) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 102 "Net-(R207-Pad2)")) + (model SMD_Packages.3dshapes/SOIC-14_N.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.5 0.4 0.5)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SMD_Packages:SOIC-14_N (layer F.Cu) (tedit 58FF5420) (tstamp 58FA573A) + (at 287.655 179.324 90) + (descr "Module CMS SOJ 14 pins Large") + (tags "CMS SOJ") + (path /58F5D1C7) + (attr smd) + (fp_text reference U101 (at 5.207 -3.683 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value TSV324A (at 0 1.27 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.08 -2.286) (end 5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 2.54) (end -5.08 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -2.286) (end 5.08 -2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -0.508) (end -4.445 -0.508) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.445 -0.508) (end -4.445 0.762) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.445 0.762) (end -5.08 0.762) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -3.81 3.302 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 94 "Net-(R112-Pad2)")) + (pad 2 smd rect (at -2.54 3.302 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 92 "Net-(R110-Pad2)")) + (pad 3 smd rect (at -1.27 3.302 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 93 "Net-(R111-Pad1)")) + (pad 4 smd rect (at 0 3.302 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + (pad 5 smd rect (at 1.27 3.302 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 154 "Net-(R113-Pad2)")) + (pad 6 smd rect (at 2.54 3.302 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 155 "Net-(R114-Pad1)")) + (pad 7 smd rect (at 3.81 3.302 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 156 "Net-(R115-Pad2)")) + (pad 8 smd rect (at 3.81 -3.048 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 111 "Net-(R228-Pad2)")) + (pad 9 smd rect (at 2.54 -3.048 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 110 "Net-(R227-Pad1)")) + (pad 11 smd rect (at 0 -3.048 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 7 /VEE2V5)) + (pad 12 smd rect (at -1.27 -3.048 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 121 "Net-(R313-Pad2)")) + (pad 13 smd rect (at -2.54 -3.048 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 122 "Net-(R318-Pad1)")) + (pad 14 smd rect (at -3.81 -3.048 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 123 "Net-(R318-Pad2)")) + (pad 10 smd rect (at 1.27 -3.048 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 109 "Net-(R226-Pad2)")) + (model SMD_Packages.3dshapes/SOIC-14_N.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.5 0.4 0.5)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:TDK_B66206X (layer F.Cu) (tedit 58FF47C1) (tstamp 58FA570E) + (at 218.186 194.564 270) + (descr TDK_B66208X) + (path /58FF59CC) + (fp_text reference TR350 (at -6.096 -0.508 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TDK_B66206X (at 7.62 5.08 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -4.38 -2.92) (end -4.38 13.08) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.38 13.08) (end 19.62 13.08) (layer F.SilkS) (width 0.15)) + (fp_line (start 19.62 13.08) (end 19.62 -2.92) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.38 -2.92) (end 19.62 -2.92) (layer F.SilkS) (width 0.15)) + (pad 5 thru_hole oval (at 15.24 10.16 270) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 4 thru_hole oval (at 11.43 10.16 270) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 6 thru_hole oval (at 15.24 0 270) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 7 thru_hole oval (at 11.43 0 270) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 7.62 10.16 270) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at 3.81 10.16 270) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask) + (net 157 "Net-(D350-Pad2)")) + (pad 1 thru_hole rect (at 0 10.16 270) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 14 /VCC12V)) + (pad 10 thru_hole oval (at 0 0 270) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask) + (net 8 /FIL-)) + (pad 9 thru_hole oval (at 3.81 0 270) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask) + (net 158 "Net-(D351-Pad2)")) + (pad 8 thru_hole oval (at 7.62 0 270) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + ) + + (module proj_footprints:TDK_B66208X (layer F.Cu) (tedit 58FF3FD5) (tstamp 58FA56FC) + (at 166.878 216.662 180) + (descr TDK_B66208X) + (path /58F8A734) + (fp_text reference TR300 (at 22.86 17.526 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TDK_B66208X (at 10.16 9.398 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -4.84 -3.65) (end -4.84 16.35) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.84 16.35) (end 25.16 16.35) (layer F.SilkS) (width 0.15)) + (fp_line (start 25.16 16.35) (end 25.16 -3.65) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.84 -3.65) (end 25.16 -3.65) (layer F.SilkS) (width 0.15)) + (pad 5 thru_hole oval (at 20.32 12.7 180) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 4 thru_hole oval (at 15.24 12.7 180) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 6 thru_hole oval (at 20.32 0 180) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 7 thru_hole oval (at 15.24 0 180) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 10.16 12.7 180) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at 5.08 12.7 180) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 52 "Net-(D300-Pad2)")) + (pad 1 thru_hole rect (at 0 12.7 180) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 14 /VCC12V)) + (pad 10 thru_hole oval (at 0 0 180) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 147 "Net-(C308-Pad2)")) + (pad 9 thru_hole oval (at 5.08 0 180) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 143 "Net-(C306-Pad1)")) + (pad 8 thru_hole oval (at 10.16 0 180) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + ) + + (module proj_footprints:H2019FNL (layer F.Cu) (tedit 58FF5301) (tstamp 58FA56EA) + (at 299.339 215.138 180) + (path /594A443F) + (fp_text reference T500 (at 7.493 0 450) (layer F.SilkS) + (effects (font (size 1.2 1.2) (thickness 0.15))) + ) + (fp_text value HX1198FNL (at 0 0 180) (layer F.Fab) + (effects (font (size 1.2 1.2) (thickness 0.15))) + ) + (fp_circle (center -5.6 3.4) (end -6.1 3.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 5 4.6) (end 6.4 4.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.4 4.6) (end 6.4 -4.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.4 -4.6) (end 5 -4.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -5 -4.6) (end -6.4 -4.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.4 -4.6) (end -6.4 4.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.4 4.6) (end -5 4.6) (layer F.SilkS) (width 0.15)) + (pad 16 smd rect (at -4.445 -4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 75 "Net-(P4-Pad1)")) + (pad 1 smd rect (at -4.445 4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 56 /EN_TXOP)) + (pad 15 smd rect (at -3.175 -4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 132 "Net-(R516-Pad1)")) + (pad 2 smd rect (at -3.175 4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 14 smd rect (at -1.905 -4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 71 "Net-(P4-Pad2)")) + (pad 3 smd rect (at -1.905 4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 57 /EN_TXON)) + (pad 13 smd rect (at -0.635 -4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at -0.635 4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 12 smd rect (at 0.635 -4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at 0.635 4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 11 smd rect (at 1.905 -4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 76 "Net-(P4-Pad3)")) + (pad 6 smd rect (at 1.905 4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 58 /EN_RXIP)) + (pad 10 smd rect (at 3.175 -4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 131 "Net-(R515-Pad1)")) + (pad 7 smd rect (at 3.175 4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 9 smd rect (at 4.445 -4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 73 "Net-(P4-Pad6)")) + (pad 8 smd rect (at 4.445 4.255 180) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask) + (net 59 /EN_RXIN)) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF556A) (tstamp 58FA566C) + (at 318.262 186.182 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /594E6FD4) + (attr smd) + (fp_text reference R522 (at 0 6.096 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 62 /TDI)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5573) (tstamp 58FA565B) + (at 318.262 184.658 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /594E8D13) + (attr smd) + (fp_text reference R521 (at 0 5.969 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 61 /TDO)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5577) (tstamp 58FA564A) + (at 318.262 183.134 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /594E8A5B) + (attr smd) + (fp_text reference R520 (at 0 5.842 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 60 /TCK)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF557C) (tstamp 58FA5639) + (at 318.262 181.61 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /594E8436) + (attr smd) + (fp_text reference R519 (at 0 5.715 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 64 /TMS)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5162) (tstamp 58FA5628) + (at 307.594 218.948 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /5952D85A) + (attr smd) + (fp_text reference R518 (at -1.778 -0.381 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 75R (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 74 "Net-(P4-Pad7)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 34 "Net-(C515-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5163) (tstamp 58FA5617) + (at 309.372 218.948 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /595300E1) + (attr smd) + (fp_text reference R517 (at -1.778 0.635 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 75R (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 72 "Net-(P4-Pad4)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 34 "Net-(C515-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5177) (tstamp 58FA5606) + (at 307.848 216.154) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59531346) + (attr smd) + (fp_text reference R516 (at 2.54 0) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 75R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 132 "Net-(R516-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 34 "Net-(C515-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5181) (tstamp 58FA55F5) + (at 307.848 214.63) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59531B91) + (attr smd) + (fp_text reference R515 (at 2.54 0) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 75R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 131 "Net-(R515-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 34 "Net-(C515-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF52C7) (tstamp 58FA55E4) + (at 295.148 208.534 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /5950F7A1) + (attr smd) + (fp_text reference R514 (at 0 2.032 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 49.9R (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 59 /EN_RXIN)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF52B7) (tstamp 58FA55D3) + (at 297.815 208.534) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59511CB3) + (attr smd) + (fp_text reference R513 (at -0.889 -2.032 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 49.9R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 58 /EN_RXIP)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF52F6) (tstamp 58FA55C2) + (at 300.863 208.534 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59511F7B) + (attr smd) + (fp_text reference R512 (at -0.889 2.032 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 49.9R (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 57 /EN_TXON)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF52E8) (tstamp 58FA55B1) + (at 303.53 208.534) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59512248) + (attr smd) + (fp_text reference R511 (at 0.254 -2.032 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 49.9R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 56 /EN_TXOP)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58E0A804) (tstamp 58FA558F) + (at 288.544 219.202 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59597DA5) + (attr smd) + (fp_text reference R509 (at 0 -1.45 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 240R (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 70 /LED2)) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 163 /LED2_K)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58E0A804) (tstamp 58FA557E) + (at 282.956 219.202 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59560FEE) + (attr smd) + (fp_text reference R508 (at 0 -1.45 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 240R (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 69 /LED1)) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 162 /LED1_K)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF51E7) (tstamp 58FA556D) + (at 315.468 196.342) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /594B7375) + (attr smd) + (fp_text reference R507 (at 3.302 -0.889) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 2K (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 130 /OSC1)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 32 "Net-(C512-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5295) (tstamp 58FA555C) + (at 307.848 207.264) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /594D9273) + (attr smd) + (fp_text reference R506 (at -1.651 0 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 51R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 30 "Net-(C503-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58E0A804) (tstamp 58FA554B) + (at 307.848 209.042 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /594892A3) + (attr smd) + (fp_text reference R505 (at 0 -1.45 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.87K/1% (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 129 "Net-(R505-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5248) (tstamp 58FA553A) + (at 310.642 203.962 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /594EBC7E) + (attr smd) + (fp_text reference R504 (at -1.778 1.143 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 100R (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 63 /RESETN)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 29 "Net-(C502-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF529D) (tstamp 58FA5529) + (at 310.134 206.248 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /594E1103) + (attr smd) + (fp_text reference R503 (at 0 1.397 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 29 "Net-(C502-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF532F) (tstamp 58FA5518) + (at 294.64 156.718 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59360A18) + (attr smd) + (fp_text reference R502 (at 0 1.524 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 28 "Net-(C501-Pad2)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5389) (tstamp 58FA5507) + (at 297.18 167.132) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /5924A84E) + (attr smd) + (fp_text reference R501 (at -1.651 0 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 55 "Net-(D500-Pad3)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 26 "Net-(C500-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF55BD) (tstamp 58FA54F6) + (at 310.134 182.372 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59240809) + (attr smd) + (fp_text reference R500 (at -2.032 0 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 48 "Net-(D208-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF50FB) (tstamp 58FA54E5) + (at 279.4 227.076 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59569728) + (attr smd) + (fp_text reference R403 (at -0.127 1.397 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 240R (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 161 /LEDP_K)) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4FDA) (tstamp 58FA54D4) + (at 278.638 194.31) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59582703) + (attr smd) + (fp_text reference R402 (at 0 1.524) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 22 /VREFA)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4A73) (tstamp 58FA54C3) + (at 252.857 157.48) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /590E8134) + (attr smd) + (fp_text reference R401 (at 0.127 -2.794 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 240R/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 7 /VEE2V5)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 128 "Net-(R400-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4A6E) (tstamp 58FA54B2) + (at 255.905 157.48) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /590E94DA) + (attr smd) + (fp_text reference R400 (at -0.127 -2.794 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 240R/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 128 "Net-(R400-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF472A) (tstamp 58FA54A1) + (at 193.294 195.58 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FF5A34) + (attr smd) + (fp_text reference R354 (at 0 2.794 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0R (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 127 "Net-(R354-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 159 "Net-(Q350-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_2512 (layer F.Cu) (tedit 58FF475F) (tstamp 58FA5490) + (at 201.93 190.754 270) + (descr "Resistor SMD 2512, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 2512") + (path /58FF5A15) + (attr smd) + (fp_text reference R353 (at -4.826 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7K/2512 (at 0 2.75 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 2.6 1.82) (end -2.6 1.82) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.6 -1.82) (end 2.6 -1.82) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.85 -1.85) (end 3.85 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.85 -1.85) (end -3.85 1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.85 1.85) (end 3.85 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.85 1.85) (end -3.85 1.85) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -3.1 0 270) (size 1 3.2) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 3.1 0 270) (size 1 3.2) (layers F.Cu F.Paste F.Mask) + (net 149 "Net-(C354-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_2512.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4746) (tstamp 58FA547F) + (at 194.564 185.674 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FF5A40) + (attr smd) + (fp_text reference R352 (at 3.302 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3.3R (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 148 "Net-(C353-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4731) (tstamp 58FA546E) + (at 187.96 192.786 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FF5A92) + (attr smd) + (fp_text reference R351 (at 0.254 1.524 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 148 "Net-(C353-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 126 "Net-(R351-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF477D) (tstamp 58FA545D) + (at 194.818 168.402 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59292757) + (attr smd) + (fp_text reference R350 (at -2.286 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 240R (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 125 "Net-(R350-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF55F9) (tstamp 58FA544C) + (at 302.26 179.832 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FB3B28) + (attr smd) + (fp_text reference R319 (at 1.651 -0.635 360) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 240R (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 123 "Net-(R318-Pad2)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 124 /AV_ADC)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF50DC) (tstamp 58FA543B) + (at 285.877 185.801 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FAF418) + (attr smd) + (fp_text reference R318 (at -3.175 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K/1% (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 122 "Net-(R318-Pad1)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 123 "Net-(R318-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF50EA) (tstamp 58FA542A) + (at 282.829 185.674 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FA4C65) + (attr smd) + (fp_text reference R317 (at 0 -1.27 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10K/1% (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 121 "Net-(R313-Pad2)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 58FF47C8) (tstamp 58FA5419) + (at 217.932 219.202 180) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /590186CF) + (fp_text reference R313 (at 5.08 2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 2M/1%/RNV (at 5.08 2.31 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 18 /ANODE_HV)) + (pad 2 thru_hole oval (at 10.16 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 121 "Net-(R313-Pad2)")) + (model Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_ThroughHole:R_Axial_DIN0414_L11.9mm_D4.5mm_P15.24mm_Horizontal (layer F.Cu) (tedit 5874F706) (tstamp 58FA5403) + (at 207.01 225.552) + (descr "Resistor, Axial_DIN0414 series, Axial, Horizontal, pin pitch=15.24mm, 2W, length*diameter=11.9*4.5mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf") + (tags "Resistor Axial_DIN0414 series Axial Horizontal pin pitch 15.24mm 2W length 11.9mm diameter 4.5mm") + (path /5936901A) + (fp_text reference R312 (at 7.62 -3.31) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 22R/1W (at 7.62 3.31) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.67 -2.25) (end 1.67 2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.67 2.25) (end 13.57 2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 13.57 2.25) (end 13.57 -2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 13.57 -2.25) (end 1.67 -2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.67 0) (layer F.Fab) (width 0.1)) + (fp_line (start 15.24 0) (end 13.57 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.61 -2.31) (end 1.61 2.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.61 2.31) (end 13.63 2.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.63 2.31) (end 13.63 -2.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.63 -2.31) (end 1.61 -2.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.38 0) (end 1.61 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.86 0) (end 13.63 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.45 -2.6) (end -1.45 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 2.6) (end 16.7 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.7 2.6) (end 16.7 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.7 -2.6) (end -1.45 -2.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask) + (net 146 "Net-(C307-Pad1)")) + (pad 2 thru_hole oval (at 15.24 0) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask) + (net 18 /ANODE_HV)) + (model Resistors_THT.3dshapes/R_Axial_DIN0414_L11.9mm_D4.5mm_P15.24mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_1206 (layer F.Cu) (tedit 58FF3FF5) (tstamp 58FA53ED) + (at 174.752 212.09 45) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58FA32EB) + (attr smd) + (fp_text reference R311 (at 0.71842 -1.796051 45) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470K/1206 (at 0 1.95 45) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 45) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 1.07) (end -1 1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 -1.07) (end 1 -1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0 45) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 120 "Net-(R310-Pad2)")) + (pad 2 smd rect (at 1.45 0 45) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 147 "Net-(C308-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_1206 (layer F.Cu) (tedit 58E0A804) (tstamp 58FA53DC) + (at 172.72 225.044 135) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58FA3197) + (attr smd) + (fp_text reference R310 (at 0 -1.85 135) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470K/1206 (at 0 1.95 135) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 135) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 1.07) (end -1 1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 -1.07) (end 1 -1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0 135) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 145 "Net-(C307-Pad2)")) + (pad 2 smd rect (at 1.45 0 135) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 120 "Net-(R310-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_1206 (layer F.Cu) (tedit 58FF4707) (tstamp 58FA53CB) + (at 202.692 213.868 135) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58FA3042) + (attr smd) + (fp_text reference R309 (at 2.873682 2.155261 405) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470K/1206 (at 0 1.95 135) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 135) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 1.07) (end -1 1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 -1.07) (end 1 -1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0 135) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 119 "Net-(R308-Pad2)")) + (pad 2 smd rect (at 1.45 0 135) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 145 "Net-(C307-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_1206 (layer F.Cu) (tedit 58FF471D) (tstamp 58FA53BA) + (at 202.692 225.552 45) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58FA1668) + (attr smd) + (fp_text reference R308 (at 0 3.232892 135) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470K/1206 (at 0 1.95 45) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 45) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 1.07) (end -1 1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 -1.07) (end 1 -1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0 45) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 146 "Net-(C307-Pad1)")) + (pad 2 smd rect (at 1.45 0 45) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 119 "Net-(R308-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_1206 (layer F.Cu) (tedit 58E0A804) (tstamp 58FA53A9) + (at 146.05 223.266) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58FC0B2F) + (attr smd) + (fp_text reference R307 (at 0 -1.85) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100R/1206 (at 0 1.95) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 1.07) (end -1 1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 -1.07) (end 1 -1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 147 "Net-(C308-Pad2)")) + (pad 2 smd rect (at 1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 89 "Net-(Q301-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 58FF3FE6) (tstamp 58FA5398) + (at 157.48 223.266) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /58F927B6) + (fp_text reference R306 (at -0.508 -1.778) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K/RNV (at 5.08 2.31) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 144 "Net-(C306-Pad2)")) + (pad 2 thru_hole oval (at 10.16 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 146 "Net-(C307-Pad1)")) + (model Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_2512 (layer F.Cu) (tedit 58E0A804) (tstamp 58FA5382) + (at 187.96 211.074) + (descr "Resistor SMD 2512, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 2512") + (path /58F98FE3) + (attr smd) + (fp_text reference R305 (at 0 -2.6) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 2R/2512 (at 0 2.75) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 2.6 1.82) (end -2.6 1.82) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.6 -1.82) (end 2.6 -1.82) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.85 -1.85) (end 3.85 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.85 -1.85) (end -3.85 1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.85 1.85) (end 3.85 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.85 1.85) (end -3.85 1.85) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -3.1 0) (size 1 3.2) (layers F.Cu F.Paste F.Mask) + (net 147 "Net-(C308-Pad2)")) + (pad 2 smd rect (at 3.1 0) (size 1 3.2) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_2512.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4002) (tstamp 58FA5371) + (at 154.94 185.42) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FDF6AF) + (attr smd) + (fp_text reference R304 (at 3.302 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 118 "Net-(R304-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 88 "Net-(Q300-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_2512 (layer F.Cu) (tedit 58FF4036) (tstamp 58FA5360) + (at 166.116 195.326 90) + (descr "Resistor SMD 2512, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 2512") + (path /58FCA1A2) + (attr smd) + (fp_text reference R303 (at 6.35 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7K/2512 (at 0 2.75 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 2.6 1.82) (end -2.6 1.82) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.6 -1.82) (end 2.6 -1.82) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.85 -1.85) (end 3.85 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.85 -1.85) (end -3.85 1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.85 1.85) (end 3.85 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.85 1.85) (end -3.85 1.85) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -3.1 0 90) (size 1 3.2) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 3.1 0 90) (size 1 3.2) (layers F.Cu F.Paste F.Mask) + (net 17 "Net-(C305-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_2512.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58E0A804) (tstamp 58FA534F) + (at 148.59 179.07) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FE53FF) + (attr smd) + (fp_text reference R302 (at 0 -1.45) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3.3R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 16 "Net-(C304-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4012) (tstamp 58FA533E) + (at 148.59 183.642 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FEDAA7) + (attr smd) + (fp_text reference R301 (at 0.254 1.524 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 16 "Net-(C304-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 117 "Net-(R301-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF3EC1) (tstamp 58FA532D) + (at 161.798 168.402 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /592924F9) + (attr smd) + (fp_text reference R300 (at -2.032 0 540) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 240R (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 116 "Net-(R300-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4997) (tstamp 58FA531C) + (at 247.396 174.244 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FA6838) + (attr smd) + (fp_text reference R239 (at -3.302 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 7.5K/1% (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 101 "Net-(R206-Pad2)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 51 /FBV_ADC)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF498A) (tstamp 58FA530B) + (at 227.076 172.466 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FA6505) + (attr smd) + (fp_text reference R238 (at 3.302 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 7.5K/1% (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 100 "Net-(R205-Pad2)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 51 /FBV_ADC)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4FF8) (tstamp 58FA52FA) + (at 277.749 184.658 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /591C861D) + (attr smd) + (fp_text reference R237 (at -2.413 0 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 240R/1% (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 47 /FD_ADC)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 115 "Net-(R235-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5007) (tstamp 58FA52E9) + (at 277.876 188.214) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /591C8626) + (attr smd) + (fp_text reference R236 (at 2.286 -1.778) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10K/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 47 /FD_ADC)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5092) (tstamp 58FA52D8) + (at 275.59 185.293 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /591BC3F5) + (attr smd) + (fp_text reference R235 (at -2.54 0 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10K/1% (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 114 "Net-(R234-Pad2)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 115 "Net-(R235-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4FE6) (tstamp 58FA52C7) + (at 277.749 186.563 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /591C7B22) + (attr smd) + (fp_text reference R234 (at -3.429 -1.651 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7K/1% (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 114 "Net-(R234-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5066) (tstamp 58FA52B6) + (at 271.653 180.848 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /591D8B50) + (attr smd) + (fp_text reference R233 (at 0 1.27 450) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 22K (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 42 "Net-(D204-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 113 "Net-(R233-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4AAA) (tstamp 58FA52A5) + (at 282.702 167.132 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /591A2D95) + (attr smd) + (fp_text reference R232 (at -3.302 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 45 "Net-(D205-Pad3)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5010) (tstamp 58FA5294) + (at 266.192 184.15) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /591B2219) + (attr smd) + (fp_text reference R231 (at -1.778 -1.524) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 43 "Net-(D204-Pad3)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF53F5) (tstamp 58FA5283) + (at 285.242 170.434 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59124F41) + (attr smd) + (fp_text reference R230 (at -1.778 0.635 360) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10K/1% (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 112 /FBI_ADC)) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF53E6) (tstamp 58FA5272) + (at 287.02 170.434 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FB5E97) + (attr smd) + (fp_text reference R229 (at 1.778 0.508 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 240R/1% (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 111 "Net-(R228-Pad2)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 112 /FBI_ADC)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5408) (tstamp 58FA5261) + (at 286.766 172.847 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FAF0A1) + (attr smd) + (fp_text reference R228 (at 2.413 -0.254 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 42.2K/1% (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 110 "Net-(R227-Pad1)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 111 "Net-(R228-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5640) (tstamp 58FA5250) + (at 289.687 172.847) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /5910A19B) + (attr smd) + (fp_text reference R227 (at -1.016 -1.143) (layer F.SilkS) + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_text value 4.7K/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 110 "Net-(R227-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 87 "Net-(Q203-Pad3)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF50CB) (tstamp 58FA523F) + (at 281.686 178.054) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FADE7F) + (attr smd) + (fp_text reference R226 (at 0.762 -2.032 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 22K (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 40 "Net-(D202-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 109 "Net-(R226-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5E3A) (tstamp 58FA522E) + (at 279.273 178.943 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F9C5D7) + (attr smd) + (fp_text reference R225 (at 0.889 -2.032 360) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 22K/1% (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 40 "Net-(D202-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_1206 (layer F.Cu) (tedit 58FF5ABE) (tstamp 58FA521D) + (at 280.543 174.879 90) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58FA0BB1) + (attr smd) + (fp_text reference R224 (at 2.667 -2.667 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 39R/1%/1206 (at 0 1.95 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 1.07) (end -1 1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 -1.07) (end 1 -1.07) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0 90) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 40 "Net-(D202-Pad1)")) + (pad 2 smd rect (at 1.45 0 90) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 44 "Net-(D205-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5ACA) (tstamp 58FA520C) + (at 271.653 177.292 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /591A487F) + (attr smd) + (fp_text reference R223 (at -2.794 -0.127 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 200R/1% (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 40 "Net-(D202-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 42 "Net-(D204-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5062) (tstamp 58FA51FB) + (at 274.193 180.848 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /59381B1C) + (attr smd) + (fp_text reference R222 (at 0 -1.27 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 100R (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 41 "Net-(D202-Pad3)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF53AD) (tstamp 58FA51EA) + (at 302.514 167.386 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F770DF) + (attr smd) + (fp_text reference R221 (at -0.889 -1.778 360) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10K (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 108 /VREF_ERR)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5452) (tstamp 58FA51D9) + (at 293.116 167.64 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F770EE) + (attr smd) + (fp_text reference R220 (at 1.778 -0.635 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 470R (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 108 /VREF_ERR)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4A0E) (tstamp 58FA51C8) + (at 241.808 166.624 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F88462) + (attr smd) + (fp_text reference R219 (at -2.286 -1.524 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 22K (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 11 "Net-(C202-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 107 /FBV_PWM)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4A18) (tstamp 58FA51B7) + (at 239.776 168.91 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F85BEA) + (attr smd) + (fp_text reference R218 (at 0.508 -2.794 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 1K (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 10 "Net-(C201-Pad2)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 11 "Net-(C202-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF49A7) (tstamp 58FA51A6) + (at 243.459 171.069 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F86620) + (attr smd) + (fp_text reference R217 (at -3.175 -0.127 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 1K (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 83 "Net-(Q200-Pad1)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 9 "Net-(C201-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_ThroughHole:R_Axial_DIN0414_L11.9mm_D4.5mm_P15.24mm_Horizontal (layer F.Cu) (tedit 58FF5AE4) (tstamp 58FA5195) + (at 246.761 191.77 45) + (descr "Resistor, Axial_DIN0414 series, Axial, Horizontal, pin pitch=15.24mm, 2W, length*diameter=11.9*4.5mm^2, http://www.vishay.com/docs/20128/wkxwrx.pdf") + (tags "Resistor Axial_DIN0414 series Axial Horizontal pin pitch 15.24mm 2W length 11.9mm diameter 4.5mm") + (path /59170DA2) + (fp_text reference R216 (at 9.070059 -3.322695 45) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 180R/1W (at 7.62 3.31 45) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.67 -2.25) (end 1.67 2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.67 2.25) (end 13.57 2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 13.57 2.25) (end 13.57 -2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 13.57 -2.25) (end 1.67 -2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.67 0) (layer F.Fab) (width 0.1)) + (fp_line (start 15.24 0) (end 13.57 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.61 -2.31) (end 1.61 2.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.61 2.31) (end 13.63 2.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.63 2.31) (end 13.63 -2.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.63 -2.31) (end 1.61 -2.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.38 0) (end 1.61 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.86 0) (end 13.63 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.45 -2.6) (end -1.45 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.45 2.6) (end 16.7 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.7 2.6) (end 16.7 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.7 -2.6) (end -1.45 -2.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 45) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask) + (net 8 /FIL-)) + (pad 2 thru_hole oval (at 15.24 0 45) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask) + (net 39 "Net-(D201-Pad2)")) + (model Resistors_THT.3dshapes/R_Axial_DIN0414_L11.9mm_D4.5mm_P15.24mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF535B) (tstamp 58FA517F) + (at 305.054 166.116) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F71FC5) + (attr smd) + (fp_text reference R215 (at -0.762 -1.27) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10K/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 106 "Net-(R214-Pad2)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF536F) (tstamp 58FA516E) + (at 305.054 167.894 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F74869) + (attr smd) + (fp_text reference R214 (at -2.54 -0.762 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 4.7/1% (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 106 "Net-(R214-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5509) (tstamp 58FA515D) + (at 296.926 178.308 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F7557D) + (attr smd) + (fp_text reference R213 (at -1.778 -0.762 360) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 240R (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 105 "Net-(R212-Pad2)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 46 /FV_ADC)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF49C8) (tstamp 58FA514C) + (at 233.426 171.069 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6F3E5) + (attr smd) + (fp_text reference R212 (at 0.508 2.921 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 7.5K/1% (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 103 "Net-(R208-Pad2)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 105 "Net-(R212-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF49D7) (tstamp 58FA513B) + (at 236.22 171.069) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6F999) + (attr smd) + (fp_text reference R211 (at 0 -1.45) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 7.5K/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 104 "Net-(R209-Pad2)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF49B2) (tstamp 58FA512A) + (at 243.84 174.244 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F7D442) + (attr smd) + (fp_text reference R210 (at 1.778 0 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 33K (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 101 "Net-(R206-Pad2)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 102 "Net-(R207-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF499B) (tstamp 58FA5119) + (at 245.618 174.244 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6F253) + (attr smd) + (fp_text reference R209 (at -3.302 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7K/1% (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 101 "Net-(R206-Pad2)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 104 "Net-(R209-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4984) (tstamp 58FA5108) + (at 229.616 175.006) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6EBE7) + (attr smd) + (fp_text reference R208 (at -3.302 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7K/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 100 "Net-(R205-Pad2)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 103 "Net-(R208-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4987) (tstamp 58FA50F7) + (at 228.854 172.466 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F7B554) + (attr smd) + (fp_text reference R207 (at 3.302 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 33K (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 100 "Net-(R205-Pad2)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 102 "Net-(R207-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4992) (tstamp 58FA50E6) + (at 243.84 177.546 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F63913) + (attr smd) + (fp_text reference R206 (at 3.302 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 2.7M/1% (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 99 "Net-(R204-Pad2)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 101 "Net-(R206-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4978) (tstamp 58FA50D5) + (at 230.124 177.546 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F63ED1) + (attr smd) + (fp_text reference R205 (at 0 3.048 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 2.7M/1% (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 98 "Net-(R204-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 100 "Net-(R205-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4972) (tstamp 58FA50C4) + (at 236.982 181.61) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F631BF) + (attr smd) + (fp_text reference R204 (at -3.556 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 1M/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 98 "Net-(R204-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 99 "Net-(R204-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4962) (tstamp 58FA50B3) + (at 235.712 183.896) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F646E6) + (attr smd) + (fp_text reference R203 (at 0 3.048 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 560K/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 97 "Net-(R201-Pad2)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4966) (tstamp 58FA50A2) + (at 238.506 183.896 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F64854) + (attr smd) + (fp_text reference R202 (at 0 -3.048 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 560K/1% (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 96 "Net-(R200-Pad2)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5874F706) (tstamp 58FA5091) + (at 232.791 194.31 90) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /590C53B6) + (fp_text reference R201 (at 5.08 -2.31 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 15M/1%/RNV (at 5.08 2.31 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 19 /FIL+)) + (pad 2 thru_hole oval (at 10.16 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 97 "Net-(R201-Pad2)")) + (model Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 58FF496C) (tstamp 58FA507B) + (at 241.554 194.183 90) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /58F64DE7) + (fp_text reference R200 (at 5.207 2.286 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 15M/1%/RNV (at 5.08 2.31 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 /FIL-)) + (pad 2 thru_hole oval (at 10.16 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 96 "Net-(R200-Pad2)")) + (model Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4ADF) (tstamp 58FA5065) + (at 256.032 192.024) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /5913ED2E) + (attr smd) + (fp_text reference R118 (at -1.27 -1.778) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 33K (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 77 "Net-(Q100-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5465) (tstamp 58FA5054) + (at 293.624 170.434) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F70E03) + (attr smd) + (fp_text reference R117 (at 0 -1.143) (layer F.SilkS) + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_text value 10K/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 95 /IC_ADC)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5472) (tstamp 58FA5043) + (at 293.624 173.101) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F70D60) + (attr smd) + (fp_text reference R116 (at 0 -1.27) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 240R/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 156 "Net-(R115-Pad2)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 95 /IC_ADC)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF548C) (tstamp 58FA5032) + (at 292.862 175.387 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6D19F) + (attr smd) + (fp_text reference R115 (at 1.905 -1.27 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 42.2K/1% (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 155 "Net-(R114-Pad1)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 156 "Net-(R115-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF547E) (tstamp 58FA5021) + (at 295.148 175.895) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6D23F) + (attr smd) + (fp_text reference R114 (at -0.381 -1.27) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 4.7K/1% (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 155 "Net-(R114-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 82 "Net-(Q104-Pad3)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF54F0) (tstamp 58FA5010) + (at 293.624 177.673 180) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6CF71) + (attr smd) + (fp_text reference R113 (at -1.778 -0.254 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 4.7K/1% (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 94 "Net-(R112-Pad2)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 154 "Net-(R113-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF55E3) (tstamp 58FA4FFF) + (at 292.862 183.134 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6A813) + (attr smd) + (fp_text reference R112 (at 1.651 0 360) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 4.7K/1% (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 92 "Net-(R110-Pad2)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 94 "Net-(R112-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF5621) (tstamp 58FA4FEE) + (at 293.624 180.975) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6A9EE) + (attr smd) + (fp_text reference R111 (at 1.651 1.016 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 1K (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 93 "Net-(R111-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4FD5) (tstamp 58FA4FDD) + (at 283.2735 197.104 90) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6A4E5) + (attr smd) + (fp_text reference R110 (at 2.032 0.1905 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 4.7K/1% (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 3 "Net-(C101-Pad2)")) + (pad 2 smd rect (at 0.75 0 90) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 92 "Net-(R110-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58E0A804) (tstamp 58FA4FCC) + (at 286.512 192.532) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58F6BD4C) + (attr smd) + (fp_text reference R109 (at 0 -1.45) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 33R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 4 "Net-(C102-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 7 /VEE2V5)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58E0A804) (tstamp 58FA4FBB) + (at 273.558 192.532) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FC80F2) + (attr smd) + (fp_text reference R108 (at 0 -1.45) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 33R (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 142 "Net-(C103-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0603 (layer F.Cu) (tedit 58FF4BB9) (tstamp 58FA4FAA) + (at 281.2415 212.6615 270) + (descr "Resistor SMD 0603, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 0603") + (path /58FCEDCD) + (attr smd) + (fp_text reference R107 (at -0.0635 -2.9845 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DNP (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end 1.25 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.25 0.7) (end -1.25 0.7) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 2 "Net-(C100-Pad2)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.5 0.9) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:DPAK (layer F.Cu) (tedit 58FF47AB) (tstamp 58FA4F22) + (at 193.548 200.66 270) + (descr "MOS boitier DPACK G-D-S") + (tags "CMD DPACK") + (path /58FF5A09) + (attr smd) + (fp_text reference Q350 (at -4.572 -8.636 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value IRFR3411 (at 0 -4.39928 270) (layer F.SilkS) + (effects (font (size 0.29972 0.29972) (thickness 0.0762))) + ) + (fp_line (start 1.34874 1.69926) (end 1.34874 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start 1.34874 1.69926) (end 3.2512 1.69926) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.2512 1.69926) (end 3.2512 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.2512 1.651) (end -3.2512 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.2512 1.651) (end -1.34874 1.651) (layer F.SilkS) (width 0.381)) + (fp_line (start -1.34874 1.651) (end -1.34874 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.44932 -10.09904) (end 3.44932 -10.09904) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.44932 -10.09904) (end 3.44932 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.44932 -3.2004) (end -3.44932 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.44932 -3.2004) (end -3.44932 -10.09904) (layer F.SilkS) (width 0.381)) + (pad 1 smd rect (at -2.30124 0 270) (size 1.651 3.048) (layers F.Cu F.Paste F.Mask) + (net 159 "Net-(Q350-Pad1)")) + (pad 3 smd rect (at 0 -6.64972 270) (size 6.70052 6.70052) (layers F.Cu F.Paste F.Mask) + (net 157 "Net-(D350-Pad2)")) + (pad 2 smd rect (at 2.30124 0 270) (size 1.651 3.048) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (model smd/dpack_2.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF519A) (tstamp 58FA4F11) + (at 314.96 205.74) + (path /58FBE4E0) + (fp_text reference Q301 (at 0 2.921) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BC807-40 (at 0 -0.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 2 smd rect (at 0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 89 "Net-(Q301-Pad2)")) + (pad 3 smd rect (at 0 -1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 54 /AI_ERRN)) + ) + + (module proj_footprints:DPAK (layer F.Cu) (tedit 4FF970FF) (tstamp 58FA4F05) + (at 153.67 188.722 180) + (descr "MOS boitier DPACK G-D-S") + (tags "CMD DPACK") + (path /58FC57AF) + (attr smd) + (fp_text reference Q300 (at 0 -10.414 180) (layer F.SilkS) + (effects (font (size 0.29972 0.29972) (thickness 0.0762))) + ) + (fp_text value IRFR3411 (at 0 -4.39928 180) (layer F.SilkS) + (effects (font (size 0.29972 0.29972) (thickness 0.0762))) + ) + (fp_line (start 1.34874 1.69926) (end 1.34874 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start 1.34874 1.69926) (end 3.2512 1.69926) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.2512 1.69926) (end 3.2512 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.2512 1.651) (end -3.2512 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.2512 1.651) (end -1.34874 1.651) (layer F.SilkS) (width 0.381)) + (fp_line (start -1.34874 1.651) (end -1.34874 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.44932 -10.09904) (end 3.44932 -10.09904) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.44932 -10.09904) (end 3.44932 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.44932 -3.2004) (end -3.44932 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.44932 -3.2004) (end -3.44932 -10.09904) (layer F.SilkS) (width 0.381)) + (pad 1 smd rect (at -2.30124 0 180) (size 1.651 3.048) (layers F.Cu F.Paste F.Mask) + (net 88 "Net-(Q300-Pad1)")) + (pad 3 smd rect (at 0 -6.64972 180) (size 6.70052 6.70052) (layers F.Cu F.Paste F.Mask) + (net 52 "Net-(D300-Pad2)")) + (pad 2 smd rect (at 2.30124 0 180) (size 1.651 3.048) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (model smd/dpack_2.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF5455) (tstamp 58FA4EF4) + (at 290.322 169.799 180) + (path /59107C0C) + (fp_text reference Q203 (at 0 2.667 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DMN3404L (at 0 -0.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 86 /FBI_GAIN)) + (pad 2 smd rect (at 0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 3 smd rect (at 0 -1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 87 "Net-(Q203-Pad3)")) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF4AA7) (tstamp 58FA4EE8) + (at 278.892 167.386 180) + (path /5916049B) + (fp_text reference Q202 (at 0 3.048 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DMN3404L (at 0 -0.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 85 /FBI_HIGH)) + (pad 2 smd rect (at 0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 3 smd rect (at 0 -1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 45 "Net-(D205-Pad3)")) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF4B1E) (tstamp 58FA4EDC) + (at 268.224 186.944) + (path /591A3499) + (fp_text reference Q201 (at 0.254 2.794) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DMN3404L (at 0 -0.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 84 /FBI_MED)) + (pad 2 smd rect (at 0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 3 smd rect (at 0 -1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 43 "Net-(D204-Pad3)")) + ) + + (module proj_footprints:DPAK (layer F.Cu) (tedit 58FF5AA0) (tstamp 58FA4ED0) + (at 265.557 174.117 90) + (descr "MOS boitier DPACK G-D-S") + (tags "CMD DPACK") + (path /58F858C2) + (attr smd) + (fp_text reference Q200 (at 5.842 -6.604 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value FQD7N10L (at 0 -4.39928 90) (layer F.SilkS) + (effects (font (size 0.29972 0.29972) (thickness 0.0762))) + ) + (fp_line (start 1.34874 1.69926) (end 1.34874 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start 1.34874 1.69926) (end 3.2512 1.69926) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.2512 1.69926) (end 3.2512 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.2512 1.651) (end -3.2512 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.2512 1.651) (end -1.34874 1.651) (layer F.SilkS) (width 0.381)) + (fp_line (start -1.34874 1.651) (end -1.34874 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.44932 -10.09904) (end 3.44932 -10.09904) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.44932 -10.09904) (end 3.44932 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.44932 -3.2004) (end -3.44932 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.44932 -3.2004) (end -3.44932 -10.09904) (layer F.SilkS) (width 0.381)) + (pad 1 smd rect (at -2.30124 0 90) (size 1.651 3.048) (layers F.Cu F.Paste F.Mask) + (net 83 "Net-(Q200-Pad1)")) + (pad 3 smd rect (at 0 -6.64972 90) (size 6.70052 6.70052) (layers F.Cu F.Paste F.Mask) + (net 38 "Net-(D201-Pad1)")) + (pad 2 smd rect (at 2.30124 0 90) (size 1.651 3.048) (layers F.Cu F.Paste F.Mask) + (net 40 "Net-(D202-Pad1)")) + (model smd/dpack_2.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF54A8) (tstamp 58FA4EBF) + (at 297.434 173.863 180) + (path /58F7523C) + (fp_text reference Q104 (at 2.032 2.032 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 2N7002 (at 0 -0.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 81 /IC_GAIN)) + (pad 2 smd rect (at 0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 3 smd rect (at 0 -1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 82 "Net-(Q104-Pad3)")) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF4B11) (tstamp 58FA4EB3) + (at 264.414 194.31 90) + (path /58F73714) + (fp_text reference Q103 (at -2.54 0.254 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 2N7002 (at 0 -0.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 80 /IC_GAIN_RELAY1)) + (pad 2 smd rect (at 0.95 1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 3 smd rect (at 0 -1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 78 "Net-(Q101-Pad1)")) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF4AFD) (tstamp 58FA4EA7) + (at 258.572 188.976 90) + (path /5913ED35) + (fp_text reference Q102 (at 2.54 0.254 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 2N7002 (at 0 -0.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 79 /IC_GAIN_RELAY2)) + (pad 2 smd rect (at 0.95 1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 3 smd rect (at 0 -1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 77 "Net-(Q100-Pad1)")) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF4AEE) (tstamp 58FA4E9B) + (at 260.35 195.072 180) + (path /58F72545) + (fp_text reference Q101 (at -0.254 -2.794 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DMG2305UX (at 0 -0.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 78 "Net-(Q101-Pad1)")) + (pad 2 smd rect (at 0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 3 smd rect (at 0 -1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 37 "Net-(D101-Pad3)")) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF4AF3) (tstamp 58FA4E8F) + (at 256.032 195.072 180) + (path /5913ED28) + (fp_text reference Q100 (at 0 -2.794 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DMG2305UX (at 0 -0.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 77 "Net-(Q100-Pad1)")) + (pad 2 smd rect (at 0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 3 smd rect (at 0 -1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 36 "Net-(D100-Pad3)")) + ) + + (module proj_footprints:FCI_54601-908WPLF (layer F.Cu) (tedit 58FF512B) (tstamp 58FA4E83) + (at 308.356 231.902 180) + (path /594A5C40) + (fp_text reference P4 (at 14.605 10.033 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value RJ45_NO_SHIELD (at 5.7 0.1 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 13.4 -1.8) (end 13.4 -5.2) (layer F.SilkS) (width 0.15)) + (fp_line (start -2 -1.8) (end -2 -5.2) (layer F.SilkS) (width 0.15)) + (fp_line (start -2 10.2) (end -2 1.8) (layer F.SilkS) (width 0.15)) + (fp_line (start 13.4 10.2) (end 13.4 1.8) (layer F.SilkS) (width 0.15)) + (fp_line (start 13.416 10.2) (end -1.984 10.2) (layer F.SilkS) (width 0.15)) + (pad "" np_thru_hole circle (at 0 0 180) (size 3.75 3.75) (drill 3.25) (layers *.Cu *.Mask F.SilkS)) + (pad 2 thru_hole circle (at 8.89 8.89 180) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS) + (net 71 "Net-(P4-Pad2)")) + (pad "" np_thru_hole circle (at 11.432 0 180) (size 3.75 3.75) (drill 3.25) (layers *.Cu *.Mask F.SilkS)) + (pad 4 thru_hole circle (at 6.35 8.89 180) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS) + (net 72 "Net-(P4-Pad4)")) + (pad 6 thru_hole circle (at 3.81 8.89 180) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS) + (net 73 "Net-(P4-Pad6)")) + (pad 8 thru_hole circle (at 1.27 8.89 180) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS) + (net 74 "Net-(P4-Pad7)")) + (pad 1 thru_hole circle (at 10.16 6.35 180) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS) + (net 75 "Net-(P4-Pad1)")) + (pad 3 thru_hole circle (at 7.62 6.35 180) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS) + (net 76 "Net-(P4-Pad3)")) + (pad 5 thru_hole circle (at 5.08 6.35 180) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS) + (net 72 "Net-(P4-Pad4)")) + (pad 7 thru_hole circle (at 2.54 6.35 180) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS) + (net 74 "Net-(P4-Pad7)")) + ) + + (module Connectors_Phoenix:PhoenixContact_MSTBA-G_02x5.08mm_Angled (layer F.Cu) (tedit 58FF3F95) (tstamp 58FA4E70) + (at 131 226) + (descr "Generic Phoenix Contact connector footprint for series: MSTBA-G; number of pins: 02; pin pitch: 5.08mm; Angled || order number: 1923869 16A (HC) || order number: 1757242 12A") + (tags "phoenix_contact connector MSTBA_01x02_G_5.08mm") + (path /592ED973) + (fp_text reference P3 (at -6.54 -2.48) (layer F.SilkS) + (effects (font (size 3 3) (thickness 0.3))) + ) + (fp_text value EXT_PWR (at 2.54 11.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.62 -2.08) (end -3.62 10.08) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.62 10.08) (end 8.7 10.08) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.7 10.08) (end 8.7 -2.08) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.7 -2.08) (end -3.62 -2.08) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.62 8.58) (end -3.62 6.78) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.62 6.78) (end 8.7 6.78) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.7 6.78) (end 8.7 8.58) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.7 8.58) (end -3.62 8.58) (layer F.SilkS) (width 0.15)) + (fp_line (start -1 10.08) (end 1 10.08) (layer F.SilkS) (width 0.15)) + (fp_line (start 1 10.08) (end 0.75 8.58) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.75 8.58) (end -0.75 8.58) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.75 8.58) (end -1 10.08) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.08 10.08) (end 6.08 10.08) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.08 10.08) (end 5.83 8.58) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.83 8.58) (end 4.33 8.58) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.33 8.58) (end 4.08 10.08) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.05 -2.5) (end -4.05 10.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.05 10.5) (end 9.1 10.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 9.1 10.5) (end 9.1 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 9.1 -2.5) (end -4.05 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -2.5) (end 0.3 -3.1) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.3 -3.1) (end -0.3 -3.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.3 -3.1) (end 0 -2.5) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole rect (at 0 0) (size 2.1 3.6) (drill 1.4) (layers *.Cu *.Mask) + (net 24 "Net-(C410-Pad1)")) + (pad 2 thru_hole oval (at 5.08 0) (size 2.1 3.6) (drill 1.4) (layers *.Cu *.Mask) + (net 25 "Net-(C410-Pad2)")) + (model Connectors_Phoenix.3dshapes/PhoenixContact_MSTBA-G_02x5.08mm_Angled.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:PhoenixContact_1786417_03 (layer F.Cu) (tedit 58FF47E9) (tstamp 58FA4E53) + (at 228 221) + (descr PhoenixContact_1786417_03x5.08mm_Angled) + (tags "phoenix_contact connector 01x03_G_5.08mm") + (path /593236BC) + (fp_text reference P2 (at -0.924 -5.862) (layer F.SilkS) + (effects (font (size 3 3) (thickness 0.3))) + ) + (fp_text value CONN_01X03 (at 5.08 9.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.62 -3) (end -2.62 16) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.62 16) (end 12.78 16) (layer F.SilkS) (width 0.15)) + (fp_line (start 12.78 16) (end 12.78 -3) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.62 -3) (end 12.78 -3) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.62 8) (end 12.78 8) (layer F.SilkS) (width 0.15)) + (fp_line (start 0 -2.3) (end 0.3 -2.9) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.3 -2.9) (end -0.3 -2.9) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.3 -2.9) (end 0 -2.3) (layer F.SilkS) (width 0.15)) + (pad 3 thru_hole oval (at 10.16 5.08) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 8 /FIL-)) + (pad 2 thru_hole oval (at 5.08 5.08) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 19 /FIL+)) + (pad 1 thru_hole rect (at 0 5.08) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 18 /ANODE_HV)) + (pad 1 thru_hole rect (at 0 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 18 /ANODE_HV)) + (pad 2 thru_hole oval (at 5.08 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 19 /FIL+)) + (pad 3 thru_hole oval (at 10.16 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask) + (net 8 /FIL-)) + ) + + (module Pin_Headers:Pin_Header_Straight_1x05_Pitch2.54mm (layer F.Cu) (tedit 58FF5101) (tstamp 58FA4E26) + (at 280.924 223.266 90) + (descr "Through hole straight pin header, 1x05, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x05 2.54mm single row") + (path /58FF089B) + (fp_text reference J7 (at 0 -2.286 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value CONN_01X05 (at 0 12.49 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.27 -1.27) (end -1.27 11.43) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 11.43) (end 1.27 11.43) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 11.43) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 1.27) (end -1.33 11.49) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 11.49) (end 1.33 11.49) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 11.49) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end -1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 11.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 11.95) (end 1.8 11.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 11.95) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 -2.33 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 161 /LEDP_K)) + (pad 2 thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 162 /LED1_K)) + (pad 3 thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 23 VCC)) + (pad 4 thru_hole oval (at 0 7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 163 /LED2_K)) + (pad 5 thru_hole oval (at 0 10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 GND)) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x05_Pitch2.54mm.wrl + (at (xyz 0 -0.2 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Pin_Headers:Pin_Header_Straight_1x06_Pitch2.54mm (layer F.Cu) (tedit 58FF5311) (tstamp 58FA4E0E) + (at 290.322 197.866) + (descr "Through hole straight pin header, 1x06, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x06 2.54mm single row") + (path /58FEC002) + (fp_text reference J6 (at -2.413 -2.032) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TTL-232R-3V3 (at 0 15.03) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.27 -1.27) (end -1.27 13.97) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 13.97) (end 1.27 13.97) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 13.97) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 1.27) (end -1.33 14.03) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 14.03) (end 1.33 14.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 14.03) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end -1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 14.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 14.5) (end 1.8 14.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 14.5) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 -2.33) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 GND)) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 65 /RTS)) + (pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 23 VCC)) + (pad 4 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 66 /RXD)) + (pad 5 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 67 /TXD)) + (pad 6 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 68 /CTS)) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x06_Pitch2.54mm.wrl + (at (xyz 0 -0.25 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module proj_footprints:Pin_Header_Straight_2x05_Pitch1.27mm (layer F.Cu) (tedit 58FF5547) (tstamp 58FA4DF5) + (at 314.198 181.864) + (descr "Through hole pin header, pitch 1.27mm") + (tags "pin header") + (path /594A9E7A) + (fp_text reference J5 (at 0.508 -2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value JTAG_ARM_10P (at 0 6.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.1 0.5) (end -1.1 -1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.1 -1.1) (end 0.5 -1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 -0.8) (end 1.3 -0.8) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 5.85) (end 2.1 -0.8) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 5.85) (end -0.85 5.85) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.1 -1.05) (end -1.1 6.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.35 -1.05) (end 2.35 6.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.1 -1.05) (end 2.35 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.1 6.1) (end 2.35 6.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.85 5.85) (end -0.85 1.3) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.5 -0.8) (end -0.85 -0.8) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.85 -0.8) (end -0.85 0.5) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole rect (at 0 0) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS) + (net 23 VCC)) + (pad 3 thru_hole circle (at 0 1.27) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 5 thru_hole circle (at 0 2.54) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS) + (net 5 GND)) + (pad 7 thru_hole circle (at 0 3.81) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 9 thru_hole circle (at 0 5.08) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS) + (net 5 GND)) + (pad 4 thru_hole circle (at 1.27 1.27) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS) + (net 60 /TCK)) + (pad 6 thru_hole circle (at 1.27 2.54) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS) + (net 61 /TDO)) + (pad 8 thru_hole circle (at 1.27 3.81) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS) + (net 62 /TDI)) + (pad 10 thru_hole circle (at 1.27 5.08) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS) + (net 63 /RESETN)) + (pad 2 thru_hole circle (at 1.27 0) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS) + (net 64 /TMS)) + ) + + (module proj_footprints:NPTH_3mm_ID (layer F.Cu) (tedit 58FF3F46) (tstamp 58FA4DAC) + (at 120.396 228.854) + (path /595461E6) + (fp_text reference H403 (at 0 2.9) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3mm_Mounting_Hole (at 0 -2.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0.604 0.146) (size 3 3) (drill 3) (layers *.Cu *.Mask)) + ) + + (module proj_footprints:NPTH_3mm_ID (layer F.Cu) (tedit 58FF3ED3) (tstamp 58FA4DA7) + (at 121.158 156.464) + (path /59545EE0) + (fp_text reference H402 (at 0 2.9) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3mm_Mounting_Hole (at 0 -2.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at -0.158 1.536) (size 3 3) (drill 3) (layers *.Cu *.Mask)) + ) + + (module proj_footprints:NPTH_3mm_ID (layer F.Cu) (tedit 58FF408A) (tstamp 58FA4DA2) + (at 314.96 157.988) + (path /59545BD2) + (fp_text reference H401 (at 0 2.9) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3mm_Mounting_Hole (at 0 -2.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0.04 0.012) (size 3 3) (drill 3) (layers *.Cu *.Mask)) + ) + + (module proj_footprints:3mm_EARTH (layer F.Cu) (tedit 58FF4092) (tstamp 58FA4D9D) + (at 315 229) + (path /595424FF) + (fp_text reference H400 (at 0 2.9) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3mm_Mounting_Hole_EARTH (at 0 -2.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0) (size 8 8) (drill 3) (layers *.Cu *.Mask F.SilkS) + (net 35 "Net-(C515-Pad2)")) + ) + + (module proj_footprints:BOURNS-GDT-2051 (layer F.Cu) (tedit 58FF4AD3) (tstamp 58FA4D98) + (at 247.396 197.104) + (path /5903CFE3) + (attr smd) + (fp_text reference GDT200 (at -0.254 -3.048) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 2051-09 (at 0 0) (layer F.Fab) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_line (start -3 -2) (end 3 -2) (layer F.Fab) (width 0.2)) + (fp_line (start 3 -2) (end 3 2) (layer F.Fab) (width 0.2)) + (fp_line (start 3 2) (end -3 2) (layer F.Fab) (width 0.2)) + (fp_line (start -3 2) (end -3 -2) (layer F.Fab) (width 0.2)) + (fp_line (start 1 -1.5) (end 1 1.5) (layer F.SilkS) (width 0.2)) + (fp_line (start 1 1.5) (end 0 0) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 0) (end 1 -1.5) (layer F.SilkS) (width 0.2)) + (fp_line (start -1 -1.5) (end -1 1.5) (layer F.SilkS) (width 0.2)) + (fp_line (start -1 1.5) (end 0 0) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 0) (end -1 -1.5) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.25 -2.35) (end 3.25 -2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start 3.25 -2.35) (end 3.25 2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start 3.25 2.35) (end -3.25 2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start -3.25 2.35) (end -3.25 -2.35) (layer F.CrtYd) (width 0.2)) + (pad 1 smd rect (at -2.25 0) (size 1.5 4.2) (layers F.Cu F.Paste F.Mask) + (net 8 /FIL-)) + (pad 2 smd rect (at 2.25 0) (size 1.5 4.2) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + ) + + (module proj_footprints:28F0121-0SR-10 (layer F.Cu) (tedit 58FF3F03) (tstamp 58FA4D70) + (at 136.652 203.2 90) + (path /592FF5F2) + (fp_text reference FB401 (at 0 2.794 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value FB_10A (at 0 2 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.1 -1) (end -2.1 -1.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 -1.6) (end 2.1 -1.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 -1.6) (end 2.1 -1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 1.6) (end -2.1 1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 1.6) (end 2.1 1.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 1.6) (end 2.1 1.1) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.79 0 90) (size 2.77 1.47) (layers F.Cu F.Paste F.Mask) + (net 25 "Net-(C410-Pad2)")) + (pad 2 smd rect (at 1.79 0 90) (size 2.77 1.47) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + ) + + (module proj_footprints:28F0121-0SR-10 (layer F.Cu) (tedit 58FF3EFF) (tstamp 58FA4D64) + (at 129.54 203.2 90) + (path /59307926) + (fp_text reference FB400 (at 0 -2.794 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value FB_10A (at 0 2 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.1 -1) (end -2.1 -1.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 -1.6) (end 2.1 -1.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 -1.6) (end 2.1 -1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 1.6) (end -2.1 1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 1.6) (end 2.1 1.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 1.6) (end 2.1 1.1) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.79 0 90) (size 2.77 1.47) (layers F.Cu F.Paste F.Mask) + (net 24 "Net-(C410-Pad1)")) + (pad 2 smd rect (at 1.79 0 90) (size 2.77 1.47) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + ) + + (module proj_footprints:SOT-23-6 (layer F.Cu) (tedit 58FF52D1) (tstamp 58FA4D58) + (at 299.339 205.74 180) + (descr "6-pin SOT-23 package") + (tags SOT-23-6) + (path /5950A59C) + (attr smd) + (fp_text reference D504 (at 1.524 2.286 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SRV05-4 (at 0 2.9 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center -0.4 -1.7) (end -0.3 -1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 -1.45) (end -0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 1.45) (end 0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 1.45) (end 0.25 1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 -1.45) (end -0.25 1.45) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.1 -0.95 180) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 57 /EN_TXON)) + (pad 2 smd rect (at -1.1 0 180) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at -1.1 0.95 180) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 56 /EN_TXOP)) + (pad 4 smd rect (at 1.1 0.95 180) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 59 /EN_RXIN)) + (pad 6 smd rect (at 1.1 -0.95 180) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask) + (net 58 /EN_RXIP)) + (pad 5 smd rect (at 1.1 0 180) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (model TO_SOT_Packages_SMD.3dshapes/SOT-23-6.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module LEDs:LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm (layer F.Cu) (tedit 58FF5116) (tstamp 58FA4D1F) + (at 289 227) + (descr "LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins") + (tags "LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins") + (path /59597D9F) + (fp_text reference D502 (at -1.726 -0.051 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LTL-523-11 (at 1.27 10.17) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_arc (start 1.27 7.61) (end -0.23 7.61) (angle -180) (layer F.Fab) (width 0.1)) + (fp_arc (start 1.27 7.61) (end -0.29 7.61) (angle -180) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.23 3.81) (end -0.23 7.61) (layer F.Fab) (width 0.1)) + (fp_line (start 2.77 3.81) (end 2.77 7.61) (layer F.Fab) (width 0.1)) + (fp_line (start -0.23 3.81) (end 2.77 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 3.17 3.81) (end 3.17 4.81) (layer F.Fab) (width 0.1)) + (fp_line (start 3.17 4.81) (end 2.77 4.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.77 4.81) (end 2.77 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.77 3.81) (end 3.17 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 0 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 3.81) (end 0 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 3.81) (end 0 0) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 0 0) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 0) (end 2.54 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 3.81) (end 2.54 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 3.81) (end 2.54 0) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 0) (end 2.54 0) (layer F.Fab) (width 0.1)) + (fp_line (start -0.29 3.75) (end -0.29 7.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.83 3.75) (end 2.83 7.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.29 3.75) (end 2.83 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.23 3.75) (end 3.23 4.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.23 4.87) (end 2.83 4.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.83 4.87) (end 2.83 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.83 3.75) (end 3.23 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.08) (end 0 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 3.75) (end 0 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 3.75) (end 0 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.08) (end 0 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 1.08) (end 2.54 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 3.75) (end 2.54 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 3.75) (end 2.54 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 1.08) (end 2.54 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -1.25) (end -1.25 9.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 9.45) (end 3.75 9.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.75 9.45) (end 3.75 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.75 -1.25) (end -1.25 -1.25) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole rect (at 0 0) (size 1.8 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 23 VCC)) + (pad 2 thru_hole circle (at 2.54 0) (size 1.8 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 163 /LED2_K)) + (model LEDs.3dshapes/LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module LEDs:LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm (layer F.Cu) (tedit 58FF5110) (tstamp 58FA4CF5) + (at 283 227) + (descr "LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins") + (tags "LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins") + (path /5955AA6D) + (fp_text reference D501 (at -1.822 -0.051 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LTL-533-11 (at 1.27 10.17) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_arc (start 1.27 7.61) (end -0.23 7.61) (angle -180) (layer F.Fab) (width 0.1)) + (fp_arc (start 1.27 7.61) (end -0.29 7.61) (angle -180) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.23 3.81) (end -0.23 7.61) (layer F.Fab) (width 0.1)) + (fp_line (start 2.77 3.81) (end 2.77 7.61) (layer F.Fab) (width 0.1)) + (fp_line (start -0.23 3.81) (end 2.77 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 3.17 3.81) (end 3.17 4.81) (layer F.Fab) (width 0.1)) + (fp_line (start 3.17 4.81) (end 2.77 4.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.77 4.81) (end 2.77 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.77 3.81) (end 3.17 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 0 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 3.81) (end 0 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 3.81) (end 0 0) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 0 0) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 0) (end 2.54 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 3.81) (end 2.54 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 3.81) (end 2.54 0) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 0) (end 2.54 0) (layer F.Fab) (width 0.1)) + (fp_line (start -0.29 3.75) (end -0.29 7.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.83 3.75) (end 2.83 7.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.29 3.75) (end 2.83 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.23 3.75) (end 3.23 4.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.23 4.87) (end 2.83 4.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.83 4.87) (end 2.83 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.83 3.75) (end 3.23 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.08) (end 0 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 3.75) (end 0 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 3.75) (end 0 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.08) (end 0 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 1.08) (end 2.54 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 3.75) (end 2.54 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 3.75) (end 2.54 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 1.08) (end 2.54 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -1.25) (end -1.25 9.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 9.45) (end 3.75 9.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.75 9.45) (end 3.75 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.75 -1.25) (end -1.25 -1.25) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole rect (at 0 0) (size 1.8 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 23 VCC)) + (pad 2 thru_hole circle (at 2.54 0) (size 1.8 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 162 /LED1_K)) + (model LEDs.3dshapes/LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF54BC) (tstamp 58FA4CCB) + (at 297.434 169.926 90) + (path /5925F403) + (fp_text reference D500 (at 1.016 -1.778 180) (layer F.SilkS) + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_text value BAS16 (at 0 -0.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 26 "Net-(C500-Pad1)")) + (pad 2 smd rect (at 0.95 1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 55 "Net-(D500-Pad3)")) + ) + + (module LEDs:LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm (layer F.Cu) (tedit 58FF4871) (tstamp 58FA4CB2) + (at 145 227) + (descr "LED, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 2.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 6.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins, diameter 3.0mm z-position of LED center 10.0mm, 2 pins") + (tags "LED diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 2.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 6.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins diameter 3.0mm z-position of LED center 10.0mm 2 pins") + (path /59565125) + (fp_text reference D400 (at -1.744 -1.956 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LTL-533-11 (at 1.27 10.17) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_arc (start 1.27 7.61) (end -0.23 7.61) (angle -180) (layer F.Fab) (width 0.1)) + (fp_arc (start 1.27 7.61) (end -0.29 7.61) (angle -180) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.23 3.81) (end -0.23 7.61) (layer F.Fab) (width 0.1)) + (fp_line (start 2.77 3.81) (end 2.77 7.61) (layer F.Fab) (width 0.1)) + (fp_line (start -0.23 3.81) (end 2.77 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 3.17 3.81) (end 3.17 4.81) (layer F.Fab) (width 0.1)) + (fp_line (start 3.17 4.81) (end 2.77 4.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.77 4.81) (end 2.77 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.77 3.81) (end 3.17 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 0 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 3.81) (end 0 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 3.81) (end 0 0) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 0 0) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 0) (end 2.54 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 3.81) (end 2.54 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 3.81) (end 2.54 0) (layer F.Fab) (width 0.1)) + (fp_line (start 2.54 0) (end 2.54 0) (layer F.Fab) (width 0.1)) + (fp_line (start -0.29 3.75) (end -0.29 7.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.83 3.75) (end 2.83 7.61) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.29 3.75) (end 2.83 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.23 3.75) (end 3.23 4.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.23 4.87) (end 2.83 4.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.83 4.87) (end 2.83 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.83 3.75) (end 3.23 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.08) (end 0 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 3.75) (end 0 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 3.75) (end 0 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 1.08) (end 0 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 1.08) (end 2.54 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 3.75) (end 2.54 3.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 3.75) (end 2.54 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.54 1.08) (end 2.54 1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -1.25) (end -1.25 9.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 9.45) (end 3.75 9.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.75 9.45) (end 3.75 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.75 -1.25) (end -1.25 -1.25) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole rect (at 0 0) (size 1.8 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 23 VCC)) + (pad 2 thru_hole circle (at 2.54 0) (size 1.8 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 161 /LEDP_K)) + (model LEDs.3dshapes/LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF5538) (tstamp 58FA4C88) + (at 305.816 179.578 180) + (path /592C714C) + (fp_text reference D305 (at 0.381 -2.54 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value BAS16 (at 0 -0.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 48 "Net-(D208-Pad1)")) + (pad 2 smd rect (at 0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 54 /AI_ERRN)) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF534B) (tstamp 58FA4C7C) + (at 306.578 157.988 270) + (path /5922DEB4) + (fp_text reference D304 (at -0.127 -3.048 450) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BAS16 (at 0 -0.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 48 "Net-(D208-Pad1)")) + (pad 2 smd rect (at 0.95 1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 53 /AV_ERRN)) + ) + + (module proj_footprints:DO-214AB (layer F.Cu) (tedit 58FF494C) (tstamp 58FA4C70) + (at 225.552 197.612 270) + (descr "Jedec DO-214AB diode package. Designed according to Fairchild SS32 datasheet.") + (tags "DO-214AB diode") + (path /58FF59D2) + (attr smd) + (fp_text reference D351 (at -6.604 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value B560C-13-F (at 0 4.6 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.5 -2.6) (end -5.5 2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.15 -3.45) (end 5.15 -3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 -3.45) (end 5.15 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 3.45) (end -5.15 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.15 3.45) (end -5.15 -3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.5 3.2) (end -4.8 3.2) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.8 -3.2) (end 3.5 -3.2) (layer F.SilkS) (width 0.15)) + (pad 2 smd rect (at 3.6 0 270) (size 2.6 3.2) (layers F.Cu F.Paste F.Mask) + (net 158 "Net-(D351-Pad2)")) + (pad 1 smd rect (at -3.6 0 270) (size 2.6 3.2) (layers F.Cu F.Paste F.Mask) + (net 19 /FIL+)) + (model Diodes_SMD.3dshapes/DO-214AB.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.39 0.39 0.39)) + (rotate (xyz 0 0 180)) + ) + ) + + (module proj_footprints:DO-214AC (layer F.Cu) (tedit 58FF473A) (tstamp 58FA4C63) + (at 197.866 193.04 270) + (path /58FF5A0F) + (fp_text reference D350 (at 0 2.286 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value S1M (at -0.1 0 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.9 -1.4) (end -0.9 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 -1.4) (end -2.3 -1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 1.1) (end 2.3 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 1.4) (end -2.3 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 1.4) (end -2.3 1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 -1.4) (end 2.3 -1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 -1.4) (end 2.3 -1.1) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -2.2 0 270) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask) + (net 149 "Net-(C354-Pad2)")) + (pad 2 smd rect (at 2.2 0 270) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask) + (net 157 "Net-(D350-Pad2)")) + ) + + (module proj_footprints:DO-214AC (layer F.Cu) (tedit 58FF402C) (tstamp 58FA4C49) + (at 159.766 195.58 270) + (path /58FC9667) + (fp_text reference D300 (at -5.842 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value S1M (at -0.1 0 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.9 -1.4) (end -0.9 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 -1.4) (end -2.3 -1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 1.1) (end 2.3 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 1.4) (end -2.3 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 1.4) (end -2.3 1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 -1.4) (end 2.3 -1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 -1.4) (end 2.3 -1.1) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -2.2 0 270) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask) + (net 17 "Net-(C305-Pad2)")) + (pad 2 smd rect (at 2.2 0 270) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask) + (net 52 "Net-(D300-Pad2)")) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF4A2C) (tstamp 58FA4C3C) + (at 245.364 166.878 90) + (path /58FA7E23) + (fp_text reference D210 (at 0 2.794 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BAS16 (at 0 -0.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 51 /FBV_ADC)) + (pad 2 smd rect (at 0.95 1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1 90) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF5541) (tstamp 58FA4C30) + (at 309.88 179.324) + (path /5921B77B) + (fp_text reference D209 (at 2.921 -2.159) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BAS16 (at 0 -0.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 48 "Net-(D208-Pad1)")) + (pad 2 smd rect (at 0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 50 /FBI_ERRN)) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF5345) (tstamp 58FA4C24) + (at 306.578 162.306 270) + (path /5921AC31) + (fp_text reference D208 (at 0 -3.048 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BAS16 (at 0 -0.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 48 "Net-(D208-Pad1)")) + (pad 2 smd rect (at 0.95 1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 49 /FBV_ERRN)) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF4FE1) (tstamp 58FA4C18) + (at 278.892 191.262 180) + (path /591DD557) + (fp_text reference D207 (at 2.54 0.254 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BAS16 (at 0 -0.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 47 /FD_ADC)) + (pad 2 smd rect (at 0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF552B) (tstamp 58FA4C0C) + (at 299.466 178.562) + (path /5908F260) + (fp_text reference D206 (at 3.048 -0.635) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value BAS16 (at 0 -0.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 46 /FV_ADC)) + (pad 2 smd rect (at 0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF50A1) (tstamp 58FA4C00) + (at 281.94 170.434) + (path /5906626F) + (fp_text reference D205 (at -3.937 0.381) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BAS116 (at 0 -0.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 44 "Net-(D205-Pad1)")) + (pad 2 smd rect (at 0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 45 "Net-(D205-Pad3)")) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF5014) (tstamp 58FA4BF4) + (at 268.097 181.229 180) + (path /591A398B) + (fp_text reference D204 (at 3.683 1.143 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BAS116 (at 0 -0.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 42 "Net-(D204-Pad1)")) + (pad 2 smd rect (at 0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 43 "Net-(D204-Pad3)")) + ) + + (module proj_footprints:DO-214AC (layer F.Cu) (tedit 58FF5E3E) (tstamp 58FA4BE8) + (at 278.892 181.991 180) + (path /591B0A28) + (fp_text reference D203 (at -4.318 -0.254 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SMAJ5.0A (at -0.1 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.9 -1.4) (end -0.9 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 -1.4) (end -2.3 -1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 1.1) (end 2.3 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 1.4) (end -2.3 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 1.4) (end -2.3 1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 -1.4) (end 2.3 -1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 -1.4) (end 2.3 -1.1) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -2.2 0 180) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 2 smd rect (at 2.2 0 180) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask) + (net 41 "Net-(D202-Pad3)")) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF5ACD) (tstamp 58FA4BDB) + (at 276.352 177.927 180) + (path /5937BAFC) + (fp_text reference D202 (at 0 3.429 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BAS116 (at 0 -0.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 40 "Net-(D202-Pad1)")) + (pad 2 smd rect (at 0.95 1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1 180) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 41 "Net-(D202-Pad3)")) + ) + + (module proj_footprints:DO-214AC (layer F.Cu) (tedit 58FF5ADC) (tstamp 58FA4BCF) + (at 253.111 176.53 270) + (path /5903B022) + (fp_text reference D201 (at -0.635 3.302 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value S1M (at -0.1 0 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.9 -1.4) (end -0.9 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 -1.4) (end -2.3 -1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 1.1) (end 2.3 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 1.4) (end -2.3 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 1.4) (end -2.3 1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 -1.4) (end 2.3 -1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 -1.4) (end 2.3 -1.1) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -2.2 0 270) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask) + (net 38 "Net-(D201-Pad1)")) + (pad 2 smd rect (at 2.2 0 270) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask) + (net 39 "Net-(D201-Pad2)")) + ) + + (module proj_footprints:DO-214AB (layer F.Cu) (tedit 58FF47EF) (tstamp 58FA4BC2) + (at 236 213.106) + (descr "Jedec DO-214AB diode package. Designed according to Fairchild SS32 datasheet.") + (tags "DO-214AB diode") + (path /58F80F87) + (attr smd) + (fp_text reference D200 (at -4.352 -4.572) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SMCJ7.5A (at 0 4.6) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.5 -2.6) (end -5.5 2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.15 -3.45) (end 5.15 -3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 -3.45) (end 5.15 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 3.45) (end -5.15 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.15 3.45) (end -5.15 -3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.5 3.2) (end -4.8 3.2) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.8 -3.2) (end 3.5 -3.2) (layer F.SilkS) (width 0.15)) + (pad 2 smd rect (at 3.6 0) (size 2.6 3.2) (layers F.Cu F.Paste F.Mask) + (net 8 /FIL-)) + (pad 1 smd rect (at -3.6 0) (size 2.6 3.2) (layers F.Cu F.Paste F.Mask) + (net 19 /FIL+)) + (model Diodes_SMD.3dshapes/DO-214AB.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.39 0.39 0.39)) + (rotate (xyz 0 0 180)) + ) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF4B4F) (tstamp 58FA4BB5) + (at 255.524 217.932 270) + (path /59091FA0) + (fp_text reference D101 (at -1.016 4.064 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BAS16 (at 0 -0.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 2 smd rect (at 0.95 1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 37 "Net-(D101-Pad3)")) + ) + + (module proj_footprints:SOT-23 (layer F.Cu) (tedit 58FF4B53) (tstamp 58FA4BA9) + (at 250.952 219.964 270) + (path /5913E0F9) + (fp_text reference D100 (at -1.016 4.064 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BAS16 (at 0 -0.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 2 smd rect (at 0.95 1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1 270) (size 1 1.4) (layers F.Cu F.Paste F.Mask) + (net 36 "Net-(D100-Pad3)")) + ) + + (module Capacitors_SMD:C_1812 (layer F.Cu) (tedit 58AA850E) (tstamp 58FA4B9D) + (at 313.69 219.456 315) + (descr "Capacitor SMD 1812, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1812") + (path /5953CA9A) + (attr smd) + (fp_text reference C515 (at 0 -2.75 315) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 2200pF/2kV/1812 (at 0 2.75 315) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -2.75 315) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.25 1.6) (end -2.25 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 2.25 1.6) (end -2.25 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 2.25 -1.6) (end 2.25 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -2.25 -1.6) (end 2.25 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1.8 -1.73) (end -1.8 -1.73) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 1.73) (end 1.8 1.73) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.05 -1.85) (end 3.05 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.05 -1.85) (end -3.05 1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.05 1.85) (end 3.05 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.05 1.85) (end -3.05 1.85) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -2.3 0 315) (size 1 3) (layers F.Cu F.Paste F.Mask) + (net 34 "Net-(C515-Pad1)")) + (pad 2 smd rect (at 2.3 0 315) (size 1 3) (layers F.Cu F.Paste F.Mask) + (net 35 "Net-(C515-Pad2)")) + (model Capacitors_SMD.3dshapes/C_1812.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF51A6) (tstamp 58FA4B8C) + (at 311.15 208.534) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /5950CEC7) + (attr smd) + (fp_text reference C514 (at 0.889 1.524) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF521D) (tstamp 58FA4B7B) + (at 312.166 198.882 90) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594BAF9F) + (attr smd) + (fp_text reference C513 (at 2.032 1.016 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 12pF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 33 /OSC0)) + (pad 2 smd rect (at 0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF51E2) (tstamp 58FA4B6A) + (at 319.024 198.882 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594B8F3A) + (attr smd) + (fp_text reference C512 (at 3.556 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 12pF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 32 "Net-(C512-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF55B9) (tstamp 58FA4B59) + (at 310.134 183.896) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594CA7C6) + (attr smd) + (fp_text reference C511 (at -1.905 0 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(C509-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF5232) (tstamp 58FA4B48) + (at 310.642 200.914 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594C2E03) + (attr smd) + (fp_text reference C510 (at 0.254 1.143 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(C509-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF55C1) (tstamp 58FA4B37) + (at 310.134 185.42) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594C0D92) + (attr smd) + (fp_text reference C509 (at 2.032 0 90) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 31 "Net-(C509-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58AA844E) (tstamp 58FA4B26) + (at 283.972 156.718) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594CEA88) + (attr smd) + (fp_text reference C508 (at 0 -1.5) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF5315) (tstamp 58FA4B15) + (at 290.068 194.056 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594CE7D4) + (attr smd) + (fp_text reference C507 (at -3.429 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58AA844E) (tstamp 58FA4B04) + (at 316.738 193.294) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594CE458) + (attr smd) + (fp_text reference C506 (at 0 -1.5) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF560A) (tstamp 58FA4AF3) + (at 297.18 183.388 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594CE190) + (attr smd) + (fp_text reference C505 (at -2.54 0.254 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF5B4E) (tstamp 58FA4AE2) + (at 295.783 201.168 90) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594BD856) + (attr smd) + (fp_text reference C504 (at -0.762 1.905 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF528C) (tstamp 58FA4AD1) + (at 306.832 204.724 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594D5D15) + (attr smd) + (fp_text reference C503 (at 0 1.143 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 30 "Net-(C503-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF5287) (tstamp 58FA4AC0) + (at 308.356 204.724 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /594DDB1F) + (attr smd) + (fp_text reference C502 (at -2.667 0 270) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 29 "Net-(C502-Pad1)")) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF55F7) (tstamp 58FA4AAF) + (at 297.942 181.864 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /5935E565) + (attr smd) + (fp_text reference C501 (at -2.54 0 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 1000pF (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 27 /ERR_RESN)) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 28 "Net-(C501-Pad2)")) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF53BF) (tstamp 58FA4A9E) + (at 299.974 167.132) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /5924D38E) + (attr smd) + (fp_text reference C500 (at 0.127 -1.016) (layer F.SilkS) + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_text value TBD (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 26 "Net-(C500-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58AA844E) (tstamp 58FA4A58) + (at 133.096 201.422) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /59301FE3) + (attr smd) + (fp_text reference C411 (at 0 -1.5) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF3F0C) (tstamp 58FA4A47) + (at 133.096 204.978) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /593010E8) + (attr smd) + (fp_text reference C410 (at 0 1.524) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 24 "Net-(C410-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 25 "Net-(C410-Pad2)")) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A9A) (tstamp 58FA4A36) + (at 275.844 161.036 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F64C9C) + (attr smd) + (fp_text reference C409 (at 0 -2.794 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 23 VCC)) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A9C) (tstamp 58FA4A25) + (at 275.844 157.734 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F64C96) + (attr smd) + (fp_text reference C408 (at 0 -2.794 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF561A) (tstamp 58FA4A14) + (at 296.672 184.912 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /595830A8) + (attr smd) + (fp_text reference C407 (at 0.381 -1.27 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 22 /VREFA)) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A3F) (tstamp 58FA4A03) + (at 242.316 161.036 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F642A5) + (attr smd) + (fp_text reference C406 (at 0 -2.794 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 6 /VCC3V)) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A43) (tstamp 58FA49F2) + (at 242.316 157.734 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F64229) + (attr smd) + (fp_text reference C405 (at 0 -2.794 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A61) (tstamp 58FA49E1) + (at 256.794 164.338 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /590F90F7) + (attr smd) + (fp_text reference C404 (at 2.286 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 7 /VEE2V5)) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A7F) (tstamp 58FA49D0) + (at 260.858 158.369 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F60AB2) + (attr smd) + (fp_text reference C403 (at -0.254 1.397 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 20 "Net-(C403-Pad1)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 21 "Net-(C403-Pad2)")) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A69) (tstamp 58FA49BF) + (at 257.175 160.274 90) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F6094F) + (attr smd) + (fp_text reference C402 (at 3.302 0.889 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 13 /VEE5V)) + (pad 2 smd rect (at 0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A84) (tstamp 58FA49AE) + (at 263.652 161.798 270) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F60CE4) + (attr smd) + (fp_text reference C401 (at 3.302 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0 270) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF3EC8) (tstamp 58FA499D) + (at 145.288 165.1 90) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F5C2B6) + (attr smd) + (fp_text reference C400 (at 2.286 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58AA844E) (tstamp 58FA4957) + (at 190.246 189.738) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58FF5A3A) + (attr smd) + (fp_text reference C353 (at 0 -1.5) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 148 "Net-(C353-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_1206 (layer F.Cu) (tedit 58FF4751) (tstamp 58FA4946) + (at 196.85 179.578 180) + (descr "Capacitor SMD 1206, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1206") + (path /58FFD4CF) + (attr smd) + (fp_text reference C352 (at 0 2.286 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF/25V/1206 (at 0 2 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.75 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -1.02) (end -1 -1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 1.02) (end 1 1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.25 -1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 -1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.5 0 180) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 1.5 0 180) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (model Capacitors_SMD.3dshapes/C_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_1206 (layer F.Cu) (tedit 58FF4039) (tstamp 58FA4848) + (at 169.672 196.85 90) + (descr "Capacitor SMD 1206, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1206") + (path /58FF9FED) + (attr smd) + (fp_text reference C303 (at 4.572 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF/25V/1206 (at 0 2 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.75 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -1.02) (end -1 -1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 1.02) (end 1 1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.25 -1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 -1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.5 0 90) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 1.5 0 90) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (model Capacitors_SMD.3dshapes/C_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_1206 (layer F.Cu) (tedit 58FF4041) (tstamp 58FA4837) + (at 172.212 196.85 90) + (descr "Capacitor SMD 1206, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1206") + (path /58FF4412) + (attr smd) + (fp_text reference C302 (at 4.572 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10uF/25V/1206 (at 0 2 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.75 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -1.02) (end -1 -1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 1.02) (end 1 1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.25 -1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 -1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.5 0 90) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 14 /VCC12V)) + (pad 2 smd rect (at 1.5 0 90) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (model Capacitors_SMD.3dshapes/C_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF5373) (tstamp 58FA47E0) + (at 307.34 166.624 90) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F80BFB) + (attr smd) + (fp_text reference C205 (at 0 2.667 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0 90) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A06) (tstamp 58FA47CF) + (at 236.22 166.624) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F70D88) + (attr smd) + (fp_text reference C204 (at -1.524 -1.524) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58AA844E) (tstamp 58FA47BE) + (at 273.304 188.214 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /591BA371) + (attr smd) + (fp_text reference C203 (at 0 -1.5 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 12 /VCC5V)) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 13 /VEE5V)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A08) (tstamp 58FA47AD) + (at 239.268 166.624 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F88C9C) + (attr smd) + (fp_text reference C202 (at 0.254 1.524 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 1uF (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 11 "Net-(C202-Pad1)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4A27) (tstamp 58FA479C) + (at 240.538 171.069 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F93131) + (attr smd) + (fp_text reference C201 (at -1.524 1.397 180) (layer F.SilkS) + (effects (font (size 0.6 0.6) (thickness 0.15))) + ) + (fp_text value TBD (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 9 "Net-(C201-Pad1)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 10 "Net-(C201-Pad2)")) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_1206 (layer F.Cu) (tedit 58AA84B8) (tstamp 58FA478B) + (at 246.126 185.42 45) + (descr "Capacitor SMD 1206, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1206") + (path /59049CC7) + (attr smd) + (fp_text reference C200 (at 0 -1.75 45) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10000pF/1206 (at 0 2 45) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.75 45) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -1.02) (end -1 -1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -1 1.02) (end 1 1.02) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.25 -1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 -1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.5 0 45) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 8 /FIL-)) + (pad 2 smd rect (at 1.5 0 45) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4B9B) (tstamp 58FA477A) + (at 272.0975 200.533 180) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58FC640C) + (attr smd) + (fp_text reference C103 (at 0.5715 1.397 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 142 "Net-(C103-Pad1)")) + (pad 2 smd rect (at 0.75 0 180) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0603 (layer F.Cu) (tedit 58FF4FD0) (tstamp 58FA4769) + (at 283.1465 200.533) + (descr "Capacitor SMD 0603, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 0603") + (path /58F62A9A) + (attr smd) + (fp_text reference C102 (at 3.3655 -0.127) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 0.1uF (at 0 1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end 1.4 -0.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.65) (end -1.4 0.65) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 4 "Net-(C102-Pad1)")) + (pad 2 smd rect (at 0.75 0) (size 0.8 0.75) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (model Capacitors_SMD.3dshapes/C_0603.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:6-SMD (layer F.Cu) (tedit 58FF3EA7) (tstamp 58FA578F) + (at 155.702 174.244 180) + (descr "6-300 smd shape") + (tags "smd cms 6smd") + (path /58FE9B4F) + (attr smd) + (fp_text reference U300 (at -1.27 6.858 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value H11L (at 0 0.762 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.08 -2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 2.54) (end 2.54 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 2.54) (end 2.54 -2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 -2.54) (end -5.08 -2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -1.27) (end -3.81 -1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.81 -1.27) (end -3.81 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.81 1.27) (end -5.08 1.27) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -3.81 4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask) + (net 116 "Net-(R300-Pad2)")) + (pad 2 smd rect (at -1.27 4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask) + (net 135 "Net-(U300-Pad2)")) + (pad 3 smd rect (at 1.27 4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 1.27 -4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask) + (net 117 "Net-(R301-Pad2)")) + (pad 5 smd rect (at -1.27 -4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask) + (net 15 GNDPWR)) + (pad 6 smd rect (at -3.81 -4.635 180) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask) + (net 16 "Net-(C304-Pad1)")) + (model SMD_Packages.3dshapes/6-SMD.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 0.5 0.8)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Radial_D13_L21_P5 (layer F.Cu) (tedit 58FF4059) (tstamp 58FB9CF5) + (at 178.054 186.944) + (descr "Radial Electrolytic Capacitor 13mm x Length 21mm, Pitch 5mm") + (tags "Electrolytic Capacitor") + (path /590E24D3) + (fp_text reference C301 (at -1.778 -7.112) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470uF/16V (at 2.5 7.8) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.575 -6.5) (end 2.575 6.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.715 -6.496) (end 2.715 6.496) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.855 -6.49) (end 2.855 6.49) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.995 -6.481) (end 2.995 6.481) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.135 -6.469) (end 3.135 6.469) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.275 -6.454) (end 3.275 6.454) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.415 -6.435) (end 3.415 6.435) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.555 -6.414) (end 3.555 6.414) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.695 -6.389) (end 3.695 6.389) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.835 -6.361) (end 3.835 6.361) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.975 -6.33) (end 3.975 6.33) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 -6.296) (end 4.115 -0.466) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.115 0.466) (end 4.115 6.296) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 -6.259) (end 4.255 -0.667) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.255 0.667) (end 4.255 6.259) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 -6.218) (end 4.395 -0.796) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.395 0.796) (end 4.395 6.218) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 -6.173) (end 4.535 -0.885) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.535 0.885) (end 4.535 6.173) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 -6.125) (end 4.675 -0.946) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.675 0.946) (end 4.675 6.125) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 -6.074) (end 4.815 -0.983) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.815 0.983) (end 4.815 6.074) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 -6.019) (end 4.955 -0.999) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.955 0.999) (end 4.955 6.019) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 -5.96) (end 5.095 -0.995) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.095 0.995) (end 5.095 5.96) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 -5.897) (end 5.235 -0.972) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.235 0.972) (end 5.235 5.897) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 -5.83) (end 5.375 -0.927) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.375 0.927) (end 5.375 5.83) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 -5.758) (end 5.515 -0.857) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.515 0.857) (end 5.515 5.758) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 -5.683) (end 5.655 -0.756) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.655 0.756) (end 5.655 5.683) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 -5.603) (end 5.795 -0.607) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.795 0.607) (end 5.795 5.603) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 -5.518) (end 5.935 -0.355) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.935 0.355) (end 5.935 5.518) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.075 -5.429) (end 6.075 5.429) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.215 -5.334) (end 6.215 5.334) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.355 -5.233) (end 6.355 5.233) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.495 -5.127) (end 6.495 5.127) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.635 -5.015) (end 6.635 5.015) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.775 -4.896) (end 6.775 4.896) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.915 -4.771) (end 6.915 4.771) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.055 -4.637) (end 7.055 4.637) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.195 -4.495) (end 7.195 4.495) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.335 -4.344) (end 7.335 4.344) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.475 -4.183) (end 7.475 4.183) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.615 -4.011) (end 7.615 4.011) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.755 -3.826) (end 7.755 3.826) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.895 -3.625) (end 7.895 3.625) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.035 -3.408) (end 8.035 3.408) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.175 -3.169) (end 8.175 3.169) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.315 -2.904) (end 8.315 2.904) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.455 -2.605) (end 8.455 2.605) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.595 -2.259) (end 8.595 2.259) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.735 -1.837) (end 8.735 1.837) (layer F.SilkS) (width 0.15)) + (fp_line (start 8.875 -1.269) (end 8.875 1.269) (layer F.SilkS) (width 0.15)) + (fp_circle (center 5 0) (end 5 -1) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -6.5375) (layer F.SilkS) (width 0.15)) + (fp_circle (center 2.5 0) (end 2.5 -6.8) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole rect (at 0 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask) + (net 14 /VCC12V)) + (pad 2 thru_hole circle (at 5 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask) + (net 15 GNDPWR)) + (model Capacitors_ThroughHole.3dshapes/C_Radial_D13_L21_P5.wrl + (at (xyz 0.0984252 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module proj_footprints:DO-214AB (layer F.Cu) (tedit 58FF3EFA) (tstamp 58FA4CBF) + (at 133.35 210.566) + (descr "Jedec DO-214AB diode package. Designed according to Fairchild SS32 datasheet.") + (tags "DO-214AB diode") + (path /5930DACF) + (attr smd) + (fp_text reference D401 (at -6.604 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SMCJ12A (at 0 4.6) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.5 -2.6) (end -5.5 2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.15 -3.45) (end 5.15 -3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 -3.45) (end 5.15 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 3.45) (end -5.15 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.15 3.45) (end -5.15 -3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.5 3.2) (end -4.8 3.2) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.8 -3.2) (end 3.5 -3.2) (layer F.SilkS) (width 0.15)) + (pad 2 smd rect (at 3.6 0) (size 2.6 3.2) (layers F.Cu F.Paste F.Mask) + (net 25 "Net-(C410-Pad2)")) + (pad 1 smd rect (at -3.6 0) (size 2.6 3.2) (layers F.Cu F.Paste F.Mask) + (net 24 "Net-(C410-Pad1)")) + (model Diodes_SMD.3dshapes/DO-214AB.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.39 0.39 0.39)) + (rotate (xyz 0 0 180)) + ) + ) + + (module Connectors_Phoenix:PhoenixContact_MCV-G_02x5.08mm_Vertical (layer F.Cu) (tedit 58FF3F9A) (tstamp 58FA4DDB) + (at 130.81 219.456) + (descr "Generic Phoenix Contact connector footprint for series: MCV-G; number of pins: 02; pin pitch: 5.08mm; Vertical || order number: 1836299 8A 320V") + (tags "phoenix_contact connector MCV_01x02_G_5.08mm") + (path /592F2185) + (fp_text reference J4 (at -6.35 -3.302 180) (layer F.SilkS) + (effects (font (size 3 3) (thickness 0.3))) + ) + (fp_text value INT_PWR (at 2.54 4.4) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_arc (start 0 3.85) (end -0.75 2.15) (angle 47.6) (layer F.SilkS) (width 0.15)) + (fp_arc (start 5.08 3.85) (end 4.33 2.15) (angle 47.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.62 -4.43) (end -2.62 2.98) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.62 2.98) (end 7.7 2.98) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.7 2.98) (end 7.7 -4.43) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.7 -4.43) (end -2.62 -4.43) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.75 2.15) (end -1.5 2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.5 2.15) (end -1.5 -2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.5 -2.15) (end -0.75 -2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.75 -2.15) (end -0.75 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.75 -2.5) (end -1.25 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.25 -2.5) (end -1.5 -3.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.5 -3.5) (end 1.5 -3.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.5 -3.5) (end 1.25 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.25 -2.5) (end 0.75 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.75 -2.5) (end 0.75 -2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.75 -2.15) (end 0.75 -2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.75 -2.15) (end 1.5 -2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.5 -2.15) (end 1.5 2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.5 2.15) (end 0.75 2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.33 2.15) (end 3.58 2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.58 2.15) (end 3.58 -2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.58 -2.15) (end 4.33 -2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.33 -2.15) (end 4.33 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.33 -2.5) (end 3.83 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.83 -2.5) (end 3.58 -3.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.58 -3.5) (end 6.58 -3.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.58 -3.5) (end 6.33 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.33 -2.5) (end 5.83 -2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.83 -2.5) (end 5.83 -2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.83 -2.15) (end 5.83 -2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 5.83 -2.15) (end 6.58 -2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.58 -2.15) (end 6.58 2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.58 2.15) (end 5.83 2.15) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.05 -4.85) (end -3.05 3.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.05 3.4) (end 8.1 3.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.1 3.4) (end 8.1 -4.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.1 -4.85) (end -3.05 -4.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -4.85) (end 0.3 -5.45) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.3 -5.45) (end -0.3 -5.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.3 -5.45) (end 0 -4.85) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole rect (at 0 0) (size 1.8 3.6) (drill 1.2) (layers *.Cu *.Mask) + (net 24 "Net-(C410-Pad1)")) + (pad 2 thru_hole oval (at 5.08 0) (size 1.8 3.6) (drill 1.2) (layers *.Cu *.Mask) + (net 25 "Net-(C410-Pad2)")) + (model Connectors_Phoenix.3dshapes/PhoenixContact_MCV-G_02x5.08mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SW_Tactile_SKHH_Angled_v (layer F.Cu) (tedit 58FF4B44) (tstamp 58FFC1BA) + (at 249 227) + (descr "tactile switch 6mm ALPS SKHH right angle http://www.alps.com/prod/info/E/HTML/Tact/SnapIn/SKHH/SKHHLUA010.html") + (tags "tactile switch 6mm ALPS SKHH right angle") + (path /5954E4BA) + (fp_text reference S1 (at 1.698 -3.48) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (fp_text value SW_PBTN_2PIN_V (at 2.65 -5.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 4.3 -4.3) (end 7.2 -4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.2 -4.3) (end 7.2 -1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.2 -1.1) (end 6.1 -1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.1 -1.1) (end 6.1 2.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.2 -4.3) (end -2.7 -4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.7 -4.3) (end -2.7 -1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.7 -1.1) (end -1.6 -1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.6 -1.1) (end -1.6 2.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.3 -2.3) (end 0.2 -2.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.6 2.9) (end 0.3 2.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.3 2.9) (end 0.3 6.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.3 6.2) (end 4.2 6.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.2 6.2) (end 4.2 2.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.2 2.9) (end 6.1 2.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.3 -4.3) (end 4.3 -2.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.2 -2.3) (end 0.2 -4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.92 5.85) (end 0.58 5.85) (layer F.Fab) (width 0.1)) + (fp_line (start -1.25 2.55) (end -1.25 -4) (layer F.Fab) (width 0.1)) + (fp_line (start -1.25 -4) (end -0.85 -4) (layer F.Fab) (width 0.1)) + (fp_line (start 5.35 -4) (end 5.75 -4) (layer F.Fab) (width 0.1)) + (fp_line (start 5.75 -4) (end 5.75 2.55) (layer F.Fab) (width 0.1)) + (fp_line (start -0.85 -2) (end 5.35 -2) (layer F.Fab) (width 0.1)) + (fp_line (start -0.85 -2) (end -0.85 -4) (layer F.Fab) (width 0.1)) + (fp_line (start 5.35 -2) (end 5.35 -4) (layer F.Fab) (width 0.1)) + (fp_line (start -1.25 2.55) (end 0.58 2.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.58 2.55) (end 3.92 2.55) (layer F.Fab) (width 0.1)) + (fp_line (start 3.92 2.55) (end 5.75 2.55) (layer F.Fab) (width 0.1)) + (fp_line (start 3.92 2.55) (end 3.92 5.85) (layer F.Fab) (width 0.1)) + (fp_line (start 0.58 2.55) (end 0.58 5.85) (layer F.Fab) (width 0.1)) + (fp_line (start -1.45 -1) (end -1.45 2.55) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 2.75) (end 5.75 2.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.95 2.55) (end 5.95 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.25 -2.2) (end 0.25 -2.2) (layer F.SilkS) (width 0.12)) + (fp_circle (center 4.5 0) (end 4.9445 0) (layer F.Mask) (width 0)) + (fp_circle (center 0 0) (end 0.4445 0) (layer F.Mask) (width 0)) + (fp_circle (center -1.25 -2.5) (end -0.615 -2.5) (layer F.Mask) (width 0)) + (fp_circle (center 5.75 -2.5) (end 6.385 -2.5) (layer F.Mask) (width 0)) + (fp_circle (center -1.25 -2.5) (end -0.107 -2.5) (layer B.Mask) (width 0)) + (fp_circle (center 4.5 0) (end 5.389 0) (layer B.Mask) (width 0)) + (fp_circle (center 0 0) (end 0.889 0) (layer B.Mask) (width 0)) + (fp_circle (center 5.75 -2.5) (end 6.893 -2.5) (layer B.Mask) (width 0)) + (pad 3 thru_hole circle (at -1.25 -2.5) (size 2.2 2.2) (drill 1.3) (layers *.Cu *.Mask) + (net 5 GND)) + (pad 4 thru_hole circle (at 5.75 -2.5) (size 2.2 2.2) (drill 1.3) (layers *.Cu *.Mask) + (net 5 GND)) + (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 133 "Net-(S1-Pad1)")) + (pad 2 thru_hole circle (at 4.5 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 GND)) + ) + + (module proj_footprints:NPTH_3mm_ID (layer F.Cu) (tedit 58FF4083) (tstamp 58FFC5A4) + (at 217.932 148.336) + (path /591FA5A5) + (fp_text reference H404 (at 0 2.9) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3mm_Mounting_Hole (at 0 -2.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0.068 12.664) (size 3 3) (drill 3) (layers *.Cu *.Mask)) + ) + + (module proj_footprints:C_1206_ns (layer F.Cu) (tedit 58FF4D39) (tstamp 58FFF346) + (at 278.003 210.185 315) + (descr "Capacitor SMD 1206, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1206") + (path /58F788C6) + (attr smd) + (fp_text reference C100 (at 5.208549 1.796051 315) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DNP/1206 (at 0 2 315) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.75 315) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -2.25 -1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 -1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.5 0 315) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 1 "Net-(C100-Pad1)")) + (pad 2 smd rect (at 1.5 0 315) (size 1 1.6) (layers F.Cu F.Paste F.Mask) + (net 2 "Net-(C100-Pad2)")) + (model Capacitors_SMD.3dshapes/C_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:BOURNS-GDT-2051_ns (layer F.Cu) (tedit 58FF4C19) (tstamp 58FFF354) + (at 275.59 215.138) + (path /58F57AA7) + (attr smd) + (fp_text reference GDT100 (at 3.81 3.048) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 2051-09 (at 0 0) (layer F.Fab) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_line (start -3 -2) (end 3 -2) (layer F.Fab) (width 0.2)) + (fp_line (start 3 -2) (end 3 2) (layer F.Fab) (width 0.2)) + (fp_line (start 3 2) (end -3 2) (layer F.Fab) (width 0.2)) + (fp_line (start -3 2) (end -3 -2) (layer F.Fab) (width 0.2)) + (fp_line (start -3.25 -2.35) (end 3.25 -2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start 3.25 -2.35) (end 3.25 2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start 3.25 2.35) (end -3.25 2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start -3.25 2.35) (end -3.25 -2.35) (layer F.CrtYd) (width 0.2)) + (pad 1 smd rect (at -2.25 0) (size 1.5 4.2) (layers F.Cu F.Paste F.Mask) + (net 150 "Net-(GDT100-Pad1)")) + (pad 2 smd rect (at 2.25 0) (size 1.5 4.2) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + ) + + (module proj_footprints:R_1206_ns (layer F.Cu) (tedit 58FF4DCB) (tstamp 58FFF361) + (at 274.447 210.566 90) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58FB0A9B) + (attr smd) + (fp_text reference R100 (at -11.684 1.905 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100K/1206 (at 0 1.95 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0 90) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 150 "Net-(GDT100-Pad1)")) + (pad 2 smd rect (at 1.45 0 90) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 1 "Net-(C100-Pad1)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:R_1206_ns (layer F.Cu) (tedit 58FF4DBF) (tstamp 58FFF36F) + (at 274.447 206.121 90) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58F57BD5) + (attr smd) + (fp_text reference R101 (at 10.033 -0.127 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100K/1206 (at 0 1.95 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0 90) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 1 "Net-(C100-Pad1)")) + (pad 2 smd rect (at 1.45 0 90) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 90 "Net-(R101-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:R_1206_ns (layer F.Cu) (tedit 58FF4C8B) (tstamp 58FFF37D) + (at 270.891 206.375 135) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58F57F3D) + (attr smd) + (fp_text reference R104 (at 7.184205 1.257236 135) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10M/1206 (at 0 1.95 135) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 135) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0 135) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 1 "Net-(C100-Pad1)")) + (pad 2 smd rect (at 1.45 0 135) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 91 "Net-(R104-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:R_1206_ns (layer F.Cu) (tedit 58FF4C8B) (tstamp 58FFF38B) + (at 278.0665 206.5655) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58F57EA5) + (attr smd) + (fp_text reference R105 (at 7.184205 1.257236) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value HMC1206JT500M (at 0 1.95) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 1 "Net-(C100-Pad1)")) + (pad 2 smd rect (at 1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 153 "Net-(R105-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:R_1206_ns (layer F.Cu) (tedit 58FF4FC0) (tstamp 58FFF399) + (at 282.575 206.5655) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /58F5E146) + (attr smd) + (fp_text reference R106 (at -0.127 -1.8415) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value HMC1206JT500M (at 0 1.95) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 153 "Net-(R105-Pad2)")) + (pad 2 smd rect (at 1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 3 "Net-(C101-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:R_1206_ns (layer F.Cu) (tedit 58FF4DA9) (tstamp 58FFFF15) + (at 270.891 211.074 225) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (path /5913E0E6) + (attr smd) + (fp_text reference R103 (at 9.608874 0.987828 225) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100K/1206 (at 0 1.95 225) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 225) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0 225) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 1 "Net-(C100-Pad1)")) + (pad 2 smd rect (at 1.45 0 225) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask) + (net 152 "Net-(R103-Pad2)")) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:COTO-9001_ns (layer F.Cu) (tedit 58FF64CA) (tstamp 59000F78) + (at 257.7465 212.1535 180) + (descr "relay, Coto 9001 series Reed") + (path /5913E0ED) + (fp_text reference RL100 (at 11.8745 0.889 270) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_text value 9001-05-02 (at 0 4.3 180) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_line (start 0.8 0) (end 2.5 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -2.5 0) (end -0.8 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end 0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 -0.8) (end 0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 0.8 -0.8) (end 0.8 0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end 0.8 0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end -0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 1.5 -1.8) (end 7.6 -1.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 7.6 -1.8) (end 7.6 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -1.1 -1.8) (end 1.5 -2.3) (layer F.SilkS) (width 0.3048)) + (fp_line (start 10.3 -3) (end 10.3 3) (layer F.SilkS) (width 0.3048)) + (pad 2 thru_hole circle (at -2.54 0 180) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS) + (net 36 "Net-(D100-Pad3)")) + (pad 3 thru_hole circle (at 2.54 0 180) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS) + (net 5 GND)) + (pad 4 thru_hole circle (at 7.62 0 180) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS) + (net 3 "Net-(C101-Pad2)") (solder_mask_margin 0.5) (clearance 1)) + (pad 1 thru_hole rect (at -7.62 0 180) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS) + (net 152 "Net-(R103-Pad2)") (solder_mask_margin 2) (clearance 2)) + (model walter/relay/relay_9001.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:COTO-9001_ns (layer F.Cu) (tedit 58FF64C1) (tstamp 59000F8A) + (at 257.7465 205.359 180) + (descr "relay, Coto 9001 series Reed") + (path /58F583C7) + (fp_text reference RL101 (at 11.8745 0.889 270) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_text value 9001-05-02 (at 0 4.3 180) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_line (start 0.8 0) (end 2.5 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -2.5 0) (end -0.8 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end 0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 -0.8) (end 0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 0.8 -0.8) (end 0.8 0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end 0.8 0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end -0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 1.5 -1.8) (end 7.6 -1.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 7.6 -1.8) (end 7.6 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -1.1 -1.8) (end 1.5 -2.3) (layer F.SilkS) (width 0.3048)) + (fp_line (start 10.3 -3) (end 10.3 3) (layer F.SilkS) (width 0.3048)) + (pad 2 thru_hole circle (at -2.54 0 180) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS) + (net 37 "Net-(D101-Pad3)")) + (pad 3 thru_hole circle (at 2.54 0 180) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS) + (net 5 GND)) + (pad 4 thru_hole circle (at 7.62 0 180) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS) + (net 3 "Net-(C101-Pad2)") (solder_mask_margin 0.5) (clearance 1)) + (pad 1 thru_hole rect (at -7.62 0 180) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS) + (net 91 "Net-(R104-Pad2)") (solder_mask_margin 2) (clearance 2)) + (model walter/relay/relay_9001.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:SOIC-8-N_ns (layer F.Cu) (tedit 58FF4DF4) (tstamp 59000F9C) + (at 277.622 201.168 90) + (descr "Module Narrow CMS SOJ 8 pins large") + (tags "CMS SOJ") + (path /58F5746A) + (attr smd) + (fp_text reference U100 (at 3.556 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LMP7721 (at 0 1.27 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.54 -2.286) (end 2.54 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 2.286) (end -2.54 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.54 0.762) (end -2.032 0.762) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.032 0.762) (end -2.032 2.032) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.032 2.032) (end -2.54 2.032) (layer F.SilkS) (width 0.15)) + (pad 8 smd rect (at -1.905 -3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 90 "Net-(R101-Pad2)")) + (pad 7 smd rect (at -0.635 -3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 6 smd rect (at 0.635 -3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 142 "Net-(C103-Pad1)")) + (pad 5 smd rect (at 1.905 -3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 1.905 3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 3 "Net-(C101-Pad2)")) + (pad 3 smd rect (at 0.635 3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 4 "Net-(C102-Pad1)")) + (pad 2 smd rect (at -0.635 3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 5 GND)) + (pad 1 smd rect (at -1.905 3.175 90) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask) + (net 151 "Net-(R102-Pad1)")) + (model SMD_Packages.3dshapes/SOIC-8-N.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.5 0.38 0.5)) + (rotate (xyz 0 0 0)) + ) + ) + + (module proj_footprints:bnc_90_1-1337543-0_ns (layer F.Cu) (tedit 58FFF2B4) (tstamp 590042EE) + (at 268 233) + (descr "BNC connector, Tyco 1-1337543-0") + (path /58F574D8) + (fp_text reference P1 (at -10.19 -11.258) (layer F.SilkS) + (effects (font (size 3 3) (thickness 0.3))) + ) + (fp_text value BNC-90 (at 0 -16.4) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) + ) + (fp_line (start -6.4 1.2) (end 6.4 0.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 5.2) (end 6.4 4.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 4.2) (end 6.4 3.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 2.2) (end 6.4 1.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 3.2) (end 6.4 2.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 7.2) (end 6.4 6.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 6.2) (end 6.4 5.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 8.2) (end 6.4 7.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 9.2) (end 6.4 8.5) (layer F.SilkS) (width 0.3)) + (fp_line (start 6.4 9.2) (end 6.4 0) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 9.2) (end -6.4 0) (layer F.SilkS) (width 0.3)) + (fp_line (start 4.8 21.2) (end 4.8 9.2) (layer F.SilkS) (width 0.3)) + (fp_line (start -4.8 21.2) (end -4.8 9.2) (layer F.SilkS) (width 0.3)) + (fp_line (start -4.8 21.2) (end 4.8 21.2) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 9.2) (end 6.4 9.2) (layer F.SilkS) (width 0.3)) + (fp_line (start 7.4 0) (end 7.4 -13.7) (layer F.SilkS) (width 0.3)) + (fp_line (start -7.4 -13.7) (end -7.4 0) (layer F.SilkS) (width 0.3)) + (fp_line (start -7.4 0) (end 7.4 0) (layer F.SilkS) (width 0.3)) + (pad 1 thru_hole circle (at 0 -12.38) (size 1.5 1.5) (drill 0.9) (layers *.Cu *.Mask F.SilkS) + (net 150 "Net-(GDT100-Pad1)") (solder_mask_margin 2) (clearance 2)) + (pad 2 thru_hole circle (at 2.54 -12.38) (size 1.5 1.5) (drill 0.9) (layers *.Cu *.Mask F.SilkS) + (net 5 GND)) + (pad "" np_thru_hole circle (at 5.08 -7.3) (size 2.1 2.1) (drill 2.1) (layers *.Cu *.Mask F.SilkS)) + (pad "" np_thru_hole circle (at -5.08 -7.3) (size 2.1 2.1) (drill 2.1) (layers *.Cu *.Mask F.SilkS)) + (model walter/conn_rf/bnc_90_1-1337543-0.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_text JTAG (at 315.722 188.849) (layer F.SilkS) + (effects (font (size 1.3 1.3) (thickness 0.15))) + ) + (gr_text TXD (at 292.989 208.026) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (gr_text CTS (at 292.862 209.804) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (gr_text "RXD\n" (at 293.243 205.486) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text VCC (at 293.243 202.946) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text RTS (at 293.243 200.406) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text GND (at 293.243 197.993) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "Ionpak PCB rev. 1\nm-labs.hk" (at 178.816 159.004) (layer F.SilkS) + (effects (font (size 3 3) (thickness 0.2))) + ) + (gr_text GND (at 141.986 230.378 90) (layer F.SilkS) + (effects (font (size 2 2) (thickness 0.3))) + ) + (gr_text A_HV/FIL+/FIL- (at 205.486 231.648) (layer F.SilkS) + (effects (font (size 3 3) (thickness 0.3))) + ) + (gr_text +12V (at 125.222 230.378 90) (layer F.SilkS) + (effects (font (size 2 2) (thickness 0.3))) + ) + (gr_line (start 115 235) (end 115 152) (angle 90) (layer Margin) (width 0.2)) + (gr_line (start 321 235) (end 115 235) (angle 90) (layer Margin) (width 0.2)) + (gr_line (start 321 152) (end 321 235) (angle 90) (layer Margin) (width 0.2)) + (gr_line (start 115 152) (end 321 152) (angle 90) (layer Margin) (width 0.2)) + + (segment (start 300.866739 201.950967) (end 300.867433 201.950967) (width 0.18) (layer F.Cu) (net 0) (status 30)) + (segment (start 297.192 167.132) (end 297.192 167.332) (width 0.18) (layer F.Cu) (net 0)) + (segment (start 278.638 191.346) (end 278.554 191.346) (width 0.18) (layer F.Cu) (net 0)) + (segment (start 276.6165 206.5655) (end 276.6165 207.571) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 276.6165 207.571) (end 275.844 208.3435) (width 0.5) (layer F.Cu) (net 1) (tstamp 58FBA859)) + (segment (start 274.3835 208.3435) (end 275.844 208.3435) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 275.844 208.3435) (end 275.971 208.3435) (width 0.5) (layer F.Cu) (net 1) (tstamp 58FBA85C)) + (segment (start 275.971 208.3435) (end 276.75184 209.12434) (width 0.5) (layer F.Cu) (net 1) (tstamp 58FBA855)) + (segment (start 276.75184 209.12434) (end 276.94234 209.12434) (width 0.5) (layer F.Cu) (net 1) (tstamp 58FBA856)) + (segment (start 271.916305 210.048695) (end 271.916305 209.159695) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 271.916305 209.159695) (end 272.7325 208.3435) (width 0.5) (layer F.Cu) (net 1) (tstamp 58FBA850)) + (segment (start 274.447 208.3435) (end 274.3835 208.3435) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 274.3835 208.3435) (end 272.7325 208.3435) (width 0.5) (layer F.Cu) (net 1) (tstamp 58FBA853)) + (segment (start 272.7325 208.3435) (end 271.916305 207.527305) (width 0.5) (layer F.Cu) (net 1) (tstamp 58FBA849)) + (segment (start 271.916305 207.527305) (end 271.916305 207.400305) (width 0.5) (layer F.Cu) (net 1) (tstamp 58FBA84A)) + (segment (start 274.447 207.571) (end 274.447 208.3435) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 274.447 208.3435) (end 274.447 209.116) (width 0.5) (layer F.Cu) (net 1) (tstamp 58FBA847)) + (segment (start 280.57566 211.24566) (end 280.63734 211.24566) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 280.63734 211.24566) (end 281.2535 210.6295) (width 0.5) (layer F.Cu) (net 2) (tstamp 58FBA8ED)) + (segment (start 279.06366 211.24566) (end 280.57566 211.24566) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 280.57566 211.24566) (end 281.2415 211.9115) (width 0.5) (layer F.Cu) (net 2) (tstamp 58FBA8EA)) + (segment (start 280.797 199.263) (end 281.051 199.263) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 281.051 199.263) (end 282.46 197.854) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FF5846)) + (segment (start 282.46 197.854) (end 283.2735 197.854) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FF5847)) + (segment (start 282.321 197.866) (end 270.3195 197.866) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 255.0795 200.5965) (end 250.317 205.359) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA910)) + (segment (start 267.589 200.5965) (end 255.0795 200.5965) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA90E)) + (segment (start 270.3195 197.866) (end 267.589 200.5965) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA90C)) + (segment (start 250.317 205.359) (end 250.1265 205.359) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA911)) + (segment (start 285.242 199.009) (end 284.099 197.866) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 285.6865 204.216) (end 285.6865 199.4535) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA8F5)) + (segment (start 285.6865 199.4535) (end 285.242 199.009) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA8F6)) + (segment (start 284.025 205.8775) (end 285.6865 204.216) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA8F4)) + (segment (start 282.321 197.866) (end 280.924 199.263) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA8FF)) + (segment (start 284.099 197.866) (end 282.321 197.866) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA8FE)) + (segment (start 280.924 199.263) (end 280.797 199.263) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA900)) + (segment (start 284.025 206.5655) (end 284.025 205.8775) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 282.7535 210.6295) (end 283.0195 210.6295) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 283.0195 210.6295) (end 284.025 209.624) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA8F0)) + (segment (start 284.025 209.624) (end 284.025 206.5655) (width 0.5) (layer F.Cu) (net 3) (tstamp 58FBA8F1)) + (segment (start 250.1265 205.359) (end 250.1265 212.1535) (width 0.5) (layer F.Cu) (net 3) (status 20)) + (segment (start 285.762 192.532) (end 285.242 192.532) (width 0.18) (layer F.Cu) (net 4)) + (segment (start 285.242 192.532) (end 284.734 193.04) (width 0.18) (layer F.Cu) (net 4) (tstamp 58FF6FF4)) + (via (at 284.734 193.04) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 4)) + (segment (start 284.734 193.04) (end 284.226 192.532) (width 0.18) (layer B.Cu) (net 4) (tstamp 58FF6FF7)) + (segment (start 284.226 192.532) (end 281.686 192.532) (width 0.18) (layer B.Cu) (net 4) (tstamp 58FF6FF8)) + (segment (start 281.686 192.532) (end 280.67 193.548) (width 0.18) (layer B.Cu) (net 4) (tstamp 58FF6FF9)) + (segment (start 280.67 193.548) (end 280.67 197.739) (width 0.18) (layer B.Cu) (net 4) (tstamp 58FF6FFB)) + (segment (start 280.67 197.739) (end 282.6385 199.7075) (width 0.18) (layer B.Cu) (net 4) (tstamp 58FF6FFD)) + (segment (start 282.3965 200.533) (end 282.3965 199.9495) (width 0.5) (layer F.Cu) (net 4)) + (via (at 282.6385 199.7075) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 4)) + (segment (start 282.3965 199.9495) (end 282.6385 199.7075) (width 0.5) (layer F.Cu) (net 4) (tstamp 58FBA8F9)) + (segment (start 282.3965 200.533) (end 280.797 200.533) (width 0.5) (layer F.Cu) (net 4)) + (segment (start 305.816 205.486) (end 309.372 201.93) (width 0.5) (layer B.Cu) (net 5)) + (segment (start 309.372 201.93) (end 309.372 200.4822) (width 0.5) (layer B.Cu) (net 5) (tstamp 59002AAD)) + (segment (start 309.372 200.4822) (end 309.245 200.3552) (width 0.5) (layer B.Cu) (net 5) (tstamp 59002AAE)) + (segment (start 260.604 198.12) (end 266.446 198.12) (width 0.18) (layer In1.Cu) (net 5)) + (segment (start 266.446 198.12) (end 268.224 196.342) (width 0.18) (layer In1.Cu) (net 5) (tstamp 590029CD)) + (segment (start 253.238 198.882) (end 254 198.12) (width 0.18) (layer In1.Cu) (net 5)) + (segment (start 254 198.12) (end 260.604 198.12) (width 0.18) (layer In1.Cu) (net 5) (tstamp 590029CA)) + (segment (start 255.2065 205.359) (end 255.2065 205.0415) (width 0.18) (layer In1.Cu) (net 5)) + (segment (start 255.2065 205.0415) (end 257.302 202.946) (width 0.18) (layer In1.Cu) (net 5) (tstamp 590029BD)) + (segment (start 257.302 202.946) (end 261.112 202.946) (width 0.18) (layer In1.Cu) (net 5) (tstamp 590029BE)) + (segment (start 261.112 202.946) (end 262.128 203.962) (width 0.18) (layer In1.Cu) (net 5) (tstamp 590029C0)) + (segment (start 262.128 203.962) (end 262.128 213.36) (width 0.18) (layer In1.Cu) (net 5) (tstamp 590029C2)) + (segment (start 262.128 213.36) (end 260.858 214.63) (width 0.18) (layer In1.Cu) (net 5) (tstamp 590029C4)) + (segment (start 260.858 214.63) (end 257.302 214.63) (width 0.18) (layer In1.Cu) (net 5) (tstamp 590029C5)) + (segment (start 257.302 214.63) (end 255.2065 212.5345) (width 0.18) (layer In1.Cu) (net 5) (tstamp 590029C6)) + (segment (start 255.2065 212.5345) (end 255.2065 212.1535) (width 0.18) (layer In1.Cu) (net 5) (tstamp 590029C7)) + (segment (start 260.604 198.12) (end 260.604 200.533) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 260.604 200.533) (end 262.0645 201.9935) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029B8)) + (segment (start 274.828 195.326) (end 274.828 195.834) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 274.828 195.834) (end 276.352 197.358) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029B2)) + (segment (start 276.352 197.358) (end 276.352 201.93) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029B3)) + (segment (start 262.0645 202.7555) (end 262.0645 201.9935) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 262.0645 201.9935) (end 262.128 201.93) (width 0.5) (layer In2.Cu) (net 5) (tstamp 5900299F)) + (segment (start 262.128 201.93) (end 272.796 201.93) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029A0)) + (segment (start 272.796 201.93) (end 276.352 201.93) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029A1)) + (segment (start 276.352 201.93) (end 279.146 201.93) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029B6)) + (segment (start 279.146 201.93) (end 279.4 202.184) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029A2)) + (segment (start 279.4 202.184) (end 279.4 203.962) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029A3)) + (segment (start 279.4 203.962) (end 279.654 204.216) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029A4)) + (segment (start 279.654 204.216) (end 282.448 204.216) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029A5)) + (segment (start 282.448 204.216) (end 282.956 204.724) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029A6)) + (segment (start 282.956 204.724) (end 282.956 208.28) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029A7)) + (segment (start 282.956 208.28) (end 281.94 209.296) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029A8)) + (segment (start 281.94 209.296) (end 279.4 209.296) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029A9)) + (segment (start 279.4 209.296) (end 277.114 211.582) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029AA)) + (segment (start 277.114 211.582) (end 277.114 212.598) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029AC)) + (segment (start 277.114 212.598) (end 277.622 213.106) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029AD)) + (segment (start 277.622 213.106) (end 277.622 220.218) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029AE)) + (segment (start 277.622 220.218) (end 277.876 220.472) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590029AF)) + (segment (start 264.541 216.535) (end 264.541 216.281) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 264.541 216.281) (end 264.414 216.154) (width 0.5) (layer In2.Cu) (net 5) (tstamp 59002994)) + (segment (start 264.414 216.154) (end 262.89 216.154) (width 0.5) (layer In2.Cu) (net 5) (tstamp 59002995)) + (segment (start 262.89 216.154) (end 262.128 215.392) (width 0.5) (layer In2.Cu) (net 5) (tstamp 59002996)) + (segment (start 262.128 215.392) (end 262.128 214.122) (width 0.5) (layer In2.Cu) (net 5) (tstamp 59002997)) + (segment (start 262.89 208.661) (end 262.128 208.661) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 262.128 208.661) (end 262.128 208.788) (width 0.5) (layer F.Cu) (net 5) (tstamp 5900297B)) + (segment (start 255.905 202.438) (end 262.128 202.438) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 264.287 216.027) (end 262.763 216.027) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 262.763 216.027) (end 262.128 215.392) (width 0.5) (layer F.Cu) (net 5) (tstamp 5900296E)) + (segment (start 262.128 215.392) (end 262.128 208.788) (width 0.5) (layer F.Cu) (net 5) (tstamp 5900296F)) + (segment (start 262.128 208.788) (end 262.128 202.438) (width 0.5) (layer F.Cu) (net 5) (tstamp 5900297E)) + (segment (start 262.128 202.438) (end 262.128 201.93) (width 0.5) (layer F.Cu) (net 5) (tstamp 59002979)) + (segment (start 262.128 201.93) (end 262.382 201.93) (width 0.5) (layer F.Cu) (net 5) (tstamp 59002970)) + (segment (start 262.382 201.93) (end 263.652 201.93) (width 0.5) (layer F.Cu) (net 5) (tstamp 59002971)) + (segment (start 263.652 201.93) (end 270.51 201.93) (width 0.5) (layer F.Cu) (net 5) (tstamp 59002972)) + (segment (start 270.51 201.93) (end 271.3475 201.93) (width 0.5) (layer F.Cu) (net 5) (tstamp 59002973)) + (segment (start 271.3475 201.93) (end 271.3475 201.942) (width 0.5) (layer F.Cu) (net 5) (tstamp 59002974)) + (segment (start 265.43 223.012) (end 266.446 224.028) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 266.446 224.028) (end 270.256 224.028) (width 0.5) (layer F.Cu) (net 5) (tstamp 5900294A)) + (segment (start 270.256 224.028) (end 271.018 223.266) (width 0.5) (layer F.Cu) (net 5) (tstamp 5900294B)) + (segment (start 271.018 223.266) (end 271.018 223.012) (width 0.5) (layer F.Cu) (net 5) (tstamp 5900294C)) + (segment (start 271.018 223.012) (end 272.034 221.996) (width 0.5) (layer F.Cu) (net 5) (tstamp 5900294D)) + (segment (start 272.034 221.996) (end 274.447 221.996) (width 0.5) (layer F.Cu) (net 5) (tstamp 5900294E)) + (segment (start 272.034 220.472) (end 272.034 221.488) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 272.034 221.488) (end 269.748 223.774) (width 0.5) (layer In2.Cu) (net 5) (tstamp 5900293E)) + (segment (start 269.748 223.774) (end 266.7 223.774) (width 0.5) (layer In2.Cu) (net 5) (tstamp 5900293F)) + (segment (start 266.7 223.774) (end 264.541 221.615) (width 0.5) (layer In2.Cu) (net 5) (tstamp 59002941)) + (segment (start 264.541 221.615) (end 264.541 221.361) (width 0.5) (layer In2.Cu) (net 5) (tstamp 59002943)) + (segment (start 292.608 229.362) (end 279.4 229.362) (width 0.5) (layer In1.Cu) (net 5)) + (segment (start 291.084 223.266) (end 291.084 224.79) (width 0.5) (layer In1.Cu) (net 5)) + (segment (start 291.084 224.79) (end 292.862 224.79) (width 0.5) (layer In1.Cu) (net 5) (tstamp 5900291A)) + (segment (start 292.862 224.79) (end 293.116 225.044) (width 0.5) (layer In1.Cu) (net 5) (tstamp 5900291B)) + (segment (start 293.116 225.044) (end 293.116 228.854) (width 0.5) (layer In1.Cu) (net 5) (tstamp 5900291C)) + (segment (start 293.116 228.854) (end 292.608 229.362) (width 0.5) (layer In1.Cu) (net 5) (tstamp 5900291D)) + (segment (start 309.372 209.296) (end 309.626 209.296) (width 0.5) (layer In1.Cu) (net 5)) + (segment (start 309.626 209.296) (end 310.388 210.058) (width 0.5) (layer In1.Cu) (net 5) (tstamp 59002914)) + (segment (start 310.388 210.058) (end 311.15 210.058) (width 0.5) (layer In1.Cu) (net 5) (tstamp 59002915)) + (segment (start 311.15 210.058) (end 312.6232 208.5848) (width 0.5) (layer In1.Cu) (net 5) (tstamp 59002916)) + (segment (start 312.6232 208.5848) (end 312.6232 207.264) (width 0.5) (layer In1.Cu) (net 5) (tstamp 59002917)) + (segment (start 309.4736 186.5376) (end 309.4736 185.0644) (width 0.5) (layer In1.Cu) (net 5)) + (segment (start 309.4736 185.0644) (end 310.134 184.404) (width 0.5) (layer In1.Cu) (net 5) (tstamp 59002910)) + (segment (start 310.134 184.404) (end 312.166 184.404) (width 0.5) (layer In1.Cu) (net 5) (tstamp 59002911)) + (segment (start 291.084 163.576) (end 291.084 163.83) (width 0.5) (layer In1.Cu) (net 5)) + (segment (start 291.084 163.83) (end 288.544 166.37) (width 0.5) (layer In1.Cu) (net 5) (tstamp 5900290C)) + (segment (start 288.544 166.37) (end 288.544 167.386) (width 0.5) (layer In1.Cu) (net 5) (tstamp 5900290D)) + (segment (start 276.86 159.004) (end 276.86 158.75) (width 0.5) (layer In1.Cu) (net 5)) + (segment (start 276.86 158.75) (end 276.352 158.242) (width 0.5) (layer In1.Cu) (net 5) (tstamp 59002906)) + (segment (start 276.352 158.242) (end 275.844 158.242) (width 0.5) (layer In1.Cu) (net 5) (tstamp 59002907)) + (segment (start 275.844 158.242) (end 274.828 159.258) (width 0.5) (layer In1.Cu) (net 5) (tstamp 59002908)) + (segment (start 274.828 159.258) (end 274.828 162.052) (width 0.5) (layer In1.Cu) (net 5) (tstamp 59002909)) + (segment (start 269.24 189.23) (end 269.24 188.087) (width 0.5) (layer B.Cu) (net 5)) + (segment (start 269.24 188.087) (end 267.843 186.69) (width 0.5) (layer B.Cu) (net 5) (tstamp 59002850)) + (segment (start 267.843 186.69) (end 262.636 186.69) (width 0.5) (layer B.Cu) (net 5) (tstamp 59002851)) + (segment (start 262.636 186.69) (end 262.128 187.198) (width 0.5) (layer B.Cu) (net 5) (tstamp 59002852)) + (segment (start 262.128 187.198) (end 262.128 187.706) (width 0.5) (layer B.Cu) (net 5) (tstamp 59002853)) + (segment (start 219.964 183.388) (end 228.6 183.388) (width 0.5) (layer B.Cu) (net 5)) + (segment (start 228.6 183.388) (end 231.14 185.928) (width 0.5) (layer B.Cu) (net 5) (tstamp 59002848)) + (segment (start 231.14 185.928) (end 243.078 185.928) (width 0.5) (layer B.Cu) (net 5) (tstamp 5900284A)) + (segment (start 243.078 185.928) (end 246.08134 182.92466) (width 0.5) (layer B.Cu) (net 5) (tstamp 5900284C)) + (segment (start 246.08134 182.92466) (end 247.18666 182.92466) (width 0.5) (layer B.Cu) (net 5) (tstamp 5900284D)) + (segment (start 257.937 202.438) (end 261.747 202.438) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 261.747 202.438) (end 262.0645 202.7555) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590027C1)) + (segment (start 262.0645 202.7555) (end 262.128 202.819) (width 0.5) (layer In2.Cu) (net 5) (tstamp 5900299D)) + (segment (start 262.128 202.819) (end 262.128 214.122) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590027C2)) + (segment (start 264.541 216.535) (end 264.541 221.361) (width 0.5) (layer In2.Cu) (net 5) (tstamp 590027C5)) + (segment (start 264.287 216.027) (end 264.414 216.154) (width 0.5) (layer F.Cu) (net 5) (tstamp 590026C1)) + (segment (start 287.782 205.74) (end 287.02 205.74) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 287.02 205.74) (end 286.893 205.867) (width 0.5) (layer F.Cu) (net 5) (tstamp 590026B3)) + (segment (start 286.893 205.867) (end 286.893 205.5495) (width 0.5) (layer F.Cu) (net 5) (tstamp 590026B5)) + (segment (start 255.2065 212.1535) (end 255.2065 205.359) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 301.1932 199.9488) (end 300.7868 199.9488) (width 0.18) (layer In1.Cu) (net 5)) + (segment (start 300.7868 199.9488) (end 300.4312 199.5932) (width 0.18) (layer In1.Cu) (net 5) (tstamp 5900264E)) + (segment (start 298.2468 189.5602) (end 298.2468 187.0202) (width 0.18) (layer In1.Cu) (net 5)) + (segment (start 298.2468 187.0202) (end 298.831 186.436) (width 0.18) (layer In1.Cu) (net 5) (tstamp 59002648)) + (segment (start 298.831 186.436) (end 299.8724 186.436) (width 0.18) (layer In1.Cu) (net 5) (tstamp 59002649)) + (segment (start 299.8724 186.436) (end 300.609 187.1726) (width 0.18) (layer In1.Cu) (net 5) (tstamp 5900264A)) + (segment (start 238.506 165.354) (end 237.49 165.354) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 237.49 165.354) (end 236.97 165.874) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FFD153)) + (segment (start 236.97 165.874) (end 236.97 166.624) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FFD154)) + (segment (start 191.06 211.074) (end 193.816 211.074) (width 0.7) (layer F.Cu) (net 5)) + (segment (start 193.816 211.074) (end 194.056 210.834) (width 0.7) (layer F.Cu) (net 5) (tstamp 58FFC74F)) + (segment (start 251.968 200.152) (end 252.349 200.152) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 252.349 200.152) (end 253.238 199.263) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FFC704)) + (segment (start 253.238 199.263) (end 253.238 198.882) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FFC705)) + (segment (start 260.604 198.12) (end 260.35 198.12) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 260.35 198.12) (end 259.715 198.755) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 259.715 198.755) (end 253.492 198.755) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 247.18666 182.92466) (end 243.88466 182.92466) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 243.88466 182.92466) (end 243.332 182.372) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC67D)) + (segment (start 243.332 182.372) (end 239.776 182.372) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC67E)) + (segment (start 239.776 182.372) (end 239.395 182.753) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC67F)) + (segment (start 255.524 164.846) (end 252.73 164.846) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 252.73 164.846) (end 249.174 168.402) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC676)) + (segment (start 249.174 168.402) (end 249.174 180.93732) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC678)) + (segment (start 249.174 180.93732) (end 247.18666 182.92466) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC67A)) + (segment (start 277.942 166.286) (end 257.992 166.286) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 257.992 166.286) (end 256.794 165.088) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC673)) + (segment (start 277.876 164.338) (end 278.384 164.338) (width 0.18) (layer B.Cu) (net 5)) + (segment (start 278.384 164.338) (end 279.4 163.322) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FFC63C)) + (segment (start 279.4 163.322) (end 280.924 163.322) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FFC63D)) + (segment (start 280.924 163.322) (end 282.448 164.846) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FFC63F)) + (segment (start 282.448 164.846) (end 283.972 164.846) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FFC641)) + (segment (start 283.972 164.846) (end 284.226 164.592) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FFC643)) + (segment (start 284.226 164.592) (end 290.068 164.592) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FFC644)) + (segment (start 290.068 164.592) (end 291.084 163.576) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FFC645)) + (segment (start 255.778 164.592) (end 274.32 164.592) (width 0.18) (layer B.Cu) (net 5)) + (segment (start 274.32 164.592) (end 274.828 165.1) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FFC636)) + (segment (start 274.828 165.1) (end 277.114 165.1) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FFC637)) + (segment (start 277.114 165.1) (end 277.876 164.338) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FFC638)) + (segment (start 269.24 188.805736) (end 269.24 189.23) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 269.24 188.11) (end 269.24 188.805736) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 269.174 188.044) (end 269.24 188.11) (width 0.5) (layer F.Cu) (net 5)) + (via (at 269.24 189.23) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 307.34 165.874) (end 307.34 165.1) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 307.34 165.1) (end 307.848 164.592) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC5B8)) + (via (at 307.848 164.592) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 275.844 161.786) (end 276.086 161.786) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 276.086 161.786) (end 278.13 163.83) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC231)) + (segment (start 278.13 163.83) (end 278.13 164.084) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC232)) + (segment (start 278.13 164.084) (end 277.876 164.338) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC233)) + (segment (start 219.964 170.688) (end 198.12 170.688) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 198.12 170.688) (end 196.596 169.164) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC212)) + (via (at 196.596 169.164) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 219.964 183.388) (end 219.964 170.688) (width 0.5) (layer F.Cu) (net 5)) + (via (at 219.964 170.688) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 234.569 182.499) (end 221.869 182.499) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 221.869 182.499) (end 220.98 183.388) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC208)) + (segment (start 220.98 183.388) (end 219.964 183.388) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC209)) + (via (at 219.964 183.388) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 264.668 215.9) (end 264.414 216.154) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 264.414 216.154) (end 264.414 221.996) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 264.414 221.996) (end 265.43 223.012) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 274.447 221.996) (end 276.225 220.218) (width 0.5) (layer F.Cu) (net 5) (tstamp 59002951)) + (segment (start 248.158 200.914) (end 248.2215 200.914) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 248.2215 200.914) (end 248.50725 201.19975) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC1F4)) + (segment (start 248.2215 215.9635) (end 247.0277 214.7697) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 247.0277 214.7697) (end 246.8245 214.5665) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 247.142 215.5063) (end 247.142 214.884) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 247.142 214.884) (end 247.0277 214.7697) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 247.0023 215.646) (end 247.142 215.5063) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 246.634 215.646) (end 247.0023 215.646) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 248.158 200.914) (end 246.7737 202.2983) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 246.7737 202.2983) (end 246.7737 208.149902) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 246.7737 208.149902) (end 246.832399 208.208601) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 246.832399 208.208601) (end 246.5324 208.5086) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 246.634 215.646) (end 246.634 208.6102) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 246.634 208.6102) (end 246.5324 208.5086) (width 0.5) (layer In2.Cu) (net 5)) + (via (at 246.5324 208.5086) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 254.75 224.5) (end 247.75 224.5) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 247.75 224.5) (end 251.2462 224.5) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 251.2462 224.5) (end 253.5 226.7538) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FFC1EA)) + (segment (start 253.5 226.7538) (end 253.5 227) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FFC1EB)) + (segment (start 261.62 188.48) (end 261.62 188.214) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 261.62 188.214) (end 262.128 187.706) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF7316)) + (via (at 262.128 187.706) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 267.462 193.306) (end 268.974 193.306) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 268.974 193.306) (end 268.986 193.294) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF7311)) + (via (at 268.986 193.294) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 259.672 188.026) (end 261.166 188.026) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 261.166 188.026) (end 261.62 188.48) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF72D4)) + (segment (start 265.514 193.36) (end 267.408 193.36) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 267.408 193.36) (end 267.462 193.306) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF72CB)) + (segment (start 275.844 158.484) (end 276.34 158.484) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 276.34 158.484) (end 276.86 159.004) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF712D)) + (via (at 276.86 159.004) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 274.828 162.052) (end 275.578 162.052) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 275.578 162.052) (end 275.844 161.786) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF712A)) + (segment (start 273.3044 161.7612) (end 274.5372 161.7612) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 274.5372 161.7612) (end 274.828 162.052) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF7126)) + (via (at 274.828 162.052) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 277.942 166.286) (end 277.942 164.404) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 277.942 164.404) (end 277.876 164.338) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF711A)) + (via (at 277.876 164.338) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 256.794 165.088) (end 255.766 165.088) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 255.766 165.088) (end 255.524 164.846) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF70FA)) + (via (at 255.524 164.846) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 258.996 160.213) (end 258.996 159.428) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 258.996 159.428) (end 258.826 159.258) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF70E2)) + (via (at 258.826 159.258) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 257.175 159.524) (end 257.175 159.385) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 257.175 159.385) (end 258.064 158.496) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF70DD)) + (via (at 258.064 158.496) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 263.652 162.548) (end 263.652 163.576) (width 0.5) (layer F.Cu) (net 5)) + (via (at 263.652 163.576) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 145.288 164.35) (end 134.862 164.35) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 134.862 164.35) (end 134.62 164.592) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF70B3)) + (segment (start 242.316 158.484) (end 243.32 158.484) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 243.32 158.484) (end 243.332 158.496) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF7089)) + (via (at 243.332 158.496) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 242.316 161.786) (end 243.32 161.786) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 243.32 161.786) (end 243.332 161.798) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF7085)) + (via (at 243.332 161.798) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 239.116 161.812) (end 240.044 161.812) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 240.044 161.812) (end 240.792 162.56) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF7081)) + (via (at 240.792 162.56) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 239.116 161.812) (end 242.29 161.812) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 242.29 161.812) (end 242.316 161.786) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF7076)) + (segment (start 295.6814 183.388) (end 295.5544 183.261) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 296.43 183.388) (end 295.6814 183.388) (width 0.18) (layer F.Cu) (net 5)) + (via (at 295.5544 183.261) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 295.148 180.975) (end 295.1734 180.9496) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 294.374 180.975) (end 295.148 180.975) (width 0.5) (layer F.Cu) (net 5)) + (via (at 295.1734 180.9496) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 284.722 156.718) (end 289.052 156.718) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 289.052 156.718) (end 289.306 156.972) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6F74)) + (segment (start 314.198 184.404) (end 312.166 184.404) (width 0.5) (layer In1.Cu) (net 5)) + (segment (start 312.166 184.404) (end 312.42 184.658) (width 0.5) (layer In1.Cu) (net 5) (tstamp 58FF6F43)) + (segment (start 312.42 184.658) (end 312.42 187.452) (width 0.5) (layer In1.Cu) (net 5) (tstamp 58FF6F44)) + (segment (start 312.42 187.452) (end 312.928 187.96) (width 0.5) (layer In1.Cu) (net 5) (tstamp 58FF6F45)) + (segment (start 312.928 187.96) (end 313.182 187.96) (width 0.5) (layer In1.Cu) (net 5) (tstamp 58FF6F46)) + (segment (start 313.182 187.96) (end 314.198 186.944) (width 0.5) (layer In1.Cu) (net 5) (tstamp 58FF6F47)) + (segment (start 311.9 208.534) (end 311.9 207.9872) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 311.9 207.9872) (end 312.6232 207.264) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6F21)) + (segment (start 301.752 165.1) (end 301.752 166.624) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 301.752 166.624) (end 301.74 166.636) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6E64)) + (segment (start 300.724 167.132) (end 301.244 167.132) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 301.244 167.132) (end 301.74 166.636) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6E60)) + (segment (start 301.74 166.636) (end 302.514 166.636) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6E61)) + (segment (start 317.488 193.294) (end 317.488 192.29) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 317.488 192.29) (end 317.5 192.278) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6D28)) + (via (at 317.5 192.278) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 290.068 194.806) (end 290.068 197.612) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 290.068 197.612) (end 290.322 197.866) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6D12)) + (segment (start 238.518 166.624) (end 238.518 165.366) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 238.518 165.366) (end 238.506 165.354) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6CDD)) + (via (at 238.506 165.354) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 314.01 206.84) (end 314.01 207.706) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 314.01 207.706) (end 313.436 208.28) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6CBC)) + (via (at 313.436 208.28) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 313.0345 206.8527) (end 313.9973 206.8527) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 313.9973 206.8527) (end 314.01 206.84) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6CB4)) + (segment (start 313.0345 206.8527) (end 312.6232 207.264) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6C60)) + (via (at 312.6232 207.264) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 296.851002 171.195998) (end 296.926002 171.195998) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 296.484 171.563) (end 296.851002 171.195998) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 296.484 172.763) (end 296.484 171.563) (width 0.5) (layer F.Cu) (net 5)) + (via (at 296.926002 171.195998) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 310.884 183.896) (end 310.884 185.42) (width 0.5) (layer F.Cu) (net 5)) + (via (at 289.306 156.972) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 289.306 156.972) (end 288.29 156.972) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 288.29 156.972) (end 288.036 157.226) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6A28)) + (segment (start 288.036 157.226) (end 288.036 158.75) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6A29)) + (segment (start 293.89 156.718) (end 289.56 156.718) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 289.56 156.718) (end 289.306 156.972) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6A24)) + (segment (start 289.306 156.972) (end 289.306 158.75) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF6A25)) + (segment (start 301.74 165.112) (end 301.752 165.1) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF69E9)) + (segment (start 306.324 176.276) (end 306.324 175.006) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 306.324 175.006) (end 305.816 174.498) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF69C6)) + (via (at 305.816 174.498) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 295.0464 184.912) (end 294.9702 184.8358) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 295.922 184.912) (end 295.0464 184.912) (width 0.18) (layer F.Cu) (net 5)) + (via (at 294.9702 184.8358) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 279.4 227.826) (end 279.4 229.362) (width 0.5) (layer F.Cu) (net 5)) + (via (at 279.4 229.362) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 308.598 209.042) (end 309.118 209.042) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 309.118 209.042) (end 309.372 209.296) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF656C)) + (via (at 309.372 209.296) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 300.309963 201.394191) (end 300.309963 201.390837) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 300.309963 201.390837) (end 301.1932 200.5076) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF63AC)) + (segment (start 301.1932 200.5076) (end 301.1932 199.9488) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF63AD)) + (via (at 301.1932 199.9488) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 306.832 205.474) (end 305.828 205.474) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 305.828 205.474) (end 305.816 205.486) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6390)) + (via (at 305.816 205.486) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 306.832 205.474) (end 308.356 205.474) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 309.4736 186.5376) (end 310.4388 186.5376) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 310.4388 186.5376) (end 310.884 186.0924) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF627A)) + (segment (start 310.884 186.0924) (end 310.884 185.42) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF627B)) + (segment (start 307.997062 187.456834) (end 308.020966 187.456834) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 308.020966 187.456834) (end 308.9402 186.5376) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6275)) + (segment (start 308.9402 186.5376) (end 309.4736 186.5376) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6276)) + (via (at 309.4736 186.5376) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 299.447858 186.055914) (end 299.492314 186.055914) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 299.492314 186.055914) (end 300.609 187.1726) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6271)) + (via (at 300.609 187.1726) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 297.472202 188.03157) (end 297.48017 188.03157) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 297.48017 188.03157) (end 298.2468 188.7982) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF626A)) + (segment (start 298.2468 188.7982) (end 298.2468 189.5602) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF626B)) + (via (at 298.2468 189.5602) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 296.4815 199.5551) (end 296.3291 199.5551) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 296.3291 199.5551) (end 296.291 199.5932) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6266)) + (via (at 296.291 199.5932) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 309.245 200.3552) (end 309.245 201.168) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 309.245 201.168) (end 309.741 201.664) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6260)) + (segment (start 309.741 201.664) (end 310.642 201.664) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6261)) + (segment (start 308.28443 198.843798) (end 308.292398 198.843798) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 308.292398 198.843798) (end 309.245 199.7964) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF625B)) + (segment (start 309.245 199.7964) (end 309.245 200.3552) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF625C)) + (via (at 309.245 200.3552) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 299.447858 200.532086) (end 299.466914 200.532086) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 299.466914 200.532086) (end 300.4058 199.5932) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6256)) + (segment (start 300.4058 199.5932) (end 300.4312 199.5932) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6257)) + (via (at 300.4312 199.5932) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 300.309963 201.394191) (end 300.309963 201.390837) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 290.576 165.1) (end 290.576 164.084) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 290.576 164.084) (end 291.084 163.576) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF61F6)) + (via (at 291.084 163.576) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 301.752 165.1) (end 301.752 163.83) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 301.752 163.83) (end 302.006 163.576) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF61F1)) + (via (at 302.006 163.576) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (via (at 317.8556 199.632) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 317.8556 199.632) (end 317.8556 199.644) (width 0.18) (layer B.Cu) (net 5) (tstamp 58FF60ED)) + (segment (start 313.1312 198.12) (end 314.33 198.12) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 314.33 198.12) (end 314.368 198.082) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF60E7)) + (segment (start 312.166 198.132) (end 313.1192 198.132) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 313.1192 198.132) (end 313.1312 198.12) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF60E2)) + (via (at 313.1312 198.12) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 319.024 199.632) (end 317.8556 199.632) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 317.8556 199.632) (end 316.618 199.632) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF60EA)) + (segment (start 316.618 199.632) (end 316.568 199.682) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF60AA)) + (segment (start 289.372 168.699) (end 289.372 168.214) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 289.372 168.214) (end 288.544 167.386) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5F29)) + (via (at 288.544 167.386) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 305.804 166.116) (end 307.098 166.116) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 307.098 166.116) (end 307.34 165.874) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5EE4)) + (segment (start 282.829 186.424) (end 282.829 187.325) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 282.829 187.325) (end 282.956 187.452) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5DFC)) + (via (at 282.956 187.452) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 249.852 219.014) (end 249.87 219.014) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 249.87 219.014) (end 251.902 216.982) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5CAB)) + (segment (start 251.902 216.982) (end 254.424 216.982) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5CAC)) + (via (at 247.18666 182.92466) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 247.18666 182.92466) (end 247.142 182.88) (width 0.7) (layer B.Cu) (net 5) (tstamp 58FF5C93)) + (segment (start 247.18666 184.35934) (end 247.18666 182.92466) (width 0.7) (layer F.Cu) (net 5)) + (segment (start 247.18666 182.92466) (end 247.142 182.88) (width 0.7) (layer F.Cu) (net 5) (tstamp 58FF5C8F)) + (segment (start 249.646 197.104) (end 249.682 197.104) (width 0.7) (layer F.Cu) (net 5)) + (segment (start 249.682 197.104) (end 251.206 195.58) (width 0.7) (layer F.Cu) (net 5) (tstamp 58FF5C09)) + (via (at 251.206 195.58) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 249.646 197.104) (end 249.682 197.104) (width 0.7) (layer F.Cu) (net 5)) + (segment (start 249.682 197.104) (end 251.46 198.882) (width 0.7) (layer F.Cu) (net 5) (tstamp 58FF5C04)) + (via (at 251.46 198.882) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 249.646 197.104) (end 251.46 197.104) (width 0.7) (layer F.Cu) (net 5)) + (via (at 251.46 197.104) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 249.646 197.104) (end 249.646 195.616) (width 1.5) (layer F.Cu) (net 5)) + (segment (start 249.646 195.616) (end 250.952 194.31) (width 0.7) (layer F.Cu) (net 5) (tstamp 58FF5BF7)) + (via (at 250.952 194.31) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 271.526 220.472) (end 270.688 220.472) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 270.688 220.472) (end 270.54 220.62) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF5B4B)) + (segment (start 270.51 220.65) (end 270.54 220.62) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF5B48)) + (segment (start 270.54 220.62) (end 270.87 220.62) (width 1.5) (layer F.Cu) (net 5)) + (segment (start 270.87 220.62) (end 271.272 220.218) (width 1.5) (layer F.Cu) (net 5) (tstamp 58FF5B41)) + (segment (start 254.424 216.982) (end 254.442 216.982) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 254.442 216.982) (end 255.2065 216.2175) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5925)) + (segment (start 255.2065 216.2175) (end 255.2065 212.1535) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5926)) + (segment (start 246.634 215.646) (end 247.65 216.662) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 247.65 216.662) (end 253.492 216.662) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF58C0)) + (segment (start 253.492 216.662) (end 255.2065 214.9475) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF58C1)) + (segment (start 255.2065 214.9475) (end 255.2065 212.1535) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF58C2)) + (via (at 246.634 215.646) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 251.968 200.152) (end 248.92 200.152) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF58AF)) + (segment (start 248.92 200.152) (end 248.158 200.914) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF58B0)) + (via (at 248.158 200.914) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (via (at 253.238 198.882) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 268.224 196.342) (end 266.192 198.374) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 266.192 198.374) (end 260.858 198.374) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF58A2)) + (segment (start 260.858 198.374) (end 260.604 198.12) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF58A3)) + (via (at 260.604 198.12) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 274.828 195.326) (end 274.574 195.326) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 274.574 195.326) (end 274.066 195.834) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF589C)) + (segment (start 274.066 195.834) (end 268.732 195.834) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF589D)) + (segment (start 268.732 195.834) (end 268.224 196.342) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF589E)) + (via (at 268.224 196.342) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 281.559 195.834) (end 275.336 195.834) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 275.336 195.834) (end 274.828 195.326) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF5898)) + (via (at 274.828 195.326) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 284.734 195.834) (end 281.559 195.834) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 287.782 200.152) (end 287.782 198.374) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 287.782 198.374) (end 285.242 195.834) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF5892)) + (segment (start 285.242 195.834) (end 284.734 195.834) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF5893)) + (segment (start 287.782 205.74) (end 287.782 200.152) (width 0.5) (layer In2.Cu) (net 5)) + (via (at 287.782 200.152) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 286.512 211.582) (end 286.512 207.264) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 286.512 207.264) (end 287.782 205.994) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF5889)) + (segment (start 287.782 205.994) (end 287.782 205.74) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF588A)) + (via (at 287.782 205.74) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 280.162 215.138) (end 282.956 215.138) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 282.956 215.138) (end 286.512 211.582) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF5883)) + (via (at 286.512 211.582) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 277.876 220.472) (end 277.876 220.218) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 277.876 220.218) (end 280.162 217.932) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF587D)) + (segment (start 280.162 217.932) (end 280.162 215.138) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF587E)) + (via (at 280.162 215.138) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 271.526 220.472) (end 272.034 220.472) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF5878)) + (segment (start 272.034 220.472) (end 277.876 220.472) (width 0.5) (layer In2.Cu) (net 5) (tstamp 5900293C)) + (via (at 277.876 220.472) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 277.0505 211.709) (end 277.0505 212.5345) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 277.0505 212.5345) (end 277.84 213.324) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF583E)) + (segment (start 277.84 213.324) (end 277.84 215.138) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF583F)) + (segment (start 264.668 215.9) (end 266.192 215.9) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 266.192 215.9) (end 267.208 214.884) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5838)) + (segment (start 279.8445 219.6465) (end 276.7965 219.6465) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 276.7965 219.6465) (end 276.86 219.583) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5831)) + (segment (start 276.86 219.583) (end 276.86 219.456) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5832)) + (segment (start 276.86 219.456) (end 276.86 219.583) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5833)) + (segment (start 271.272 220.218) (end 276.225 220.218) (width 1.5) (layer F.Cu) (net 5) (tstamp 58FF5504)) + (segment (start 276.225 220.218) (end 276.86 219.583) (width 1.5) (layer F.Cu) (net 5) (tstamp 58FF5505)) + (segment (start 276.86 219.583) (end 277.84 218.603) (width 1.5) (layer F.Cu) (net 5) (tstamp 58FF5834)) + (segment (start 277.84 218.603) (end 277.84 215.138) (width 1.5) (layer F.Cu) (net 5) (tstamp 58FF5506)) + (segment (start 237.756 183.896) (end 237.756 182.995) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 237.756 182.995) (end 237.998 182.753) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5021)) + (segment (start 237.998 182.753) (end 239.395 182.753) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF5022)) + (segment (start 236.462 183.896) (end 236.462 182.995) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 236.462 182.995) (end 236.22 182.753) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF501C)) + (segment (start 236.22 182.753) (end 234.823 182.753) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF501D)) + (segment (start 234.823 182.753) (end 234.569 182.499) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF501E)) + (segment (start 255.2065 212.1535) (end 254.8255 212.1535) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 255.2065 212.1535) (end 255.2065 205.359) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 255.2065 205.359) (end 255.2065 205.1685) (width 0.5) (layer In2.Cu) (net 5)) + (segment (start 255.2065 205.1685) (end 257.937 202.438) (width 0.5) (layer In2.Cu) (net 5) (tstamp 58FF4F4B)) + (segment (start 262.8265 208.661) (end 262.89 208.661) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF4F20)) + (segment (start 297.472202 198.55643) (end 297.472202 198.564398) (width 0.18) (layer F.Cu) (net 5) (status 30)) + (segment (start 297.472202 198.564398) (end 296.4815 199.5551) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF4AD4) (status 10)) + (segment (start 296.4815 199.5551) (end 295.783 200.2536) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF6264) (status 10)) + (segment (start 295.783 200.2536) (end 295.783 200.418) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF4AD5)) + (segment (start 300.309963 201.394191) (end 300.309963 201.390837) (width 0.18) (layer F.Cu) (net 5) (status 30)) + (segment (start 299.447858 200.532086) (end 299.466914 200.532086) (width 0.18) (layer F.Cu) (net 5) (status 30)) + (segment (start 281.092 181.991) (end 281.092 182.458) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 281.092 182.458) (end 279.908 183.642) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FEAB87)) + (via (at 279.908 183.642) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 280.289 181.188) (end 280.289 180.467) (width 0.5) (layer F.Cu) (net 5)) + (via (at 280.289 180.467) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 280.289 180.467) (end 279.515 179.693) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FEAB80)) + (segment (start 280.289 181.188) (end 281.092 181.991) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FEAB84)) + (segment (start 279.273 179.693) (end 279.515 179.693) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 281.092 181.991) (end 281.092 183.855) (width 0.5) (layer F.Cu) (net 5)) + (via (at 280.797 184.15) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 281.092 183.855) (end 280.797 184.15) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FEAB7A)) + (segment (start 236.982 173.228) (end 236.982 174.371) (width 0.5) (layer F.Cu) (net 5)) + (via (at 236.982 174.371) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 236.982 171.081) (end 236.982 172.085) (width 0.5) (layer F.Cu) (net 5)) + (via (at 236.982 172.085) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 236.982 172.085) (end 236.982 173.228) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 236.982 171.081) (end 236.97 171.069) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FEA10B)) + (segment (start 278.499 186.563) (end 279.527 186.563) (width 0.5) (layer F.Cu) (net 5)) + (via (at 279.527 186.563) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 256.655 157.48) (end 256.655 159.004) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 256.655 159.004) (end 257.175 159.524) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FE2975)) + (segment (start 257.175 159.524) (end 257.949 159.524) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 257.949 159.524) (end 258.638 160.213) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FE28B9)) + (segment (start 258.638 160.213) (end 258.996 160.213) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FE28BA)) + (segment (start 236.982 184.912) (end 237.236 184.912) (width 0.5) (layer F.Cu) (net 5)) + (via (at 237.49 185.166) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 237.236 184.912) (end 237.49 185.166) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FE2071)) + (via (at 236.728 185.166) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 236.728 185.166) (end 236.982 184.912) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FE206B)) + (segment (start 236.982 184.912) (end 236.982 183.896) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 236.462 183.896) (end 236.982 183.896) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 236.982 183.896) (end 237.756 183.896) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FE2069)) + (segment (start 222.25 216.14) (end 222.236 216.14) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 222.236 216.14) (end 220.98 214.884) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FDB17B)) + (via (at 220.98 214.884) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 222.25 216.14) (end 222.264 216.14) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 222.264 216.14) (end 223.52 214.884) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FDB174)) + (via (at 223.52 214.884) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 222.25 216.14) (end 219.978 216.14) (width 0.5) (layer F.Cu) (net 5)) + (via (at 219.964 216.154) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 219.978 216.14) (end 219.964 216.154) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FDB16E)) + (segment (start 222.25 216.14) (end 224.395 216.14) (width 0.5) (layer F.Cu) (net 5)) + (via (at 224.409 216.154) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 224.395 216.14) (end 224.409 216.154) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FDB166)) + (segment (start 222.25 216.14) (end 222.25 215.011) (width 0.5) (layer F.Cu) (net 5)) + (via (at 222.25 215.011) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 195.326 213.36) (end 194.31 213.36) (width 0.5) (layer F.Cu) (net 5)) + (via (at 194.31 213.36) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 192.786 212.852) (end 192.786 212.344) (width 0.5) (layer F.Cu) (net 5)) + (via (at 192.786 212.344) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (via (at 193.294 213.36) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 192.786 212.852) (end 193.294 213.36) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FC24C2)) + (segment (start 194.31 213.36) (end 193.294 213.36) (width 0.5) (layer F.Cu) (net 5)) + (via (at 195.326 213.36) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 195.834 212.344) (end 194.818 212.344) (width 0.5) (layer F.Cu) (net 5)) + (via (at 194.818 212.344) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (via (at 193.802 212.344) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 194.818 212.344) (end 193.802 212.344) (width 0.5) (layer F.Cu) (net 5)) + (via (at 195.834 212.344) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 192.786 212.344) (end 193.802 212.344) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 194.056 212.09) (end 193.802 212.344) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FC2493)) + (segment (start 193.802 212.344) (end 192.786 212.344) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FC2495)) + (segment (start 194.056 210.834) (end 194.056 212.09) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 287.02 205.4225) (end 287.02 197.993) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA947)) + (via (at 281.559 195.834) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 281.559 195.834) (end 284.734 195.834) (width 0.5) (layer B.Cu) (net 5) (tstamp 58FBB557)) + (via (at 284.734 195.834) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 281.2415 213.4115) (end 283.349 213.4115) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 285.623 206.8195) (end 286.893 205.5495) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA946)) + (segment (start 286.893 205.5495) (end 287.02 205.4225) (width 0.5) (layer F.Cu) (net 5) (tstamp 590026B6)) + (segment (start 285.623 211.1375) (end 285.623 206.8195) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA944)) + (segment (start 283.349 213.4115) (end 285.623 211.1375) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA943)) + (segment (start 249.428 200.279) (end 248.50725 201.19975) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 248.50725 201.19975) (end 246.9515 202.7555) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FFC1F7)) + (segment (start 269.113 195.834) (end 277.8125 195.834) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA93E)) + (segment (start 266.319 198.628) (end 269.113 195.834) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA93D)) + (segment (start 253.8095 198.628) (end 266.319 198.628) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA93C)) + (segment (start 252.1585 200.279) (end 253.8095 198.628) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA93B)) + (segment (start 249.428 200.279) (end 252.1585 200.279) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA93A)) + (segment (start 277.8125 195.834) (end 281.559 195.834) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 286.893 197.993) (end 284.734 195.834) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBB568)) + (segment (start 255.2065 212.1535) (end 255.2065 212.979) (width 0.5) (layer F.Cu) (net 5) (status 30)) + (segment (start 281.2415 213.4115) (end 281.2415 218.2495) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 281.2415 218.2495) (end 279.8445 219.6465) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA92D)) + (segment (start 279.8445 219.6465) (end 279.842 219.649) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF582F)) + (segment (start 271.62125 202.21575) (end 271.62125 203.54925) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 271.62125 203.54925) (end 272.0975 204.0255) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA8C0)) + (segment (start 271.3475 200.533) (end 271.3475 201.942) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 271.3475 201.942) (end 271.62125 202.21575) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA8B9)) + (segment (start 271.62125 202.21575) (end 271.78 202.3745) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA8BE)) + (segment (start 283.8965 200.533) (end 283.8965 201.3705) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 283.8965 201.3705) (end 283.464 201.803) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA8B4)) + (segment (start 283.8965 203.073) (end 283.8965 203.974) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 283.2735 204.597) (end 282.8925 204.597) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA8A8)) + (segment (start 283.8965 203.974) (end 283.2735 204.597) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA8A7)) + (segment (start 280.797 201.803) (end 283.464 201.803) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 283.8965 202.2355) (end 283.8965 203.073) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA8A4)) + (segment (start 283.464 201.803) (end 283.8965 202.2355) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA8A3)) + (segment (start 255.2065 212.1535) (end 255.2065 213.6775) (width 0.5) (layer F.Cu) (net 5) (status 10)) + (segment (start 255.2065 213.6775) (end 252.9205 215.9635) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA897)) + (segment (start 252.9205 215.9635) (end 248.2215 215.9635) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA898)) + (segment (start 246.8245 214.5665) (end 246.8245 202.8825) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA89B)) + (segment (start 246.8245 202.8825) (end 246.9515 202.7555) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA89C)) + (segment (start 246.9515 202.7555) (end 247.015 202.692) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA938)) + (segment (start 255.905 202.438) (end 255.2065 203.1365) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA891)) + (segment (start 255.2065 203.1365) (end 255.2065 205.359) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA892)) + (segment (start 279.2095 201.803) (end 279.2095 203.8985) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 279.2095 203.8985) (end 279.4635 204.1525) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA871)) + (segment (start 279.4635 204.1525) (end 282.575 204.1525) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA872)) + (segment (start 282.575 204.1525) (end 282.8925 204.47) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA873)) + (segment (start 282.8925 204.47) (end 282.8925 204.597) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA874)) + (segment (start 282.8925 204.597) (end 282.8925 208.407) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA8AB)) + (segment (start 282.8925 208.407) (end 281.94 209.3595) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA875)) + (segment (start 281.94 209.3595) (end 279.4 209.3595) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA876)) + (segment (start 279.4 209.3595) (end 277.0505 211.709) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA877)) + (segment (start 262.89 208.661) (end 268.478 208.661) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FF4F23)) + (segment (start 274.447 201.803) (end 272.796 201.803) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 272.2245 202.3745) (end 271.78 202.3745) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA836)) + (segment (start 272.796 201.803) (end 272.2245 202.3745) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA835)) + (segment (start 280.797 201.803) (end 279.2095 201.803) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 279.2095 201.803) (end 274.447 201.803) (width 0.5) (layer F.Cu) (net 5) (tstamp 58FBA86F)) + (segment (start 312.166 198.132) (end 312.2288 198.132) (width 0.18) (layer F.Cu) (net 5)) + (segment (start 312.1018 198.132) (end 312.166 198.132) (width 0.18) (layer F.Cu) (net 5) (tstamp 58FF609B)) + (segment (start 276.5171 187.833) (end 276.5171 185.1152) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 276.5171 185.1152) (end 278.9301 182.7022) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 278.9301 182.7022) (end 283.1084 182.7022) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 283.1084 182.7022) (end 285.496 180.3146) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 285.496 180.3146) (end 285.496 173.736) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 285.496 173.736) (end 284.734 172.974) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 241.046 160.02) (end 253.746 160.02) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 253.746 160.02) (end 256.286 157.48) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 256.286 157.48) (end 266.954 157.48) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 266.954 157.48) (end 281.432 171.958) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 281.432 171.958) (end 284.734 171.958) (width 0.5) (layer In2.Cu) (net 6)) + (segment (start 276.606 188.214) (end 276.606 187.9219) (width 0.18) (layer F.Cu) (net 6)) + (segment (start 276.606 187.9219) (end 276.5171 187.833) (width 0.18) (layer F.Cu) (net 6) (tstamp 58FF7361)) + (via (at 276.5171 187.833) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 6)) + (segment (start 284.734 171.958) (end 284.734 172.974) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 284.734 171.958) (end 284.734 172.974) (width 0.5) (layer B.Cu) (net 6)) + (segment (start 284.734 171.958) (end 284.734 172.974) (width 0.5) (layer In2.Cu) (net 6)) + (via (at 284.734 172.974) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 6)) + (segment (start 241.046 160.02) (end 242.05 160.02) (width 1) (layer F.Cu) (net 6)) + (segment (start 242.05 160.02) (end 242.316 160.286) (width 1) (layer F.Cu) (net 6) (tstamp 58FF709D)) + (segment (start 239.116 159.512) (end 240.538 159.512) (width 1) (layer F.Cu) (net 6)) + (segment (start 240.538 159.512) (end 241.046 160.02) (width 1) (layer F.Cu) (net 6) (tstamp 58FF7099)) + (via (at 241.046 160.02) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 6)) + (segment (start 242.316 160.286) (end 243.852 160.286) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 243.852 160.286) (end 244.264 160.698) (width 0.5) (layer F.Cu) (net 6) (tstamp 58FF7092)) + (segment (start 244.264 160.698) (end 244.264 166.878) (width 0.5) (layer F.Cu) (net 6) (tstamp 58FF7093)) + (segment (start 289.306 179.324) (end 289.306 176.53) (width 0.5) (layer B.Cu) (net 6)) + (segment (start 289.306 176.53) (end 284.734 171.958) (width 0.5) (layer B.Cu) (net 6) (tstamp 58FF6F7B)) + (segment (start 292.874 170.434) (end 292.874 168.632) (width 0.18) (layer F.Cu) (net 6)) + (segment (start 292.874 168.632) (end 293.116 168.39) (width 0.18) (layer F.Cu) (net 6) (tstamp 58FF6F59)) + (segment (start 277.126 188.214) (end 276.606 188.214) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 276.606 188.214) (end 276.606 192.024) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 276.606 192.024) (end 276.86 192.278) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 276.86 192.278) (end 278.808 192.278) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 278.808 192.278) (end 278.892 192.362) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 278.13 193.118) (end 278.136 193.118) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 278.136 193.118) (end 278.892 192.362) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 277.888 194.31) (end 277.888 193.36) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 277.888 193.36) (end 278.13 193.118) (width 0.5) (layer F.Cu) (net 6)) + (via (at 276.86 192.278) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 6)) + (segment (start 276.86 192.278) (end 274.562 192.278) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 274.562 192.278) (end 274.308 192.532) (width 0.5) (layer F.Cu) (net 6) (tstamp 58FF6E97)) + (segment (start 276.86 192.278) (end 276.86 192.52) (width 0.18) (layer F.Cu) (net 6)) + (segment (start 285.242 171.184) (end 285.242 171.45) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 285.242 171.45) (end 284.734 171.958) (width 0.5) (layer F.Cu) (net 6) (tstamp 58FF687C)) + (via (at 284.734 171.958) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 6)) + (segment (start 292.874 170.434) (end 292.874 170.5744) (width 0.18) (layer F.Cu) (net 6)) + (segment (start 292.874 170.5744) (end 291.5285 171.9199) (width 0.18) (layer F.Cu) (net 6) (tstamp 58FF666A)) + (segment (start 291.5285 171.9199) (end 291.5285 173.863) (width 0.18) (layer F.Cu) (net 6) (tstamp 58FF666B)) + (segment (start 291.5285 173.863) (end 291.1348 174.2567) (width 0.18) (layer F.Cu) (net 6) (tstamp 58FF666D)) + (segment (start 291.1348 174.2567) (end 289.8267 174.2567) (width 0.18) (layer F.Cu) (net 6) (tstamp 58FF666E)) + (segment (start 289.8267 174.2567) (end 289.306 174.7774) (width 0.18) (layer F.Cu) (net 6) (tstamp 58FF666F)) + (segment (start 289.306 174.7774) (end 289.306 179.324) (width 0.18) (layer F.Cu) (net 6) (tstamp 58FF6671)) + (segment (start 298.3611 177.4571) (end 291.1729 177.4571) (width 0.18) (layer B.Cu) (net 6)) + (segment (start 291.1729 177.4571) (end 289.306 179.324) (width 0.18) (layer B.Cu) (net 6) (tstamp 58FF6605)) + (segment (start 299.466 177.462) (end 298.366 177.462) (width 0.18) (layer F.Cu) (net 6)) + (segment (start 298.366 177.462) (end 298.3611 177.4571) (width 0.18) (layer F.Cu) (net 6) (tstamp 58FF6601)) + (via (at 298.3611 177.4571) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 6)) + (segment (start 290.957 179.324) (end 289.306 179.324) (width 0.5) (layer F.Cu) (net 6)) + (via (at 289.306 179.324) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 6)) + (segment (start 290.957 179.324) (end 292.747 179.324) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 286.512 191.262) (end 286.512 189.23) (width 0.18) (layer In2.Cu) (net 7)) + (segment (start 286.512 189.23) (end 286.893 188.849) (width 0.18) (layer In2.Cu) (net 7)) + (segment (start 286.893 188.849) (end 286.893 179.324) (width 0.18) (layer In2.Cu) (net 7)) + (segment (start 275.844 164.084) (end 275.844 164.508264) (width 0.5) (layer In2.Cu) (net 7)) + (segment (start 275.844 164.508264) (end 282.023736 170.688) (width 0.5) (layer In2.Cu) (net 7)) + (segment (start 282.023736 170.688) (end 285.242 170.688) (width 0.5) (layer In2.Cu) (net 7)) + (segment (start 285.242 170.688) (end 286.893 172.339) (width 0.5) (layer In2.Cu) (net 7)) + (segment (start 286.893 172.339) (end 286.893 179.324) (width 0.5) (layer In2.Cu) (net 7)) + (segment (start 256.794 163.588) (end 258.584 163.588) (width 0.5) (layer F.Cu) (net 7)) + (segment (start 258.584 163.588) (end 259.588 164.592) (width 0.5) (layer F.Cu) (net 7) (tstamp 58FF7113)) + (segment (start 259.588 164.592) (end 275.336 164.592) (width 0.5) (layer F.Cu) (net 7) (tstamp 58FF7114)) + (segment (start 275.336 164.592) (end 275.844 164.084) (width 0.5) (layer F.Cu) (net 7) (tstamp 58FF7115)) + (via (at 275.844 164.084) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 7)) + (segment (start 255.143 163.322) (end 256.528 163.322) (width 0.5) (layer F.Cu) (net 7)) + (segment (start 256.528 163.322) (end 256.794 163.588) (width 0.5) (layer F.Cu) (net 7) (tstamp 58FF70F7)) + (segment (start 287.262 192.532) (end 287.262 192.012) (width 0.18) (layer F.Cu) (net 7)) + (segment (start 287.262 192.012) (end 286.512 191.262) (width 0.18) (layer F.Cu) (net 7) (tstamp 58FF7001)) + (via (at 286.512 191.262) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 7)) + (segment (start 294.898801 179.522401) (end 295.1988 179.222402) (width 0.5) (layer B.Cu) (net 7)) + (segment (start 293.624002 180.7972) (end 294.898801 179.522401) (width 0.5) (layer B.Cu) (net 7)) + (segment (start 286.893 179.324) (end 288.3662 180.7972) (width 0.5) (layer B.Cu) (net 7)) + (segment (start 295.147 179.324) (end 295.1988 179.2722) (width 0.5) (layer F.Cu) (net 7)) + (segment (start 288.3662 180.7972) (end 293.624002 180.7972) (width 0.5) (layer B.Cu) (net 7)) + (segment (start 295.1988 179.2722) (end 295.1988 179.222402) (width 0.5) (layer F.Cu) (net 7)) + (segment (start 294.247 179.324) (end 295.147 179.324) (width 0.5) (layer F.Cu) (net 7)) + (via (at 295.1988 179.222402) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 7)) + (segment (start 255.143 163.322) (end 251.968 163.322) (width 0.5) (layer F.Cu) (net 7)) + (segment (start 248.539 157.48) (end 252.107 157.48) (width 0.5) (layer F.Cu) (net 7) (tstamp 58FE296C)) + (segment (start 247.142 158.877) (end 248.539 157.48) (width 0.5) (layer F.Cu) (net 7) (tstamp 58FE296B)) + (segment (start 247.142 164.084) (end 247.142 158.877) (width 0.5) (layer F.Cu) (net 7) (tstamp 58FE2969)) + (segment (start 247.904 164.846) (end 247.142 164.084) (width 0.5) (layer F.Cu) (net 7) (tstamp 58FE2968)) + (segment (start 250.444 164.846) (end 247.904 164.846) (width 0.5) (layer F.Cu) (net 7) (tstamp 58FE2966)) + (segment (start 251.968 163.322) (end 250.444 164.846) (width 0.5) (layer F.Cu) (net 7) (tstamp 58FE2965)) + (segment (start 284.607 179.324) (end 286.893 179.324) (width 0.5) (layer F.Cu) (net 7)) + (via (at 286.893 179.324) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 7)) + (segment (start 244.348 191.77) (end 244.348 191.516) (width 0.7) (layer F.Cu) (net 8)) + (segment (start 244.348 191.516) (end 243.586 190.754) (width 0.7) (layer F.Cu) (net 8) (tstamp 58FF5C8A)) + (segment (start 243.586 190.754) (end 243.586 187.96) (width 0.7) (layer F.Cu) (net 8) (tstamp 58FF5C8B)) + (segment (start 243.586 187.96) (end 245.06534 186.48066) (width 0.7) (layer F.Cu) (net 8) (tstamp 58FF5C8C)) + (segment (start 245.146 197.104) (end 243.078 197.104) (width 1.5) (layer F.Cu) (net 8)) + (segment (start 243.078 197.104) (end 241.554 195.58) (width 1.5) (layer F.Cu) (net 8) (tstamp 58FF5BF3)) + (segment (start 241.554 195.58) (end 241.554 194.183) (width 1.5) (layer F.Cu) (net 8) (tstamp 58FF5BF4)) + (segment (start 241.554 194.183) (end 241.935 194.183) (width 0.5) (layer F.Cu) (net 8)) + (segment (start 241.935 194.183) (end 244.348 191.77) (width 0.5) (layer F.Cu) (net 8) (tstamp 58FF5BAD)) + (segment (start 246.761 191.77) (end 244.348 191.77) (width 2) (layer F.Cu) (net 8)) + (segment (start 241.288 171.069) (end 242.709 171.069) (width 0.5) (layer F.Cu) (net 9)) + (segment (start 240.792 173.228) (end 240.792 172.593) (width 0.5) (layer F.Cu) (net 9)) + (segment (start 241.288 172.097) (end 241.288 171.069) (width 0.5) (layer F.Cu) (net 9) (tstamp 58FEA28C)) + (segment (start 240.792 172.593) (end 241.288 172.097) (width 0.5) (layer F.Cu) (net 9) (tstamp 58FEA28B)) + (segment (start 239.788 171.069) (end 239.788 169.672) (width 0.5) (layer F.Cu) (net 10)) + (segment (start 239.788 169.672) (end 239.776 169.66) (width 0.5) (layer F.Cu) (net 10) (tstamp 58FEA2D3)) + (segment (start 239.522 173.228) (end 239.522 171.831) (width 0.5) (layer F.Cu) (net 10)) + (segment (start 239.788 171.565) (end 239.788 171.069) (width 0.5) (layer F.Cu) (net 10) (tstamp 58FEA292)) + (segment (start 239.522 171.831) (end 239.788 171.565) (width 0.5) (layer F.Cu) (net 10) (tstamp 58FEA291)) + (segment (start 240.018 166.624) (end 240.03 166.624) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 240.03 166.624) (end 240.78 165.874) (width 0.5) (layer F.Cu) (net 11) (tstamp 58FF6CD9)) + (segment (start 240.78 165.874) (end 241.808 165.874) (width 0.5) (layer F.Cu) (net 11) (tstamp 58FF6CDA)) + (segment (start 239.776 168.16) (end 239.776 166.866) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 239.776 166.866) (end 240.018 166.624) (width 0.5) (layer F.Cu) (net 11) (tstamp 58FF6CD6)) + (segment (start 274.235901 187.713899) (end 274.5359 187.4139) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 274.054 187.8958) (end 274.235901 187.713899) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 274.5359 184.3659) (end 274.5359 186.989636) (width 0.5) (layer B.Cu) (net 12)) + (segment (start 274.054 188.214) (end 274.054 187.8958) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 274.066 183.896) (end 274.5359 184.3659) (width 0.5) (layer B.Cu) (net 12)) + (segment (start 274.5359 186.989636) (end 274.5359 187.4139) (width 0.5) (layer B.Cu) (net 12)) + (via (at 274.5359 187.4139) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 255.082 193.972) (end 255.082 194.122) (width 0.3) (layer F.Cu) (net 12)) + (segment (start 255.082 194.122) (end 256.032 195.072) (width 0.3) (layer F.Cu) (net 12) (tstamp 58FF7331)) + (segment (start 256.032 195.072) (end 258.3 195.072) (width 0.3) (layer F.Cu) (net 12) (tstamp 58FF7332)) + (segment (start 258.3 195.072) (end 259.4 193.972) (width 0.3) (layer F.Cu) (net 12) (tstamp 58FF7333)) + (segment (start 259.6 192.024) (end 259.6 191.758) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 259.6 191.758) (end 260.35 191.008) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF7325)) + (segment (start 260.35 191.008) (end 263.144 191.008) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF7326)) + (segment (start 263.144 191.008) (end 263.906 190.246) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF7327)) + (segment (start 263.906 190.246) (end 263.906 184.658) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF7328)) + (segment (start 263.906 184.658) (end 264.414 184.15) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF7329)) + (segment (start 259.4 193.972) (end 259.4 192.466) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 259.4 192.466) (end 259.842 192.024) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF72BC)) + (segment (start 259.842 192.024) (end 259.6 192.024) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF72BE)) + (segment (start 255.082 193.972) (end 255.082 192.224) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 255.082 192.224) (end 255.282 192.024) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF72B9)) + (segment (start 235.966 176.276) (end 236.982 176.276) (width 2) (layer In2.Cu) (net 12)) + (segment (start 235.204 169.926) (end 235.204 167.894) (width 2) (layer In2.Cu) (net 12)) + (segment (start 235.204 167.894) (end 233.934 166.624) (width 2) (layer In2.Cu) (net 12) (tstamp 58FF71D1)) + (segment (start 235.966 176.276) (end 235.204 175.514) (width 2) (layer In2.Cu) (net 12)) + (segment (start 235.204 175.514) (end 235.204 169.926) (width 2) (layer In2.Cu) (net 12)) + (segment (start 235.204 169.926) (end 235.204 169.418) (width 2) (layer In2.Cu) (net 12) (tstamp 58FF71CF)) + (segment (start 233.934 166.624) (end 204.47 166.624) (width 2) (layer In2.Cu) (net 12)) + (segment (start 204.47 166.624) (end 201.422 163.576) (width 2) (layer In2.Cu) (net 12) (tstamp 58FF71AE)) + (segment (start 201.422 163.576) (end 153.924 163.576) (width 2) (layer In2.Cu) (net 12) (tstamp 58FF71AF)) + (segment (start 153.924 163.576) (end 150.368 167.132) (width 2) (layer In2.Cu) (net 12) (tstamp 58FF71B0)) + (segment (start 150.368 167.132) (end 134.62 167.132) (width 2) (layer In2.Cu) (net 12) (tstamp 58FF71B2)) + (via (at 233.934 166.624) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 233.934 166.624) (end 235.47 166.624) (width 1) (layer F.Cu) (net 12) (tstamp 58FF71AB)) + (segment (start 281.686 165.608) (end 281.94 165.608) (width 0.3) (layer F.Cu) (net 12)) + (segment (start 281.94 165.608) (end 283.452 167.12) (width 0.3) (layer F.Cu) (net 12) (tstamp 58FF7144)) + (segment (start 283.452 167.12) (end 283.452 167.132) (width 0.3) (layer F.Cu) (net 12) (tstamp 58FF7145)) + (segment (start 275.844 156.984) (end 278.142 156.984) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 278.142 156.984) (end 280.924 159.766) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF7131)) + (segment (start 280.924 159.766) (end 280.924 163.83) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF7132)) + (segment (start 280.924 163.83) (end 281.686 164.592) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF7134)) + (segment (start 281.686 164.592) (end 281.686 165.608) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF7135)) + (segment (start 263.525 161.151) (end 264.299 161.151) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 264.299 161.151) (end 264.922 160.528) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF70BE)) + (segment (start 264.922 160.528) (end 264.922 155.194) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF70BF)) + (segment (start 275.844 156.984) (end 273.4816 156.984) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 273.4816 156.984) (end 273.3044 157.1612) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF70BB)) + (segment (start 242.316 156.984) (end 242.316 155.194) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 242.316 155.194) (end 242.316 155.702) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF70B6)) + (segment (start 242.316 155.702) (end 242.316 155.194) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF70B8)) + (segment (start 134.62 167.132) (end 144.526 167.132) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 144.526 167.132) (end 145.288 166.37) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF70AF)) + (segment (start 145.288 166.37) (end 145.288 165.85) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF70B0)) + (segment (start 239.116 157.212) (end 239 157.212) (width 2) (layer F.Cu) (net 12)) + (segment (start 239 157.212) (end 236.982 155.194) (width 2) (layer F.Cu) (net 12) (tstamp 58FF70A9)) + (segment (start 134.62 167.132) (end 146.558 167.132) (width 2) (layer F.Cu) (net 12)) + (segment (start 146.558 167.132) (end 158.496 155.194) (width 2) (layer F.Cu) (net 12) (tstamp 58FF70A3)) + (segment (start 158.496 155.194) (end 236.982 155.194) (width 2) (layer F.Cu) (net 12) (tstamp 58FF70A4)) + (segment (start 236.982 155.194) (end 242.316 155.194) (width 2) (layer F.Cu) (net 12) (tstamp 58FF70AC)) + (segment (start 242.316 155.194) (end 264.922 155.194) (width 2) (layer F.Cu) (net 12) (tstamp 58FF70B9)) + (segment (start 264.922 155.194) (end 271.3372 155.194) (width 2) (layer F.Cu) (net 12) (tstamp 58FF70C2)) + (segment (start 271.3372 155.194) (end 273.3044 157.1612) (width 2) (layer F.Cu) (net 12) (tstamp 58FF70A6)) + (segment (start 283.750936 167.208202) (end 284.1752 167.208202) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 283.528202 167.208202) (end 283.750936 167.208202) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 284.599464 167.208202) (end 284.1752 167.208202) (width 0.5) (layer B.Cu) (net 12)) + (segment (start 285.064202 167.208202) (end 284.599464 167.208202) (width 0.5) (layer B.Cu) (net 12)) + (segment (start 287.274 169.418) (end 285.064202 167.208202) (width 0.5) (layer B.Cu) (net 12)) + (segment (start 283.452 167.132) (end 283.528202 167.208202) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 306.07 171.279736) (end 304.208264 169.418) (width 0.5) (layer B.Cu) (net 12)) + (segment (start 304.208264 169.418) (end 287.274 169.418) (width 0.5) (layer B.Cu) (net 12)) + (segment (start 306.07 171.704) (end 306.07 171.279736) (width 0.5) (layer B.Cu) (net 12)) + (via (at 284.1752 167.208202) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 306.324 169.926) (end 306.324 171.45) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 306.324 171.45) (end 306.07 171.704) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF69CC)) + (via (at 306.07 171.704) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 307.34 167.374) (end 307.098 167.374) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 307.098 167.374) (end 305.816 168.656) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF5EDE)) + (segment (start 305.816 168.656) (end 306.07 168.656) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF5EDF)) + (segment (start 306.07 168.656) (end 306.07 168.91) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF5EE1)) + (segment (start 306.324 169.926) (end 306.324 169.164) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 306.324 169.164) (end 306.07 168.91) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF5EDA)) + (segment (start 306.07 168.91) (end 305.804 168.644) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF5EE2)) + (segment (start 305.804 168.644) (end 305.804 167.894) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FF5EDB)) + (segment (start 236.982 176.276) (end 235.966 176.276) (width 1) (layer B.Cu) (net 12)) + (segment (start 264.414 184.15) (end 261.112 184.15) (width 1) (layer B.Cu) (net 12)) + (segment (start 261.112 184.15) (end 259.588 185.674) (width 1) (layer B.Cu) (net 12) (tstamp 58FF5E2C)) + (segment (start 259.588 185.674) (end 253.492 185.674) (width 1) (layer B.Cu) (net 12) (tstamp 58FF5E2E)) + (segment (start 253.492 185.674) (end 248.412 180.594) (width 1) (layer B.Cu) (net 12) (tstamp 58FF5E2F)) + (segment (start 248.412 180.594) (end 242.824 180.594) (width 1) (layer B.Cu) (net 12) (tstamp 58FF5E31)) + (segment (start 242.824 180.594) (end 238.506 176.276) (width 1) (layer B.Cu) (net 12) (tstamp 58FF5E33)) + (segment (start 238.506 176.276) (end 236.982 176.276) (width 1) (layer B.Cu) (net 12) (tstamp 58FF5E35)) + (segment (start 264.414 184.15) (end 274.066 184.15) (width 1) (layer B.Cu) (net 12)) + (segment (start 274.066 184.15) (end 274.066 183.896) (width 0.5) (layer B.Cu) (net 12) (tstamp 58FF5E26)) + (segment (start 274.066 182.499) (end 274.066 183.896) (width 0.5) (layer B.Cu) (net 12)) + (segment (start 265.442 184.15) (end 264.414 184.15) (width 0.5) (layer F.Cu) (net 12)) + (via (at 264.414 184.15) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 274.193 181.598) (end 274.193 182.372) (width 0.5) (layer F.Cu) (net 12)) + (via (at 274.066 182.499) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 274.193 182.372) (end 274.066 182.499) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FEAB8B)) + (segment (start 274.054 188.214) (end 274.054 186.574) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 274.054 186.574) (end 273.873 186.393) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FE89B3)) + (segment (start 261.196 162.113) (end 261.196 161.163) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 261.196 161.163) (end 263.513 161.163) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 263.513 161.163) (end 263.525 161.151) (width 0.5) (layer F.Cu) (net 12) (tstamp 58FE28B3)) + (segment (start 235.966 176.276) (end 236.982 176.276) (width 0.5) (layer F.Cu) (net 12)) + (via (at 236.982 176.276) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 236.982 176.276) (end 236.982 179.578) (width 0.5) (layer F.Cu) (net 12)) + (via (at 235.966 176.276) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 244.094 181.864) (end 244.094 178.054) (width 0.5) (layer In2.Cu) (net 13)) + (segment (start 244.094 178.054) (end 249.174 172.974) (width 0.5) (layer In2.Cu) (net 13) (tstamp 59002A31)) + (segment (start 249.174 172.974) (end 249.174 168.402) (width 0.5) (layer In2.Cu) (net 13) (tstamp 59002A33)) + (segment (start 249.174 168.402) (end 251.46 166.116) (width 0.5) (layer In2.Cu) (net 13) (tstamp 59002A34)) + (segment (start 251.46 166.116) (end 257.302 166.116) (width 0.5) (layer In2.Cu) (net 13) (tstamp 59002A35)) + (segment (start 257.302 162.306) (end 257.302 166.116) (width 0.5) (layer In2.Cu) (net 13)) + (segment (start 244.094 181.864) (end 244.094 193.802) (width 0.5) (layer In2.Cu) (net 13)) + (segment (start 244.094 193.802) (end 245.618 195.326) (width 0.5) (layer In2.Cu) (net 13)) + (segment (start 245.618 195.326) (end 246.888 195.326) (width 0.5) (layer In2.Cu) (net 13)) + (segment (start 246.888 195.326) (end 250.952 191.262) (width 0.5) (layer In2.Cu) (net 13)) + (segment (start 250.952 191.262) (end 269.748 191.262) (width 0.5) (layer In2.Cu) (net 13)) + (segment (start 269.748 191.262) (end 271.653 189.357) (width 0.5) (layer In2.Cu) (net 13)) + (segment (start 271.653 189.357) (end 272.542 189.357) (width 0.5) (layer In2.Cu) (net 13)) + (segment (start 257.175 161.024) (end 257.175 162.179) (width 0.5) (layer F.Cu) (net 13)) + (segment (start 257.175 162.179) (end 257.302 162.306) (width 0.5) (layer F.Cu) (net 13) (tstamp 58FF7100)) + (via (at 257.302 162.306) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 13)) + (segment (start 272.554 188.214) (end 272.554 189.345) (width 0.5) (layer F.Cu) (net 13)) + (via (at 272.542 189.357) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 13)) + (segment (start 272.554 189.345) (end 272.542 189.357) (width 0.5) (layer F.Cu) (net 13) (tstamp 58FE89E1)) + (segment (start 272.923 184.193) (end 272.923 187.452) (width 0.3) (layer F.Cu) (net 13)) + (segment (start 272.554 187.821) (end 272.554 188.214) (width 0.3) (layer F.Cu) (net 13) (tstamp 58FE89A0)) + (segment (start 272.923 187.452) (end 272.554 187.821) (width 0.3) (layer F.Cu) (net 13) (tstamp 58FE899F)) + (segment (start 248.793 160.782) (end 248.793 162.052) (width 0.5) (layer F.Cu) (net 13)) + (segment (start 256.171 161.024) (end 249.035 161.024) (width 0.5) (layer F.Cu) (net 13)) + (segment (start 249.035 161.024) (end 248.793 160.782) (width 0.5) (layer F.Cu) (net 13) (tstamp 58FE295D)) + (segment (start 257.175 161.024) (end 256.171 161.024) (width 0.5) (layer F.Cu) (net 13)) + (segment (start 256.171 161.024) (end 255.143 162.052) (width 0.5) (layer F.Cu) (net 13) (tstamp 58FE295A)) + (segment (start 257.175 161.024) (end 255.385 161.024) (width 0.5) (layer F.Cu) (net 13)) + (segment (start 255.385 161.024) (end 255.143 160.782) (width 0.5) (layer F.Cu) (net 13) (tstamp 58FE2957)) + (segment (start 258.996 161.163) (end 257.314 161.163) (width 0.5) (layer F.Cu) (net 13)) + (segment (start 257.314 161.163) (end 257.175 161.024) (width 0.5) (layer F.Cu) (net 13) (tstamp 58FE28B6)) + (segment (start 132.346 201.422) (end 131.318 201.422) (width 0.5) (layer F.Cu) (net 14)) + (segment (start 131.826 193.294) (end 131.826 192.024) (width 0.5) (layer F.Cu) (net 14) (tstamp 58FC2802)) + (segment (start 131.318 193.802) (end 131.826 193.294) (width 0.5) (layer F.Cu) (net 14) (tstamp 58FC27FF)) + (segment (start 131.318 201.422) (end 131.318 193.802) (width 0.5) (layer F.Cu) (net 14) (tstamp 58FC27FE)) + (segment (start 147.84 179.07) (end 147.84 177.812) (width 0.5) (layer F.Cu) (net 14)) + (via (at 147.828 177.8) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 14)) + (segment (start 147.84 177.812) (end 147.828 177.8) (width 0.5) (layer F.Cu) (net 14) (tstamp 58FBCAAF)) + (segment (start 195.314 185.674) (end 197.842 185.674) (width 0.5) (layer F.Cu) (net 14)) + (segment (start 197.842 185.674) (end 197.866 185.698) (width 0.5) (layer F.Cu) (net 14) (tstamp 58FBC968)) + (segment (start 169.672 179.578) (end 169.672 177.546) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 145.542 186.436) (end 148.336 189.23) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 148.336 189.23) (end 148.844 188.722) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 148.844 188.722) (end 151.36876 188.722) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 169.672 177.546) (end 167.64 175.514) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 167.64 175.514) (end 146.558 175.514) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 146.558 175.514) (end 145.542 176.53) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 145.542 176.53) (end 145.542 186.436) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 133.846 201.422) (end 136.64 201.422) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 136.64 201.422) (end 136.652 201.41) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC27FB)) + (segment (start 169.672 195.35) (end 172.212 195.35) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 172.212 195.35) (end 172.212 193.548) (width 0.5) (layer F.Cu) (net 15)) + (via (at 172.212 193.548) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 183.054 186.944) (end 183.054 187.024) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 183.054 187.024) (end 182.118 187.96) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC27D5)) + (segment (start 182.118 200.486) (end 183.054 201.422) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC27DA)) + (segment (start 182.118 187.96) (end 182.118 200.486) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC27D6)) + (segment (start 169.672 179.578) (end 179.832 179.578) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 181.864 185.754) (end 183.054 186.944) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC27CC)) + (segment (start 181.864 181.61) (end 181.864 185.754) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC27C9)) + (segment (start 179.832 179.578) (end 181.864 181.61) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC27C6)) + (segment (start 189.738 203.962) (end 189.738 203.708) (width 0.5) (layer F.Cu) (net 15)) + (via (at 189.738 203.962) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (via (at 189.738 205.232) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 189.738 205.232) (end 189.484 205.232) (width 0.5) (layer F.Cu) (net 15)) + (via (at 188.976 204.724) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 189.484 205.232) (end 188.976 204.724) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC99B)) + (segment (start 189.738 203.962) (end 188.976 204.724) (width 0.5) (layer F.Cu) (net 15)) + (via (at 188.976 202.946) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 189.738 203.708) (end 188.976 202.946) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC2772)) + (segment (start 190.754 203.454) (end 190.754 203.2) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 190.754 203.708) (end 190.754 203.454) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC2761)) + (via (at 190.754 203.454) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (via (at 190.754 204.724) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 190.754 204.724) (end 190.754 203.708) (width 0.5) (layer F.Cu) (net 15)) + (via (at 190.246 202.692) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 190.754 203.2) (end 190.246 202.692) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC276C)) + (segment (start 195.072 177.292) (end 195.58 176.784) (width 0.5) (layer F.Cu) (net 15)) + (via (at 195.072 177.292) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (via (at 195.58 176.784) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 195.072 177.292) (end 194.564 176.784) (width 0.5) (layer F.Cu) (net 15)) + (via (at 194.564 176.784) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 196.596 176.784) (end 196.088 177.292) (width 0.5) (layer F.Cu) (net 15)) + (via (at 196.088 177.292) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 195.35 177.57) (end 195.35 179.578) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 195.35 177.57) (end 195.072 177.292) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC96B)) + (segment (start 196.088 177.292) (end 195.072 177.292) (width 0.5) (layer F.Cu) (net 15)) + (via (at 196.596 176.784) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 172.212 191.516) (end 172.212 192.532) (width 0.5) (layer F.Cu) (net 15)) + (via (at 172.212 192.532) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 172.212 193.548) (end 170.942 193.548) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 172.212 192.532) (end 172.212 193.548) (width 0.5) (layer F.Cu) (net 15)) + (via (at 170.942 193.548) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (via (at 172.212 191.516) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 170.942 191.516) (end 170.942 192.532) (width 0.5) (layer F.Cu) (net 15)) + (via (at 170.942 192.532) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 170.942 192.532) (end 170.942 193.548) (width 0.5) (layer F.Cu) (net 15)) + (via (at 170.942 191.516) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 169.672 191.516) (end 169.672 192.532) (width 0.5) (layer F.Cu) (net 15)) + (via (at 169.672 192.532) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (via (at 169.672 193.548) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 169.672 192.532) (end 169.672 193.548) (width 0.5) (layer F.Cu) (net 15)) + (via (at 169.672 191.516) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 169.672 193.548) (end 170.942 193.548) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 169.672 193.548) (end 169.672 195.35) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 148.59 188.214) (end 147.32 188.214) (width 0.5) (layer F.Cu) (net 15)) + (via (at 148.59 188.214) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (via (at 147.066 188.468) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 147.32 188.214) (end 147.066 188.468) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC26C3)) + (segment (start 148.59 188.214) (end 148.336 188.214) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 149.352 188.976) (end 148.59 188.214) (width 0.5) (layer F.Cu) (net 15)) + (via (at 149.352 188.976) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (via (at 147.828 187.706) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 148.336 188.214) (end 147.828 187.706) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC26BD)) + (segment (start 149.352 188.976) (end 148.082 188.976) (width 0.5) (layer F.Cu) (net 15)) + (via (at 148.082 188.976) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 148.59 189.738) (end 149.352 188.976) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 150.114 188.722) (end 151.36876 188.722) (width 0.5) (layer F.Cu) (net 15)) + (via (at 149.352 187.96) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 150.114 188.722) (end 149.352 187.96) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FC2687)) + (segment (start 149.352 188.976) (end 149.352 187.96) (width 0.5) (layer F.Cu) (net 15)) + (via (at 148.59 189.738) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 169.672 195.35) (end 169.672 179.578) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 189.738 205.232) (end 188.976 205.994) (width 0.5) (layer F.Cu) (net 15)) + (via (at 188.976 205.994) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 190.246 204.724) (end 189.738 205.232) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC996)) + (segment (start 190.754 204.724) (end 190.246 204.724) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 190.754 205.74) (end 190.754 204.724) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 190.754 204.724) (end 192.51676 202.96124) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC98A)) + (via (at 190.754 205.74) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 193.548 202.96124) (end 192.51676 202.96124) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 195.35 182.118) (end 194.818 182.118) (width 0.5) (layer F.Cu) (net 15)) + (via (at 194.056 181.356) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 194.818 182.118) (end 194.056 181.356) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC97B)) + (segment (start 195.35 182.118) (end 194.31 182.118) (width 0.5) (layer F.Cu) (net 15)) + (via (at 194.056 182.372) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 194.31 182.118) (end 194.056 182.372) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC975)) + (segment (start 156.972 178.879) (end 156.972 178.562) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 156.972 178.562) (end 155.702 177.292) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC533)) + (segment (start 155.702 177.292) (end 152.4 177.292) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC534)) + (segment (start 152.4 177.292) (end 151.396 178.296) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC535)) + (segment (start 151.396 178.296) (end 151.396 180.848) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC536)) + (segment (start 151.384 182.542) (end 151.384 180.86) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 151.384 180.86) (end 151.396 180.848) (width 0.5) (layer F.Cu) (net 15) (tstamp 58FBC513)) + (segment (start 190.754 191.686) (end 190.754 200.66) (width 0.7) (layer F.Cu) (net 15)) + (segment (start 190.754 200.66) (end 193.05524 202.96124) (width 0.7) (layer F.Cu) (net 15) (tstamp 58FBC4E9)) + (segment (start 193.05524 202.96124) (end 193.548 202.96124) (width 0.7) (layer F.Cu) (net 15) (tstamp 58FBC4EA)) + (segment (start 151.384 182.542) (end 151.384 188.70676) (width 0.7) (layer F.Cu) (net 15)) + (segment (start 151.384 188.70676) (end 151.36876 188.722) (width 0.7) (layer F.Cu) (net 15) (tstamp 58FBC4C1)) + (segment (start 193.05524 202.96124) (end 193.548 202.96124) (width 0.7) (layer F.Cu) (net 15) (tstamp 58FBC420)) + (segment (start 190.996 189.738) (end 190.996 182.614) (width 0.4) (layer F.Cu) (net 15)) + (segment (start 189.992 181.61) (end 189.992 178.879) (width 0.4) (layer F.Cu) (net 15) (tstamp 58FBC409)) + (segment (start 190.996 182.614) (end 189.992 181.61) (width 0.4) (layer F.Cu) (net 15) (tstamp 58FBC408)) + (segment (start 190.754 191.686) (end 190.754 189.98) (width 0.4) (layer F.Cu) (net 15)) + (segment (start 190.754 189.98) (end 190.996 189.738) (width 0.4) (layer F.Cu) (net 15) (tstamp 58FBC3E1)) + (segment (start 195.35 182.118) (end 195.35 179.578) (width 1.5) (layer F.Cu) (net 15)) + (segment (start 159.512 178.879) (end 159.512 177.619) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 151.257 176.3649) (end 151.0284 176.3649) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 151.0284 176.3649) (end 149.34 178.0533) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 159.512 177.619) (end 158.2833 176.3903) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 158.2833 176.3903) (end 151.2824 176.3903) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 151.2824 176.3903) (end 151.257 176.3649) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 149.34 178.0533) (end 149.34 179.07) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 159.512 178.879) (end 159.512 180.594) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 157.564 182.542) (end 152.334 182.542) (width 0.5) (layer F.Cu) (net 16) (tstamp 58FBC521)) + (segment (start 159.512 180.594) (end 157.564 182.542) (width 0.5) (layer F.Cu) (net 16) (tstamp 58FBC520)) + (segment (start 149.34 179.07) (end 149.34 181.448) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 149.34 181.448) (end 150.434 182.542) (width 0.5) (layer F.Cu) (net 16) (tstamp 58FBC51B)) + (segment (start 152.896 180.848) (end 152.896 181.98) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 152.896 181.98) (end 152.334 182.542) (width 0.5) (layer F.Cu) (net 16) (tstamp 58FBC517)) + (segment (start 148.59 182.892) (end 150.084 182.892) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 150.084 182.892) (end 150.434 182.542) (width 0.5) (layer F.Cu) (net 16) (tstamp 58FBC4FE)) + (segment (start 162.814 192.048) (end 161.098 192.048) (width 1.5) (layer F.Cu) (net 17)) + (segment (start 161.098 192.048) (end 159.766 193.38) (width 1.5) (layer F.Cu) (net 17) (tstamp 58FBC343)) + (segment (start 166.116 192.226) (end 162.992 192.226) (width 1.5) (layer F.Cu) (net 17)) + (segment (start 162.992 192.226) (end 162.814 192.048) (width 1.5) (layer F.Cu) (net 17) (tstamp 58FBC340)) + (segment (start 222.25 220.74) (end 219.47 220.74) (width 2.5) (layer F.Cu) (net 18)) + (segment (start 219.47 220.74) (end 217.932 219.202) (width 2.5) (layer F.Cu) (net 18) (tstamp 58FBBEAE)) + (segment (start 222.25 225.552) (end 222.25 220.74) (width 2.5) (layer F.Cu) (net 18)) + (segment (start 228.346 226.06) (end 222.758 226.06) (width 2.5) (layer F.Cu) (net 18)) + (segment (start 222.758 226.06) (end 222.25 225.552) (width 2.5) (layer F.Cu) (net 18) (tstamp 58FBBEA9)) + (segment (start 228.346 220.98) (end 228.346 226.06) (width 2.5) (layer F.Cu) (net 18)) + (segment (start 261.196 160.213) (end 261.196 159.809) (width 0.5) (layer F.Cu) (net 20)) + (segment (start 261.608 159.397) (end 261.608 158.369) (width 0.5) (layer F.Cu) (net 20) (tstamp 58FE28A9)) + (segment (start 261.196 159.809) (end 261.608 159.397) (width 0.5) (layer F.Cu) (net 20) (tstamp 58FE28A8)) + (segment (start 260.108 158.369) (end 260.108 161.913) (width 0.3) (layer F.Cu) (net 21)) + (segment (start 259.908 162.113) (end 258.996 162.113) (width 0.3) (layer F.Cu) (net 21) (tstamp 58FE28AF)) + (segment (start 260.108 161.913) (end 259.908 162.113) (width 0.3) (layer F.Cu) (net 21) (tstamp 58FE28AE)) + (segment (start 279.388 194.31) (end 281.4828 194.31) (width 0.5) (layer F.Cu) (net 22)) + (segment (start 281.4828 194.31) (end 281.94 193.8528) (width 0.5) (layer F.Cu) (net 22)) + (segment (start 298.8691 184.912) (end 298.3611 184.912) (width 0.18) (layer F.Cu) (net 22)) + (segment (start 298.3611 184.912) (end 297.422 184.912) (width 0.18) (layer F.Cu) (net 22)) + (segment (start 298.6786 184.7723) (end 298.5008 184.7723) (width 0.18) (layer F.Cu) (net 22)) + (segment (start 298.5008 184.7723) (end 298.3611 184.912) (width 0.18) (layer F.Cu) (net 22)) + (segment (start 301.854801 186.996001) (end 299.6311 184.7723) (width 0.18) (layer B.Cu) (net 22)) + (segment (start 299.6311 184.7723) (end 298.6786 184.7723) (width 0.18) (layer B.Cu) (net 22)) + (via (at 298.6786 184.7723) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 22)) + (segment (start 298.8564 193.8528) (end 301.854801 190.854399) (width 0.18) (layer B.Cu) (net 22)) + (segment (start 301.854801 190.854399) (end 301.854801 186.996001) (width 0.18) (layer B.Cu) (net 22)) + (segment (start 281.94 193.8528) (end 298.8564 193.8528) (width 0.18) (layer B.Cu) (net 22)) + (via (at 281.94 193.8528) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 22)) + (segment (start 299.735226 185.768545) (end 299.725645 185.768545) (width 0.18) (layer F.Cu) (net 22)) + (segment (start 299.725645 185.768545) (end 298.8691 184.912) (width 0.18) (layer F.Cu) (net 22) (tstamp 58FF6443)) + (segment (start 304.673 188.087) (end 304.673 192.151) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 304.673 192.151) (end 304.038 192.786) (width 0.5) (layer B.Cu) (net 23) (tstamp 5900288F)) + (segment (start 304.038 192.786) (end 304.038 198.628) (width 0.5) (layer B.Cu) (net 23) (tstamp 59002890)) + (segment (start 304.038 198.628) (end 303.53 199.136) (width 0.5) (layer B.Cu) (net 23) (tstamp 59002891)) + (segment (start 145 227) (end 145 228.058) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 145 228.058) (end 149.098 232.156) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 149.098 232.156) (end 281.6352 232.156) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 281.6352 232.156) (end 283 230.7912) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 283 230.7912) (end 283 227) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 286.004 223.266) (end 286.004 220.2688) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 286.004 220.2688) (end 287.0581 219.2147) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF73B4)) + (segment (start 287.0581 219.2147) (end 289.5473 219.2147) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF73B6)) + (segment (start 289.5473 219.2147) (end 291.084 217.678) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF73B8)) + (segment (start 289 227) (end 289 228.5885) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 289 228.5885) (end 288.2138 229.3747) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF7392)) + (segment (start 288.2138 229.3747) (end 283.7942 229.3747) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF7394)) + (segment (start 283.7942 229.3747) (end 283 228.5805) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF7396)) + (segment (start 283 228.5805) (end 283 227) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF7398)) + (segment (start 286.004 223.266) (end 286.004 224.004) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 286.004 224.004) (end 289 227) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF7389)) + (segment (start 314.452 178.816) (end 314.1599 178.816) (width 1) (layer B.Cu) (net 23)) + (segment (start 314.1599 178.816) (end 304.8889 188.087) (width 1) (layer B.Cu) (net 23)) + (segment (start 304.8889 188.087) (end 304.673 188.087) (width 1) (layer B.Cu) (net 23)) + (segment (start 310.884 182.372) (end 311.658 182.372) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 311.658 182.372) (end 312.166 181.864) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 315.468 179.324) (end 315.468 178.816) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 312.166 181.864) (end 312.166 180.594) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 312.166 180.594) (end 313.436 179.324) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 313.436 179.324) (end 315.468 179.324) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 315.468 179.324) (end 318.262 179.324) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 318.262 179.324) (end 318.516 179.578) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 304.673 188.087) (end 304.038 187.452) (width 1) (layer B.Cu) (net 23)) + (segment (start 304.673 188.087) (end 304.038 187.452) (width 1) (layer F.Cu) (net 23)) + (segment (start 304.673 188.087) (end 305.308 188.722) (width 1) (layer B.Cu) (net 23)) + (via (at 305.308 188.722) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 304.673 188.087) (end 304.038 187.452) (width 1) (layer F.Cu) (net 23)) + (via (at 304.038 187.452) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (via (at 304.673 188.087) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 304.673 188.087) (end 304.8 188.214) (width 1) (layer F.Cu) (net 23) (tstamp 58FF7220)) + (segment (start 314.452 178.816) (end 315.468 178.816) (width 1) (layer B.Cu) (net 23)) + (segment (start 315.468 178.816) (end 314.452 178.816) (width 1) (layer F.Cu) (net 23)) + (via (at 314.452 178.816) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 318.516 178.816) (end 315.468 178.816) (width 1) (layer F.Cu) (net 23)) + (segment (start 318.516 179.578) (end 318.516 178.816) (width 1) (layer F.Cu) (net 23)) + (segment (start 318.516 178.816) (end 318.516 169.926) (width 1) (layer F.Cu) (net 23) (tstamp 58FF720C)) + (segment (start 318.516 169.926) (end 310.388 161.798) (width 1) (layer F.Cu) (net 23) (tstamp 58FF7201)) + (segment (start 310.388 161.798) (end 310.388 157.226) (width 1) (layer F.Cu) (net 23) (tstamp 58FF7203)) + (segment (start 310.388 157.226) (end 308.102 154.94) (width 1) (layer F.Cu) (net 23) (tstamp 58FF7204)) + (segment (start 308.102 154.94) (end 284.226 154.94) (width 1) (layer F.Cu) (net 23) (tstamp 58FF7205)) + (segment (start 284.226 154.94) (end 283.222 155.944) (width 1) (layer F.Cu) (net 23) (tstamp 58FF7206)) + (segment (start 283.222 155.944) (end 283.222 156.718) (width 1) (layer F.Cu) (net 23) (tstamp 58FF7207)) + (segment (start 276.86 160.274) (end 277.876 160.274) (width 0.5) (layer In2.Cu) (net 23)) + (segment (start 229.362 157.734) (end 231.394 155.702) (width 0.5) (layer In2.Cu) (net 23)) + (segment (start 231.394 155.702) (end 268.478 155.702) (width 0.5) (layer In2.Cu) (net 23) (tstamp 58FF71E3)) + (segment (start 268.478 155.702) (end 273.05 160.274) (width 0.5) (layer In2.Cu) (net 23) (tstamp 58FF71E4)) + (segment (start 273.05 160.274) (end 276.86 160.274) (width 0.5) (layer In2.Cu) (net 23) (tstamp 58FF71E6)) + (segment (start 194.818 167.652) (end 194.818 167.386) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 194.818 167.386) (end 195.58 166.624) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF71DB)) + (segment (start 195.58 166.624) (end 220.472 166.624) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF71DC)) + (segment (start 220.472 166.624) (end 229.362 157.734) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF71DD)) + (via (at 229.362 157.734) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 161.798 167.652) (end 161.798 167.132) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 161.798 167.132) (end 162.306 166.624) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF7160)) + (segment (start 162.306 166.624) (end 193.79 166.624) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF7161)) + (segment (start 193.79 166.624) (end 194.818 167.652) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF7162)) + (segment (start 276.86 160.274) (end 277.876 160.274) (width 0.5) (layer F.Cu) (net 23)) + (via (at 277.876 160.274) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 281.94 158.75) (end 279.4 158.75) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 279.4 158.75) (end 277.876 160.274) (width 0.5) (layer B.Cu) (net 23) (tstamp 58FF7153)) + (segment (start 277.876 160.274) (end 276.86 160.274) (width 0.5) (layer B.Cu) (net 23) (tstamp 58FF7154)) + (via (at 276.86 160.274) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 276.86 160.274) (end 276.848 160.286) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF7157)) + (segment (start 276.848 160.286) (end 275.844 160.286) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF7158)) + (segment (start 294.132 158.75) (end 292.862 158.75) (width 0.5) (layer F.Cu) (net 23)) + (via (at 292.862 158.75) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 292.862 158.75) (end 281.94 158.75) (width 0.5) (layer B.Cu) (net 23) (tstamp 58FF714B)) + (via (at 281.94 158.75) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 281.94 158.75) (end 282.956 158.75) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF714E)) + (segment (start 273.3044 159.4612) (end 274.0152 159.4612) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 274.0152 159.4612) (end 274.84 160.286) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF7122)) + (segment (start 274.84 160.286) (end 275.844 160.286) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF7123)) + (segment (start 304.8762 193.1924) (end 303.8348 194.2338) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 303.8348 194.2338) (end 303.8348 194.437) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 303.8348 194.437) (end 304.8762 195.4784) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 304.8762 195.4784) (end 304.8762 197.6628) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 304.8762 197.6628) (end 305.5747 198.3613) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 305.5747 198.3613) (end 307.2384 198.3613) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 307.2384 198.3613) (end 307.405497 198.528397) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 307.405497 198.528397) (end 307.405497 198.539601) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 307.405497 198.539601) (end 307.997062 199.131166) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 283.222 156.718) (end 283.222 157.4426) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 283.222 157.4426) (end 282.956 157.7086) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF6F77)) + (segment (start 282.956 157.7086) (end 282.956 158.75) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF6F78)) + (segment (start 314.198 181.864) (end 314.198 180.086) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 314.198 180.086) (end 315.468 178.816) (width 0.5) (layer B.Cu) (net 23) (tstamp 58FF6F3F)) + (segment (start 315.988 193.294) (end 315.988 190.996) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 315.988 190.996) (end 319.024 187.96) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF6F39)) + (segment (start 319.024 187.96) (end 319.024 186.194) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF6F3A)) + (segment (start 319.024 186.194) (end 319.012 186.182) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF6F3B)) + (segment (start 300.99 197.4342) (end 303.1998 199.644) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 303.1998 199.644) (end 303.2506 199.6948) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 303.53 199.136) (end 303.1998 199.4662) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 303.1998 199.4662) (end 303.1998 199.644) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 309.372 207.899) (end 309.372 204.978) (width 0.5) (layer In2.Cu) (net 23)) + (segment (start 309.372 204.978) (end 303.53 199.136) (width 0.5) (layer In2.Cu) (net 23)) + (via (at 303.53 199.136) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 296.118001 207.218001) (end 291.846 202.946) (width 0.5) (layer In2.Cu) (net 23)) + (segment (start 291.846 202.946) (end 290.322 202.946) (width 0.5) (layer In2.Cu) (net 23)) + (segment (start 296.545 207.518) (end 296.245001 207.218001) (width 0.5) (layer In2.Cu) (net 23)) + (segment (start 296.245001 207.218001) (end 296.118001 207.218001) (width 0.5) (layer In2.Cu) (net 23)) + (segment (start 309.372 207.899) (end 309.765 207.899) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 309.765 207.899) (end 310.4 208.534) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF6F1E)) + (segment (start 298.351135 188.335767) (end 297.75957 187.744202) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 302.387 193.1924) (end 302.387 192.598802) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 302.387 192.598802) (end 300.391899 190.603701) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 300.391899 190.376531) (end 298.351135 188.335767) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 300.391899 190.603701) (end 300.391899 190.376531) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 315.988 193.294) (end 309.626 193.294) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 290.068 193.306) (end 296.6466 193.306) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 296.6466 193.306) (end 296.6586 193.294) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF6D0D)) + (segment (start 296.6586 193.294) (end 296.672 193.294) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF6D0E)) + (segment (start 296.672 193.294) (end 296.6466 193.294) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF6D0F)) + (via (at 315.468 178.816) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 319.012 184.658) (end 319.012 186.182) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 319.012 183.134) (end 319.012 184.658) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 319.012 181.61) (end 319.012 183.134) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 318.516 179.578) (end 319.012 180.074) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF6A7D)) + (segment (start 319.012 180.074) (end 319.012 181.61) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF6A7E)) + (segment (start 297.93 183.388) (end 298.504154 183.388) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 298.504154 183.388) (end 300.309963 185.193809) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF641B)) + (segment (start 296.545 207.518) (end 302.133 207.518) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 302.133 207.518) (end 308.991 207.518) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 308.991 207.518) (end 309.372 207.899) (width 0.5) (layer B.Cu) (net 23) (tstamp 58FF63D3)) + (segment (start 308.598 207.264) (end 308.737 207.264) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 308.737 207.264) (end 309.372 207.899) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF63CD)) + (via (at 309.372 207.899) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 308.598 207.264) (end 309.868 207.264) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 309.868 207.264) (end 310.134 206.998) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF639F)) + (segment (start 301.998251 203.082479) (end 301.998251 203.080749) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 301.998251 203.080749) (end 303.022 202.057) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF6248)) + (segment (start 303.022 202.057) (end 303.022 200.2028) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF6249)) + (segment (start 303.022 200.2028) (end 303.2506 199.9742) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF624B)) + (segment (start 296.6466 193.1924) (end 296.6466 193.294) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 296.6466 193.294) (end 296.6466 194.281246) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF6D10)) + (segment (start 296.6466 194.281246) (end 294.921809 196.006037) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FEC) (status 20)) + (segment (start 300.5328 195.6054) (end 299.848496 195.6054) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 299.848496 195.6054) (end 297.184834 198.269062) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FE7) (status 20)) + (segment (start 300.7106 197.4342) (end 300.99 197.4342) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 303.2506 199.6948) (end 303.2506 199.9742) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FE1)) + (segment (start 303.2506 199.9742) (end 303.2506 200.024306) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF624E)) + (segment (start 303.2506 200.024306) (end 305.177261 201.950967) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FE2) (status 20)) + (segment (start 302.133 187.2234) (end 301.764817 187.2234) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 301.764817 187.2234) (end 300.022594 185.481177) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FD5) (status 20)) + (segment (start 303.8602 187.071) (end 302.2854 187.071) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 302.2854 187.071) (end 302.133 187.2234) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FD0)) + (segment (start 302.133 187.2234) (end 302.133 187.016846) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FD1)) + (segment (start 302.133 187.016846) (end 300.309963 185.193809) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FD2) (status 20)) + (segment (start 306.3748 189.653832) (end 306.062032 189.653832) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 306.062032 189.653832) (end 303.8602 187.452) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FC9)) + (segment (start 303.8602 187.452) (end 303.8602 187.071) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FCA)) + (segment (start 303.8602 187.071) (end 303.8602 187.067646) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FCE)) + (segment (start 303.8602 187.067646) (end 305.734037 185.193809) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FCB) (status 20)) + (segment (start 306.3748 193.1924) (end 306.3748 189.653832) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 306.3748 189.653832) (end 308.28443 187.744202) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FC5) (status 20)) + (segment (start 298.603714 199.687942) (end 298.603714 199.668086) (width 0.18) (layer F.Cu) (net 23) (status 30)) + (segment (start 298.603714 199.668086) (end 300.7106 197.5612) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FA6) (status 10)) + (segment (start 300.7106 197.5612) (end 300.7106 197.4342) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FA7)) + (segment (start 300.7106 197.4342) (end 300.7106 196.723) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FDE)) + (segment (start 300.7106 196.723) (end 300.5328 196.5452) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FA9)) + (segment (start 298.316346 199.400574) (end 298.337826 199.400574) (width 0.18) (layer F.Cu) (net 23) (status 30)) + (segment (start 298.337826 199.400574) (end 300.5328 197.2056) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4F9F) (status 10)) + (segment (start 300.5328 197.2056) (end 300.5328 196.5452) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FA0)) + (segment (start 300.5328 196.5452) (end 300.5328 195.6054) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FAC)) + (segment (start 300.5328 195.6054) (end 300.5328 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FE5)) + (segment (start 300.5328 193.1924) (end 300.4566 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FA1)) + (segment (start 308.2036 193.1924) (end 309.625306 193.1924) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 309.625306 193.1924) (end 311.678967 191.138739) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4F97) (status 20)) + (segment (start 297.5356 193.1924) (end 297.532246 193.1924) (width 0.18) (layer F.Cu) (net 23)) + (segment (start 297.532246 193.1924) (end 294.921809 190.581963) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4F8C) (status 20)) + (segment (start 294.365033 191.138739) (end 294.365033 191.139433) (width 0.18) (layer F.Cu) (net 23) (status 30)) + (segment (start 294.365033 191.139433) (end 296.418 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4F83) (status 10)) + (segment (start 296.418 193.1924) (end 296.6466 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4F84)) + (segment (start 296.6466 193.1924) (end 297.5356 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FEA)) + (segment (start 297.5356 193.1924) (end 300.4566 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4F8A)) + (segment (start 300.4566 193.1924) (end 302.387 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FA4)) + (segment (start 302.387 193.1924) (end 304.8762 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4F8F)) + (segment (start 304.8762 193.1924) (end 306.3748 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FD8)) + (segment (start 306.3748 193.1924) (end 308.2036 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4FC3)) + (segment (start 308.2036 193.1924) (end 308.308554 193.1924) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4F95)) + (segment (start 308.308554 193.1924) (end 311.122191 196.006037) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4F85) (status 20)) + (segment (start 298.603714 199.687942) (end 298.533058 199.687942) (width 0.18) (layer F.Cu) (net 23) (status 30)) + (segment (start 298.533058 199.687942) (end 296.303 201.918) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4ACF) (status 10)) + (segment (start 296.303 201.918) (end 295.783 201.918) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF4AD0)) + (segment (start 301.998251 203.082479) (end 301.998251 203.131549) (width 0.18) (layer F.Cu) (net 23) (status 30)) + (segment (start 298.316346 199.400574) (end 298.388626 199.400574) (width 0.18) (layer F.Cu) (net 23) (status 30)) + (segment (start 298.603714 199.687942) (end 298.603714 199.668086) (width 0.18) (layer F.Cu) (net 23) (status 30)) + (segment (start 302.26 208.534) (end 302.26 207.645) (width 0.5) (layer F.Cu) (net 23)) + (via (at 302.133 207.518) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 302.26 207.645) (end 302.133 207.518) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF4A21)) + (segment (start 302.514 210.883) (end 302.514 212.344) (width 0.5) (layer F.Cu) (net 23) (status 10)) + (segment (start 296.164 212.471) (end 296.164 210.883) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF4A1C) (status 20)) + (segment (start 302.514 212.344) (end 302.133 212.725) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF4A19)) + (segment (start 296.418 208.534) (end 296.418 207.645) (width 0.5) (layer F.Cu) (net 23)) + (via (at 296.545 207.518) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 23)) + (segment (start 296.418 207.645) (end 296.545 207.518) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF4A15)) + (segment (start 301.613 208.534) (end 301.752 208.534) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 301.752 208.534) (end 302.514 209.296) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FE8FD8)) + (segment (start 297.065 208.534) (end 296.926 208.534) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 296.926 208.534) (end 296.164 209.296) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FE8FD3)) + (segment (start 295.898 208.534) (end 296.418 208.534) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 296.418 208.534) (end 297.065 208.534) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF4A13)) + (segment (start 296.164 210.883) (end 296.164 209.296) (width 0.5) (layer F.Cu) (net 23) (status 10)) + (segment (start 296.164 209.296) (end 296.164 208.8) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FE8FD6)) + (segment (start 296.164 208.8) (end 295.898 208.534) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FE8FCE)) + (segment (start 302.78 208.534) (end 302.26 208.534) (width 0.5) (layer F.Cu) (net 23)) + (segment (start 302.26 208.534) (end 301.613 208.534) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF4A1F)) + (segment (start 302.514 210.883) (end 302.514 209.296) (width 0.5) (layer F.Cu) (net 23) (status 10)) + (segment (start 302.514 209.296) (end 302.514 208.8) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FE8FDB)) + (segment (start 302.514 208.8) (end 302.78 208.534) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FE8FC9)) + (segment (start 145 227) (end 145 227.55) (width 0.5) (layer B.Cu) (net 23)) + (segment (start 295.275 212.471) (end 296.164 212.471) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF6F31)) + (segment (start 296.418 212.725) (end 296.164 212.471) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF4A1B)) + (segment (start 302.133 212.725) (end 296.418 212.725) (width 0.5) (layer F.Cu) (net 23) (tstamp 58FF4A1A)) + (segment (start 291.084 217.678) (end 291.084 216.662) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF73BC)) + (segment (start 291.084 216.662) (end 295.275 212.471) (width 0.18) (layer F.Cu) (net 23) (tstamp 58FF6F2F)) + (segment (start 130.81 219.456) (end 130.81 226.06) (width 0.5) (layer F.Cu) (net 24)) + (segment (start 129.75 210.566) (end 129.75 214.332) (width 0.5) (layer F.Cu) (net 24)) + (segment (start 130.81 215.392) (end 130.81 219.456) (width 0.5) (layer F.Cu) (net 24) (tstamp 58FDB1A2)) + (segment (start 129.75 214.332) (end 130.81 215.392) (width 0.5) (layer F.Cu) (net 24) (tstamp 58FDB1A1)) + (segment (start 129.54 204.99) (end 129.54 210.356) (width 0.5) (layer F.Cu) (net 24)) + (segment (start 129.54 210.356) (end 129.75 210.566) (width 0.5) (layer F.Cu) (net 24) (tstamp 58FDB19E)) + (segment (start 132.346 204.978) (end 129.552 204.978) (width 0.5) (layer F.Cu) (net 24)) + (segment (start 129.552 204.978) (end 129.54 204.99) (width 0.5) (layer F.Cu) (net 24) (tstamp 58FDB19B)) + (segment (start 136.95 210.566) (end 136.95 205.288) (width 0.5) (layer F.Cu) (net 25)) + (segment (start 136.95 205.288) (end 136.652 204.99) (width 0.5) (layer F.Cu) (net 25) (tstamp 58FDB1AF)) + (segment (start 135.89 219.456) (end 135.89 215.138) (width 0.5) (layer F.Cu) (net 25)) + (segment (start 136.652 214.376) (end 136.652 210.864) (width 0.5) (layer F.Cu) (net 25) (tstamp 58FDB1AB)) + (segment (start 135.89 215.138) (end 136.652 214.376) (width 0.5) (layer F.Cu) (net 25) (tstamp 58FDB1AA)) + (segment (start 136.652 210.864) (end 136.95 210.566) (width 0.5) (layer F.Cu) (net 25) (tstamp 58FDB1AC)) + (segment (start 135.89 226.06) (end 135.89 219.456) (width 0.5) (layer F.Cu) (net 25)) + (segment (start 136.652 204.99) (end 133.858 204.99) (width 0.5) (layer F.Cu) (net 25)) + (segment (start 133.858 204.99) (end 133.846 204.978) (width 0.5) (layer F.Cu) (net 25) (tstamp 58FDB194)) + (segment (start 299.224 167.132) (end 299.224 167.398) (width 0.5) (layer F.Cu) (net 26)) + (segment (start 299.224 167.398) (end 299.974 168.148) (width 0.5) (layer F.Cu) (net 26) (tstamp 58FF6E6B)) + (segment (start 297.93 167.132) (end 299.224 167.132) (width 0.5) (layer F.Cu) (net 26)) + (segment (start 297.942 165.1) (end 297.942 167.12) (width 0.5) (layer F.Cu) (net 26)) + (segment (start 297.942 167.12) (end 297.93 167.132) (width 0.5) (layer F.Cu) (net 26) (tstamp 58FF6E4F)) + (segment (start 299.974 168.148) (end 299.974 170.434) (width 0.5) (layer F.Cu) (net 26)) + (segment (start 299.974 170.434) (end 299.532 170.876) (width 0.5) (layer F.Cu) (net 26)) + (segment (start 299.532 170.876) (end 298.534 170.876) (width 0.5) (layer F.Cu) (net 26)) + (segment (start 297.942 165.1) (end 299.212 165.1) (width 0.5) (layer F.Cu) (net 26)) + (segment (start 300.866739 184.637033) (end 300.866739 184.636339) (width 0.18) (layer F.Cu) (net 27)) + (segment (start 300.866739 184.636339) (end 298.692 182.4616) (width 0.18) (layer F.Cu) (net 27) (tstamp 58FF6417)) + (segment (start 298.692 182.4616) (end 298.692 181.864) (width 0.18) (layer F.Cu) (net 27) (tstamp 58FF6418)) + (segment (start 297.20662 180.8734) (end 297.20662 180.449136) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 296.8752 178.7906) (end 295.9608 177.8762) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 296.8752 180.117716) (end 296.8752 178.7906) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 297.20662 180.449136) (end 296.8752 180.117716) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 295.9608 177.8762) (end 295.9608 173.3804) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 295.91 172.9486) (end 291.42131 168.45991) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 295.91 173.3296) (end 295.91 172.9486) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 295.9608 173.3804) (end 295.91 173.3296) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 291.42131 168.45991) (end 291.01491 168.45991) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 291.01491 168.45991) (end 286.512 163.957) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 286.512 163.068) (end 286.004 162.56) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 286.512 163.957) (end 286.512 163.068) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 283.464 162.56) (end 280.162 165.862) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 286.004 162.56) (end 283.464 162.56) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 279.2222 165.862) (end 278.9428 165.5826) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 280.162 165.862) (end 279.2222 165.862) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 278.9428 165.5826) (end 278.9428 164.084) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 278.9428 164.084) (end 285.494799 157.532001) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 285.494799 157.532001) (end 295.019799 157.532001) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 295.019799 157.532001) (end 296.3418 156.21) (width 0.18) (layer In2.Cu) (net 28)) + (segment (start 296.3418 156.21) (end 295.898 156.21) (width 0.18) (layer F.Cu) (net 28)) + (segment (start 295.898 156.21) (end 295.39 156.718) (width 0.18) (layer F.Cu) (net 28)) + (via (at 296.3418 156.21) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 28)) + (segment (start 295.39 156.718) (end 295.39 158.738) (width 0.5) (layer F.Cu) (net 28)) + (segment (start 295.39 158.738) (end 295.402 158.75) (width 0.5) (layer F.Cu) (net 28) (tstamp 58FF6A21)) + (segment (start 297.192 180.88802) (end 297.20662 180.8734) (width 0.18) (layer F.Cu) (net 28)) + (segment (start 297.192 181.864) (end 297.192 180.88802) (width 0.18) (layer F.Cu) (net 28)) + (via (at 297.20662 180.8734) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 28)) + (segment (start 309.892 203.962) (end 308.368 203.962) (width 0.18) (layer F.Cu) (net 29)) + (segment (start 308.368 203.962) (end 308.356 203.974) (width 0.18) (layer F.Cu) (net 29) (tstamp 58FF63A5)) + (segment (start 310.134 205.498) (end 310.134 204.204) (width 0.18) (layer F.Cu) (net 29)) + (segment (start 310.134 204.204) (end 309.892 203.962) (width 0.18) (layer F.Cu) (net 29) (tstamp 58FF63A2)) + (segment (start 305.46463 201.663599) (end 305.46463 201.68023) (width 0.18) (layer F.Cu) (net 29)) + (segment (start 305.46463 201.68023) (end 306.6542 202.8698) (width 0.18) (layer F.Cu) (net 29) (tstamp 58FF62CA)) + (segment (start 306.6542 202.8698) (end 307.5432 202.8698) (width 0.18) (layer F.Cu) (net 29) (tstamp 58FF62CB)) + (segment (start 307.5432 202.8698) (end 308.356 203.6826) (width 0.18) (layer F.Cu) (net 29) (tstamp 58FF62CC)) + (segment (start 308.356 203.6826) (end 308.356 203.974) (width 0.18) (layer F.Cu) (net 29) (tstamp 58FF62CD)) + (segment (start 305.8414 203.1746) (end 305.8414 204.4446) (width 0.18) (layer F.Cu) (net 30)) + (segment (start 305.8414 204.4446) (end 305.054 205.232) (width 0.18) (layer F.Cu) (net 30) (tstamp 58FF6397)) + (segment (start 305.054 205.232) (end 305.054 205.994) (width 0.18) (layer F.Cu) (net 30) (tstamp 58FF6398)) + (segment (start 305.054 205.994) (end 306.324 207.264) (width 0.18) (layer F.Cu) (net 30) (tstamp 58FF6399)) + (segment (start 306.324 207.264) (end 307.098 207.264) (width 0.18) (layer F.Cu) (net 30) (tstamp 58FF639B)) + (segment (start 304.889893 202.238335) (end 304.905135 202.238335) (width 0.18) (layer F.Cu) (net 30)) + (segment (start 304.905135 202.238335) (end 305.8414 203.1746) (width 0.18) (layer F.Cu) (net 30) (tstamp 58FF62C5)) + (segment (start 305.8414 203.1746) (end 306.6408 203.974) (width 0.18) (layer F.Cu) (net 30) (tstamp 58FF6395)) + (segment (start 306.6408 203.974) (end 306.832 203.974) (width 0.18) (layer F.Cu) (net 30) (tstamp 58FF62C6)) + (segment (start 309.384 183.896) (end 309.384 185.42) (width 0.5) (layer F.Cu) (net 31)) + (segment (start 310.260086 196.868142) (end 309.532713 196.140769) (width 0.18) (layer F.Cu) (net 31)) + (segment (start 309.532713 196.140769) (end 309.532713 195.296213) (width 0.18) (layer F.Cu) (net 31)) + (segment (start 309.532713 195.296213) (end 309.417999 195.181499) (width 0.18) (layer F.Cu) (net 31)) + (segment (start 309.417999 195.181499) (end 309.118 194.8815) (width 0.18) (layer F.Cu) (net 31)) + (segment (start 306.7812 192.5447) (end 308.818001 194.581501) (width 1) (layer B.Cu) (net 31)) + (segment (start 306.7812 188.1378) (end 306.7812 192.5447) (width 1) (layer B.Cu) (net 31)) + (segment (start 308.818001 194.581501) (end 309.118 194.8815) (width 1) (layer B.Cu) (net 31)) + (via (at 309.118 194.8815) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 31)) + (segment (start 307.727654 187.187426) (end 307.727654 187.165946) (width 0.18) (layer F.Cu) (net 31)) + (segment (start 307.727654 187.165946) (end 309.384 185.5096) (width 0.18) (layer F.Cu) (net 31) (tstamp 58FF6105)) + (segment (start 309.384 185.5096) (end 309.384 185.42) (width 0.18) (layer F.Cu) (net 31) (tstamp 58FF6106)) + (via (at 306.7812 188.1378) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 31)) + (segment (start 306.7812 188.1378) (end 307.727654 187.191346) (width 0.18) (layer F.Cu) (net 31) (tstamp 58FF6101)) + (segment (start 307.727654 187.191346) (end 307.727654 187.187426) (width 0.18) (layer F.Cu) (net 31) (tstamp 58FF6102)) + (segment (start 310.260086 196.868142) (end 310.260086 196.874486) (width 0.18) (layer F.Cu) (net 31)) + (segment (start 310.260086 196.874486) (end 310.896 197.5104) (width 0.18) (layer F.Cu) (net 31) (tstamp 58FF60F5)) + (segment (start 310.896 197.5104) (end 310.896 199.91) (width 0.18) (layer F.Cu) (net 31) (tstamp 58FF60F6)) + (segment (start 310.896 199.91) (end 310.642 200.164) (width 0.18) (layer F.Cu) (net 31) (tstamp 58FF60F7)) + (segment (start 319.024 198.132) (end 316.618 198.132) (width 0.18) (layer F.Cu) (net 32)) + (segment (start 316.618 198.132) (end 316.568 198.082) (width 0.18) (layer F.Cu) (net 32) (tstamp 58FF60A7)) + (segment (start 316.568 198.082) (end 316.568 196.692) (width 0.18) (layer F.Cu) (net 32)) + (segment (start 316.568 196.692) (end 316.218 196.342) (width 0.18) (layer F.Cu) (net 32) (tstamp 58FF60A4)) + (segment (start 310.547455 196.580774) (end 310.550574 196.580774) (width 0.18) (layer F.Cu) (net 33)) + (segment (start 310.550574 196.580774) (end 311.404 197.4342) (width 0.18) (layer F.Cu) (net 33)) + (segment (start 311.404 197.4342) (end 311.404 199.1868) (width 0.18) (layer F.Cu) (net 33)) + (segment (start 311.404 199.1868) (end 311.8492 199.632) (width 0.18) (layer F.Cu) (net 33)) + (segment (start 311.8492 199.632) (end 312.166 199.632) (width 0.18) (layer F.Cu) (net 33)) + (segment (start 312.166 199.632) (end 314.318 199.632) (width 0.18) (layer F.Cu) (net 33)) + (segment (start 314.318 199.632) (end 314.368 199.682) (width 0.18) (layer F.Cu) (net 33) (tstamp 58FF60DF)) + (segment (start 313.5248 199.682) (end 314.368 199.682) (width 0.18) (layer F.Cu) (net 33) (tstamp 58FF60A1)) + (segment (start 310.547455 196.580774) (end 310.550574 196.580774) (width 0.18) (layer F.Cu) (net 33)) + (segment (start 308.598 216.154) (end 308.598 217.424) (width 0.5) (layer F.Cu) (net 34)) + (segment (start 308.598 217.424) (end 309.372 218.198) (width 0.5) (layer F.Cu) (net 34) (tstamp 58FF3ED4)) + (segment (start 308.598 214.63) (end 308.598 216.154) (width 0.5) (layer F.Cu) (net 34)) + (segment (start 309.372 218.198) (end 311.695308 218.198) (width 1) (layer F.Cu) (net 34)) + (segment (start 311.695308 218.198) (end 312.063654 217.829654) (width 1) (layer F.Cu) (net 34) (tstamp 58FF3E35)) + (segment (start 307.594 218.198) (end 309.372 218.198) (width 1) (layer F.Cu) (net 34)) + (segment (start 315.316346 221.082346) (end 315.316346 228.683654) (width 0.5) (layer F.Cu) (net 35)) + (segment (start 315.316346 228.683654) (end 315 229) (width 0.5) (layer F.Cu) (net 35) (tstamp 58FFC5C9)) + (segment (start 315.316346 228.702346) (end 315.468 228.854) (width 0.5) (layer F.Cu) (net 35) (tstamp 58FF3E38)) + (segment (start 260.2865 212.1535) (end 260.2865 215.4555) (width 0.5) (layer In2.Cu) (net 36)) + (segment (start 260.2865 215.4555) (end 256.032 219.71) (width 0.5) (layer In2.Cu) (net 36)) + (segment (start 256.032 219.71) (end 246.126 219.71) (width 0.5) (layer In2.Cu) (net 36)) + (segment (start 246.126 219.71) (end 243.713 217.297) (width 0.5) (layer In2.Cu) (net 36)) + (segment (start 243.713 217.297) (end 243.713 201.041) (width 0.5) (layer In2.Cu) (net 36)) + (segment (start 254.337736 196.342) (end 254.591736 196.596) (width 0.5) (layer In2.Cu) (net 36)) + (segment (start 243.713 201.041) (end 248.412 196.342) (width 0.5) (layer In2.Cu) (net 36)) + (segment (start 248.412 196.342) (end 254.337736 196.342) (width 0.5) (layer In2.Cu) (net 36)) + (segment (start 254.591736 196.596) (end 255.016 196.596) (width 0.5) (layer In2.Cu) (net 36)) + (segment (start 255.032 196.172) (end 255.016 196.188) (width 0.5) (layer F.Cu) (net 36)) + (segment (start 256.032 196.172) (end 255.032 196.172) (width 0.5) (layer F.Cu) (net 36)) + (segment (start 255.315999 196.296001) (end 255.016 196.596) (width 0.5) (layer F.Cu) (net 36)) + (segment (start 255.694 196.172) (end 255.44 196.172) (width 0.5) (layer F.Cu) (net 36)) + (via (at 255.016 196.596) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 36)) + (segment (start 255.016 196.188) (end 255.016 196.596) (width 0.5) (layer F.Cu) (net 36)) + (segment (start 255.44 196.172) (end 255.315999 196.296001) (width 0.5) (layer F.Cu) (net 36)) + (segment (start 260.2865 212.1535) (end 260.2865 215.7095) (width 0.5) (layer F.Cu) (net 36)) + (segment (start 260.2865 215.7095) (end 258.826 217.17) (width 0.5) (layer F.Cu) (net 36) (tstamp 58FF5CA4)) + (segment (start 258.826 217.17) (end 258.826 219.202) (width 0.5) (layer F.Cu) (net 36) (tstamp 58FF5CA5)) + (segment (start 258.826 219.202) (end 257.048 220.98) (width 0.5) (layer F.Cu) (net 36) (tstamp 58FF5CA6)) + (segment (start 257.048 220.98) (end 253.068 220.98) (width 0.5) (layer F.Cu) (net 36) (tstamp 58FF5CA7)) + (segment (start 253.068 220.98) (end 252.052 219.964) (width 0.5) (layer F.Cu) (net 36) (tstamp 58FF5CA8)) + (segment (start 260.2865 205.359) (end 260.2865 205.5495) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 260.2865 205.5495) (end 257.81 208.026) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 257.81 208.026) (end 257.81 215.392) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 257.81 215.392) (end 255.016 218.186) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 255.016 218.186) (end 246.634 218.186) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 246.634 218.186) (end 245.237 216.789) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 245.237 216.789) (end 245.237 201.676) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 245.237 201.676) (end 248.793 198.12) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 248.793 198.12) (end 252.476 198.12) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 252.476 198.12) (end 252.984 197.612) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 252.984 197.612) (end 258.572 197.612) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 258.572 197.612) (end 259.334 196.85) (width 0.5) (layer In2.Cu) (net 37)) + (segment (start 260.35 196.172) (end 260.012 196.172) (width 0.5) (layer F.Cu) (net 37)) + (segment (start 260.012 196.172) (end 259.334 196.85) (width 0.5) (layer F.Cu) (net 37) (tstamp 58FF72E1)) + (via (at 259.334 196.85) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 37)) + (segment (start 257.683 214.884) (end 257.683 216.873) (width 0.5) (layer F.Cu) (net 37)) + (segment (start 257.683 216.873) (end 256.624 217.932) (width 0.5) (layer F.Cu) (net 37) (tstamp 58FF591D)) + (segment (start 258.064 210.312) (end 257.683 210.693) (width 0.5) (layer F.Cu) (net 37) (tstamp 58FF4F30)) + (segment (start 257.683 210.693) (end 257.683 214.884) (width 0.5) (layer F.Cu) (net 37) (tstamp 58FF4F31)) + (segment (start 257.683 214.884) (end 257.683 215.011) (width 0.5) (layer F.Cu) (net 37) (tstamp 58FF591B)) + (segment (start 260.2865 205.359) (end 258.064 207.5815) (width 0.5) (layer F.Cu) (net 37) (tstamp 58FF4D18)) + (segment (start 258.064 207.5815) (end 258.064 210.312) (width 0.5) (layer F.Cu) (net 37)) + (segment (start 261.366 178.562) (end 261.366 179.832) (width 0.5) (layer F.Cu) (net 38)) + (via (at 261.366 179.832) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 38)) + (segment (start 259.334 178.562) (end 261.366 178.562) (width 0.5) (layer F.Cu) (net 38)) + (via (at 261.366 178.562) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 38)) + (segment (start 258.90728 174.117) (end 258.90728 178.13528) (width 0.5) (layer F.Cu) (net 38)) + (segment (start 258.90728 178.13528) (end 259.334 178.562) (width 0.5) (layer F.Cu) (net 38) (tstamp 59002A44)) + (via (at 259.334 178.562) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 38)) + (segment (start 254 171.958) (end 254 170.688) (width 0.5) (layer F.Cu) (net 38)) + (via (at 254 170.688) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 38)) + (via (at 254 171.958) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 38)) + (segment (start 252.476 171.958) (end 254 171.958) (width 0.5) (layer F.Cu) (net 38)) + (segment (start 252.476 171.958) (end 252.476 170.688) (width 0.5) (layer F.Cu) (net 38)) + (via (at 252.476 170.688) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 38)) + (segment (start 253.111 174.33) (end 253.111 172.593) (width 0.5) (layer F.Cu) (net 38)) + (segment (start 253.111 172.593) (end 252.476 171.958) (width 0.5) (layer F.Cu) (net 38) (tstamp 59002A22)) + (via (at 252.476 171.958) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 38)) + (segment (start 253.111 174.33) (end 258.69428 174.33) (width 2) (layer F.Cu) (net 38)) + (segment (start 258.69428 174.33) (end 258.90728 174.117) (width 2) (layer F.Cu) (net 38) (tstamp 58FEA2BB)) + (segment (start 257.537307 180.993693) (end 255.374693 180.993693) (width 2) (layer F.Cu) (net 39)) + (segment (start 255.374693 180.993693) (end 253.111 178.73) (width 2) (layer F.Cu) (net 39) (tstamp 58FEA2B8)) + (segment (start 265.557 171.81576) (end 268.08176 171.81576) (width 0.5) (layer F.Cu) (net 40)) + (segment (start 272.288 176.022) (end 272.542 176.022) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB62)) + (segment (start 268.08176 171.81576) (end 272.288 176.022) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB60)) + (segment (start 279.273 178.193) (end 279.896 178.193) (width 0.5) (layer F.Cu) (net 40)) + (segment (start 279.896 178.193) (end 280.543 177.546) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB49)) + (segment (start 277.302 176.827) (end 277.907 176.827) (width 0.5) (layer F.Cu) (net 40)) + (segment (start 277.907 176.827) (end 279.273 178.193) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB46)) + (segment (start 271.653 176.542) (end 272.022 176.542) (width 0.5) (layer F.Cu) (net 40)) + (segment (start 272.022 176.542) (end 272.542 176.022) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB40)) + (segment (start 272.542 176.022) (end 273.685 174.879) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB65)) + (segment (start 277.302 175.575) (end 277.302 176.827) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB43)) + (segment (start 276.606 174.879) (end 277.302 175.575) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB42)) + (segment (start 273.685 174.879) (end 276.606 174.879) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB41)) + (segment (start 265.557 171.81576) (end 266.81176 171.81576) (width 0.5) (layer F.Cu) (net 40)) + (segment (start 271.538 176.542) (end 271.653 176.542) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB3D)) + (segment (start 280.543 176.329) (end 280.543 177.546) (width 0.5) (layer F.Cu) (net 40)) + (segment (start 280.543 177.546) (end 280.543 177.661) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FEAB4C)) + (segment (start 280.543 177.661) (end 280.936 178.054) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FE24C0)) + (segment (start 280.92076 178.03876) (end 280.936 178.054) (width 0.5) (layer F.Cu) (net 40) (tstamp 58FE24B8)) + (segment (start 276.352 179.027) (end 276.352 181.651) (width 0.5) (layer F.Cu) (net 41)) + (segment (start 276.352 181.651) (end 276.692 181.991) (width 0.5) (layer F.Cu) (net 41) (tstamp 58FEAB77)) + (segment (start 276.352 179.027) (end 276.352 179.451) (width 0.5) (layer F.Cu) (net 41)) + (segment (start 276.352 179.451) (end 275.705 180.098) (width 0.5) (layer F.Cu) (net 41) (tstamp 58FEAB4E)) + (segment (start 275.705 180.098) (end 274.193 180.098) (width 0.5) (layer F.Cu) (net 41) (tstamp 58FEAB4F)) + (segment (start 271.653 180.098) (end 269.078 180.098) (width 0.5) (layer F.Cu) (net 42)) + (segment (start 269.078 180.098) (end 269.047 180.129) (width 0.5) (layer F.Cu) (net 42) (tstamp 58FE24C5)) + (segment (start 271.653 178.042) (end 271.653 180.098) (width 0.5) (layer F.Cu) (net 42)) + (segment (start 266.942 184.15) (end 267.97 184.15) (width 0.5) (layer F.Cu) (net 43)) + (segment (start 267.97 184.15) (end 268.097 184.023) (width 0.5) (layer F.Cu) (net 43) (tstamp 58FF5DEB)) + (segment (start 268.097 182.329) (end 268.097 184.023) (width 0.5) (layer F.Cu) (net 43)) + (segment (start 268.097 184.023) (end 268.224 184.15) (width 0.5) (layer F.Cu) (net 43) (tstamp 58FF5DE7)) + (segment (start 268.224 184.15) (end 268.224 185.844) (width 0.5) (layer F.Cu) (net 43) (tstamp 58FF5DE8)) + (segment (start 280.99 171.534) (end 280.99 172.982) (width 0.5) (layer F.Cu) (net 44)) + (segment (start 280.99 172.982) (end 280.543 173.429) (width 0.5) (layer F.Cu) (net 44) (tstamp 58FE24CA)) + (segment (start 278.892 168.486) (end 281.092 168.486) (width 0.5) (layer F.Cu) (net 45)) + (segment (start 281.092 168.486) (end 281.94 169.334) (width 0.5) (layer F.Cu) (net 45) (tstamp 58FF5F1E)) + (segment (start 281.94 169.334) (end 281.94 167.144) (width 0.5) (layer F.Cu) (net 45)) + (segment (start 281.94 167.144) (end 281.952 167.132) (width 0.5) (layer F.Cu) (net 45) (tstamp 58FF5F1B)) + (segment (start 298.316346 187.187426) (end 298.316346 187.165946) (width 0.18) (layer F.Cu) (net 46)) + (segment (start 298.316346 187.165946) (end 297.3832 186.2328) (width 0.18) (layer F.Cu) (net 46)) + (segment (start 297.3832 186.2328) (end 294.7924 186.2328) (width 0.18) (layer F.Cu) (net 46)) + (segment (start 294.7924 186.2328) (end 294.1701 185.6105) (width 0.18) (layer F.Cu) (net 46)) + (segment (start 294.1701 185.6105) (end 294.1701 183.6547) (width 0.18) (layer F.Cu) (net 46)) + (segment (start 294.1701 183.6547) (end 296.3418 181.483) (width 0.18) (layer F.Cu) (net 46)) + (segment (start 296.3418 181.483) (end 296.3418 179.6422) (width 0.18) (layer F.Cu) (net 46)) + (segment (start 296.3418 179.6422) (end 296.926 179.058) (width 0.18) (layer F.Cu) (net 46)) + (segment (start 296.926 179.058) (end 297.912 179.058) (width 0.18) (layer F.Cu) (net 46)) + (segment (start 297.912 179.058) (end 298.516 179.662) (width 0.18) (layer F.Cu) (net 46) (tstamp 58FF65F0)) + (segment (start 279.842 188.68) (end 280.054 188.68) (width 0.5) (layer F.Cu) (net 47)) + (segment (start 280.054 188.68) (end 280.416 188.318) (width 0.5) (layer F.Cu) (net 47)) + (segment (start 278.626 188.214) (end 279.376 188.214) (width 0.5) (layer F.Cu) (net 47)) + (segment (start 279.376 188.214) (end 279.842 188.68) (width 0.5) (layer F.Cu) (net 47)) + (segment (start 279.842 188.68) (end 279.842 190.162) (width 0.5) (layer F.Cu) (net 47)) + (segment (start 279.5905 184.8358) (end 279.5905 184.8485) (width 0.18) (layer F.Cu) (net 47)) + (segment (start 279.5905 184.8485) (end 280.416 185.674) (width 0.18) (layer F.Cu) (net 47) (tstamp 58FF6BEF)) + (segment (start 280.416 185.674) (end 280.416 188.318) (width 0.18) (layer F.Cu) (net 47) (tstamp 58FF6BF0)) + (segment (start 298.046938 187.456834) (end 298.035734 187.456834) (width 0.18) (layer F.Cu) (net 47)) + (segment (start 298.035734 187.456834) (end 297.307 186.7281) (width 0.18) (layer F.Cu) (net 47) (tstamp 58FF6573)) + (segment (start 297.307 186.7281) (end 290.3601 186.7281) (width 0.18) (layer F.Cu) (net 47) (tstamp 58FF6574)) + (segment (start 290.3601 186.7281) (end 290.3474 186.7154) (width 0.18) (layer F.Cu) (net 47) (tstamp 58FF6576)) + (via (at 290.3474 186.7154) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 47)) + (segment (start 290.3474 186.7154) (end 288.4551 188.6077) (width 0.18) (layer B.Cu) (net 47) (tstamp 58FF6578)) + (segment (start 288.4551 188.6077) (end 282.8671 188.6077) (width 0.18) (layer B.Cu) (net 47) (tstamp 58FF6579)) + (segment (start 282.8671 188.6077) (end 279.5905 185.3311) (width 0.18) (layer B.Cu) (net 47) (tstamp 58FF657B)) + (segment (start 279.5905 185.3311) (end 279.5905 184.8358) (width 0.18) (layer B.Cu) (net 47) (tstamp 58FF657D)) + (via (at 279.5905 184.8358) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 47)) + (segment (start 279.5905 184.8358) (end 279.4127 184.658) (width 0.18) (layer F.Cu) (net 47) (tstamp 58FF6580)) + (segment (start 279.4127 184.658) (end 278.499 184.658) (width 0.18) (layer F.Cu) (net 47) (tstamp 58FF6581)) + (segment (start 307.6702 180.3019) (end 307.6702 179.3822) (width 0.18) (layer F.Cu) (net 48)) + (segment (start 307.6702 179.3822) (end 306.766 178.478) (width 0.18) (layer F.Cu) (net 48) (tstamp 58FF6C2C)) + (segment (start 305.478 157.038) (end 304.104 157.038) (width 0.18) (layer F.Cu) (net 48)) + (segment (start 304.104 157.038) (end 304.038 157.104) (width 0.18) (layer F.Cu) (net 48) (tstamp 58FF6BDF)) + (segment (start 304.038 157.104) (end 304.038 161.29) (width 0.18) (layer F.Cu) (net 48) (tstamp 58FF6BE0)) + (segment (start 304.038 161.29) (end 298.196 161.29) (width 0.18) (layer In2.Cu) (net 48)) + (segment (start 298.196 161.29) (end 295.2877 164.1983) (width 0.18) (layer In2.Cu) (net 48) (tstamp 58FF6B1D)) + (segment (start 295.2877 164.1983) (end 295.2877 167.767) (width 0.18) (layer In2.Cu) (net 48) (tstamp 58FF6B1F)) + (segment (start 305.478 161.356) (end 304.104 161.356) (width 0.18) (layer F.Cu) (net 48)) + (segment (start 304.104 161.356) (end 304.038 161.29) (width 0.18) (layer F.Cu) (net 48) (tstamp 58FF6B19)) + (via (at 304.038 161.29) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 48)) + (segment (start 307.6702 180.3019) (end 307.6702 180.1495) (width 0.18) (layer In2.Cu) (net 48)) + (segment (start 307.6702 180.1495) (end 295.2877 167.767) (width 0.18) (layer In2.Cu) (net 48) (tstamp 58FF6AAF)) + (segment (start 295.2877 167.767) (end 295.2877 165.2143) (width 0.18) (layer F.Cu) (net 48)) + (segment (start 295.2877 165.2143) (end 295.402 165.1) (width 0.18) (layer F.Cu) (net 48) (tstamp 58FF6AA4)) + (segment (start 308.93 180.424) (end 307.7923 180.424) (width 0.18) (layer F.Cu) (net 48)) + (segment (start 307.7923 180.424) (end 307.6702 180.3019) (width 0.18) (layer F.Cu) (net 48) (tstamp 58FF6A9B)) + (via (at 307.6702 180.3019) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 48)) + (via (at 295.2877 167.767) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 48)) + (segment (start 308.93 180.424) (end 308.93 181.918) (width 0.5) (layer F.Cu) (net 48)) + (segment (start 308.93 181.918) (end 309.384 182.372) (width 0.5) (layer F.Cu) (net 48) (tstamp 58FF6A75)) + (segment (start 305.8414 196.8627) (end 305.8414 197.286964) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 305.8414 197.286964) (end 305.8668 197.312364) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 305.8668 197.312364) (end 305.8668 197.488302) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 305.8668 197.488302) (end 306.727098 198.3486) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 306.727098 198.3486) (end 308.7624 198.3486) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 308.7624 198.3486) (end 315.11799 191.99301) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 315.11799 191.99301) (end 315.11799 189.38799) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 315.11799 189.38799) (end 313.1947 187.4647) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 313.1947 187.4647) (end 313.1947 173.3804) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 313.1947 173.3804) (end 309.88 170.0657) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 309.88 170.0657) (end 309.88 170.053) (width 0.18) (layer In2.Cu) (net 49)) + (segment (start 308.864 169.926) (end 308.864 169.164) (width 0.18) (layer F.Cu) (net 49)) + (segment (start 308.864 169.164) (end 309.372 168.656) (width 0.18) (layer F.Cu) (net 49) (tstamp 58FF6B11)) + (segment (start 309.372 168.656) (end 309.372 164) (width 0.18) (layer F.Cu) (net 49) (tstamp 58FF6B13)) + (segment (start 309.372 164) (end 307.678 162.306) (width 0.18) (layer F.Cu) (net 49) (tstamp 58FF6B14)) + (segment (start 309.88 170.053) (end 308.991 170.053) (width 0.18) (layer F.Cu) (net 49)) + (segment (start 308.991 170.053) (end 308.864 169.926) (width 0.18) (layer F.Cu) (net 49)) + (via (at 309.88 170.053) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 49)) + (segment (start 306.265664 196.8627) (end 305.8414 196.8627) (width 0.18) (layer F.Cu) (net 49)) + (segment (start 307.452804 196.8627) (end 306.265664 196.8627) (width 0.18) (layer F.Cu) (net 49)) + (segment (start 308.859166 198.269062) (end 307.452804 196.8627) (width 0.18) (layer F.Cu) (net 49)) + (via (at 305.8414 196.8627) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 49)) + (segment (start 310.0705 176.2379) (end 311.5945 177.7619) (width 0.18) (layer In2.Cu) (net 50)) + (segment (start 311.5945 177.7619) (end 311.5945 187.5155) (width 0.18) (layer In2.Cu) (net 50)) + (segment (start 311.5945 187.5155) (end 313.563 189.484) (width 0.18) (layer In2.Cu) (net 50)) + (segment (start 313.563 189.484) (end 313.563 190.881) (width 0.18) (layer In2.Cu) (net 50)) + (segment (start 313.563 190.881) (end 311.1119 193.3321) (width 0.18) (layer In2.Cu) (net 50)) + (segment (start 311.1119 193.3321) (end 309.5371 193.3321) (width 0.18) (layer In2.Cu) (net 50)) + (segment (start 309.5371 193.3321) (end 307.500299 195.368901) (width 0.18) (layer In2.Cu) (net 50)) + (segment (start 307.500299 195.368901) (end 307.2003 195.6689) (width 0.18) (layer In2.Cu) (net 50)) + (segment (start 309.128574 197.999654) (end 307.2003 196.07138) (width 0.18) (layer F.Cu) (net 50)) + (segment (start 307.2003 196.07138) (end 307.2003 195.6689) (width 0.18) (layer F.Cu) (net 50)) + (via (at 307.2003 195.6689) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 50)) + (segment (start 308.864 176.276) (end 308.864 177.208) (width 0.5) (layer F.Cu) (net 50)) + (segment (start 308.864 177.208) (end 309.88 178.224) (width 0.5) (layer F.Cu) (net 50) (tstamp 58FF6A72)) + (segment (start 310.0705 176.2379) (end 308.9021 176.2379) (width 0.18) (layer F.Cu) (net 50)) + (segment (start 308.9021 176.2379) (end 308.864 176.276) (width 0.18) (layer F.Cu) (net 50)) + (via (at 310.0705 176.2379) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 50)) + (segment (start 248.18975 171.22775) (end 248.18975 170.14825) (width 0.18) (layer B.Cu) (net 51)) + (segment (start 248.18975 170.14825) (end 250.19 168.148) (width 0.18) (layer B.Cu) (net 51) (tstamp 590029F5)) + (segment (start 250.19 168.148) (end 280.924 168.148) (width 0.18) (layer B.Cu) (net 51) (tstamp 590029F7)) + (segment (start 280.924 168.148) (end 283.718 170.942) (width 0.18) (layer B.Cu) (net 51) (tstamp 590029F9)) + (segment (start 283.718 170.942) (end 285.0642 170.942) (width 0.18) (layer B.Cu) (net 51) (tstamp 590029FB)) + (segment (start 285.0642 170.942) (end 285.297601 171.175401) (width 0.18) (layer B.Cu) (net 51) (tstamp 590029FD)) + (segment (start 304.602525 184.062297) (end 306.0573 182.607522) (width 0.18) (layer F.Cu) (net 51)) + (segment (start 306.0573 180.4924) (end 306.0573 182.062036) (width 0.18) (layer B.Cu) (net 51)) + (segment (start 290.6395 176.5173) (end 302.0822 176.5173) (width 0.18) (layer B.Cu) (net 51)) + (segment (start 302.0822 176.5173) (end 306.0573 180.4924) (width 0.18) (layer B.Cu) (net 51)) + (segment (start 285.297601 171.175401) (end 290.6395 176.5173) (width 0.18) (layer B.Cu) (net 51)) + (segment (start 247.9421 171.4754) (end 248.18975 171.22775) (width 0.18) (layer B.Cu) (net 51)) + (segment (start 248.18975 171.22775) (end 248.242099 171.175401) (width 0.18) (layer B.Cu) (net 51) (tstamp 590029F3)) + (segment (start 306.0573 182.607522) (end 306.0573 182.4863) (width 0.18) (layer F.Cu) (net 51)) + (segment (start 306.0573 182.062036) (end 306.0573 182.4863) (width 0.18) (layer B.Cu) (net 51)) + (via (at 306.0573 182.4863) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 51)) + (segment (start 246.464 167.828) (end 246.446 167.828) (width 0.5) (layer F.Cu) (net 51)) + (segment (start 246.446 167.828) (end 244.856 169.418) (width 0.5) (layer F.Cu) (net 51) (tstamp 58FF6BBD)) + (via (at 247.9421 171.4754) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 51)) + (segment (start 247.9421 171.4754) (end 247.4595 171.958) (width 0.18) (layer F.Cu) (net 51) (tstamp 58FF66BC)) + (segment (start 247.4595 171.958) (end 247.396 171.958) (width 0.18) (layer F.Cu) (net 51) (tstamp 58FF66BD)) + (segment (start 247.396 173.494) (end 247.396 171.958) (width 0.3) (layer F.Cu) (net 51)) + (segment (start 228.104 170.688) (end 227.076 171.716) (width 0.3) (layer F.Cu) (net 51) (tstamp 58FEA2E1)) + (segment (start 229.489 170.688) (end 228.104 170.688) (width 0.3) (layer F.Cu) (net 51) (tstamp 58FEA2DF)) + (segment (start 231.521 168.656) (end 229.489 170.688) (width 0.3) (layer F.Cu) (net 51) (tstamp 58FEA2DE)) + (segment (start 237.998 168.656) (end 231.521 168.656) (width 0.3) (layer F.Cu) (net 51) (tstamp 58FEA2DD)) + (segment (start 238.252 168.91) (end 237.998 168.656) (width 0.3) (layer F.Cu) (net 51) (tstamp 58FEA2DC)) + (segment (start 244.348 168.91) (end 238.252 168.91) (width 0.3) (layer F.Cu) (net 51) (tstamp 58FEA2DA)) + (segment (start 247.396 171.958) (end 244.856 169.418) (width 0.5) (layer F.Cu) (net 51) (tstamp 58FEA2D8)) + (segment (start 244.856 169.418) (end 244.348 168.91) (width 0.5) (layer F.Cu) (net 51) (tstamp 58FF6BC0)) + (segment (start 151.384 200.152) (end 154.686 200.152) (width 0.5) (layer F.Cu) (net 52)) + (via (at 154.686 200.152) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 52)) + (segment (start 148.844 200.152) (end 151.384 200.152) (width 0.5) (layer F.Cu) (net 52)) + (via (at 151.384 200.152) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 52)) + (segment (start 148.844 197.866) (end 148.844 200.152) (width 0.5) (layer F.Cu) (net 52)) + (via (at 148.844 200.152) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 52)) + (segment (start 148.844 195.834) (end 148.844 197.866) (width 0.5) (layer F.Cu) (net 52)) + (via (at 148.844 197.866) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 52)) + (segment (start 148.844 193.294) (end 148.844 195.834) (width 0.5) (layer F.Cu) (net 52)) + (via (at 148.844 195.834) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 52)) + (segment (start 153.67 195.37172) (end 149.39772 195.37172) (width 0.5) (layer F.Cu) (net 52)) + (segment (start 149.39772 195.37172) (end 148.844 194.818) (width 0.5) (layer F.Cu) (net 52) (tstamp 59002A5F)) + (segment (start 148.844 194.818) (end 148.844 193.294) (width 0.5) (layer F.Cu) (net 52) (tstamp 59002A60)) + (via (at 148.844 193.294) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 52)) + (segment (start 153.67 195.37172) (end 153.67 199.136) (width 1.5) (layer F.Cu) (net 52)) + (segment (start 154.686 200.152) (end 160.782 200.152) (width 1.5) (layer F.Cu) (net 52) (tstamp 58FBCA4C)) + (segment (start 153.67 199.136) (end 154.686 200.152) (width 1.5) (layer F.Cu) (net 52) (tstamp 58FBCA4B)) + (segment (start 159.766 197.78) (end 159.766 199.136) (width 1.5) (layer F.Cu) (net 52)) + (segment (start 159.766 199.136) (end 160.782 200.152) (width 1.5) (layer F.Cu) (net 52) (tstamp 58FBCA43)) + (segment (start 161.798 201.168) (end 161.798 203.962) (width 1.5) (layer F.Cu) (net 52) (tstamp 58FBCA44)) + (segment (start 160.782 200.152) (end 161.798 201.168) (width 1.5) (layer F.Cu) (net 52) (tstamp 58FBCA4F)) + (segment (start 153.67 195.37172) (end 154.98572 195.37172) (width 1.5) (layer F.Cu) (net 52)) + (segment (start 154.98572 195.37172) (end 157.394 197.78) (width 1.5) (layer F.Cu) (net 52) (tstamp 58FBCA3F)) + (segment (start 157.394 197.78) (end 159.766 197.78) (width 1.5) (layer F.Cu) (net 52) (tstamp 58FBCA40)) + (segment (start 307.678 157.988) (end 307.678 159.596) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 307.678 159.596) (end 309.118 161.036) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 310.896 171.45) (end 307.848 174.498) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 309.118 161.036) (end 309.118 162.56) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 309.118 162.56) (end 310.896 164.338) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 310.896 164.338) (end 310.896 171.45) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 307.848 174.498) (end 307.594 174.752) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 307.594 174.752) (end 307.594 172.974) (width 0.18) (layer In2.Cu) (net 53)) + (segment (start 307.594 172.974) (end 306.832 172.212) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69AC)) + (segment (start 306.832 172.212) (end 306.832 170.688) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69AE)) + (segment (start 306.832 170.688) (end 308.356 169.164) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69B0)) + (segment (start 308.356 169.164) (end 310.896 169.164) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69B2)) + (segment (start 310.896 169.164) (end 316.738 175.006) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69B4)) + (segment (start 316.738 175.006) (end 316.738 200.152) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69B6)) + (segment (start 316.738 200.152) (end 312.674 204.216) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69B8)) + (segment (start 312.674 204.216) (end 311.658 204.216) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69BA)) + (segment (start 311.658 204.216) (end 310.642 203.2) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69BC)) + (segment (start 310.642 203.2) (end 310.642 200.0123) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69BE)) + (segment (start 310.642 200.0123) (end 309.8292 199.1995) (width 0.18) (layer In2.Cu) (net 53) (tstamp 58FF69C0)) + (segment (start 307.594 176.276) (end 307.594 175.006) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 307.594 175.006) (end 307.594 174.752) (width 0.18) (layer F.Cu) (net 53) (tstamp 58FF69A7)) + (via (at 307.594 174.752) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 53)) + (segment (start 309.415942 197.712286) (end 309.434186 197.712286) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 309.434186 197.712286) (end 310.1467 198.4248) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 310.1467 198.4248) (end 310.1467 198.882) (width 0.18) (layer F.Cu) (net 53)) + (segment (start 310.1467 198.882) (end 309.8292 199.1995) (width 0.18) (layer F.Cu) (net 53)) + (via (at 309.8292 199.1995) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 53)) + (segment (start 307.1114 182.0418) (end 311.023 185.9534) (width 0.18) (layer In2.Cu) (net 54)) + (segment (start 311.023 185.9534) (end 311.023 192.1891) (width 0.18) (layer In2.Cu) (net 54)) + (segment (start 311.023 192.1891) (end 310.4261 192.786) (width 0.18) (layer In2.Cu) (net 54)) + (segment (start 310.4261 192.786) (end 308.2544 192.786) (width 0.18) (layer In2.Cu) (net 54)) + (segment (start 308.2544 192.786) (end 306.1589 194.8815) (width 0.18) (layer In2.Cu) (net 54)) + (segment (start 313.5708 204.6527) (end 314.9473 204.6527) (width 0.18) (layer F.Cu) (net 54)) + (segment (start 314.9473 204.6527) (end 314.96 204.64) (width 0.18) (layer F.Cu) (net 54) (tstamp 58FF6CB0)) + (segment (start 312.7629 205.4606) (end 311.6707 205.4606) (width 0.18) (layer In2.Cu) (net 54)) + (segment (start 311.6707 205.4606) (end 304.7746 198.5645) (width 0.18) (layer In2.Cu) (net 54) (tstamp 58FF6C73)) + (segment (start 304.7746 198.5645) (end 304.7746 196.2531) (width 0.18) (layer In2.Cu) (net 54) (tstamp 58FF6C75)) + (segment (start 304.7746 196.2531) (end 306.1589 194.8688) (width 0.18) (layer In2.Cu) (net 54) (tstamp 58FF6C77)) + (segment (start 306.1589 194.8688) (end 306.1589 194.8815) (width 0.18) (layer In2.Cu) (net 54) (tstamp 58FF6C7A)) + (segment (start 313.5708 204.6527) (end 312.7629 205.4606) (width 0.18) (layer F.Cu) (net 54) (tstamp 58FF6C6E)) + (via (at 312.7629 205.4606) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 54)) + (segment (start 306.1589 194.8815) (end 306.0446 194.9958) (width 0.18) (layer In2.Cu) (net 54) (tstamp 58FF6C7B)) + (segment (start 306.0446 194.9958) (end 306.0446 195.7578) (width 0.18) (layer In2.Cu) (net 54)) + (segment (start 306.0446 195.7578) (end 306.9717 196.6849) (width 0.18) (layer In2.Cu) (net 54)) + (segment (start 306.9717 196.6849) (end 308.2163 196.6849) (width 0.18) (layer In2.Cu) (net 54)) + (segment (start 308.2163 196.6849) (end 308.4449 196.4563) (width 0.18) (layer In2.Cu) (net 54)) + (segment (start 305.816 180.678) (end 305.816 180.7464) (width 0.18) (layer F.Cu) (net 54)) + (segment (start 305.816 180.7464) (end 307.1114 182.0418) (width 0.18) (layer F.Cu) (net 54) (tstamp 58FF6C40)) + (via (at 307.1114 182.0418) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 54)) + (segment (start 308.734693 196.4563) (end 308.4449 196.4563) (width 0.18) (layer F.Cu) (net 54)) + (segment (start 309.703311 197.424918) (end 308.734693 196.4563) (width 0.18) (layer F.Cu) (net 54)) + (via (at 308.4449 196.4563) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 54)) + (segment (start 296.43 167.132) (end 296.43 169.83) (width 0.5) (layer F.Cu) (net 55)) + (segment (start 296.43 169.83) (end 296.334 169.926) (width 0.5) (layer F.Cu) (net 55) (tstamp 58FF6E5D)) + (segment (start 296.672 165.1) (end 296.672 166.116) (width 0.5) (layer F.Cu) (net 55)) + (segment (start 296.672 166.116) (end 296.43 166.358) (width 0.5) (layer F.Cu) (net 55) (tstamp 58FF6E59)) + (segment (start 296.43 166.358) (end 296.43 167.132) (width 0.5) (layer F.Cu) (net 55) (tstamp 58FF6E5A)) + (segment (start 300.439 204.79) (end 300.439 204.3) (width 0.18) (layer F.Cu) (net 56)) + (segment (start 299.4152 201.714217) (end 300.022594 201.106823) (width 0.18) (layer F.Cu) (net 56) (tstamp 58FF4A09) (status 20)) + (segment (start 299.4152 202.4634) (end 299.4152 201.714217) (width 0.18) (layer F.Cu) (net 56) (tstamp 58FF4A08)) + (segment (start 300.0502 203.0984) (end 299.4152 202.4634) (width 0.18) (layer F.Cu) (net 56) (tstamp 58FF4A07)) + (segment (start 300.0502 203.9112) (end 300.0502 203.0984) (width 0.18) (layer F.Cu) (net 56) (tstamp 58FF4A06)) + (segment (start 300.439 204.3) (end 300.0502 203.9112) (width 0.18) (layer F.Cu) (net 56) (tstamp 58FF4A05)) + (segment (start 300.439 204.79) (end 301.564 204.79) (width 0.5) (layer F.Cu) (net 56)) + (segment (start 304.28 207.506) (end 304.28 208.534) (width 0.5) (layer F.Cu) (net 56) (tstamp 58FF419D)) + (segment (start 301.564 204.79) (end 304.28 207.506) (width 0.5) (layer F.Cu) (net 56) (tstamp 58FF419C)) + (segment (start 303.784 210.883) (end 303.784 209.931) (width 0.5) (layer F.Cu) (net 56) (status 10)) + (segment (start 304.28 209.435) (end 304.28 208.534) (width 0.5) (layer F.Cu) (net 56) (tstamp 58FE8FF0)) + (segment (start 303.784 209.931) (end 304.28 209.435) (width 0.5) (layer F.Cu) (net 56) (tstamp 58FE8FEF)) + (segment (start 300.439 206.69) (end 299.781 206.69) (width 0.18) (layer F.Cu) (net 57)) + (segment (start 299.0342 201.520481) (end 299.735226 200.819455) (width 0.18) (layer F.Cu) (net 57) (tstamp 58FF49E8) (status 20)) + (segment (start 299.0342 202.692) (end 299.0342 201.520481) (width 0.18) (layer F.Cu) (net 57) (tstamp 58FF49E7)) + (segment (start 299.5676 203.2254) (end 299.0342 202.692) (width 0.18) (layer F.Cu) (net 57) (tstamp 58FF49E6)) + (segment (start 299.5676 206.4766) (end 299.5676 203.2254) (width 0.18) (layer F.Cu) (net 57) (tstamp 58FF49E5)) + (segment (start 299.781 206.69) (end 299.5676 206.4766) (width 0.18) (layer F.Cu) (net 57) (tstamp 58FF49E4)) + (segment (start 300.439 206.69) (end 300.439 207.307) (width 0.5) (layer F.Cu) (net 57)) + (segment (start 300.113 207.633) (end 300.113 208.534) (width 0.5) (layer F.Cu) (net 57) (tstamp 58FF4190)) + (segment (start 300.439 207.307) (end 300.113 207.633) (width 0.5) (layer F.Cu) (net 57) (tstamp 58FF418F)) + (segment (start 301.244 210.883) (end 301.244 210.185) (width 0.5) (layer F.Cu) (net 57) (status 30)) + (segment (start 301.244 210.185) (end 300.863 209.804) (width 0.5) (layer F.Cu) (net 57) (tstamp 58FE8FDE) (status 10)) + (segment (start 300.863 209.804) (end 300.863 209.55) (width 0.5) (layer F.Cu) (net 57) (tstamp 58FE8FDF)) + (segment (start 300.863 209.55) (end 300.113 208.8) (width 0.5) (layer F.Cu) (net 57) (tstamp 58FE8FE0)) + (segment (start 300.113 208.8) (end 300.113 208.534) (width 0.5) (layer F.Cu) (net 57) (tstamp 58FE8FE1)) + (segment (start 298.239 206.69) (end 298.8716 206.69) (width 0.18) (layer F.Cu) (net 58)) + (segment (start 298.2976 201.143529) (end 299.17845 200.262679) (width 0.18) (layer F.Cu) (net 58) (tstamp 58FF49F4) (status 20)) + (segment (start 298.2976 203.3016) (end 298.2976 201.143529) (width 0.18) (layer F.Cu) (net 58) (tstamp 58FF49F2)) + (segment (start 299.085 204.089) (end 298.2976 203.3016) (width 0.18) (layer F.Cu) (net 58) (tstamp 58FF49F0)) + (segment (start 299.085 206.4766) (end 299.085 204.089) (width 0.18) (layer F.Cu) (net 58) (tstamp 58FF49EF)) + (segment (start 298.8716 206.69) (end 299.085 206.4766) (width 0.18) (layer F.Cu) (net 58) (tstamp 58FF49EE)) + (segment (start 298.239 206.69) (end 298.239 207.053) (width 0.5) (layer F.Cu) (net 58)) + (segment (start 298.565 207.379) (end 298.565 208.534) (width 0.5) (layer F.Cu) (net 58) (tstamp 58FF4194)) + (segment (start 298.239 207.053) (end 298.565 207.379) (width 0.5) (layer F.Cu) (net 58) (tstamp 58FF4193)) + (segment (start 297.434 210.883) (end 297.434 210.185) (width 0.5) (layer F.Cu) (net 58) (status 30)) + (segment (start 297.434 210.185) (end 298.323 209.296) (width 0.5) (layer F.Cu) (net 58) (tstamp 58FE8FEA) (status 10)) + (segment (start 298.323 209.296) (end 298.323 208.776) (width 0.5) (layer F.Cu) (net 58) (tstamp 58FE8FEB)) + (segment (start 298.323 208.776) (end 298.565 208.534) (width 0.5) (layer F.Cu) (net 58) (tstamp 58FE8FEC)) + (segment (start 298.891082 199.975311) (end 298.891082 199.990318) (width 0.18) (layer F.Cu) (net 59) (status 30)) + (segment (start 298.891082 199.990318) (end 297.7896 201.0918) (width 0.18) (layer F.Cu) (net 59) (tstamp 58FF49FE) (status 10)) + (segment (start 297.7896 201.0918) (end 297.7896 203.7588) (width 0.18) (layer F.Cu) (net 59) (tstamp 58FF49FF)) + (segment (start 297.7896 203.7588) (end 298.239 204.2082) (width 0.18) (layer F.Cu) (net 59) (tstamp 58FF4A01)) + (segment (start 298.239 204.2082) (end 298.239 204.79) (width 0.18) (layer F.Cu) (net 59) (tstamp 58FF4A02)) + (segment (start 298.239 204.79) (end 297.368 204.79) (width 0.5) (layer F.Cu) (net 59)) + (segment (start 294.398 207.76) (end 294.398 208.534) (width 0.5) (layer F.Cu) (net 59) (tstamp 58FF41A1)) + (segment (start 297.368 204.79) (end 294.398 207.76) (width 0.5) (layer F.Cu) (net 59) (tstamp 58FF41A0)) + (segment (start 294.894 210.883) (end 294.894 209.804) (width 0.5) (layer F.Cu) (net 59) (status 10)) + (segment (start 294.398 209.308) (end 294.398 208.534) (width 0.5) (layer F.Cu) (net 59) (tstamp 58FE8FF4)) + (segment (start 294.894 209.804) (end 294.398 209.308) (width 0.5) (layer F.Cu) (net 59) (tstamp 58FE8FF3)) + (segment (start 312.7502 190.642242) (end 312.7502 190.251164) (width 0.18) (layer F.Cu) (net 60)) + (segment (start 311.966335 191.426107) (end 312.7502 190.642242) (width 0.18) (layer F.Cu) (net 60)) + (segment (start 313.771364 189.8269) (end 313.174464 189.8269) (width 0.18) (layer B.Cu) (net 60)) + (segment (start 316.738 186.860264) (end 313.771364 189.8269) (width 0.18) (layer B.Cu) (net 60)) + (segment (start 312.7502 190.251164) (end 312.7502 189.8269) (width 0.18) (layer F.Cu) (net 60)) + (segment (start 316.738 184.404) (end 316.738 186.860264) (width 0.18) (layer B.Cu) (net 60)) + (segment (start 315.468 183.134) (end 316.738 184.404) (width 0.18) (layer B.Cu) (net 60)) + (segment (start 313.174464 189.8269) (end 312.7502 189.8269) (width 0.18) (layer B.Cu) (net 60)) + (via (at 312.7502 189.8269) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 60)) + (segment (start 311.966335 191.426107) (end 311.976493 191.426107) (width 0.18) (layer F.Cu) (net 60)) + (segment (start 312.001893 191.426107) (end 311.966335 191.426107) (width 0.18) (layer F.Cu) (net 60) (tstamp 58FF605E)) + (segment (start 317.512 183.134) (end 315.468 183.134) (width 0.5) (layer F.Cu) (net 60)) + (segment (start 317.512 184.658) (end 318.008 184.658) (width 0.18) (layer F.Cu) (net 61)) + (segment (start 318.008 184.658) (end 318.262 184.912) (width 0.18) (layer F.Cu) (net 61) (tstamp 58FF604B)) + (segment (start 318.262 184.912) (end 318.262 187.198) (width 0.18) (layer F.Cu) (net 61) (tstamp 58FF604C)) + (segment (start 318.262 187.198) (end 314.198 191.262) (width 0.18) (layer F.Cu) (net 61) (tstamp 58FF604D)) + (segment (start 314.198 191.262) (end 313.81873 191.262) (width 0.18) (layer F.Cu) (net 61) (tstamp 58FF604F)) + (segment (start 313.81873 191.262) (end 312.810479 192.270251) (width 0.18) (layer F.Cu) (net 61) (tstamp 58FF6050)) + (segment (start 315.468 184.404) (end 317.258 184.404) (width 0.5) (layer F.Cu) (net 61)) + (segment (start 317.258 184.404) (end 317.512 184.658) (width 0.5) (layer F.Cu) (net 61) (tstamp 58FF603D)) + (segment (start 317.512 187.061994) (end 313.468781 191.105213) (width 0.18) (layer F.Cu) (net 62)) + (segment (start 317.512 186.182) (end 317.512 187.061994) (width 0.18) (layer F.Cu) (net 62)) + (segment (start 313.114676 191.391318) (end 312.523111 191.982883) (width 0.18) (layer F.Cu) (net 62)) + (segment (start 313.400781 191.105213) (end 313.114676 191.391318) (width 0.18) (layer F.Cu) (net 62)) + (segment (start 313.468781 191.105213) (end 313.400781 191.105213) (width 0.18) (layer F.Cu) (net 62)) + (segment (start 315.468 185.674) (end 316.23 185.674) (width 0.5) (layer F.Cu) (net 62)) + (segment (start 316.23 185.674) (end 316.738 186.182) (width 0.5) (layer F.Cu) (net 62) (tstamp 58FF6040)) + (segment (start 316.738 186.182) (end 317.512 186.182) (width 0.5) (layer F.Cu) (net 62) (tstamp 58FF6041)) + (segment (start 312.2422 203.073) (end 312.2422 202.9714) (width 0.18) (layer In2.Cu) (net 63)) + (segment (start 312.2422 202.9714) (end 315.7601 199.4535) (width 0.18) (layer In2.Cu) (net 63)) + (segment (start 315.7601 199.4535) (end 315.7601 187.2361) (width 0.18) (layer In2.Cu) (net 63)) + (segment (start 315.7601 187.2361) (end 315.468 186.944) (width 0.18) (layer In2.Cu) (net 63)) + (segment (start 311.392 203.962) (end 311.392 203.9232) (width 0.18) (layer F.Cu) (net 63)) + (segment (start 311.392 203.9232) (end 312.2422 203.073) (width 0.18) (layer F.Cu) (net 63) (tstamp 58FF63C3)) + (via (at 312.2422 203.073) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 63)) + (segment (start 313.0042 187.579) (end 313.0042 180.7464) (width 0.18) (layer F.Cu) (net 64)) + (segment (start 313.323802 190.755202) (end 314.0964 189.982604) (width 0.18) (layer F.Cu) (net 64)) + (segment (start 314.0964 189.982604) (end 314.0964 188.6712) (width 0.18) (layer F.Cu) (net 64)) + (segment (start 312.253703 191.713475) (end 313.211976 190.755202) (width 0.18) (layer F.Cu) (net 64)) + (segment (start 313.211976 190.755202) (end 313.323802 190.755202) (width 0.18) (layer F.Cu) (net 64)) + (segment (start 313.0042 180.7464) (end 313.3344 180.4162) (width 0.18) (layer F.Cu) (net 64)) + (segment (start 315.468 181.121538) (end 315.468 181.864) (width 0.18) (layer F.Cu) (net 64)) + (segment (start 314.762662 180.4162) (end 315.468 181.121538) (width 0.18) (layer F.Cu) (net 64)) + (segment (start 314.0964 188.6712) (end 313.0042 187.579) (width 0.18) (layer F.Cu) (net 64)) + (segment (start 313.3344 180.4162) (end 314.762662 180.4162) (width 0.18) (layer F.Cu) (net 64)) + (segment (start 317.512 181.61) (end 315.722 181.61) (width 0.5) (layer F.Cu) (net 64)) + (segment (start 315.722 181.61) (end 315.468 181.864) (width 0.5) (layer F.Cu) (net 64) (tstamp 58FF6037)) + (segment (start 290.322 200.406) (end 289.6489 200.406) (width 0.18) (layer F.Cu) (net 65)) + (segment (start 289.6489 200.406) (end 288.2773 199.0344) (width 0.18) (layer F.Cu) (net 65)) + (segment (start 288.2773 199.0344) (end 288.2773 192.1891) (width 0.18) (layer F.Cu) (net 65)) + (segment (start 288.2773 192.1891) (end 290.068 190.3984) (width 0.18) (layer F.Cu) (net 65)) + (segment (start 290.068 190.3984) (end 293.049958 190.3984) (width 0.18) (layer F.Cu) (net 65)) + (segment (start 293.049958 190.3984) (end 294.077665 191.426107) (width 0.18) (layer F.Cu) (net 65)) + (segment (start 290.322 205.486) (end 290.4744 205.486) (width 0.18) (layer F.Cu) (net 66)) + (segment (start 290.4744 205.486) (end 292.0619 203.8985) (width 0.18) (layer F.Cu) (net 66) (tstamp 58FF64C5)) + (segment (start 292.0619 203.8985) (end 292.0619 195.48937) (width 0.18) (layer F.Cu) (net 66) (tstamp 58FF64C6)) + (segment (start 292.0619 195.48937) (end 293.233521 194.317749) (width 0.18) (layer F.Cu) (net 66) (tstamp 58FF64C8)) + (segment (start 290.322 208.026) (end 290.322 207.7212) (width 0.18) (layer F.Cu) (net 67)) + (segment (start 290.322 207.7212) (end 292.5191 205.5241) (width 0.18) (layer F.Cu) (net 67)) + (segment (start 292.5191 205.5241) (end 292.5191 195.606906) (width 0.18) (layer F.Cu) (net 67)) + (segment (start 292.5191 195.606906) (end 293.520889 194.605117) (width 0.18) (layer F.Cu) (net 67)) + (segment (start 288.8488 195.4784) (end 288.8488 198.8947) (width 0.18) (layer F.Cu) (net 68)) + (segment (start 288.8488 198.8947) (end 289.1028 199.1487) (width 0.18) (layer F.Cu) (net 68) (tstamp 58FF64B7)) + (segment (start 289.1028 199.1487) (end 291.0586 199.1487) (width 0.18) (layer F.Cu) (net 68) (tstamp 58FF64B8)) + (segment (start 291.0586 199.1487) (end 291.5666 199.6567) (width 0.18) (layer F.Cu) (net 68) (tstamp 58FF64B9)) + (segment (start 291.5666 199.6567) (end 291.5666 201.1172) (width 0.18) (layer F.Cu) (net 68) (tstamp 58FF64BA)) + (segment (start 291.5666 201.1172) (end 291.0078 201.676) (width 0.18) (layer F.Cu) (net 68) (tstamp 58FF64BB)) + (segment (start 291.0078 201.676) (end 289.5346 201.676) (width 0.18) (layer F.Cu) (net 68) (tstamp 58FF64BC)) + (segment (start 289.5346 201.676) (end 288.4932 202.7174) (width 0.18) (layer F.Cu) (net 68) (tstamp 58FF64BD)) + (segment (start 288.4932 202.7174) (end 288.4932 208.7372) (width 0.18) (layer F.Cu) (net 68) (tstamp 58FF64BF)) + (segment (start 288.4932 208.7372) (end 290.322 210.566) (width 0.18) (layer F.Cu) (net 68) (tstamp 58FF64C1)) + (segment (start 293.790297 191.713475) (end 293.783375 191.713475) (width 0.18) (layer F.Cu) (net 68)) + (segment (start 293.783375 191.713475) (end 292.8493 190.7794) (width 0.18) (layer F.Cu) (net 68)) + (segment (start 292.8493 190.7794) (end 290.4236 190.7794) (width 0.18) (layer F.Cu) (net 68)) + (segment (start 290.4236 190.7794) (end 288.8488 192.3542) (width 0.18) (layer F.Cu) (net 68)) + (segment (start 288.8488 192.3542) (end 288.8488 195.4784) (width 0.18) (layer F.Cu) (net 68)) + (segment (start 294.1066 203.1365) (end 294.3479 202.8952) (width 0.18) (layer B.Cu) (net 69)) + (segment (start 294.3479 202.8952) (end 300.9138 202.8952) (width 0.18) (layer B.Cu) (net 69)) + (segment (start 300.9138 202.8952) (end 302.387 201.422) (width 0.18) (layer B.Cu) (net 69)) + (segment (start 294.1066 203.1365) (end 294.1066 204.7875) (width 0.18) (layer F.Cu) (net 69)) + (segment (start 284.365 217.043) (end 282.956 218.452) (width 0.18) (layer F.Cu) (net 69) (tstamp 58FF6502)) + (segment (start 288.6329 217.043) (end 284.365 217.043) (width 0.18) (layer F.Cu) (net 69) (tstamp 58FF6500)) + (segment (start 291.9476 213.7283) (end 288.6329 217.043) (width 0.18) (layer F.Cu) (net 69) (tstamp 58FF64FE)) + (segment (start 291.9476 206.9465) (end 291.9476 213.7283) (width 0.18) (layer F.Cu) (net 69) (tstamp 58FF64FC)) + (segment (start 294.1066 204.7875) (end 291.9476 206.9465) (width 0.18) (layer F.Cu) (net 69) (tstamp 58FF64FA)) + (segment (start 296.053321 197.13755) (end 296.053321 197.151179) (width 0.18) (layer F.Cu) (net 69)) + (segment (start 296.053321 197.151179) (end 294.1066 199.0979) (width 0.18) (layer F.Cu) (net 69) (tstamp 58FF64ED)) + (segment (start 294.1066 199.0979) (end 294.1066 203.1365) (width 0.18) (layer F.Cu) (net 69) (tstamp 58FF64EE)) + (via (at 294.1066 203.1365) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 69)) + (segment (start 301.710883 202.795111) (end 301.725089 202.795111) (width 0.18) (layer F.Cu) (net 69)) + (segment (start 301.725089 202.795111) (end 302.514 202.0062) (width 0.18) (layer F.Cu) (net 69) (tstamp 58FF63B7)) + (segment (start 302.514 202.0062) (end 302.514 201.549) (width 0.18) (layer F.Cu) (net 69) (tstamp 58FF63B8)) + (segment (start 302.514 201.549) (end 302.387 201.422) (width 0.18) (layer F.Cu) (net 69) (tstamp 58FF63B9)) + (via (at 302.387 201.422) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 69)) + (segment (start 301.710883 202.795111) (end 301.725089 202.795111) (width 0.18) (layer F.Cu) (net 69) (status 30)) + (segment (start 295.8592 203.6699) (end 295.8592 203.8858) (width 0.18) (layer F.Cu) (net 70)) + (segment (start 295.8592 203.8858) (end 292.5445 207.2005) (width 0.18) (layer F.Cu) (net 70)) + (segment (start 292.5445 207.2005) (end 292.5445 214.4515) (width 0.18) (layer F.Cu) (net 70)) + (segment (start 292.5445 214.4515) (end 288.544 218.452) (width 0.18) (layer F.Cu) (net 70)) + (segment (start 296.628058 197.712286) (end 296.622514 197.712286) (width 0.18) (layer F.Cu) (net 70)) + (segment (start 296.622514 197.712286) (end 294.6654 199.6694) (width 0.18) (layer F.Cu) (net 70) (tstamp 58FF64D6)) + (segment (start 294.6654 199.6694) (end 294.6654 202.4761) (width 0.18) (layer F.Cu) (net 70) (tstamp 58FF64D7)) + (segment (start 294.6654 202.4761) (end 295.8592 203.6699) (width 0.18) (layer F.Cu) (net 70) (tstamp 58FF64D9)) + (via (at 295.8592 203.6699) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 70)) + (segment (start 295.8592 203.6699) (end 295.8719 203.6572) (width 0.18) (layer B.Cu) (net 70) (tstamp 58FF64DC)) + (segment (start 295.8719 203.6572) (end 300.6852 203.6572) (width 0.18) (layer B.Cu) (net 70) (tstamp 58FF64DD)) + (segment (start 301.154107 202.238335) (end 301.138865 202.238335) (width 0.18) (layer F.Cu) (net 70) (status 30)) + (segment (start 301.138865 202.238335) (end 300.482 202.8952) (width 0.18) (layer F.Cu) (net 70) (tstamp 58FF4A59) (status 10)) + (segment (start 300.482 202.8952) (end 300.482 203.454) (width 0.18) (layer F.Cu) (net 70) (tstamp 58FF4A5A)) + (segment (start 300.482 203.454) (end 300.6852 203.6572) (width 0.18) (layer F.Cu) (net 70) (tstamp 58FF4A5B)) + (via (at 300.6852 203.6572) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 70)) + (segment (start 301.244 219.393) (end 301.244 220.726) (width 0.3) (layer F.Cu) (net 71) (status 10)) + (segment (start 301.244 220.726) (end 299.466 222.504) (width 0.3) (layer F.Cu) (net 71) (tstamp 58FF3AF0)) + (segment (start 299.466 222.504) (end 299.466 223.012) (width 0.3) (layer F.Cu) (net 71) (tstamp 58FF3AF1)) + (segment (start 299.466 223.012) (end 299.466 222.631) (width 0.3) (layer F.Cu) (net 71)) + (segment (start 309.372 219.698) (end 309.372 225.044) (width 1) (layer F.Cu) (net 72)) + (segment (start 303.276 226.568) (end 303.276 225.552) (width 1) (layer F.Cu) (net 72) (tstamp 58FF3DFD)) + (segment (start 304.546 227.838) (end 303.276 226.568) (width 1) (layer F.Cu) (net 72) (tstamp 58FF3DFC)) + (segment (start 306.578 227.838) (end 304.546 227.838) (width 1) (layer F.Cu) (net 72) (tstamp 58FF3DFB)) + (segment (start 309.372 225.044) (end 306.578 227.838) (width 1) (layer F.Cu) (net 72) (tstamp 58FF3DFA)) + (segment (start 302.006 223.012) (end 302.006 223.139) (width 1) (layer B.Cu) (net 72)) + (segment (start 302.006 223.139) (end 302.514 223.647) (width 1) (layer B.Cu) (net 72) (tstamp 58FF3A3F)) + (segment (start 302.514 223.647) (end 302.514 224.79) (width 1) (layer B.Cu) (net 72) (tstamp 58FF3A40)) + (segment (start 302.514 224.79) (end 303.276 225.552) (width 1) (layer B.Cu) (net 72) (tstamp 58FF3A41)) + (segment (start 296.037 223.52) (end 296.037 221.869) (width 0.3) (layer F.Cu) (net 73)) + (segment (start 296.037 223.52) (end 296.037 226.568) (width 0.3) (layer F.Cu) (net 73) (tstamp 58FF3A30)) + (segment (start 296.037 226.568) (end 297.307 227.838) (width 0.3) (layer F.Cu) (net 73) (tstamp 58FF3A32)) + (segment (start 297.307 227.838) (end 300.355 227.838) (width 0.3) (layer F.Cu) (net 73) (tstamp 58FF3A33)) + (segment (start 300.355 227.838) (end 302.006 226.187) (width 0.3) (layer F.Cu) (net 73) (tstamp 58FF3A35)) + (segment (start 302.006 226.187) (end 302.006 224.917) (width 0.3) (layer F.Cu) (net 73) (tstamp 58FF3A37)) + (segment (start 302.006 224.917) (end 303.911 223.012) (width 0.3) (layer F.Cu) (net 73) (tstamp 58FF3A38)) + (segment (start 304.546 223.012) (end 303.911 223.012) (width 0.3) (layer F.Cu) (net 73) (tstamp 58FF3A39)) + (segment (start 294.894 220.726) (end 294.894 219.393) (width 0.3) (layer F.Cu) (net 73) (tstamp 58FF3AE0) (status 20)) + (segment (start 296.037 221.869) (end 294.894 220.726) (width 0.3) (layer F.Cu) (net 73) (tstamp 58FF3ADF)) + (segment (start 307.086 223.012) (end 307.086 220.206) (width 1) (layer F.Cu) (net 74)) + (segment (start 307.086 220.206) (end 307.594 219.698) (width 1) (layer F.Cu) (net 74) (tstamp 58FF3DF7)) + (segment (start 307.086 223.012) (end 307.086 224.282) (width 1) (layer F.Cu) (net 74)) + (segment (start 307.086 224.282) (end 305.816 225.552) (width 1) (layer F.Cu) (net 74) (tstamp 58FF3A45)) + (segment (start 300.736 222.25) (end 301.371 221.615) (width 0.3) (layer F.Cu) (net 75)) + (segment (start 300.736 222.25) (end 300.736 223.647) (width 0.3) (layer F.Cu) (net 75) (tstamp 58FF3A16)) + (segment (start 300.736 223.647) (end 298.831 225.552) (width 0.3) (layer F.Cu) (net 75) (tstamp 58FF3A17)) + (segment (start 298.196 225.552) (end 298.831 225.552) (width 0.3) (layer F.Cu) (net 75) (tstamp 58FF3A18)) + (segment (start 303.784 221.234) (end 303.784 219.393) (width 0.3) (layer F.Cu) (net 75) (tstamp 58FF3AED) (status 20)) + (segment (start 303.403 221.615) (end 303.784 221.234) (width 0.3) (layer F.Cu) (net 75) (tstamp 58FF3AEC)) + (segment (start 301.371 221.615) (end 303.403 221.615) (width 0.3) (layer F.Cu) (net 75) (tstamp 58FF3AEB)) + (segment (start 296.672 223.774) (end 296.672 221.869) (width 0.3) (layer F.Cu) (net 76)) + (segment (start 296.672 223.774) (end 296.672 226.314) (width 0.3) (layer F.Cu) (net 76) (tstamp 58FF3A26)) + (segment (start 296.672 226.314) (end 297.434 227.076) (width 0.3) (layer F.Cu) (net 76) (tstamp 58FF3A28)) + (segment (start 297.434 227.076) (end 299.212 227.076) (width 0.3) (layer F.Cu) (net 76) (tstamp 58FF3A29)) + (segment (start 300.736 225.552) (end 299.212 227.076) (width 0.3) (layer F.Cu) (net 76) (tstamp 58FF3A2A)) + (segment (start 297.434 221.107) (end 297.434 219.393) (width 0.3) (layer F.Cu) (net 76) (tstamp 58FF3AE8) (status 20)) + (segment (start 296.672 221.869) (end 297.434 221.107) (width 0.3) (layer F.Cu) (net 76) (tstamp 58FF3AE7)) + (segment (start 256.782 192.024) (end 256.782 189.666) (width 0.5) (layer F.Cu) (net 77)) + (segment (start 256.782 189.666) (end 257.472 188.976) (width 0.5) (layer F.Cu) (net 77) (tstamp 58FF72C2)) + (segment (start 256.982 193.972) (end 256.982 192.224) (width 0.5) (layer F.Cu) (net 77)) + (segment (start 256.982 192.224) (end 256.782 192.024) (width 0.5) (layer F.Cu) (net 77) (tstamp 58FF72BF)) + (segment (start 261.3 193.972) (end 262.976 193.972) (width 0.5) (layer F.Cu) (net 78)) + (segment (start 262.976 193.972) (end 263.314 194.31) (width 0.5) (layer F.Cu) (net 78) (tstamp 58FF72C8)) + (segment (start 261.1 192.024) (end 261.1 193.772) (width 0.5) (layer F.Cu) (net 78)) + (segment (start 261.1 193.772) (end 261.3 193.972) (width 0.5) (layer F.Cu) (net 78) (tstamp 58FF72C5)) + (segment (start 306.3748 183.7817) (end 305.944201 184.212299) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 305.944201 184.212299) (end 298.324201 184.212299) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 298.324201 184.212299) (end 292.7985 189.738) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 268.0208 189.0268) (end 267.462 188.468) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 292.7985 189.738) (end 277.586302 189.738) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 277.586302 189.738) (end 277.332302 189.992) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 277.332302 189.992) (end 268.4653 189.992) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 268.4653 189.992) (end 268.0208 189.5475) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 268.0208 189.5475) (end 268.0208 189.0268) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 267.462 188.468) (end 263.906 188.468) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 263.906 188.468) (end 262.89 189.484) (width 0.18) (layer B.Cu) (net 79)) + (segment (start 306.596142 186.055914) (end 306.596142 186.049558) (width 0.18) (layer F.Cu) (net 79)) + (segment (start 306.596142 186.049558) (end 307.4162 185.2295) (width 0.18) (layer F.Cu) (net 79) (tstamp 58FF7337)) + (segment (start 307.4162 185.2295) (end 307.4162 184.8231) (width 0.18) (layer F.Cu) (net 79) (tstamp 58FF7338)) + (segment (start 307.4162 184.8231) (end 306.3748 183.7817) (width 0.18) (layer F.Cu) (net 79) (tstamp 58FF733A)) + (via (at 306.3748 183.7817) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 79)) + (segment (start 261.62 189.98) (end 262.394 189.98) (width 0.5) (layer F.Cu) (net 79)) + (segment (start 262.394 189.98) (end 262.89 189.484) (width 0.5) (layer F.Cu) (net 79) (tstamp 58FF731A)) + (via (at 262.89 189.484) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 79)) + (segment (start 259.672 189.926) (end 261.566 189.926) (width 0.5) (layer F.Cu) (net 79)) + (segment (start 261.566 189.926) (end 261.62 189.98) (width 0.5) (layer F.Cu) (net 79) (tstamp 58FF72D1)) + (segment (start 274.4597 189.3062) (end 272.1229 191.643) (width 0.18) (layer In2.Cu) (net 80)) + (segment (start 272.1229 191.643) (end 270.764 191.643) (width 0.18) (layer In2.Cu) (net 80)) + (segment (start 270.764 191.643) (end 270.3195 192.0875) (width 0.18) (layer In2.Cu) (net 80)) + (segment (start 270.3195 192.0875) (end 270.3195 193.7512) (width 0.18) (layer In2.Cu) (net 80)) + (segment (start 270.3195 193.7512) (end 269.3035 194.7672) (width 0.18) (layer In2.Cu) (net 80)) + (segment (start 269.3035 194.7672) (end 267.2588 194.7672) (width 0.18) (layer In2.Cu) (net 80)) + (segment (start 267.2588 194.7672) (end 265.684 196.342) (width 0.18) (layer In2.Cu) (net 80)) + (segment (start 307.0606 183.0959) (end 306.1589 183.0959) (width 0.18) (layer B.Cu) (net 80)) + (segment (start 306.1589 183.0959) (end 305.5239 183.7309) (width 0.18) (layer B.Cu) (net 80)) + (segment (start 305.5239 183.7309) (end 297.9293 183.7309) (width 0.18) (layer B.Cu) (net 80)) + (segment (start 297.9293 183.7309) (end 292.4302 189.23) (width 0.18) (layer B.Cu) (net 80)) + (segment (start 292.4302 189.23) (end 274.5359 189.23) (width 0.18) (layer B.Cu) (net 80)) + (segment (start 274.5359 189.23) (end 274.4597 189.3062) (width 0.18) (layer B.Cu) (net 80)) + (via (at 274.4597 189.3062) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 80)) + (segment (start 306.86555 186.325321) (end 306.879179 186.325321) (width 0.18) (layer F.Cu) (net 80)) + (segment (start 306.879179 186.325321) (end 307.9115 185.293) (width 0.18) (layer F.Cu) (net 80) (tstamp 58FF733F)) + (segment (start 307.9115 185.293) (end 307.9115 183.9468) (width 0.18) (layer F.Cu) (net 80) (tstamp 58FF7340)) + (segment (start 307.9115 183.9468) (end 307.0606 183.0959) (width 0.18) (layer F.Cu) (net 80) (tstamp 58FF7341)) + (via (at 307.0606 183.0959) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 80)) + (segment (start 265.514 195.26) (end 265.514 196.172) (width 0.5) (layer F.Cu) (net 80)) + (segment (start 265.514 196.172) (end 265.684 196.342) (width 0.5) (layer F.Cu) (net 80) (tstamp 58FF7320)) + (via (at 265.684 196.342) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 80)) + (segment (start 265.514 195.26) (end 267.008 195.26) (width 0.5) (layer F.Cu) (net 80)) + (segment (start 267.008 195.26) (end 267.462 194.806) (width 0.5) (layer F.Cu) (net 80) (tstamp 58FF72CE)) + (segment (start 311.122191 190.581963) (end 311.9501 189.754054) (width 0.18) (layer F.Cu) (net 81)) + (segment (start 311.9501 189.754054) (end 311.9501 188.9506) (width 0.18) (layer F.Cu) (net 81)) + (segment (start 311.9501 188.9506) (end 310.662599 187.663099) (width 0.18) (layer F.Cu) (net 81)) + (segment (start 310.662599 187.663099) (end 310.3626 187.3631) (width 0.18) (layer F.Cu) (net 81)) + (segment (start 299.466 178.7398) (end 308.0893 187.3631) (width 0.18) (layer In2.Cu) (net 81)) + (segment (start 299.466 173.7995) (end 299.466 178.7398) (width 0.18) (layer In2.Cu) (net 81)) + (segment (start 308.0893 187.3631) (end 309.938336 187.3631) (width 0.18) (layer In2.Cu) (net 81)) + (segment (start 309.938336 187.3631) (end 310.3626 187.3631) (width 0.18) (layer In2.Cu) (net 81)) + (via (at 310.3626 187.3631) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 81)) + (via (at 299.466 173.7995) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 81)) + (segment (start 299.466 173.7995) (end 298.4295 172.763) (width 0.18) (layer F.Cu) (net 81) (tstamp 58FF6786)) + (segment (start 298.4295 172.763) (end 298.384 172.763) (width 0.18) (layer F.Cu) (net 81) (tstamp 58FF6787)) + (segment (start 295.898 175.895) (end 296.502 175.895) (width 0.5) (layer F.Cu) (net 82)) + (segment (start 296.502 175.895) (end 297.434 174.963) (width 0.5) (layer F.Cu) (net 82) (tstamp 58FE2796)) + (segment (start 258.826 183.896) (end 259.842 182.88) (width 0.5) (layer B.Cu) (net 83)) + (segment (start 259.842 182.88) (end 263.652 182.88) (width 0.5) (layer B.Cu) (net 83) (tstamp 59002A0D)) + (segment (start 263.652 182.88) (end 265.049 181.483) (width 0.5) (layer B.Cu) (net 83) (tstamp 59002A0E)) + (segment (start 265.049 181.483) (end 265.049 178.308) (width 0.5) (layer B.Cu) (net 83) (tstamp 59002A0F)) + (segment (start 245.364 178.308) (end 248.92 178.308) (width 0.5) (layer B.Cu) (net 83)) + (segment (start 248.92 178.308) (end 254.508 183.896) (width 0.5) (layer B.Cu) (net 83) (tstamp 59002A03)) + (segment (start 254.508 183.896) (end 258.826 183.896) (width 0.5) (layer B.Cu) (net 83) (tstamp 59002A05)) + (segment (start 245.364 178.308) (end 240.792 173.736) (width 0.5) (layer B.Cu) (net 83)) + (segment (start 245.11 170.942) (end 245.109994 170.942) (width 0.5) (layer B.Cu) (net 83)) + (segment (start 240.792 173.736) (end 240.792 171.958) (width 0.5) (layer B.Cu) (net 83)) + (segment (start 240.792 171.958) (end 241.759494 170.990506) (width 0.5) (layer B.Cu) (net 83)) + (segment (start 241.759494 170.990506) (end 245.158506 170.990506) (width 0.5) (layer B.Cu) (net 83)) + (segment (start 245.158506 170.990506) (end 245.11 170.942) (width 0.5) (layer B.Cu) (net 83)) + (segment (start 244.982994 171.069) (end 245.109994 170.942) (width 0.5) (layer F.Cu) (net 83)) + (segment (start 244.209 171.069) (end 244.982994 171.069) (width 0.5) (layer F.Cu) (net 83)) + (via (at 245.109994 170.942) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 83)) + (segment (start 265.557 176.41824) (end 265.557 177.8) (width 0.5) (layer F.Cu) (net 83)) + (via (at 265.049 178.308) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 83)) + (segment (start 265.557 177.8) (end 265.049 178.308) (width 0.5) (layer F.Cu) (net 83) (tstamp 58FEA2CE)) + (segment (start 267.208 189.484) (end 268.224 190.5) (width 0.18) (layer B.Cu) (net 84)) + (segment (start 268.224 190.5) (end 300.603836 190.5) (width 0.18) (layer B.Cu) (net 84)) + (segment (start 300.603836 190.5) (end 300.870536 190.2333) (width 0.18) (layer B.Cu) (net 84)) + (segment (start 300.870536 190.2333) (end 301.2948 190.2333) (width 0.18) (layer B.Cu) (net 84)) + (segment (start 309.668901 192.146199) (end 303.207699 192.146199) (width 0.18) (layer In2.Cu) (net 84)) + (segment (start 303.207699 192.146199) (end 301.594799 190.533299) (width 0.18) (layer In2.Cu) (net 84)) + (segment (start 301.594799 190.533299) (end 301.2948 190.2333) (width 0.18) (layer In2.Cu) (net 84)) + (via (at 301.2948 190.2333) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 84)) + (segment (start 309.9689 191.8462) (end 309.668901 192.146199) (width 0.18) (layer In2.Cu) (net 84)) + (segment (start 310.834823 190.294594) (end 310.834706 190.294594) (width 0.18) (layer F.Cu) (net 84)) + (segment (start 310.834706 190.294594) (end 310.0451 191.0842) (width 0.18) (layer F.Cu) (net 84) (tstamp 58FF675F)) + (segment (start 310.0451 191.0842) (end 310.0451 191.77) (width 0.18) (layer F.Cu) (net 84) (tstamp 58FF6760)) + (segment (start 310.0451 191.77) (end 309.9689 191.8462) (width 0.18) (layer F.Cu) (net 84) (tstamp 58FF6762)) + (via (at 309.9689 191.8462) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 84)) + (segment (start 267.274 188.044) (end 267.274 189.418) (width 0.5) (layer F.Cu) (net 84)) + (segment (start 267.274 189.418) (end 267.208 189.484) (width 0.5) (layer F.Cu) (net 84) (tstamp 58FF5DF8)) + (via (at 267.208 189.484) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 84)) + (segment (start 309.2323 191.3509) (end 305.6763 191.3509) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 305.6763 191.3509) (end 301.244 186.9186) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 301.244 186.9186) (end 301.244 186.1185) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 291.4396 167.7162) (end 291.0078 167.7162) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 301.244 186.1185) (end 301.2313 186.1058) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 301.2313 186.1058) (end 301.2313 182.3339) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 301.2313 182.3339) (end 297.1546 178.2572) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 297.1546 178.2572) (end 297.1546 173.4312) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 297.1546 173.4312) (end 291.4396 167.7162) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 291.0078 167.7162) (end 290.2458 166.9542) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 290.2458 166.9542) (end 290.2458 165.7858) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 290.2458 165.7858) (end 286.258 161.798) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 286.258 161.798) (end 282.6004 161.798) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 282.6004 161.798) (end 279.9842 164.4142) (width 0.18) (layer In2.Cu) (net 85)) + (segment (start 279.9842 164.4142) (end 279.9842 164.8206) (width 0.18) (layer In2.Cu) (net 85)) + (via (at 279.9842 164.8206) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 85)) + (segment (start 279.9842 164.8206) (end 279.842 164.9628) (width 0.18) (layer F.Cu) (net 85) (tstamp 58FF675B)) + (segment (start 279.842 164.9628) (end 279.842 166.286) (width 0.18) (layer F.Cu) (net 85) (tstamp 58FF675C)) + (segment (start 310.547455 190.007226) (end 310.537874 190.007226) (width 0.18) (layer F.Cu) (net 85)) + (segment (start 310.537874 190.007226) (end 309.2323 191.3128) (width 0.18) (layer F.Cu) (net 85) (tstamp 58FF6736)) + (segment (start 309.2323 191.3128) (end 309.2323 191.3509) (width 0.18) (layer F.Cu) (net 85) (tstamp 58FF6737)) + (via (at 309.2323 191.3509) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 85)) + (segment (start 308.7243 190.5381) (end 305.8541 190.5381) (width 0.18) (layer In2.Cu) (net 86)) + (segment (start 305.8541 190.5381) (end 301.8409 186.5249) (width 0.18) (layer In2.Cu) (net 86)) + (segment (start 301.8409 186.5249) (end 301.8409 182.2069) (width 0.18) (layer In2.Cu) (net 86)) + (segment (start 301.8409 182.2069) (end 297.6499 178.0159) (width 0.18) (layer In2.Cu) (net 86)) + (segment (start 297.6499 178.0159) (end 297.6499 173.0375) (width 0.18) (layer In2.Cu) (net 86)) + (segment (start 297.6499 173.0375) (end 291.6555 167.0431) (width 0.18) (layer In2.Cu) (net 86)) + (segment (start 291.6555 167.0431) (end 291.5031 167.0431) (width 0.18) (layer In2.Cu) (net 86)) + (segment (start 291.5031 167.0431) (end 291.338 166.878) (width 0.18) (layer In2.Cu) (net 86)) + (segment (start 310.260086 189.719858) (end 310.260086 189.726214) (width 0.18) (layer F.Cu) (net 86)) + (segment (start 310.260086 189.726214) (end 309.4482 190.5381) (width 0.18) (layer F.Cu) (net 86) (tstamp 58FF6722)) + (segment (start 309.4482 190.5381) (end 308.7243 190.5381) (width 0.18) (layer F.Cu) (net 86) (tstamp 58FF6723)) + (via (at 308.7243 190.5381) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 86)) + (segment (start 291.272 168.699) (end 291.272 166.944) (width 0.5) (layer F.Cu) (net 86)) + (segment (start 291.272 166.944) (end 291.338 166.878) (width 0.5) (layer F.Cu) (net 86) (tstamp 58FF5F32)) + (via (at 291.338 166.878) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 86)) + (segment (start 290.437 172.847) (end 290.437 171.014) (width 0.5) (layer F.Cu) (net 87)) + (segment (start 290.437 171.014) (end 290.322 170.899) (width 0.5) (layer F.Cu) (net 87) (tstamp 58FF5F26)) + (segment (start 155.69 185.42) (end 155.69 188.44076) (width 0.7) (layer F.Cu) (net 88)) + (segment (start 155.69 188.44076) (end 155.97124 188.722) (width 0.7) (layer F.Cu) (net 88) (tstamp 58FBC4C7)) + (segment (start 148.844 224.536) (end 149.86 225.552) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 149.86 225.552) (end 149.86 228.092) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 149.86 228.092) (end 151.384 229.616) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 274.32 229.616) (end 277.622 226.314) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 277.622 226.314) (end 277.622 223.647) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 151.384 229.616) (end 274.32 229.616) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 277.622 223.647) (end 285.623 215.646) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 285.623 215.646) (end 288.6964 215.646) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 288.6964 215.646) (end 291.719 212.6234) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 291.719 212.6234) (end 311.2516 212.6234) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 311.2516 212.6234) (end 315.4426 208.4324) (width 0.5) (layer B.Cu) (net 89)) + (segment (start 315.91 206.84) (end 315.91 207.965) (width 0.5) (layer F.Cu) (net 89)) + (segment (start 315.91 207.965) (end 315.4426 208.4324) (width 0.5) (layer F.Cu) (net 89) (tstamp 58FF6CC2)) + (via (at 315.4426 208.4324) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 89)) + (segment (start 147.5 223.266) (end 147.574 223.266) (width 0.5) (layer F.Cu) (net 89)) + (segment (start 147.574 223.266) (end 148.844 224.536) (width 0.5) (layer F.Cu) (net 89) (tstamp 58FF66EC)) + (via (at 148.844 224.536) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 89)) + (segment (start 274.447 203.073) (end 274.447 204.671) (width 0.5) (layer F.Cu) (net 90)) + (segment (start 269.865695 205.349695) (end 265.375805 205.349695) (width 0.5) (layer F.Cu) (net 91)) + (segment (start 265.375805 205.349695) (end 265.3665 205.359) (width 0.5) (layer F.Cu) (net 91) (tstamp 58FBA862)) + (segment (start 283.2735 196.354) (end 283.2735 192.8495) (width 0.5) (layer F.Cu) (net 92)) + (segment (start 283.2735 192.8495) (end 287.528 188.595) (width 0.5) (layer F.Cu) (net 92) (tstamp 58FF5CB4)) + (segment (start 289.179 181.864) (end 290.957 181.864) (width 0.5) (layer F.Cu) (net 92) (tstamp 58FEABCA)) + (segment (start 288.671 182.372) (end 289.179 181.864) (width 0.5) (layer F.Cu) (net 92) (tstamp 58FEABC9)) + (segment (start 288.671 187.452) (end 288.671 182.372) (width 0.5) (layer F.Cu) (net 92) (tstamp 58FEABC8)) + (segment (start 287.528 188.595) (end 288.671 187.452) (width 0.5) (layer F.Cu) (net 92) (tstamp 58FEABC7)) + (segment (start 290.957 181.864) (end 291.719 181.864) (width 0.5) (layer F.Cu) (net 92)) + (segment (start 292.239 182.384) (end 292.862 182.384) (width 0.5) (layer F.Cu) (net 92) (tstamp 58FE275E)) + (segment (start 291.719 181.864) (end 292.239 182.384) (width 0.5) (layer F.Cu) (net 92) (tstamp 58FE275D)) + (segment (start 290.957 180.594) (end 291.719 180.594) (width 0.5) (layer F.Cu) (net 93)) + (segment (start 292.1 180.975) (end 292.874 180.975) (width 0.5) (layer F.Cu) (net 93) (tstamp 58FE2766)) + (segment (start 291.719 180.594) (end 292.1 180.975) (width 0.5) (layer F.Cu) (net 93) (tstamp 58FE2765)) + (segment (start 294.374 177.673) (end 294.804 177.673) (width 0.18) (layer F.Cu) (net 94)) + (segment (start 294.804 177.673) (end 295.8592 178.7282) (width 0.18) (layer F.Cu) (net 94)) + (segment (start 295.8592 178.7282) (end 295.8592 181.2036) (width 0.18) (layer F.Cu) (net 94)) + (segment (start 295.8592 181.2036) (end 293.1788 183.884) (width 0.18) (layer F.Cu) (net 94)) + (segment (start 293.1788 183.884) (end 292.862 183.884) (width 0.18) (layer F.Cu) (net 94)) + (segment (start 290.957 183.134) (end 291.465 183.134) (width 0.5) (layer F.Cu) (net 94)) + (segment (start 291.465 183.134) (end 292.215 183.884) (width 0.5) (layer F.Cu) (net 94) (tstamp 58FE2761)) + (segment (start 292.215 183.884) (end 292.862 183.884) (width 0.5) (layer F.Cu) (net 94) (tstamp 58FE2762)) + (segment (start 300.355 188.0362) (end 296.418 184.0992) (width 0.18) (layer In2.Cu) (net 95)) + (segment (start 296.418 184.0992) (end 296.418 183.117998) (width 0.18) (layer In2.Cu) (net 95)) + (segment (start 296.418 183.117998) (end 296.2656 182.965598) (width 0.18) (layer In2.Cu) (net 95)) + (segment (start 296.2656 182.965598) (end 296.2656 178.9176) (width 0.18) (layer In2.Cu) (net 95)) + (segment (start 296.2656 178.9176) (end 293.878 176.53) (width 0.18) (layer In2.Cu) (net 95)) + (segment (start 293.878 176.53) (end 293.878 172.382264) (width 0.18) (layer In2.Cu) (net 95)) + (segment (start 293.878 172.382264) (end 293.878 171.958) (width 0.18) (layer In2.Cu) (net 95)) + (segment (start 294.177999 172.257999) (end 293.878 171.958) (width 0.18) (layer F.Cu) (net 95)) + (segment (start 294.374 172.454) (end 294.177999 172.257999) (width 0.18) (layer F.Cu) (net 95)) + (segment (start 294.374 173.101) (end 294.374 172.454) (width 0.18) (layer F.Cu) (net 95)) + (segment (start 294.177999 171.658001) (end 293.878 171.958) (width 0.18) (layer F.Cu) (net 95)) + (segment (start 294.374 171.462) (end 294.177999 171.658001) (width 0.18) (layer F.Cu) (net 95)) + (segment (start 294.374 170.434) (end 294.374 171.462) (width 0.18) (layer F.Cu) (net 95)) + (via (at 293.878 171.958) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 95)) + (segment (start 298.891082 186.612689) (end 298.893389 186.612689) (width 0.18) (layer F.Cu) (net 95)) + (segment (start 298.893389 186.612689) (end 300.3169 188.0362) (width 0.18) (layer F.Cu) (net 95) (tstamp 58FF6632)) + (segment (start 300.3169 188.0362) (end 300.355 188.0362) (width 0.18) (layer F.Cu) (net 95) (tstamp 58FF6633)) + (via (at 300.355 188.0362) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 95)) + (segment (start 241.554 184.023) (end 241.427 184.023) (width 0.5) (layer B.Cu) (net 96)) + (segment (start 241.427 184.023) (end 235.712 178.308) (width 0.5) (layer B.Cu) (net 96) (tstamp 58FDB26D)) + (segment (start 235.712 178.308) (end 235.712 179.578) (width 0.5) (layer F.Cu) (net 96) (tstamp 58FDB270)) + (via (at 235.712 178.308) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 96)) + (segment (start 239.256 183.896) (end 241.427 183.896) (width 0.5) (layer F.Cu) (net 96)) + (segment (start 241.427 183.896) (end 241.554 184.023) (width 0.5) (layer F.Cu) (net 96) (tstamp 58FDB268)) + (segment (start 234.95 177.292) (end 236.22 177.292) (width 0.5) (layer B.Cu) (net 97)) + (segment (start 236.22 177.292) (end 237.236 178.308) (width 0.5) (layer B.Cu) (net 97) (tstamp 58FF5E4F)) + (segment (start 237.236 178.308) (end 238.252 178.308) (width 0.5) (layer B.Cu) (net 97) (tstamp 58FF5E50)) + (segment (start 232.791 184.15) (end 232.791 184.023) (width 0.5) (layer B.Cu) (net 97)) + (segment (start 232.791 184.023) (end 234.442 182.372) (width 0.5) (layer B.Cu) (net 97) (tstamp 58FDB273)) + (segment (start 238.252 178.308) (end 238.252 179.578) (width 0.5) (layer F.Cu) (net 97) (tstamp 58FDB279)) + (via (at 238.252 178.308) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 97)) + (segment (start 234.442 177.8) (end 234.95 177.292) (width 0.5) (layer B.Cu) (net 97) (tstamp 58FDB275)) + (segment (start 234.442 182.372) (end 234.442 177.8) (width 0.5) (layer B.Cu) (net 97) (tstamp 58FDB274)) + (segment (start 234.962 183.896) (end 233.045 183.896) (width 0.5) (layer F.Cu) (net 97)) + (segment (start 233.045 183.896) (end 232.791 184.15) (width 0.5) (layer F.Cu) (net 97) (tstamp 58FDB265)) + (segment (start 234.442 179.578) (end 234.442 177.292) (width 0.5) (layer F.Cu) (net 98)) + (segment (start 233.946 176.796) (end 230.124 176.796) (width 0.5) (layer F.Cu) (net 98) (tstamp 58FE1D66)) + (segment (start 234.442 177.292) (end 233.946 176.796) (width 0.5) (layer F.Cu) (net 98) (tstamp 58FE1D62)) + (segment (start 234.442 179.578) (end 234.442 180.848) (width 0.5) (layer F.Cu) (net 98)) + (segment (start 235.204 181.61) (end 236.232 181.61) (width 0.5) (layer F.Cu) (net 98) (tstamp 58FDB25D)) + (segment (start 234.442 180.848) (end 235.204 181.61) (width 0.5) (layer F.Cu) (net 98) (tstamp 58FDB25C)) + (segment (start 239.522 179.578) (end 239.522 177.292) (width 0.5) (layer F.Cu) (net 99)) + (segment (start 240.018 176.796) (end 243.84 176.796) (width 0.5) (layer F.Cu) (net 99) (tstamp 58FE1D57)) + (segment (start 239.522 177.292) (end 240.018 176.796) (width 0.5) (layer F.Cu) (net 99) (tstamp 58FE1D55)) + (segment (start 237.732 181.61) (end 238.76 181.61) (width 0.5) (layer F.Cu) (net 99)) + (segment (start 239.522 180.848) (end 239.522 179.578) (width 0.5) (layer F.Cu) (net 99) (tstamp 58FDB261)) + (segment (start 238.76 181.61) (end 239.522 180.848) (width 0.5) (layer F.Cu) (net 99) (tstamp 58FDB260)) + (segment (start 228.854 173.216) (end 227.076 173.216) (width 0.5) (layer F.Cu) (net 100)) + (segment (start 228.866 175.006) (end 228.866 173.228) (width 0.5) (layer F.Cu) (net 100)) + (segment (start 228.866 173.228) (end 228.854 173.216) (width 0.5) (layer F.Cu) (net 100) (tstamp 58FE204C)) + (segment (start 230.124 178.296) (end 229.12 178.296) (width 0.5) (layer F.Cu) (net 100)) + (segment (start 228.624 177.8) (end 228.624 175.248) (width 0.5) (layer F.Cu) (net 100) (tstamp 58FE1DCB)) + (segment (start 229.12 178.296) (end 228.624 177.8) (width 0.5) (layer F.Cu) (net 100) (tstamp 58FE1DC9)) + (segment (start 228.624 175.248) (end 228.866 175.006) (width 0.5) (layer F.Cu) (net 100) (tstamp 58FE1DCC)) + (segment (start 233.172 179.578) (end 233.172 178.562) (width 0.5) (layer F.Cu) (net 100)) + (segment (start 232.906 178.296) (end 230.124 178.296) (width 0.5) (layer F.Cu) (net 100) (tstamp 58FE1D6D)) + (segment (start 233.172 178.562) (end 232.906 178.296) (width 0.5) (layer F.Cu) (net 100) (tstamp 58FE1D6A)) + (segment (start 245.364 175.768) (end 245.364 175.248) (width 0.5) (layer F.Cu) (net 101)) + (segment (start 245.364 175.248) (end 245.618 174.994) (width 0.5) (layer F.Cu) (net 101) (tstamp 58FE2058)) + (segment (start 245.618 174.994) (end 247.396 174.994) (width 0.5) (layer F.Cu) (net 101)) + (segment (start 244.348 174.994) (end 245.618 174.994) (width 0.5) (layer F.Cu) (net 101)) + (segment (start 243.84 178.296) (end 244.614 178.296) (width 0.5) (layer F.Cu) (net 101)) + (segment (start 244.59 174.994) (end 244.348 174.994) (width 0.5) (layer F.Cu) (net 101) (tstamp 58FE1DD9)) + (segment (start 244.348 174.994) (end 243.84 174.994) (width 0.5) (layer F.Cu) (net 101) (tstamp 58FE2052)) + (segment (start 245.364 175.768) (end 244.59 174.994) (width 0.5) (layer F.Cu) (net 101) (tstamp 58FE1DD8)) + (segment (start 245.364 177.546) (end 245.364 175.768) (width 0.5) (layer F.Cu) (net 101) (tstamp 58FE1DD6)) + (segment (start 244.614 178.296) (end 245.364 177.546) (width 0.5) (layer F.Cu) (net 101) (tstamp 58FE1DD5)) + (segment (start 240.792 179.578) (end 240.792 178.562) (width 0.5) (layer F.Cu) (net 101)) + (segment (start 241.058 178.296) (end 243.84 178.296) (width 0.5) (layer F.Cu) (net 101) (tstamp 58FE1D71)) + (segment (start 240.792 178.562) (end 241.058 178.296) (width 0.5) (layer F.Cu) (net 101) (tstamp 58FE1D70)) + (segment (start 242.316 173.228) (end 245.364 173.228) (width 0.18) (layer B.Cu) (net 102)) + (segment (start 245.364 173.228) (end 246.634 171.958) (width 0.18) (layer B.Cu) (net 102)) + (segment (start 249.7074 166.5986) (end 281.8384 166.5986) (width 0.18) (layer B.Cu) (net 102)) + (segment (start 286.7406 170.18) (end 301.498 170.18) (width 0.18) (layer B.Cu) (net 102)) + (segment (start 246.634 171.958) (end 246.634 169.672) (width 0.18) (layer B.Cu) (net 102)) + (segment (start 301.498 170.18) (end 302.514 171.196) (width 0.18) (layer B.Cu) (net 102)) + (segment (start 246.634 169.672) (end 249.7074 166.5986) (width 0.18) (layer B.Cu) (net 102)) + (segment (start 281.8384 166.5986) (end 283.8958 168.656) (width 0.18) (layer B.Cu) (net 102)) + (segment (start 283.8958 168.656) (end 285.2166 168.656) (width 0.18) (layer B.Cu) (net 102)) + (segment (start 285.2166 168.656) (end 286.7406 170.18) (width 0.18) (layer B.Cu) (net 102)) + (segment (start 302.514 169.926) (end 302.514 171.196) (width 0.18) (layer F.Cu) (net 102)) + (via (at 302.514 171.196) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 102)) + (segment (start 242.824 173.494) (end 242.582 173.494) (width 0.5) (layer F.Cu) (net 102)) + (segment (start 242.582 173.494) (end 242.316 173.228) (width 0.5) (layer F.Cu) (net 102) (tstamp 58FF5EF1)) + (via (at 242.316 173.228) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 102)) + (segment (start 238.252 173.228) (end 238.252 170.18) (width 0.5) (layer F.Cu) (net 102)) + (segment (start 229.858 171.716) (end 228.854 171.716) (width 0.5) (layer F.Cu) (net 102) (tstamp 58FEA133)) + (segment (start 231.902 169.672) (end 229.858 171.716) (width 0.5) (layer F.Cu) (net 102) (tstamp 58FEA132)) + (segment (start 237.744 169.672) (end 231.902 169.672) (width 0.5) (layer F.Cu) (net 102) (tstamp 58FEA131)) + (segment (start 238.252 170.18) (end 237.744 169.672) (width 0.5) (layer F.Cu) (net 102) (tstamp 58FEA130)) + (segment (start 243.84 173.494) (end 242.824 173.494) (width 0.5) (layer F.Cu) (net 102)) + (segment (start 242.824 173.494) (end 242.685 173.494) (width 0.3) (layer F.Cu) (net 102) (tstamp 58FF5EEF)) + (segment (start 238.252 174.244) (end 238.252 173.228) (width 0.3) (layer F.Cu) (net 102) (tstamp 58FEA0FF)) + (segment (start 238.76 174.752) (end 238.252 174.244) (width 0.3) (layer F.Cu) (net 102) (tstamp 58FEA0FE)) + (segment (start 241.427 174.752) (end 238.76 174.752) (width 0.3) (layer F.Cu) (net 102) (tstamp 58FEA0FC)) + (segment (start 242.685 173.494) (end 241.427 174.752) (width 0.3) (layer F.Cu) (net 102) (tstamp 58FEA0FB)) + (segment (start 234.442 173.228) (end 234.442 174.879) (width 0.5) (layer F.Cu) (net 103)) + (segment (start 234.188 175.133) (end 230.493 175.133) (width 0.5) (layer F.Cu) (net 103) (tstamp 58FEA11C)) + (segment (start 234.442 174.879) (end 234.188 175.133) (width 0.5) (layer F.Cu) (net 103) (tstamp 58FEA11B)) + (segment (start 230.493 175.133) (end 230.366 175.006) (width 0.5) (layer F.Cu) (net 103) (tstamp 58FEA11D)) + (segment (start 234.442 173.228) (end 234.442 172.339) (width 0.5) (layer F.Cu) (net 103)) + (segment (start 234.176 172.073) (end 234.176 171.069) (width 0.5) (layer F.Cu) (net 103) (tstamp 58FEA113)) + (segment (start 234.442 172.339) (end 234.176 172.073) (width 0.5) (layer F.Cu) (net 103) (tstamp 58FEA112)) + (segment (start 235.712 173.228) (end 235.712 172.339) (width 0.5) (layer F.Cu) (net 104)) + (segment (start 235.47 172.097) (end 235.47 171.069) (width 0.5) (layer F.Cu) (net 104) (tstamp 58FEA10F)) + (segment (start 235.712 172.339) (end 235.47 172.097) (width 0.5) (layer F.Cu) (net 104) (tstamp 58FEA10E)) + (segment (start 245.618 173.494) (end 245.618 173.99) (width 0.3) (layer F.Cu) (net 104)) + (segment (start 235.712 174.879) (end 235.712 173.228) (width 0.3) (layer F.Cu) (net 104) (tstamp 58FEA0F8)) + (segment (start 236.093 175.26) (end 235.712 174.879) (width 0.3) (layer F.Cu) (net 104) (tstamp 58FEA0F7)) + (segment (start 237.236 175.26) (end 236.093 175.26) (width 0.3) (layer F.Cu) (net 104) (tstamp 58FEA0F6)) + (segment (start 237.617 175.641) (end 237.236 175.26) (width 0.3) (layer F.Cu) (net 104) (tstamp 58FEA0F5)) + (segment (start 242.062 175.641) (end 237.617 175.641) (width 0.3) (layer F.Cu) (net 104) (tstamp 58FEA0F4)) + (segment (start 242.443 175.26) (end 242.062 175.641) (width 0.3) (layer F.Cu) (net 104) (tstamp 58FEA0F3)) + (segment (start 242.443 174.879) (end 242.443 175.26) (width 0.3) (layer F.Cu) (net 104) (tstamp 58FEA0F2)) + (segment (start 243.078 174.244) (end 242.443 174.879) (width 0.3) (layer F.Cu) (net 104) (tstamp 58FEA0F1)) + (segment (start 245.364 174.244) (end 243.078 174.244) (width 0.3) (layer F.Cu) (net 104) (tstamp 58FEA0F0)) + (segment (start 245.618 173.99) (end 245.364 174.244) (width 0.3) (layer F.Cu) (net 104) (tstamp 58FEA0EF)) + (segment (start 300.99 174.752) (end 290.068 174.752) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 246.0498 171.2722) (end 246.0498 170.3578) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 290.068 174.752) (end 285.242 169.926) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 283.972 169.926) (end 281.3304 167.2844) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 285.242 169.926) (end 283.972 169.926) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 281.3304 167.2844) (end 250.0376 167.2844) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 242.3414 174.2694) (end 241.554 173.482) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 245.5926 174.2694) (end 242.3414 174.2694) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 247.142 172.72) (end 245.5926 174.2694) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 247.142 170.18) (end 247.142 172.72) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 250.0376 167.2844) (end 247.142 170.18) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 242.2906 171.958) (end 245.364 171.958) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 241.554 172.6946) (end 242.2906 171.958) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 241.554 173.482) (end 241.554 172.6946) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 245.364 171.958) (end 246.0498 171.2722) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 246.0498 170.3578) (end 245.364 169.672) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 245.364 169.672) (end 234.569 169.672) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 234.569 169.672) (end 232.328999 171.912001) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 232.328999 171.912001) (end 232.029 172.212) (width 0.18) (layer B.Cu) (net 105)) + (segment (start 296.926 177.558) (end 296.926 177.546) (width 0.18) (layer F.Cu) (net 105)) + (segment (start 296.926 177.546) (end 297.942 176.53) (width 0.18) (layer F.Cu) (net 105) (tstamp 58FF6B24)) + (segment (start 297.942 176.53) (end 298.196 176.53) (width 0.18) (layer F.Cu) (net 105) (tstamp 58FF6B25)) + (segment (start 298.196 176.53) (end 299.974 174.752) (width 0.18) (layer F.Cu) (net 105) (tstamp 58FF6B26)) + (segment (start 299.974 174.752) (end 300.99 174.752) (width 0.18) (layer F.Cu) (net 105) (tstamp 58FF6B27)) + (via (at 300.99 174.752) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 105)) + (segment (start 300.99 174.752) (end 304.292 171.45) (width 0.18) (layer B.Cu) (net 105) (tstamp 58FF6B2B)) + (segment (start 304.292 171.45) (end 304.8 171.45) (width 0.18) (layer B.Cu) (net 105) (tstamp 58FF6B2C)) + (via (at 304.8 171.45) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 105)) + (segment (start 304.8 171.45) (end 305.054 171.196) (width 0.18) (layer F.Cu) (net 105) (tstamp 58FF6B2E)) + (segment (start 305.054 171.196) (end 305.054 169.926) (width 0.18) (layer F.Cu) (net 105) (tstamp 58FF6B2F)) + (segment (start 296.926 177.558) (end 297.0791 177.558) (width 0.18) (layer F.Cu) (net 105)) + (segment (start 232.676 171.831) (end 232.41 171.831) (width 0.5) (layer F.Cu) (net 105)) + (via (at 232.029 172.212) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 105)) + (segment (start 232.41 171.831) (end 232.029 172.212) (width 0.5) (layer F.Cu) (net 105) (tstamp 58FEA127)) + (segment (start 233.172 173.228) (end 233.172 172.339) (width 0.5) (layer F.Cu) (net 105)) + (segment (start 232.676 171.843) (end 232.676 171.831) (width 0.5) (layer F.Cu) (net 105) (tstamp 58FEA117)) + (segment (start 232.676 171.831) (end 232.676 171.069) (width 0.5) (layer F.Cu) (net 105) (tstamp 58FEA125)) + (segment (start 233.172 172.339) (end 232.676 171.843) (width 0.5) (layer F.Cu) (net 105) (tstamp 58FEA116)) + (segment (start 304.304 167.894) (end 304.304 168.136) (width 0.5) (layer F.Cu) (net 106)) + (segment (start 304.304 168.136) (end 303.784 168.656) (width 0.5) (layer F.Cu) (net 106) (tstamp 58FF5EE9)) + (segment (start 303.784 168.656) (end 303.784 169.926) (width 0.5) (layer F.Cu) (net 106) (tstamp 58FF5EEA)) + (segment (start 304.304 166.116) (end 304.304 167.894) (width 0.5) (layer F.Cu) (net 106)) + (segment (start 242.824 167.894) (end 242.824 167.8432) (width 0.18) (layer B.Cu) (net 107)) + (via (at 242.824 167.894) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 107)) + (segment (start 242.316 167.894) (end 242.824 167.894) (width 0.5) (layer F.Cu) (net 107) (tstamp 58FF6CE3)) + (segment (start 241.808 167.386) (end 242.316 167.894) (width 0.5) (layer F.Cu) (net 107) (tstamp 58FF6CE2)) + (segment (start 306.324 184.912) (end 302.7426 184.912) (width 0.18) (layer B.Cu) (net 107)) + (segment (start 314.198 177.038) (end 306.324 184.912) (width 0.18) (layer B.Cu) (net 107)) + (segment (start 314.198 168.656) (end 314.198 177.038) (width 0.18) (layer B.Cu) (net 107)) + (segment (start 302.7426 184.912) (end 302.7045 184.8739) (width 0.18) (layer B.Cu) (net 107)) + (segment (start 311.15 165.608) (end 314.198 168.656) (width 0.18) (layer B.Cu) (net 107)) + (segment (start 283.464 165.608) (end 311.15 165.608) (width 0.18) (layer B.Cu) (net 107)) + (segment (start 283.1084 165.9636) (end 283.464 165.608) (width 0.18) (layer B.Cu) (net 107)) + (segment (start 244.7036 165.9636) (end 283.1084 165.9636) (width 0.18) (layer B.Cu) (net 107)) + (segment (start 242.824 167.8432) (end 244.7036 165.9636) (width 0.18) (layer B.Cu) (net 107)) + (segment (start 241.808 167.374) (end 241.808 167.386) (width 0.5) (layer F.Cu) (net 107)) + (segment (start 302.7045 184.8739) (end 302.7045 193.9163) (width 0.18) (layer B.Cu) (net 107)) + (segment (start 302.7045 193.9163) (end 298.8056 197.8152) (width 0.18) (layer B.Cu) (net 107) (tstamp 58FF63EF)) + (segment (start 301.998251 183.505521) (end 301.998251 183.507251) (width 0.18) (layer F.Cu) (net 107)) + (segment (start 301.998251 183.507251) (end 302.7045 184.2135) (width 0.18) (layer F.Cu) (net 107) (tstamp 58FF63E9)) + (segment (start 302.7045 184.2135) (end 302.7045 184.8739) (width 0.18) (layer F.Cu) (net 107) (tstamp 58FF63EA)) + (via (at 302.7045 184.8739) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 107)) + (segment (start 297.75957 198.843798) (end 297.777002 198.843798) (width 0.18) (layer F.Cu) (net 107) (status 30)) + (segment (start 297.777002 198.843798) (end 298.8056 197.8152) (width 0.18) (layer F.Cu) (net 107) (tstamp 58FF4AD9) (status 10)) + (via (at 298.8056 197.8152) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 107)) + (via (at 301.244 168.402) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 108)) + (segment (start 301.244 168.402) (end 300.99 168.656) (width 0.18) (layer B.Cu) (net 108) (tstamp 58FF6F5E)) + (segment (start 300.99 168.656) (end 294.894 168.656) (width 0.18) (layer B.Cu) (net 108) (tstamp 58FF6F5F)) + (segment (start 294.894 168.656) (end 294.132 167.894) (width 0.18) (layer B.Cu) (net 108) (tstamp 58FF6F60)) + (segment (start 294.132 167.894) (end 294.132 167.386) (width 0.18) (layer B.Cu) (net 108) (tstamp 58FF6F61)) + (via (at 294.132 167.386) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 108)) + (segment (start 294.132 167.386) (end 293.636 166.89) (width 0.18) (layer F.Cu) (net 108) (tstamp 58FF6F63)) + (segment (start 293.636 166.89) (end 293.116 166.89) (width 0.18) (layer F.Cu) (net 108) (tstamp 58FF6F64)) + (segment (start 302.514 168.136) (end 301.51 168.136) (width 0.5) (layer F.Cu) (net 108)) + (segment (start 301.51 168.136) (end 301.244 168.402) (width 0.5) (layer F.Cu) (net 108) (tstamp 58FF6E67)) + (segment (start 301.244 168.402) (end 301.244 169.926) (width 0.5) (layer F.Cu) (net 108) (tstamp 58FF6E68)) + (segment (start 301.244 169.926) (end 301.244 173.228) (width 0.5) (layer F.Cu) (net 108)) + (segment (start 301.244 173.228) (end 302.768 174.752) (width 0.5) (layer F.Cu) (net 108) (tstamp 58FF6A03)) + (segment (start 305.054 176.276) (end 305.054 175.26) (width 0.5) (layer F.Cu) (net 108)) + (segment (start 305.054 175.26) (end 304.292 174.498) (width 0.5) (layer F.Cu) (net 108) (tstamp 58FF69D0)) + (segment (start 304.292 174.498) (end 303.022 174.498) (width 0.5) (layer F.Cu) (net 108) (tstamp 58FF69D1)) + (segment (start 303.022 174.498) (end 302.768 174.752) (width 0.5) (layer F.Cu) (net 108) (tstamp 58FF69D2)) + (segment (start 302.768 174.752) (end 302.514 175.006) (width 0.5) (layer F.Cu) (net 108) (tstamp 58FF6A06)) + (segment (start 302.514 175.006) (end 302.514 176.276) (width 0.5) (layer F.Cu) (net 108) (tstamp 58FF69D3)) + (segment (start 282.436 178.054) (end 284.607 178.054) (width 0.5) (layer F.Cu) (net 109)) + (segment (start 287.516 172.847) (end 288.937 172.847) (width 0.5) (layer F.Cu) (net 110)) + (segment (start 284.607 176.784) (end 286.131 176.784) (width 0.5) (layer F.Cu) (net 110)) + (segment (start 287.516 175.399) (end 287.516 172.847) (width 0.5) (layer F.Cu) (net 110) (tstamp 58FE280B)) + (segment (start 286.131 176.784) (end 287.516 175.399) (width 0.5) (layer F.Cu) (net 110) (tstamp 58FE280A)) + (segment (start 301.0916 177.3174) (end 300.9392 177.3174) (width 0.18) (layer B.Cu) (net 111)) + (segment (start 300.9392 177.3174) (end 299.9486 178.308) (width 0.18) (layer B.Cu) (net 111) (tstamp 58FF6FBF)) + (segment (start 299.9486 178.308) (end 292.989 178.308) (width 0.18) (layer B.Cu) (net 111) (tstamp 58FF6FC0)) + (segment (start 292.989 178.308) (end 291.2872 180.0098) (width 0.18) (layer B.Cu) (net 111) (tstamp 58FF6FC2)) + (segment (start 291.2872 180.0098) (end 288.798 180.0098) (width 0.18) (layer B.Cu) (net 111) (tstamp 58FF6FC4)) + (segment (start 288.798 180.0098) (end 283.8196 175.0314) (width 0.18) (layer B.Cu) (net 111) (tstamp 58FF6FC6)) + (segment (start 283.8196 175.0314) (end 283.8196 174.879) (width 0.18) (layer B.Cu) (net 111) (tstamp 58FF6FC8)) + (segment (start 301.244 176.276) (end 301.244 177.165) (width 0.18) (layer F.Cu) (net 111)) + (segment (start 301.244 177.165) (end 301.0916 177.3174) (width 0.18) (layer F.Cu) (net 111) (tstamp 58FF6FBB)) + (via (at 301.0916 177.3174) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 111)) + (segment (start 284.607 175.514) (end 284.4546 175.514) (width 0.18) (layer F.Cu) (net 111)) + (segment (start 284.4546 175.514) (end 283.8196 174.879) (width 0.18) (layer F.Cu) (net 111) (tstamp 58FF6FB7)) + (via (at 283.8196 174.879) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 111)) + (segment (start 287.02 171.184) (end 287.02 171.45) (width 0.5) (layer F.Cu) (net 111)) + (segment (start 287.02 171.45) (end 286.016 172.454) (width 0.5) (layer F.Cu) (net 111) (tstamp 58FF6878)) + (segment (start 286.016 172.454) (end 286.016 172.847) (width 0.5) (layer F.Cu) (net 111) (tstamp 58FF6879)) + (segment (start 284.607 175.514) (end 285.496 175.514) (width 0.5) (layer F.Cu) (net 111)) + (segment (start 286.016 174.994) (end 286.016 172.847) (width 0.5) (layer F.Cu) (net 111) (tstamp 58FE2807)) + (segment (start 285.496 175.514) (end 286.016 174.994) (width 0.5) (layer F.Cu) (net 111) (tstamp 58FE2806)) + (segment (start 285.242 169.684) (end 287.02 169.684) (width 0.5) (layer F.Cu) (net 112)) + (segment (start 287.02 169.684) (end 287.02 168.8465) (width 0.5) (layer F.Cu) (net 112)) + (segment (start 287.02 168.8465) (end 287.5153 168.3512) (width 0.5) (layer F.Cu) (net 112) (tstamp 58FF6872)) + (segment (start 299.4152 188.1124) (end 290.7665 179.4637) (width 0.18) (layer In2.Cu) (net 112)) + (segment (start 290.7665 179.4637) (end 290.7665 171.6024) (width 0.18) (layer In2.Cu) (net 112)) + (segment (start 290.7665 171.6024) (end 287.815299 168.651199) (width 0.18) (layer In2.Cu) (net 112)) + (segment (start 287.815299 168.651199) (end 287.5153 168.3512) (width 0.18) (layer In2.Cu) (net 112)) + (via (at 287.5153 168.3512) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 112)) + (segment (start 298.603714 186.900058) (end 298.609258 186.900058) (width 0.18) (layer F.Cu) (net 112)) + (segment (start 298.609258 186.900058) (end 299.4152 187.706) (width 0.18) (layer F.Cu) (net 112) (tstamp 58FF6609)) + (segment (start 299.4152 187.706) (end 299.4152 188.1124) (width 0.18) (layer F.Cu) (net 112) (tstamp 58FF660A)) + (via (at 299.4152 188.1124) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 112)) + (segment (start 271.653 181.598) (end 271.653 183.873) (width 0.5) (layer F.Cu) (net 113)) + (segment (start 271.653 183.873) (end 271.973 184.193) (width 0.5) (layer F.Cu) (net 113) (tstamp 58FE89A7)) + (segment (start 271.145 189.484) (end 271.145 189.865) (width 0.5) (layer F.Cu) (net 114)) + (segment (start 271.145 189.865) (end 271.78 190.5) (width 0.5) (layer F.Cu) (net 114) (tstamp 58FF5B56)) + (segment (start 271.78 190.5) (end 274.701 190.5) (width 0.5) (layer F.Cu) (net 114) (tstamp 58FF5B57)) + (segment (start 274.701 190.5) (end 275.59 189.611) (width 0.5) (layer F.Cu) (net 114) (tstamp 58FF5B58)) + (segment (start 276.999 186.563) (end 275.971 186.563) (width 0.5) (layer F.Cu) (net 114)) + (segment (start 275.971 186.563) (end 275.59 186.944) (width 0.5) (layer F.Cu) (net 114) (tstamp 58FE8A00)) + (segment (start 271.973 186.393) (end 271.95 186.393) (width 0.5) (layer F.Cu) (net 114)) + (segment (start 271.95 186.393) (end 271.145 187.198) (width 0.5) (layer F.Cu) (net 114) (tstamp 58FE89F8)) + (segment (start 271.145 187.198) (end 271.145 189.484) (width 0.5) (layer F.Cu) (net 114) (tstamp 58FE89F9)) + (segment (start 275.59 189.611) (end 275.59 186.944) (width 0.5) (layer F.Cu) (net 114) (tstamp 58FE89FD)) + (segment (start 275.59 186.944) (end 275.59 186.043) (width 0.5) (layer F.Cu) (net 114) (tstamp 58FE8A03)) + (segment (start 275.59 184.543) (end 274.223 184.543) (width 0.5) (layer F.Cu) (net 115)) + (segment (start 274.223 184.543) (end 273.873 184.193) (width 0.5) (layer F.Cu) (net 115) (tstamp 58FE89AF)) + (segment (start 275.59 184.543) (end 276.884 184.543) (width 0.5) (layer F.Cu) (net 115)) + (segment (start 276.884 184.543) (end 276.999 184.658) (width 0.5) (layer F.Cu) (net 115) (tstamp 58FE89AA)) + (segment (start 159.512 169.609) (end 161.341 169.609) (width 0.5) (layer F.Cu) (net 116)) + (segment (start 161.341 169.609) (end 161.798 169.152) (width 0.5) (layer F.Cu) (net 116) (tstamp 58FF7168)) + (segment (start 154.432 178.879) (end 153.099 178.879) (width 0.5) (layer F.Cu) (net 117)) + (segment (start 149.352 185.42) (end 149.352 184.742) (width 0.5) (layer F.Cu) (net 117) (tstamp 58FBC52D)) + (via (at 149.352 185.42) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 117)) + (segment (start 149.352 182.626) (end 149.352 185.42) (width 0.5) (layer B.Cu) (net 117) (tstamp 58FBC52A)) + (segment (start 152.908 179.07) (end 149.352 182.626) (width 0.5) (layer B.Cu) (net 117) (tstamp 58FBC529)) + (via (at 152.908 179.07) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 117)) + (segment (start 153.099 178.879) (end 152.908 179.07) (width 0.5) (layer F.Cu) (net 117) (tstamp 58FBC526)) + (segment (start 149.352 184.742) (end 149.352 184.912) (width 0.5) (layer F.Cu) (net 117) (tstamp 58FBC52E)) + (segment (start 149.352 184.912) (end 149.352 184.742) (width 0.5) (layer F.Cu) (net 117) (tstamp 58FBC530)) + (segment (start 150.434 184.742) (end 149.352 184.742) (width 0.5) (layer F.Cu) (net 117)) + (segment (start 149.352 184.742) (end 148.94 184.742) (width 0.5) (layer F.Cu) (net 117) (tstamp 58FBC531)) + (segment (start 148.94 184.742) (end 148.59 184.392) (width 0.5) (layer F.Cu) (net 117) (tstamp 58FBC4FB)) + (segment (start 152.334 184.742) (end 153.512 184.742) (width 0.7) (layer F.Cu) (net 118)) + (segment (start 153.512 184.742) (end 154.19 185.42) (width 0.7) (layer F.Cu) (net 118) (tstamp 58FBC4C4)) + (segment (start 203.717305 224.526695) (end 203.717305 214.893305) (width 1.5) (layer F.Cu) (net 119)) + (segment (start 173.726695 213.115305) (end 173.726695 221.986695) (width 1.5) (layer F.Cu) (net 120)) + (segment (start 173.726695 221.986695) (end 171.694695 224.018695) (width 1.5) (layer F.Cu) (net 120) (tstamp 58FBBF02)) + (segment (start 243.2558 203.3778) (end 243.2558 203.5302) (width 0.5) (layer B.Cu) (net 121)) + (segment (start 243.2558 203.5302) (end 242.6208 204.1652) (width 0.5) (layer B.Cu) (net 121) (tstamp 58FF6FAF)) + (segment (start 207.772 219.202) (end 213.36 213.614) (width 0.5) (layer B.Cu) (net 121)) + (segment (start 233.172 213.614) (end 242.6208 204.1652) (width 0.5) (layer B.Cu) (net 121)) + (segment (start 213.36 213.614) (end 233.172 213.614) (width 0.5) (layer B.Cu) (net 121)) + (segment (start 281.432 191.262) (end 250.571 191.262) (width 0.5) (layer B.Cu) (net 121)) + (segment (start 250.571 191.262) (end 243.2558 198.5772) (width 0.5) (layer B.Cu) (net 121)) + (segment (start 243.2558 198.5772) (end 243.2558 203.3778) (width 0.5) (layer B.Cu) (net 121)) + (segment (start 281.432 190.837736) (end 281.432 191.262) (width 0.5) (layer F.Cu) (net 121)) + (segment (start 281.432 186.121) (end 281.432 190.837736) (width 0.5) (layer F.Cu) (net 121)) + (segment (start 282.629 184.924) (end 281.432 186.121) (width 0.5) (layer F.Cu) (net 121)) + (segment (start 282.829 184.924) (end 282.629 184.924) (width 0.5) (layer F.Cu) (net 121)) + (via (at 281.432 191.262) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 121)) + (segment (start 284.607 180.594) (end 283.718 180.594) (width 0.5) (layer F.Cu) (net 121)) + (segment (start 283.083 184.67) (end 282.829 184.924) (width 0.5) (layer F.Cu) (net 121) (tstamp 58FEABBC)) + (segment (start 283.083 181.229) (end 283.083 184.67) (width 0.5) (layer F.Cu) (net 121) (tstamp 58FEABBB)) + (segment (start 283.718 180.594) (end 283.083 181.229) (width 0.5) (layer F.Cu) (net 121) (tstamp 58FEABBA)) + (segment (start 284.607 181.864) (end 285.623 181.864) (width 0.5) (layer F.Cu) (net 122)) + (segment (start 286.627 182.868) (end 286.627 185.801) (width 0.5) (layer F.Cu) (net 122) (tstamp 58FEABA5)) + (segment (start 285.623 181.864) (end 286.627 182.868) (width 0.5) (layer F.Cu) (net 122) (tstamp 58FEABA4)) + (segment (start 284.861 186.944) (end 288.544 186.944) (width 0.18) (layer B.Cu) (net 123)) + (segment (start 288.544 186.944) (end 289.6997 185.7883) (width 0.18) (layer B.Cu) (net 123)) + (segment (start 289.6997 185.7883) (end 295.1099 185.7883) (width 0.18) (layer B.Cu) (net 123)) + (segment (start 295.1099 185.7883) (end 301.7012 179.197) (width 0.18) (layer B.Cu) (net 123)) + (segment (start 301.7012 179.197) (end 303.4411 179.197) (width 0.18) (layer B.Cu) (net 123)) + (segment (start 303.784 176.276) (end 303.784 177.292) (width 0.18) (layer F.Cu) (net 123)) + (segment (start 303.784 177.292) (end 303.4411 177.6349) (width 0.18) (layer F.Cu) (net 123) (tstamp 58FF6C33)) + (segment (start 303.4411 177.6349) (end 303.4411 179.197) (width 0.18) (layer F.Cu) (net 123) (tstamp 58FF6C34)) + (segment (start 302.26 179.082) (end 303.3261 179.082) (width 0.18) (layer F.Cu) (net 123)) + (segment (start 303.3261 179.082) (end 303.4411 179.197) (width 0.18) (layer F.Cu) (net 123) (tstamp 58FF6C30)) + (via (at 303.4411 179.197) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 123)) + (segment (start 285.127 185.801) (end 285.127 186.678) (width 0.5) (layer F.Cu) (net 123)) + (via (at 284.861 186.944) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 123)) + (segment (start 285.127 186.678) (end 284.861 186.944) (width 0.5) (layer F.Cu) (net 123) (tstamp 58FF4E2F)) + (segment (start 284.607 183.134) (end 284.607 185.281) (width 0.5) (layer F.Cu) (net 123)) + (segment (start 284.607 185.281) (end 285.127 185.801) (width 0.5) (layer F.Cu) (net 123) (tstamp 58FEABA1)) + (segment (start 304.333117 183.792889) (end 304.333117 183.778683) (width 0.18) (layer F.Cu) (net 124)) + (segment (start 304.333117 183.778683) (end 305.0667 183.0451) (width 0.18) (layer F.Cu) (net 124) (tstamp 58FF6C39)) + (segment (start 305.0667 183.0451) (end 305.0667 182.5879) (width 0.18) (layer F.Cu) (net 124) (tstamp 58FF6C3A)) + (segment (start 305.0667 182.5879) (end 303.0608 180.582) (width 0.18) (layer F.Cu) (net 124) (tstamp 58FF6C3B)) + (segment (start 303.0608 180.582) (end 302.26 180.582) (width 0.18) (layer F.Cu) (net 124) (tstamp 58FF6C3C)) + (segment (start 304.333117 183.792889) (end 304.333117 183.791383) (width 0.18) (layer F.Cu) (net 124)) + (segment (start 192.532 169.609) (end 194.361 169.609) (width 0.5) (layer F.Cu) (net 125)) + (segment (start 194.361 169.609) (end 194.818 169.152) (width 0.5) (layer F.Cu) (net 125) (tstamp 58FF7165)) + (segment (start 187.452 178.879) (end 187.452 189.484) (width 0.4) (layer F.Cu) (net 126)) + (segment (start 186.932 193.536) (end 187.96 193.536) (width 0.4) (layer F.Cu) (net 126) (tstamp 58FBC403)) + (segment (start 186.436 193.04) (end 186.932 193.536) (width 0.4) (layer F.Cu) (net 126) (tstamp 58FBC402)) + (segment (start 186.436 190.5) (end 186.436 193.04) (width 0.4) (layer F.Cu) (net 126) (tstamp 58FBC401)) + (segment (start 187.452 189.484) (end 186.436 190.5) (width 0.4) (layer F.Cu) (net 126) (tstamp 58FBC400)) + (segment (start 187.96 193.536) (end 189.454 193.536) (width 0.4) (layer F.Cu) (net 126)) + (segment (start 189.454 193.536) (end 189.804 193.886) (width 0.4) (layer F.Cu) (net 126) (tstamp 58FBC3C3)) + (segment (start 191.704 193.886) (end 192.35 193.886) (width 0.5) (layer F.Cu) (net 127)) + (segment (start 192.35 193.886) (end 193.294 194.83) (width 0.5) (layer F.Cu) (net 127) (tstamp 58FBC4ED)) + (segment (start 255.155 157.48) (end 255.155 159.5) (width 0.5) (layer F.Cu) (net 128)) + (segment (start 255.155 159.5) (end 255.143 159.512) (width 0.5) (layer F.Cu) (net 128) (tstamp 58FE2972)) + (segment (start 253.607 157.48) (end 255.155 157.48) (width 0.5) (layer F.Cu) (net 128)) + (segment (start 307.098 209.042) (end 306.578 209.042) (width 0.18) (layer F.Cu) (net 129)) + (segment (start 306.578 209.042) (end 305.308 207.772) (width 0.18) (layer F.Cu) (net 129) (tstamp 58FF655D)) + (segment (start 305.308 207.772) (end 305.308 207.264) (width 0.18) (layer F.Cu) (net 129) (tstamp 58FF655E)) + (segment (start 305.308 207.264) (end 303.022 204.978) (width 0.18) (layer F.Cu) (net 129) (tstamp 58FF655F)) + (segment (start 303.022 204.978) (end 303.022 203.708) (width 0.18) (layer F.Cu) (net 129) (tstamp 58FF6560)) + (via (at 303.022 203.708) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 129)) + (segment (start 303.022 203.708) (end 303.276 203.454) (width 0.18) (layer B.Cu) (net 129) (tstamp 58FF6564)) + (segment (start 303.276 203.454) (end 303.276 200.914) (width 0.18) (layer B.Cu) (net 129) (tstamp 58FF6565)) + (segment (start 303.276 200.914) (end 302.768 200.406) (width 0.18) (layer B.Cu) (net 129) (tstamp 58FF6566)) + (segment (start 302.768 200.406) (end 302.1838 200.406) (width 0.18) (layer B.Cu) (net 129) (tstamp 58FF6567)) + (segment (start 302.1838 200.406) (end 301.879 200.7108) (width 0.18) (layer B.Cu) (net 129) (tstamp 58FF6568)) + (segment (start 300.57937 201.663599) (end 300.596001 201.663599) (width 0.18) (layer F.Cu) (net 129)) + (segment (start 300.596001 201.663599) (end 301.5488 200.7108) (width 0.18) (layer F.Cu) (net 129) (tstamp 58FF63B1)) + (segment (start 301.5488 200.7108) (end 301.879 200.7108) (width 0.18) (layer F.Cu) (net 129) (tstamp 58FF63B2)) + (via (at 301.879 200.7108) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 129)) + (segment (start 300.57937 201.663599) (end 300.596001 201.663599) (width 0.18) (layer F.Cu) (net 129) (status 30)) + (segment (start 310.834823 196.293406) (end 310.847406 196.293406) (width 0.18) (layer F.Cu) (net 130)) + (segment (start 310.847406 196.293406) (end 311.5564 197.0024) (width 0.18) (layer F.Cu) (net 130) (tstamp 58FF6090)) + (segment (start 311.5564 197.0024) (end 313.3598 197.0024) (width 0.18) (layer F.Cu) (net 130) (tstamp 58FF6091)) + (segment (start 313.3598 197.0024) (end 314.0202 196.342) (width 0.18) (layer F.Cu) (net 130) (tstamp 58FF6092)) + (segment (start 314.0202 196.342) (end 314.718 196.342) (width 0.18) (layer F.Cu) (net 130) (tstamp 58FF6093)) + (segment (start 307.098 214.63) (end 306.07 214.63) (width 0.5) (layer F.Cu) (net 131)) + (segment (start 296.164 217.678) (end 296.164 219.393) (width 0.5) (layer F.Cu) (net 131) (tstamp 58FF3ECF) (status 20)) + (segment (start 296.672 217.17) (end 296.164 217.678) (width 0.5) (layer F.Cu) (net 131) (tstamp 58FF3ECE)) + (segment (start 300.482 217.17) (end 296.672 217.17) (width 0.5) (layer F.Cu) (net 131) (tstamp 58FF3ECD)) + (segment (start 301.752 215.9) (end 300.482 217.17) (width 0.5) (layer F.Cu) (net 131) (tstamp 58FF3ECC)) + (segment (start 304.8 215.9) (end 301.752 215.9) (width 0.5) (layer F.Cu) (net 131) (tstamp 58FF3ECB)) + (segment (start 306.07 214.63) (end 304.8 215.9) (width 0.5) (layer F.Cu) (net 131) (tstamp 58FF3ECA)) + (segment (start 307.098 216.154) (end 306.324 216.154) (width 0.5) (layer F.Cu) (net 132)) + (segment (start 302.514 217.678) (end 302.514 219.393) (width 0.5) (layer F.Cu) (net 132) (tstamp 58FF3EC7) (status 20)) + (segment (start 303.276 216.916) (end 302.514 217.678) (width 0.5) (layer F.Cu) (net 132) (tstamp 58FF3EC6)) + (segment (start 305.562 216.916) (end 303.276 216.916) (width 0.5) (layer F.Cu) (net 132) (tstamp 58FF3EC5)) + (segment (start 306.324 216.154) (end 305.562 216.916) (width 0.5) (layer F.Cu) (net 132) (tstamp 58FF3EC4)) + (segment (start 310.896 194.0814) (end 310.7309 194.2465) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 294.7289 205.867) (end 292.9763 207.6196) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 310.7309 194.2465) (end 310.7309 197.3707) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 310.7309 197.3707) (end 302.2346 205.867) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 302.2346 205.867) (end 294.7289 205.867) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 292.9763 207.6196) (end 292.9763 210.0707) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 292.9763 210.0707) (end 288.2138 214.8332) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 288.2138 214.8332) (end 285.2928 214.8332) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 285.2928 214.8332) (end 276.5806 223.5454) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 276.5806 223.5454) (end 276.5806 225.3869) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 276.5806 225.3869) (end 273.2405 228.727) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 273.2405 228.727) (end 250.727 228.727) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 250.727 228.727) (end 249 227) (width 0.18) (layer B.Cu) (net 133)) + (segment (start 311.966335 195.161893) (end 311.963793 195.161893) (width 0.18) (layer F.Cu) (net 133)) + (segment (start 311.963793 195.161893) (end 310.896 194.0941) (width 0.18) (layer F.Cu) (net 133) (tstamp 58FF6790)) + (segment (start 310.896 194.0941) (end 310.896 194.0814) (width 0.18) (layer F.Cu) (net 133) (tstamp 58FF6791)) + (via (at 310.896 194.0814) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 133)) + (segment (start 306.705 197.4977) (end 308.5973 197.4977) (width 0.18) (layer In2.Cu) (net 134)) + (segment (start 308.5973 197.4977) (end 314.325 191.77) (width 0.18) (layer In2.Cu) (net 134)) + (segment (start 314.325 191.77) (end 314.325 189.484) (width 0.18) (layer In2.Cu) (net 134)) + (segment (start 314.325 189.484) (end 312.4835 187.6425) (width 0.18) (layer In2.Cu) (net 134)) + (segment (start 312.4835 187.6425) (end 312.4835 176.1109) (width 0.18) (layer In2.Cu) (net 134)) + (segment (start 312.4835 176.1109) (end 307.932099 171.559499) (width 0.18) (layer In2.Cu) (net 134)) + (segment (start 307.932099 171.559499) (end 307.6321 171.2595) (width 0.18) (layer In2.Cu) (net 134)) + (segment (start 294.132 165.1) (end 294.132 166.116) (width 0.18) (layer F.Cu) (net 134)) + (segment (start 294.132 166.116) (end 294.386 166.37) (width 0.18) (layer F.Cu) (net 134) (tstamp 58FF6F50)) + (via (at 294.386 166.37) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 134)) + (segment (start 294.386 166.37) (end 305.054 166.37) (width 0.18) (layer B.Cu) (net 134) (tstamp 58FF6F52)) + (segment (start 305.054 166.37) (end 307.6321 168.9481) (width 0.18) (layer B.Cu) (net 134) (tstamp 58FF6F53)) + (segment (start 307.6321 168.9481) (end 307.6321 171.2595) (width 0.18) (layer B.Cu) (net 134) (tstamp 58FF6F54)) + (segment (start 307.594 169.926) (end 307.594 169.6085) (width 0.18) (layer F.Cu) (net 134)) + (segment (start 307.6321 171.2595) (end 307.6321 169.9641) (width 0.18) (layer F.Cu) (net 134)) + (segment (start 307.6321 169.9641) (end 307.594 169.926) (width 0.18) (layer F.Cu) (net 134)) + (via (at 307.6321 171.2595) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 134)) + (segment (start 307.129264 197.4977) (end 306.705 197.4977) (width 0.18) (layer F.Cu) (net 134)) + (segment (start 307.150277 197.518713) (end 307.129264 197.4977) (width 0.18) (layer F.Cu) (net 134)) + (segment (start 308.571798 198.55643) (end 307.534081 197.518713) (width 0.18) (layer F.Cu) (net 134)) + (segment (start 307.534081 197.518713) (end 307.150277 197.518713) (width 0.18) (layer F.Cu) (net 134)) + (via (at 306.705 197.4977) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 134)) + (segment (start 286.512 160.782) (end 279.146 160.782) (width 0.18) (layer B.Cu) (net 135)) + (segment (start 279.146 160.782) (end 278.892 161.036) (width 0.18) (layer B.Cu) (net 135)) + (segment (start 278.892 161.036) (end 229.87 161.036) (width 0.18) (layer B.Cu) (net 135)) + (segment (start 229.87 161.036) (end 224.79 166.116) (width 0.18) (layer B.Cu) (net 135)) + (segment (start 224.79 166.116) (end 157.226 166.116) (width 0.18) (layer B.Cu) (net 135)) + (via (at 157.226 166.116) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 135)) + (segment (start 157.226 166.116) (end 156.972 166.37) (width 0.18) (layer F.Cu) (net 135) (tstamp 58FF7189)) + (segment (start 156.972 166.37) (end 156.972 169.609) (width 0.18) (layer F.Cu) (net 135) (tstamp 58FF718A)) + (segment (start 285.496 165.1) (end 285.496 164.592) (width 0.18) (layer F.Cu) (net 135)) + (segment (start 285.496 164.592) (end 286.512 163.576) (width 0.18) (layer F.Cu) (net 135) (tstamp 58FF717C)) + (segment (start 286.512 163.576) (end 286.512 160.782) (width 0.18) (layer F.Cu) (net 135) (tstamp 58FF717D)) + (via (at 286.512 160.782) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 135)) + (segment (start 282.956 164.084) (end 283.21 163.83) (width 0.18) (layer F.Cu) (net 136)) + (segment (start 283.21 164.254264) (end 283.21 163.83) (width 0.18) (layer In2.Cu) (net 136)) + (segment (start 283.21 167.3225) (end 283.21 164.254264) (width 0.18) (layer In2.Cu) (net 136)) + (segment (start 287.8328 171.9453) (end 283.21 167.3225) (width 0.18) (layer In2.Cu) (net 136)) + (segment (start 287.8328 191.0588) (end 287.8328 171.9453) (width 0.18) (layer In2.Cu) (net 136)) + (segment (start 283.1084 163.7411) (end 283.1211 163.7411) (width 0.18) (layer In2.Cu) (net 136)) + (segment (start 293.3954 196.6214) (end 287.8328 191.0588) (width 0.18) (layer In2.Cu) (net 136)) + (segment (start 282.956 165.1) (end 282.956 164.084) (width 0.18) (layer F.Cu) (net 136)) + (segment (start 283.21 164.084002) (end 283.21 163.83) (width 0.18) (layer In2.Cu) (net 136)) + (segment (start 283.1211 163.7411) (end 283.21 163.83) (width 0.18) (layer In2.Cu) (net 136)) + (via (at 283.21 163.83) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 136)) + (via (at 293.3954 196.6214) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 136)) + (segment (start 294.365033 195.449261) (end 294.365033 195.461267) (width 0.18) (layer F.Cu) (net 136)) + (segment (start 294.365033 195.461267) (end 293.3954 196.4309) (width 0.18) (layer F.Cu) (net 136) (tstamp 58FF650A)) + (segment (start 293.3954 196.4309) (end 293.3954 196.6214) (width 0.18) (layer F.Cu) (net 136) (tstamp 58FF650B)) + (segment (start 293.3954 196.6214) (end 293.3954 197.5358) (width 0.18) (layer F.Cu) (net 136) (tstamp 58FF6515)) + (segment (start 293.3954 197.5358) (end 293.9161 198.0565) (width 0.18) (layer F.Cu) (net 136) (tstamp 58FF650C)) + (segment (start 293.9161 198.0565) (end 294.595556 198.0565) (width 0.18) (layer F.Cu) (net 136) (tstamp 58FF650D)) + (segment (start 294.595556 198.0565) (end 295.783914 196.868142) (width 0.18) (layer F.Cu) (net 136) (tstamp 58FF650E)) + (segment (start 285.4706 165.3667) (end 285.4706 165.862) (width 0.18) (layer In2.Cu) (net 137)) + (segment (start 285.4706 165.862) (end 285.6484 166.0398) (width 0.18) (layer In2.Cu) (net 137)) + (segment (start 285.6484 166.0398) (end 285.6103 166.0779) (width 0.18) (layer In2.Cu) (net 137)) + (segment (start 285.6103 166.0779) (end 285.6103 168.7703) (width 0.18) (layer In2.Cu) (net 137)) + (segment (start 285.6103 168.7703) (end 288.5059 171.6659) (width 0.18) (layer In2.Cu) (net 137)) + (segment (start 288.5059 171.6659) (end 288.5059 187.2869) (width 0.18) (layer In2.Cu) (net 137)) + (segment (start 288.5059 187.2869) (end 296.5958 195.3768) (width 0.18) (layer In2.Cu) (net 137)) + (segment (start 296.5958 195.3768) (end 296.5958 195.4911) (width 0.18) (layer In2.Cu) (net 137)) + (segment (start 285.4833 163.809764) (end 285.4833 163.3855) (width 0.18) (layer In2.Cu) (net 137)) + (segment (start 285.4833 165.354) (end 285.4833 163.809764) (width 0.18) (layer In2.Cu) (net 137)) + (segment (start 285.496 163.3728) (end 285.4833 163.3855) (width 0.18) (layer F.Cu) (net 137)) + (segment (start 285.496 158.75) (end 285.496 163.3728) (width 0.18) (layer F.Cu) (net 137)) + (segment (start 285.4706 165.3667) (end 285.4833 165.354) (width 0.18) (layer In2.Cu) (net 137)) + (via (at 285.4833 163.3855) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 137)) + (segment (start 285.4706 165.3794) (end 285.4706 165.3667) (width 0.18) (layer In2.Cu) (net 137) (tstamp 58FF6534)) + (via (at 296.5958 195.4911) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 137)) + (segment (start 296.340689 197.424918) (end 296.340689 197.422611) (width 0.18) (layer F.Cu) (net 137)) + (segment (start 296.340689 197.422611) (end 297.18 196.5833) (width 0.18) (layer F.Cu) (net 137) (tstamp 58FF6525)) + (segment (start 297.18 196.5833) (end 297.18 196.0753) (width 0.18) (layer F.Cu) (net 137) (tstamp 58FF6526)) + (segment (start 297.18 196.0753) (end 296.5958 195.4911) (width 0.18) (layer F.Cu) (net 137) (tstamp 58FF6527)) + (segment (start 296.5958 195.4911) (end 296.011483 195.4911) (width 0.18) (layer F.Cu) (net 137) (tstamp 58FF6528)) + (segment (start 296.011483 195.4911) (end 295.209177 196.293406) (width 0.18) (layer F.Cu) (net 137) (tstamp 58FF6529)) + (segment (start 286.5882 166.8272) (end 286.5882 168.7195) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 286.5882 168.7195) (end 290.1061 172.2374) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 301.3837 191.7954) (end 303.657 194.0687) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 303.657 194.0687) (end 304.985336 194.0687) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 304.985336 194.0687) (end 305.4096 194.0687) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 290.1061 172.2374) (end 290.1061 181.2163) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 300.1645 191.516) (end 300.4439 191.7954) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 300.4439 191.7954) (end 301.3837 191.7954) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 290.1061 181.2163) (end 294.5003 185.6105) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 294.5003 185.6105) (end 295.5925 185.6105) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 295.5925 185.6105) (end 300.1645 190.1825) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 300.1645 190.1825) (end 300.1645 191.516) (width 0.18) (layer In2.Cu) (net 138)) + (segment (start 309.182702 196.225598) (end 307.025804 194.0687) (width 0.18) (layer F.Cu) (net 138)) + (segment (start 307.025804 194.0687) (end 305.833864 194.0687) (width 0.18) (layer F.Cu) (net 138)) + (segment (start 305.833864 194.0687) (end 305.4096 194.0687) (width 0.18) (layer F.Cu) (net 138)) + (segment (start 309.990679 197.13755) (end 309.182702 196.329573) (width 0.18) (layer F.Cu) (net 138)) + (segment (start 309.182702 196.329573) (end 309.182702 196.225598) (width 0.18) (layer F.Cu) (net 138)) + (via (at 305.4096 194.0687) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 138)) + (via (at 286.5882 166.8272) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 138)) + (segment (start 286.5882 166.8272) (end 286.6136 166.8018) (width 0.18) (layer F.Cu) (net 138) (tstamp 58FF67C8)) + (segment (start 286.6136 166.8018) (end 286.6136 166.37) (width 0.18) (layer F.Cu) (net 138) (tstamp 58FF67C9)) + (segment (start 284.226 165.1) (end 284.226 158.75) (width 0.5) (layer F.Cu) (net 138)) + (segment (start 289.306 165.1) (end 289.306 166.116) (width 0.5) (layer F.Cu) (net 138)) + (segment (start 289.306 166.116) (end 289.052 166.37) (width 0.5) (layer F.Cu) (net 138) (tstamp 58FF61FA)) + (segment (start 289.052 166.37) (end 286.6136 166.37) (width 0.5) (layer F.Cu) (net 138) (tstamp 58FF61FB)) + (segment (start 286.6136 166.37) (end 284.734 166.37) (width 0.5) (layer F.Cu) (net 138) (tstamp 58FF67CC)) + (segment (start 284.734 166.37) (end 284.226 165.862) (width 0.5) (layer F.Cu) (net 138) (tstamp 58FF61FC)) + (segment (start 284.226 165.862) (end 284.226 165.1) (width 0.5) (layer F.Cu) (net 138) (tstamp 58FF61FD)) + (segment (start 300.482 158.75) (end 300.482 165.1) (width 0.5) (layer F.Cu) (net 139)) + (segment (start 296.672 158.75) (end 296.672 157.48) (width 0.5) (layer F.Cu) (net 140)) + (segment (start 296.672 157.48) (end 297.18 156.972) (width 0.5) (layer F.Cu) (net 140) (tstamp 58FF6A1B)) + (segment (start 297.18 156.972) (end 300.99 156.972) (width 0.5) (layer F.Cu) (net 140) (tstamp 58FF6A1C)) + (segment (start 300.99 156.972) (end 301.752 157.734) (width 0.5) (layer F.Cu) (net 140) (tstamp 58FF6A1D)) + (segment (start 301.752 157.734) (end 301.752 158.75) (width 0.5) (layer F.Cu) (net 140) (tstamp 58FF6A1E)) + (segment (start 290.322 160.655) (end 296.037 160.655) (width 0.5) (layer F.Cu) (net 140)) + (segment (start 296.037 160.655) (end 296.672 160.02) (width 0.5) (layer F.Cu) (net 140) (tstamp 58FF6A15)) + (segment (start 288.036 165.1) (end 288.036 162.941) (width 0.5) (layer F.Cu) (net 140)) + (segment (start 288.036 162.941) (end 290.322 160.655) (width 0.5) (layer F.Cu) (net 140) (tstamp 58FF6128)) + (segment (start 296.672 158.75) (end 296.672 160.02) (width 0.5) (layer F.Cu) (net 140)) + (segment (start 286.766 165.1) (end 288.036 165.1) (width 0.5) (layer F.Cu) (net 140)) + (segment (start 299.212 158.75) (end 297.942 158.75) (width 0.5) (layer F.Cu) (net 141)) + (segment (start 271.272 192.532) (end 271.272 198.3105) (width 0.5) (layer B.Cu) (net 142)) + (segment (start 271.272 198.3105) (end 272.7325 199.771) (width 0.5) (layer B.Cu) (net 142)) + (segment (start 272.808 192.532) (end 271.272 192.532) (width 0.5) (layer F.Cu) (net 142)) + (via (at 271.272 192.532) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 142)) + (segment (start 272.8475 200.533) (end 272.8475 199.886) (width 0.5) (layer F.Cu) (net 142)) + (via (at 272.7325 199.771) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 142)) + (segment (start 272.8475 199.886) (end 272.7325 199.771) (width 0.5) (layer F.Cu) (net 142) (tstamp 58FBA905)) + (segment (start 274.447 200.533) (end 272.8475 200.533) (width 0.5) (layer F.Cu) (net 142)) + (segment (start 156.464 226.82454) (end 153.95054 226.82454) (width 1.5) (layer F.Cu) (net 143)) + (segment (start 153.95054 226.82454) (end 153.416 226.29) (width 1.5) (layer F.Cu) (net 143) (tstamp 58FBBEE6)) + (segment (start 161.798 216.662) (end 161.798 222.504) (width 1.5) (layer F.Cu) (net 143)) + (segment (start 158.74746 226.82454) (end 156.464 226.82454) (width 1.5) (layer F.Cu) (net 143) (tstamp 58FBBEDF)) + (segment (start 160.02 225.552) (end 158.74746 226.82454) (width 1.5) (layer F.Cu) (net 143) (tstamp 58FBBEDE)) + (segment (start 160.02 224.282) (end 160.02 225.552) (width 1.5) (layer F.Cu) (net 143) (tstamp 58FBBEDD)) + (segment (start 161.798 222.504) (end 160.02 224.282) (width 1.5) (layer F.Cu) (net 143) (tstamp 58FBBEDC)) + (segment (start 157.48 223.266) (end 153.44 223.266) (width 1.5) (layer F.Cu) (net 144)) + (segment (start 153.44 223.266) (end 153.416 223.29) (width 1.5) (layer F.Cu) (net 144) (tstamp 58FBBEE2)) + (segment (start 195.58 217.25) (end 190.674 217.25) (width 1.5) (layer F.Cu) (net 145)) + (segment (start 185.674 222.25) (end 180.34 222.25) (width 1.5) (layer F.Cu) (net 145) (tstamp 58FBBEFB)) + (segment (start 190.674 217.25) (end 185.674 222.25) (width 1.5) (layer F.Cu) (net 145) (tstamp 58FBBEFA)) + (segment (start 195.58 217.25) (end 197.25939 217.25) (width 1.5) (layer F.Cu) (net 145)) + (segment (start 197.25939 217.25) (end 201.666695 212.842695) (width 1.5) (layer F.Cu) (net 145) (tstamp 58FBBEF7)) + (segment (start 173.745305 226.069305) (end 176.520695 226.069305) (width 1.5) (layer F.Cu) (net 145)) + (segment (start 176.520695 226.069305) (end 180.34 222.25) (width 1.5) (layer F.Cu) (net 145) (tstamp 58FBBEE9)) + (segment (start 201.666695 226.577305) (end 201.666695 228.844695) (width 1.5) (layer F.Cu) (net 146)) + (segment (start 201.666695 228.844695) (end 203.454 230.632) (width 1.5) (layer F.Cu) (net 146) (tstamp 58FBBEF0)) + (segment (start 201.666695 226.577305) (end 199.907305 226.577305) (width 1.5) (layer F.Cu) (net 146)) + (segment (start 199.907305 226.577305) (end 195.58 222.25) (width 1.5) (layer F.Cu) (net 146) (tstamp 58FBBEED)) + (segment (start 166.624 226.82454) (end 166.624 224.282) (width 2.5) (layer F.Cu) (net 146)) + (segment (start 166.624 224.282) (end 167.64 223.266) (width 2.5) (layer F.Cu) (net 146) (tstamp 58FBBECB)) + (segment (start 207.01 225.552) (end 207.01 227.076) (width 2.5) (layer F.Cu) (net 146)) + (segment (start 207.01 227.076) (end 203.454 230.632) (width 2.5) (layer F.Cu) (net 146) (tstamp 58FBBEC6)) + (segment (start 170.43146 230.632) (end 166.624 226.82454) (width 2.5) (layer F.Cu) (net 146) (tstamp 58FBBEC8)) + (segment (start 203.454 230.632) (end 170.43146 230.632) (width 2.5) (layer F.Cu) (net 146) (tstamp 58FBBEC7)) + (segment (start 143.51 214.884) (end 143.51 222.176) (width 0.5) (layer F.Cu) (net 147)) + (segment (start 143.51 222.176) (end 144.6 223.266) (width 0.5) (layer F.Cu) (net 147) (tstamp 58FF66E6)) + (segment (start 166.878 216.662) (end 166.878 214.884) (width 0.5) (layer F.Cu) (net 147)) + (segment (start 166.878 214.884) (end 164.592 212.598) (width 0.5) (layer F.Cu) (net 147) (tstamp 58FBCB5B)) + (segment (start 145.796 212.598) (end 143.51 214.884) (width 0.5) (layer F.Cu) (net 147) (tstamp 58FBCB5E)) + (segment (start 164.592 212.598) (end 145.796 212.598) (width 0.5) (layer F.Cu) (net 147) (tstamp 58FBCB5C)) + (segment (start 175.777305 211.064695) (end 184.850695 211.064695) (width 1.5) (layer F.Cu) (net 147)) + (segment (start 184.850695 211.064695) (end 184.86 211.074) (width 1.5) (layer F.Cu) (net 147) (tstamp 58FBCB21)) + (segment (start 175.777305 211.064695) (end 175.777305 210.829305) (width 1.5) (layer F.Cu) (net 147)) + (segment (start 175.777305 210.829305) (end 174.244 210.312) (width 1.5) (layer F.Cu) (net 147) (tstamp 58FBBF05)) + (segment (start 169.164 214.376) (end 166.878 216.662) (width 1.5) (layer F.Cu) (net 147) (tstamp 58FBBF09)) + (segment (start 169.164 211.582) (end 169.164 214.376) (width 1.5) (layer F.Cu) (net 147) (tstamp 58FBBF08)) + (segment (start 170.942 210.312) (end 169.164 211.582) (width 1.5) (layer F.Cu) (net 147) (tstamp 58FBBF07)) + (segment (start 174.244 210.312) (end 170.942 210.312) (width 1.5) (layer F.Cu) (net 147) (tstamp 58FBBF06)) + (segment (start 180.34 217.25) (end 180.34 215.62739) (width 1.5) (layer F.Cu) (net 147)) + (segment (start 180.34 215.62739) (end 175.777305 211.064695) (width 1.5) (layer F.Cu) (net 147) (tstamp 58FBBEFE)) + (segment (start 193.802 188.468) (end 193.802 188.849) (width 0.18) (layer F.Cu) (net 148)) + (segment (start 193.802 188.849) (end 193.814 188.861) (width 0.18) (layer F.Cu) (net 148) (tstamp 5900265A)) + (segment (start 189.496 189.738) (end 189.496 188.734) (width 0.5) (layer F.Cu) (net 148)) + (segment (start 193.814 188.468) (end 193.802 188.468) (width 0.5) (layer F.Cu) (net 148) (tstamp 58FBC987)) + (segment (start 193.802 188.468) (end 193.548 188.468) (width 0.5) (layer F.Cu) (net 148) (tstamp 59002658)) + (segment (start 192.278 188.468) (end 193.814 188.468) (width 0.5) (layer F.Cu) (net 148) (tstamp 58FBC986)) + (via (at 192.278 188.468) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 148)) + (segment (start 189.23 188.468) (end 192.278 188.468) (width 0.5) (layer B.Cu) (net 148) (tstamp 58FBC983)) + (via (at 189.23 188.468) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 148)) + (segment (start 189.496 188.734) (end 189.23 188.468) (width 0.5) (layer F.Cu) (net 148) (tstamp 58FBC980)) + (segment (start 193.814 185.674) (end 193.814 184.67) (width 0.4) (layer F.Cu) (net 148)) + (segment (start 192.532 183.388) (end 192.532 178.879) (width 0.4) (layer F.Cu) (net 148) (tstamp 58FBC413)) + (segment (start 193.814 184.67) (end 192.532 183.388) (width 0.4) (layer F.Cu) (net 148) (tstamp 58FBC412)) + (segment (start 191.704 191.686) (end 191.854 191.686) (width 0.4) (layer F.Cu) (net 148)) + (segment (start 191.854 191.686) (end 193.814 189.726) (width 0.4) (layer F.Cu) (net 148) (tstamp 58FBC40D)) + (segment (start 193.814 189.726) (end 193.814 188.861) (width 0.4) (layer F.Cu) (net 148) (tstamp 58FBC40E)) + (segment (start 193.814 188.861) (end 193.814 185.674) (width 0.4) (layer F.Cu) (net 148) (tstamp 5900265D)) + (segment (start 187.96 192.036) (end 189.454 192.036) (width 0.4) (layer F.Cu) (net 148)) + (segment (start 189.454 192.036) (end 189.804 191.686) (width 0.4) (layer F.Cu) (net 148) (tstamp 58FBC3FA)) + (segment (start 189.738 191.752) (end 189.804 191.686) (width 0.4) (layer F.Cu) (net 148) (tstamp 58FBC3F7)) + (segment (start 189.804 191.686) (end 189.804 191.074) (width 0.4) (layer F.Cu) (net 148)) + (segment (start 189.496 190.766) (end 189.496 189.738) (width 0.4) (layer F.Cu) (net 148) (tstamp 58FBC3E9)) + (segment (start 189.804 191.074) (end 189.496 190.766) (width 0.4) (layer F.Cu) (net 148) (tstamp 58FBC3E8)) + (segment (start 197.866 190.84) (end 199.73 190.84) (width 1.5) (layer F.Cu) (net 149)) + (segment (start 200.66 192.584) (end 201.93 193.854) (width 1.5) (layer F.Cu) (net 149) (tstamp 58FBC338)) + (segment (start 200.66 191.77) (end 200.66 192.584) (width 1.5) (layer F.Cu) (net 149) (tstamp 58FBC337)) + (segment (start 199.73 190.84) (end 200.66 191.77) (width 1.5) (layer F.Cu) (net 149) (tstamp 58FBC336)) + (segment (start 197.866 188.698) (end 197.866 190.84) (width 1.5) (layer F.Cu) (net 149)) + (segment (start 268 218.156) (end 268 220.62) (width 1.5) (layer F.Cu) (net 150)) + (segment (start 273.34 215.138) (end 273.34 213.07) (width 0.5) (layer F.Cu) (net 150)) + (segment (start 273.34 213.07) (end 274.394 212.016) (width 0.5) (layer F.Cu) (net 150) (tstamp 58FF5842)) + (segment (start 274.394 212.016) (end 274.447 212.016) (width 0.5) (layer F.Cu) (net 150) (tstamp 58FF5843)) + (segment (start 268 218.156) (end 270.002 216.154) (width 1.5) (layer F.Cu) (net 150) (tstamp 58FF54F9)) + (segment (start 270.002 216.154) (end 272.324 216.154) (width 1.5) (layer F.Cu) (net 150) (tstamp 58FF54FA)) + (segment (start 272.324 216.154) (end 273.34 215.138) (width 1.5) (layer F.Cu) (net 150) (tstamp 58FF54FB)) + (segment (start 274.483 212.052) (end 274.447 212.016) (width 0.5) (layer F.Cu) (net 150) (tstamp 58FBA88C)) + (segment (start 280.797 203.073) (end 282.3965 203.073) (width 0.5) (layer F.Cu) (net 151)) + (segment (start 269.865695 212.099305) (end 265.420695 212.099305) (width 0.5) (layer F.Cu) (net 152) (status 20)) + (segment (start 265.420695 212.099305) (end 265.3665 212.1535) (width 0.5) (layer F.Cu) (net 152) (tstamp 58FBA865) (status 30)) + (segment (start 279.5165 206.5655) (end 281.125 206.5655) (width 0.5) (layer F.Cu) (net 153)) + (segment (start 290.957 178.054) (end 291.846 178.054) (width 0.5) (layer F.Cu) (net 154)) + (segment (start 292.227 177.673) (end 292.874 177.673) (width 0.5) (layer F.Cu) (net 154) (tstamp 58FE2773)) + (segment (start 291.846 178.054) (end 292.227 177.673) (width 0.5) (layer F.Cu) (net 154) (tstamp 58FE2772)) + (segment (start 292.862 176.137) (end 294.156 176.137) (width 0.5) (layer F.Cu) (net 155)) + (segment (start 294.156 176.137) (end 294.398 175.895) (width 0.5) (layer F.Cu) (net 155) (tstamp 58FE277C)) + (segment (start 290.957 176.784) (end 291.719 176.784) (width 0.5) (layer F.Cu) (net 155)) + (segment (start 292.366 176.137) (end 292.862 176.137) (width 0.5) (layer F.Cu) (net 155) (tstamp 58FE2779)) + (segment (start 291.719 176.784) (end 292.366 176.137) (width 0.5) (layer F.Cu) (net 155) (tstamp 58FE2776)) + (segment (start 292.874 173.101) (end 292.874 174.625) (width 0.5) (layer F.Cu) (net 156)) + (segment (start 292.874 174.625) (end 292.862 174.637) (width 0.5) (layer F.Cu) (net 156) (tstamp 58FE279A)) + (segment (start 290.957 175.514) (end 291.592 175.514) (width 0.5) (layer F.Cu) (net 156)) + (segment (start 291.592 175.514) (end 292.469 174.637) (width 0.5) (layer F.Cu) (net 156) (tstamp 58FE277F)) + (segment (start 292.469 174.637) (end 292.862 174.637) (width 0.5) (layer F.Cu) (net 156) (tstamp 58FE2780)) + (segment (start 202.438 205.232) (end 202.438 207.518) (width 0.5) (layer F.Cu) (net 157)) + (via (at 202.438 207.518) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 157)) + (segment (start 204.724 205.232) (end 202.438 205.232) (width 0.5) (layer F.Cu) (net 157)) + (via (at 202.438 205.232) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 157)) + (segment (start 204.724 202.692) (end 204.724 205.232) (width 0.5) (layer F.Cu) (net 157)) + (via (at 204.724 205.232) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 157)) + (segment (start 200.19772 200.66) (end 202.692 200.66) (width 0.5) (layer F.Cu) (net 157)) + (segment (start 202.692 200.66) (end 204.724 202.692) (width 0.5) (layer F.Cu) (net 157) (tstamp 59002A90)) + (via (at 204.724 202.692) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 157)) + (segment (start 200.19772 200.66) (end 200.19772 205.44028) (width 0.5) (layer F.Cu) (net 157)) + (segment (start 200.19772 205.44028) (end 200.152 205.486) (width 0.5) (layer F.Cu) (net 157) (tstamp 59002A8B)) + (via (at 200.152 205.486) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 157)) + (segment (start 197.866 195.24) (end 197.866 198.32828) (width 2.5) (layer F.Cu) (net 157)) + (segment (start 197.866 198.32828) (end 200.19772 200.66) (width 2.5) (layer F.Cu) (net 157) (tstamp 58FBC30F)) + (segment (start 208.026 198.374) (end 202.48372 198.374) (width 2.5) (layer F.Cu) (net 157)) + (segment (start 202.48372 198.374) (end 200.19772 200.66) (width 2.5) (layer F.Cu) (net 157) (tstamp 58FBC30C)) + (segment (start 218.186 198.374) (end 220.472 198.374) (width 2.5) (layer F.Cu) (net 158)) + (segment (start 223.31 201.212) (end 225.552 201.212) (width 2.5) (layer F.Cu) (net 158) (tstamp 58FBBE9D)) + (segment (start 220.472 198.374) (end 223.31 201.212) (width 2.5) (layer F.Cu) (net 158) (tstamp 58FBBE9C)) + (segment (start 193.294 196.33) (end 193.294 198.10476) (width 0.5) (layer F.Cu) (net 159)) + (segment (start 193.294 198.10476) (end 193.548 198.35876) (width 0.7) (layer F.Cu) (net 159) (tstamp 58FBC4F0)) + (segment (start 193.04324 197.854) (end 193.548 198.35876) (width 0.4) (layer F.Cu) (net 159) (tstamp 58FBC3BC)) + (segment (start 287.528 160.274) (end 287.528 161.798) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 287.528 161.798) (end 287.274 162.052) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 258.572 162.814) (end 258.064 163.322) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 240.538 164.084) (end 228.6 164.084) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 287.274 162.052) (end 276.352 162.052) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 276.352 162.052) (end 275.59 162.814) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 275.59 162.814) (end 258.572 162.814) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 258.064 163.322) (end 241.3 163.322) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 241.3 163.322) (end 240.538 164.084) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 228.6 164.084) (end 225.044 167.64) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 225.044 167.64) (end 189.992 167.64) (width 0.18) (layer B.Cu) (net 160)) + (segment (start 286.766 158.75) (end 286.766 159.512) (width 0.18) (layer F.Cu) (net 160)) + (segment (start 286.766 159.512) (end 287.528 160.274) (width 0.18) (layer F.Cu) (net 160) (tstamp 58FF718D)) + (via (at 287.528 160.274) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 160)) + (via (at 189.992 167.64) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 160)) + (segment (start 189.992 167.64) (end 189.992 169.609) (width 0.18) (layer F.Cu) (net 160) (tstamp 58FF71A2)) + (segment (start 280.924 223.266) (end 280.924 230.0986) (width 0.5) (layer B.Cu) (net 161)) + (segment (start 280.924 230.0986) (end 280.1366 230.886) (width 0.5) (layer B.Cu) (net 161) (tstamp 58FF739F)) + (segment (start 147.54 227) (end 147.54 228.058) (width 0.5) (layer B.Cu) (net 161)) + (segment (start 147.54 228.058) (end 150.368 230.886) (width 0.5) (layer B.Cu) (net 161)) + (segment (start 150.368 230.886) (end 280.1366 230.886) (width 0.5) (layer B.Cu) (net 161)) + (segment (start 280.924 223.266) (end 280.924 224.802) (width 0.5) (layer F.Cu) (net 161)) + (segment (start 280.924 224.802) (end 279.4 226.326) (width 0.5) (layer F.Cu) (net 161) (tstamp 58FF6714)) + (segment (start 283 223.266) (end 283 223.7164) (width 0.18) (layer F.Cu) (net 162)) + (segment (start 283 223.7164) (end 285.54 226.2564) (width 0.18) (layer F.Cu) (net 162) (tstamp 58FF7383)) + (segment (start 285.54 226.2564) (end 285.54 227) (width 0.18) (layer F.Cu) (net 162) (tstamp 58FF7384)) + (segment (start 282.956 219.952) (end 282.956 223.222) (width 0.18) (layer F.Cu) (net 162)) + (segment (start 282.956 223.222) (end 283 223.266) (width 0.18) (layer F.Cu) (net 162) (tstamp 58FF6506)) + (segment (start 288.544 223.266) (end 288.544 223.3168) (width 0.18) (layer F.Cu) (net 163)) + (segment (start 288.544 223.3168) (end 291.54 226.3128) (width 0.18) (layer F.Cu) (net 163) (tstamp 58FF738E)) + (segment (start 291.54 226.3128) (end 291.54 227) (width 0.18) (layer F.Cu) (net 163) (tstamp 58FF738F)) + (segment (start 291.54 227) (end 291.54 226.874) (width 0.18) (layer F.Cu) (net 163)) + (segment (start 288.544 219.952) (end 288.544 223.266) (width 0.18) (layer F.Cu) (net 163)) + + (zone (net 15) (net_name GNDPWR) (layer F.Cu) (tstamp 58FBC8EB) (hatch edge 0.508) + (connect_pads (clearance 0.6)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 215.392 182.118) (xy 201.93 182.118) (xy 201.93 177.8) (xy 196.342 177.8) (xy 196.342 183.642) + (xy 194.564 183.642) (xy 194.564 177.8) (xy 194.056 177.292) (xy 185.674 177.292) (xy 185.166 177.8) + (xy 185.166 200.406) (xy 186.182 201.422) (xy 195.072 201.422) (xy 195.072 206.756) (xy 180.594 206.756) + (xy 180.594 183.896) (xy 172.72 183.896) (xy 172.72 195.58) (xy 168.91 195.326) (xy 168.91 190.246) + (xy 161.544 190.246) (xy 161.544 176.276) (xy 146.304 176.276) (xy 146.304 185.42) (xy 148.336 187.452) + (xy 152.654 187.452) (xy 152.654 190.246) (xy 148.336 190.246) (xy 140.716 197.866) (xy 140.716 202.946) + (xy 133.604 202.946) (xy 133.604 195.326) (xy 134.366 194.564) (xy 134.366 186.69) (xy 133.35 185.674) + (xy 133.35 178.816) (xy 136.398 178.816) (xy 139.7 175.514) (xy 215.392 175.514) (xy 215.392 182.118) + ) + ) + (filled_polygon + (pts + (xy 215.142 181.868) (xy 202.18 181.868) (xy 202.18 180.947532) (xy 209.884022 180.947532) (xy 209.942202 181.195911) + (xy 210.481017 181.336897) (xy 211.03277 181.260954) (xy 211.189798 181.195911) (xy 211.247978 180.947532) (xy 210.566 180.265553) + (xy 209.884022 180.947532) (xy 202.18 180.947532) (xy 202.18 179.827017) (xy 209.141103 179.827017) (xy 209.217046 180.37877) + (xy 209.282089 180.535798) (xy 209.530468 180.593978) (xy 210.212447 179.912) (xy 210.919553 179.912) (xy 211.601532 180.593978) + (xy 211.849911 180.535798) (xy 211.990897 179.996983) (xy 211.914954 179.44523) (xy 211.849911 179.288202) (xy 211.601532 179.230022) + (xy 210.919553 179.912) (xy 210.212447 179.912) (xy 209.530468 179.230022) (xy 209.282089 179.288202) (xy 209.141103 179.827017) + (xy 202.18 179.827017) (xy 202.18 178.876468) (xy 209.884022 178.876468) (xy 210.566 179.558447) (xy 211.247978 178.876468) + (xy 211.189798 178.628089) (xy 210.650983 178.487103) (xy 210.09923 178.563046) (xy 209.942202 178.628089) (xy 209.884022 178.876468) + (xy 202.18 178.876468) (xy 202.18 177.8) (xy 202.160304 177.702736) (xy 202.104318 177.620798) (xy 202.020864 177.567097) + (xy 201.93 177.55) (xy 196.342 177.55) (xy 196.244736 177.569696) (xy 196.162798 177.625682) (xy 196.109097 177.709136) + (xy 196.092 177.8) (xy 196.092 178.066446) (xy 195.999184 178.028) (xy 195.7875 178.028) (xy 195.6 178.2155) + (xy 195.6 179.328) (xy 195.62 179.328) (xy 195.62 179.828) (xy 195.6 179.828) (xy 195.6 181.868) + (xy 195.62 181.868) (xy 195.62 182.368) (xy 195.6 182.368) (xy 195.6 182.388) (xy 195.1 182.388) + (xy 195.1 182.368) (xy 195.08 182.368) (xy 195.08 181.868) (xy 195.1 181.868) (xy 195.1 179.828) + (xy 195.08 179.828) (xy 195.08 179.328) (xy 195.1 179.328) (xy 195.1 178.2155) (xy 194.9125 178.028) + (xy 194.814 178.028) (xy 194.814 177.8) (xy 194.794304 177.702736) (xy 194.740777 177.623223) (xy 194.232777 177.115223) + (xy 194.150073 177.060375) (xy 194.056 177.042) (xy 185.674 177.042) (xy 185.576736 177.061696) (xy 185.497223 177.115223) + (xy 184.989223 177.623223) (xy 184.934375 177.705927) (xy 184.916 177.8) (xy 184.916 200.406) (xy 184.935696 200.503264) + (xy 184.989223 200.582777) (xy 186.005223 201.598777) (xy 186.087927 201.653625) (xy 186.182 201.672) (xy 191.42708 201.672) + (xy 191.388181 201.710899) (xy 191.274 201.986556) (xy 191.274 202.52374) (xy 191.4615 202.71124) (xy 193.298 202.71124) + (xy 193.298 202.69124) (xy 193.798 202.69124) (xy 193.798 202.71124) (xy 193.818 202.71124) (xy 193.818 203.21124) + (xy 193.798 203.21124) (xy 193.798 204.34924) (xy 193.9855 204.53674) (xy 194.822 204.53674) (xy 194.822 204.984) + (xy 194.4935 204.984) (xy 194.306 205.1715) (xy 194.306 205.984) (xy 194.326 205.984) (xy 194.326 206.484) + (xy 194.306 206.484) (xy 194.306 206.504) (xy 193.806 206.504) (xy 193.806 206.484) (xy 191.9935 206.484) + (xy 191.9715 206.506) (xy 180.844 206.506) (xy 180.844 205.584816) (xy 191.806 205.584816) (xy 191.806 205.7965) + (xy 191.9935 205.984) (xy 193.806 205.984) (xy 193.806 205.1715) (xy 193.6185 204.984) (xy 192.406815 204.984) + (xy 192.131159 205.098181) (xy 191.920181 205.309159) (xy 191.806 205.584816) (xy 180.844 205.584816) (xy 180.844 203.39874) + (xy 191.274 203.39874) (xy 191.274 203.935924) (xy 191.388181 204.211581) (xy 191.599159 204.422559) (xy 191.874815 204.53674) + (xy 193.1105 204.53674) (xy 193.298 204.34924) (xy 193.298 203.21124) (xy 191.4615 203.21124) (xy 191.274 203.39874) + (xy 180.844 203.39874) (xy 180.844 202.457532) (xy 182.372022 202.457532) (xy 182.430202 202.705911) (xy 182.969017 202.846897) + (xy 183.52077 202.770954) (xy 183.677798 202.705911) (xy 183.735978 202.457532) (xy 183.054 201.775553) (xy 182.372022 202.457532) + (xy 180.844 202.457532) (xy 180.844 201.337017) (xy 181.629103 201.337017) (xy 181.705046 201.88877) (xy 181.770089 202.045798) + (xy 182.018468 202.103978) (xy 182.700447 201.422) (xy 183.407553 201.422) (xy 184.089532 202.103978) (xy 184.337911 202.045798) + (xy 184.478897 201.506983) (xy 184.402954 200.95523) (xy 184.337911 200.798202) (xy 184.089532 200.740022) (xy 183.407553 201.422) + (xy 182.700447 201.422) (xy 182.018468 200.740022) (xy 181.770089 200.798202) (xy 181.629103 201.337017) (xy 180.844 201.337017) + (xy 180.844 200.386468) (xy 182.372022 200.386468) (xy 183.054 201.068447) (xy 183.735978 200.386468) (xy 183.677798 200.138089) + (xy 183.138983 199.997103) (xy 182.58723 200.073046) (xy 182.430202 200.138089) (xy 182.372022 200.386468) (xy 180.844 200.386468) + (xy 180.844 187.979532) (xy 182.372022 187.979532) (xy 182.430202 188.227911) (xy 182.969017 188.368897) (xy 183.52077 188.292954) + (xy 183.677798 188.227911) (xy 183.735978 187.979532) (xy 183.054 187.297553) (xy 182.372022 187.979532) (xy 180.844 187.979532) + (xy 180.844 186.859017) (xy 181.629103 186.859017) (xy 181.705046 187.41077) (xy 181.770089 187.567798) (xy 182.018468 187.625978) + (xy 182.700447 186.944) (xy 183.407553 186.944) (xy 184.089532 187.625978) (xy 184.337911 187.567798) (xy 184.478897 187.028983) + (xy 184.402954 186.47723) (xy 184.337911 186.320202) (xy 184.089532 186.262022) (xy 183.407553 186.944) (xy 182.700447 186.944) + (xy 182.018468 186.262022) (xy 181.770089 186.320202) (xy 181.629103 186.859017) (xy 180.844 186.859017) (xy 180.844 185.908468) + (xy 182.372022 185.908468) (xy 183.054 186.590447) (xy 183.735978 185.908468) (xy 183.677798 185.660089) (xy 183.138983 185.519103) + (xy 182.58723 185.595046) (xy 182.430202 185.660089) (xy 182.372022 185.908468) (xy 180.844 185.908468) (xy 180.844 183.896) + (xy 180.824304 183.798736) (xy 180.768318 183.716798) (xy 180.684864 183.663097) (xy 180.594 183.646) (xy 172.72 183.646) + (xy 172.622736 183.665696) (xy 172.540798 183.721682) (xy 172.487097 183.805136) (xy 172.47 183.896) (xy 172.47 194.2795) + (xy 172.462 194.2875) (xy 172.462 195.1) (xy 172.47 195.1) (xy 172.47 195.312779) (xy 171.942 195.277579) + (xy 171.942 195.1) (xy 171.962 195.1) (xy 171.962 194.2875) (xy 171.7745 194.1) (xy 171.262815 194.1) + (xy 170.987159 194.214181) (xy 170.942 194.25934) (xy 170.896841 194.214181) (xy 170.621185 194.1) (xy 170.1095 194.1) + (xy 169.922 194.2875) (xy 169.922 195.1) (xy 169.942 195.1) (xy 169.942 195.144245) (xy 169.402 195.108245) + (xy 169.402 195.1) (xy 169.422 195.1) (xy 169.422 194.2875) (xy 169.2345 194.1) (xy 169.16 194.1) + (xy 169.16 190.246) (xy 169.140304 190.148736) (xy 169.084318 190.066798) (xy 169.000864 190.013097) (xy 168.91 189.996) + (xy 161.794 189.996) (xy 161.794 176.276) (xy 161.774304 176.178736) (xy 161.718318 176.096798) (xy 161.634864 176.043097) + (xy 161.544 176.026) (xy 159.474634 176.026) (xy 159.212634 175.764) (xy 215.142 175.764) + ) + ) + (filled_polygon + (pts + (xy 149.811665 176.026) (xy 146.304 176.026) (xy 146.206736 176.045696) (xy 146.124798 176.101682) (xy 146.071097 176.185136) + (xy 146.054 176.276) (xy 146.054 185.42) (xy 146.073696 185.517264) (xy 146.127223 185.596777) (xy 148.159223 187.628777) + (xy 148.241927 187.683625) (xy 148.336 187.702) (xy 149.79326 187.702) (xy 149.79326 188.2845) (xy 149.98076 188.472) + (xy 151.11876 188.472) (xy 151.11876 188.452) (xy 151.61876 188.452) (xy 151.61876 188.472) (xy 151.63876 188.472) + (xy 151.63876 188.972) (xy 151.61876 188.972) (xy 151.61876 188.992) (xy 151.11876 188.992) (xy 151.11876 188.972) + (xy 149.98076 188.972) (xy 149.79326 189.1595) (xy 149.79326 189.996) (xy 148.336 189.996) (xy 148.238736 190.015696) + (xy 148.159223 190.069223) (xy 140.539223 197.689223) (xy 140.484375 197.771927) (xy 140.466 197.866) (xy 140.466 202.696) + (xy 138.137 202.696) (xy 138.137 201.8475) (xy 137.9495 201.66) (xy 136.902 201.66) (xy 136.902 201.68) + (xy 136.402 201.68) (xy 136.402 201.66) (xy 135.3545 201.66) (xy 135.167 201.8475) (xy 135.167 202.696) + (xy 133.854 202.696) (xy 133.854 202.547) (xy 134.096002 202.547) (xy 134.096002 202.409502) (xy 134.2335 202.547) + (xy 134.395184 202.547) (xy 134.670841 202.432819) (xy 134.881819 202.221841) (xy 134.996 201.946185) (xy 134.996 201.797) + (xy 134.8085 201.6095) (xy 134.046 201.6095) (xy 134.046 201.692) (xy 133.854 201.692) (xy 133.854 201.152) + (xy 134.046 201.152) (xy 134.046 201.2345) (xy 134.8085 201.2345) (xy 134.996 201.047) (xy 134.996 200.897815) + (xy 134.881819 200.622159) (xy 134.670841 200.411181) (xy 134.395184 200.297) (xy 134.2335 200.297) (xy 134.096002 200.434498) + (xy 134.096002 200.297) (xy 133.854 200.297) (xy 133.854 199.875816) (xy 135.167 199.875816) (xy 135.167 200.9725) + (xy 135.3545 201.16) (xy 136.402 201.16) (xy 136.402 199.4625) (xy 136.902 199.4625) (xy 136.902 201.16) + (xy 137.9495 201.16) (xy 138.137 200.9725) (xy 138.137 199.875816) (xy 138.022819 199.600159) (xy 137.811841 199.389181) + (xy 137.536185 199.275) (xy 137.0895 199.275) (xy 136.902 199.4625) (xy 136.402 199.4625) (xy 136.2145 199.275) + (xy 135.767815 199.275) (xy 135.492159 199.389181) (xy 135.281181 199.600159) (xy 135.167 199.875816) (xy 133.854 199.875816) + (xy 133.854 195.429554) (xy 134.542777 194.740777) (xy 134.597625 194.658073) (xy 134.616 194.564) (xy 134.616 193.059532) + (xy 136.144022 193.059532) (xy 136.202202 193.307911) (xy 136.741017 193.448897) (xy 137.29277 193.372954) (xy 137.449798 193.307911) + (xy 137.507978 193.059532) (xy 136.826 192.377553) (xy 136.144022 193.059532) (xy 134.616 193.059532) (xy 134.616 191.939017) + (xy 135.401103 191.939017) (xy 135.477046 192.49077) (xy 135.542089 192.647798) (xy 135.790468 192.705978) (xy 136.472447 192.024) + (xy 137.179553 192.024) (xy 137.861532 192.705978) (xy 138.109911 192.647798) (xy 138.250897 192.108983) (xy 138.174954 191.55723) + (xy 138.109911 191.400202) (xy 137.861532 191.342022) (xy 137.179553 192.024) (xy 136.472447 192.024) (xy 135.790468 191.342022) + (xy 135.542089 191.400202) (xy 135.401103 191.939017) (xy 134.616 191.939017) (xy 134.616 190.988468) (xy 136.144022 190.988468) + (xy 136.826 191.670447) (xy 137.507978 190.988468) (xy 137.449798 190.740089) (xy 136.910983 190.599103) (xy 136.35923 190.675046) + (xy 136.202202 190.740089) (xy 136.144022 190.988468) (xy 134.616 190.988468) (xy 134.616 186.69) (xy 134.596304 186.592736) + (xy 134.542777 186.513223) (xy 133.6 185.570446) (xy 133.6 181.442611) (xy 133.607216 181.4456) (xy 134.1825 181.4456) + (xy 134.37 181.2581) (xy 134.37 180.082) (xy 134.87 180.082) (xy 134.87 181.2581) (xy 135.0575 181.4456) + (xy 135.632784 181.4456) (xy 135.908441 181.331419) (xy 136.119419 181.120441) (xy 136.2336 180.844785) (xy 136.2336 180.2695) + (xy 136.0461 180.082) (xy 134.87 180.082) (xy 134.37 180.082) (xy 134.35 180.082) (xy 134.35 179.582) + (xy 134.37 179.582) (xy 134.37 179.562) (xy 134.87 179.562) (xy 134.87 179.582) (xy 136.0461 179.582) + (xy 136.2336 179.3945) (xy 136.2336 179.066) (xy 136.398 179.066) (xy 136.495264 179.046304) (xy 136.574777 178.992777) + (xy 139.803554 175.764) (xy 150.073665 175.764) + ) + ) + ) + (zone (net 15) (net_name GNDPWR) (layer In1.Cu) (tstamp 58FBC8F6) (hatch edge 0.508) + (connect_pads (clearance 1)) + (min_thickness 0.8) + (fill yes (arc_segments 16) (thermal_gap 0.4) (thermal_bridge_width 1)) + (polygon + (pts + (xy 215.392 182.118) (xy 205.486 182.118) (xy 205.486 207.264) (xy 143.002 207.264) (xy 138.684 202.946) + (xy 133.604 202.946) (xy 133.604 193.802) (xy 134.874 192.532) (xy 134.874 183.134) (xy 133.35 181.61) + (xy 133.35 178.816) (xy 138.684 178.816) (xy 141.986 175.514) (xy 178.308 175.514) (xy 215.392 175.514) + ) + ) + (filled_polygon + (pts + (xy 214.992 181.718) (xy 205.486 181.718) (xy 205.330378 181.749514) (xy 205.199277 181.839092) (xy 205.113356 181.972617) + (xy 205.086 182.118) (xy 205.086 192.50258) (xy 204.911034 192.758651) (xy 204.798573 193.314) (xy 204.798573 195.814) + (xy 204.896194 196.332809) (xy 205.086 196.627776) (xy 205.086 197.17884) (xy 204.965027 197.359889) (xy 204.763308 198.374) + (xy 204.965027 199.388111) (xy 205.086 199.56916) (xy 205.086 200.98884) (xy 205.079335 200.998815) (xy 205.063634 200.992296) + (xy 204.387333 200.991706) (xy 203.762285 201.24997) (xy 203.28365 201.72777) (xy 203.024296 202.352366) (xy 203.023706 203.028667) + (xy 203.28197 203.653715) (xy 203.589836 203.962119) (xy 203.581103 203.970836) (xy 203.40223 203.79165) (xy 202.777634 203.532296) + (xy 202.101333 203.531706) (xy 201.476285 203.78997) (xy 201.168103 204.097614) (xy 201.11623 204.04565) (xy 200.491634 203.786296) + (xy 199.815333 203.785706) (xy 199.190285 204.04397) (xy 198.71165 204.52177) (xy 198.452296 205.146366) (xy 198.451706 205.822667) + (xy 198.70997 206.447715) (xy 199.125529 206.864) (xy 169.00083 206.864) (xy 169.123303 206.785191) (xy 169.442966 206.317349) + (xy 169.555427 205.762) (xy 169.555427 202.162) (xy 169.457806 201.643191) (xy 169.151191 201.166697) (xy 168.683349 200.847034) + (xy 168.312821 200.772) (xy 175.976573 200.772) (xy 175.976573 202.072) (xy 176.074194 202.590809) (xy 176.380809 203.067303) + (xy 176.848651 203.386966) (xy 177.404 203.499427) (xy 178.704 203.499427) (xy 179.222809 203.401806) (xy 179.699303 203.095191) + (xy 180.018966 202.627349) (xy 180.066238 202.393911) (xy 182.22351 202.393911) (xy 182.267906 202.674096) (xy 182.8069 202.879612) + (xy 183.383513 202.863218) (xy 183.840094 202.674096) (xy 183.88449 202.393911) (xy 183.054 201.563421) (xy 182.22351 202.393911) + (xy 180.066238 202.393911) (xy 180.131427 202.072) (xy 180.131427 201.1749) (xy 181.596388 201.1749) (xy 181.612782 201.751513) + (xy 181.801904 202.208094) (xy 182.082089 202.25249) (xy 182.912579 201.422) (xy 183.195421 201.422) (xy 184.025911 202.25249) + (xy 184.306096 202.208094) (xy 184.511612 201.6691) (xy 184.495218 201.092487) (xy 184.306096 200.635906) (xy 184.025911 200.59151) + (xy 183.195421 201.422) (xy 182.912579 201.422) (xy 182.082089 200.59151) (xy 181.801904 200.635906) (xy 181.596388 201.1749) + (xy 180.131427 201.1749) (xy 180.131427 200.772) (xy 180.070856 200.450089) (xy 182.22351 200.450089) (xy 183.054 201.280579) + (xy 183.88449 200.450089) (xy 183.840094 200.169904) (xy 183.3011 199.964388) (xy 182.724487 199.980782) (xy 182.267906 200.169904) + (xy 182.22351 200.450089) (xy 180.070856 200.450089) (xy 180.033806 200.253191) (xy 179.727191 199.776697) (xy 179.259349 199.457034) + (xy 178.704 199.344573) (xy 177.404 199.344573) (xy 176.885191 199.442194) (xy 176.408697 199.748809) (xy 176.089034 200.216651) + (xy 175.976573 200.772) (xy 168.312821 200.772) (xy 168.128 200.734573) (xy 165.628 200.734573) (xy 165.109191 200.832194) + (xy 164.632697 201.138809) (xy 164.313034 201.606651) (xy 164.200573 202.162) (xy 164.200573 202.26679) (xy 163.671833 201.475475) + (xy 162.812111 200.901027) (xy 161.798 200.699308) (xy 160.783889 200.901027) (xy 159.924167 201.475475) (xy 159.349719 202.335197) + (xy 159.258 202.7963) (xy 159.166281 202.335197) (xy 158.591833 201.475475) (xy 157.732111 200.901027) (xy 156.718 200.699308) + (xy 156.26179 200.790054) (xy 156.385704 200.491634) (xy 156.386294 199.815333) (xy 156.12803 199.190285) (xy 155.65023 198.71165) + (xy 155.025634 198.452296) (xy 154.349333 198.451706) (xy 153.724285 198.70997) (xy 153.24565 199.18777) (xy 153.034801 199.695552) + (xy 152.82603 199.190285) (xy 152.34823 198.71165) (xy 151.723634 198.452296) (xy 151.047333 198.451706) (xy 150.422285 198.70997) + (xy 150.113881 199.017836) (xy 150.105164 199.009103) (xy 150.28435 198.83023) (xy 150.543704 198.205634) (xy 150.544294 197.529333) + (xy 150.28603 196.904285) (xy 150.232164 196.850325) (xy 150.28435 196.79823) (xy 150.543704 196.173634) (xy 150.544294 195.497333) + (xy 150.28603 194.872285) (xy 149.978164 194.563881) (xy 150.28435 194.25823) (xy 150.543704 193.633634) (xy 150.544294 192.957333) + (xy 150.28603 192.332285) (xy 149.80823 191.85365) (xy 149.183634 191.594296) (xy 148.507333 191.593706) (xy 147.882285 191.85197) + (xy 147.40365 192.32977) (xy 147.144296 192.954366) (xy 147.143706 193.630667) (xy 147.40197 194.255715) (xy 147.709836 194.564119) + (xy 147.40365 194.86977) (xy 147.144296 195.494366) (xy 147.143706 196.170667) (xy 147.40197 196.795715) (xy 147.455836 196.849675) + (xy 147.40365 196.90177) (xy 147.144296 197.526366) (xy 147.143706 198.202667) (xy 147.40197 198.827715) (xy 147.582836 199.008897) + (xy 147.40365 199.18777) (xy 147.144296 199.812366) (xy 147.143706 200.488667) (xy 147.290984 200.845107) (xy 146.558 200.699308) + (xy 145.543889 200.901027) (xy 144.684167 201.475475) (xy 144.109719 202.335197) (xy 143.908 203.349308) (xy 143.908 204.574692) + (xy 144.109719 205.588803) (xy 144.684167 206.448525) (xy 145.305969 206.864) (xy 143.167686 206.864) (xy 138.966843 202.663157) + (xy 138.834517 202.5754) (xy 138.684 202.546) (xy 134.004 202.546) (xy 134.004 193.967686) (xy 134.975775 192.995911) + (xy 135.99551 192.995911) (xy 136.039906 193.276096) (xy 136.5789 193.481612) (xy 137.155513 193.465218) (xy 137.612094 193.276096) + (xy 137.65649 192.995911) (xy 136.826 192.165421) (xy 135.99551 192.995911) (xy 134.975775 192.995911) (xy 135.156843 192.814843) + (xy 135.2446 192.682517) (xy 135.274 192.532) (xy 135.274 191.7769) (xy 135.368388 191.7769) (xy 135.384782 192.353513) + (xy 135.573904 192.810094) (xy 135.854089 192.85449) (xy 136.684579 192.024) (xy 136.967421 192.024) (xy 137.797911 192.85449) + (xy 138.078096 192.810094) (xy 138.283612 192.2711) (xy 138.267218 191.694487) (xy 138.078096 191.237906) (xy 137.797911 191.19351) + (xy 136.967421 192.024) (xy 136.684579 192.024) (xy 135.854089 191.19351) (xy 135.573904 191.237906) (xy 135.368388 191.7769) + (xy 135.274 191.7769) (xy 135.274 191.052089) (xy 135.99551 191.052089) (xy 136.826 191.882579) (xy 137.65649 191.052089) + (xy 137.612094 190.771904) (xy 137.0731 190.566388) (xy 136.496487 190.582782) (xy 136.039906 190.771904) (xy 135.99551 191.052089) + (xy 135.274 191.052089) (xy 135.274 185.756667) (xy 147.651706 185.756667) (xy 147.90997 186.381715) (xy 148.38777 186.86035) + (xy 149.012366 187.119704) (xy 149.688667 187.120294) (xy 150.313715 186.86203) (xy 150.79235 186.38423) (xy 150.829816 186.294) + (xy 175.976573 186.294) (xy 175.976573 187.594) (xy 176.074194 188.112809) (xy 176.380809 188.589303) (xy 176.848651 188.908966) + (xy 177.404 189.021427) (xy 178.704 189.021427) (xy 179.222809 188.923806) (xy 179.407956 188.804667) (xy 187.529706 188.804667) + (xy 187.78797 189.429715) (xy 188.26577 189.90835) (xy 188.890366 190.167704) (xy 189.566667 190.168294) (xy 190.191715 189.91003) + (xy 190.67035 189.43223) (xy 190.753885 189.231054) (xy 190.83597 189.429715) (xy 191.31377 189.90835) (xy 191.938366 190.167704) + (xy 192.614667 190.168294) (xy 193.239715 189.91003) (xy 193.71835 189.43223) (xy 193.977704 188.807634) (xy 193.978294 188.131333) + (xy 193.72003 187.506285) (xy 193.24223 187.02765) (xy 192.617634 186.768296) (xy 191.941333 186.767706) (xy 191.316285 187.02597) + (xy 190.83765 187.50377) (xy 190.754115 187.704946) (xy 190.67203 187.506285) (xy 190.19423 187.02765) (xy 189.569634 186.768296) + (xy 188.893333 186.767706) (xy 188.268285 187.02597) (xy 187.78965 187.50377) (xy 187.530296 188.128366) (xy 187.529706 188.804667) + (xy 179.407956 188.804667) (xy 179.699303 188.617191) (xy 180.018966 188.149349) (xy 180.066238 187.915911) (xy 182.22351 187.915911) + (xy 182.267906 188.196096) (xy 182.8069 188.401612) (xy 183.383513 188.385218) (xy 183.840094 188.196096) (xy 183.88449 187.915911) + (xy 183.054 187.085421) (xy 182.22351 187.915911) (xy 180.066238 187.915911) (xy 180.131427 187.594) (xy 180.131427 186.6969) + (xy 181.596388 186.6969) (xy 181.612782 187.273513) (xy 181.801904 187.730094) (xy 182.082089 187.77449) (xy 182.912579 186.944) + (xy 183.195421 186.944) (xy 184.025911 187.77449) (xy 184.306096 187.730094) (xy 184.511612 187.1911) (xy 184.495218 186.614487) + (xy 184.306096 186.157906) (xy 184.025911 186.11351) (xy 183.195421 186.944) (xy 182.912579 186.944) (xy 182.082089 186.11351) + (xy 181.801904 186.157906) (xy 181.596388 186.6969) (xy 180.131427 186.6969) (xy 180.131427 186.294) (xy 180.070856 185.972089) + (xy 182.22351 185.972089) (xy 183.054 186.802579) (xy 183.88449 185.972089) (xy 183.840094 185.691904) (xy 183.3011 185.486388) + (xy 182.724487 185.502782) (xy 182.267906 185.691904) (xy 182.22351 185.972089) (xy 180.070856 185.972089) (xy 180.033806 185.775191) + (xy 179.727191 185.298697) (xy 179.259349 184.979034) (xy 178.704 184.866573) (xy 177.404 184.866573) (xy 176.885191 184.964194) + (xy 176.408697 185.270809) (xy 176.089034 185.738651) (xy 175.976573 186.294) (xy 150.829816 186.294) (xy 151.051704 185.759634) + (xy 151.052294 185.083333) (xy 150.79403 184.458285) (xy 150.31623 183.97965) (xy 149.691634 183.720296) (xy 149.015333 183.719706) + (xy 148.390285 183.97797) (xy 147.91165 184.45577) (xy 147.652296 185.080366) (xy 147.651706 185.756667) (xy 135.274 185.756667) + (xy 135.274 183.134) (xy 135.242486 182.978378) (xy 135.156843 182.851157) (xy 133.801286 181.4956) (xy 134.32 181.4956) + (xy 134.52 181.2956) (xy 134.52 179.932) (xy 134.72 179.932) (xy 134.72 181.2956) (xy 134.92 181.4956) + (xy 135.64273 181.4956) (xy 135.936764 181.373807) (xy 136.161807 181.148764) (xy 136.271512 180.883911) (xy 209.73551 180.883911) + (xy 209.779906 181.164096) (xy 210.3189 181.369612) (xy 210.895513 181.353218) (xy 211.352094 181.164096) (xy 211.39649 180.883911) + (xy 210.566 180.053421) (xy 209.73551 180.883911) (xy 136.271512 180.883911) (xy 136.2836 180.85473) (xy 136.2836 180.132) + (xy 136.0836 179.932) (xy 134.72 179.932) (xy 134.52 179.932) (xy 134.5 179.932) (xy 134.5 179.732) + (xy 134.52 179.732) (xy 134.52 179.712) (xy 134.72 179.712) (xy 134.72 179.732) (xy 136.0836 179.732) + (xy 136.2836 179.532) (xy 136.2836 179.216) (xy 138.684 179.216) (xy 138.839622 179.184486) (xy 138.966843 179.098843) + (xy 139.929019 178.136667) (xy 146.127706 178.136667) (xy 146.38597 178.761715) (xy 146.86377 179.24035) (xy 147.488366 179.499704) + (xy 148.164667 179.500294) (xy 148.391262 179.406667) (xy 151.207706 179.406667) (xy 151.46597 180.031715) (xy 151.94377 180.51035) + (xy 152.568366 180.769704) (xy 153.244667 180.770294) (xy 153.869715 180.51203) (xy 154.34835 180.03423) (xy 154.501708 179.6649) + (xy 209.108388 179.6649) (xy 209.124782 180.241513) (xy 209.313904 180.698094) (xy 209.594089 180.74249) (xy 210.424579 179.912) + (xy 210.707421 179.912) (xy 211.537911 180.74249) (xy 211.818096 180.698094) (xy 212.023612 180.1591) (xy 212.007218 179.582487) + (xy 211.818096 179.125906) (xy 211.537911 179.08151) (xy 210.707421 179.912) (xy 210.424579 179.912) (xy 209.594089 179.08151) + (xy 209.313904 179.125906) (xy 209.108388 179.6649) (xy 154.501708 179.6649) (xy 154.607704 179.409634) (xy 154.608113 178.940089) + (xy 209.73551 178.940089) (xy 210.566 179.770579) (xy 211.39649 178.940089) (xy 211.352094 178.659904) (xy 210.8131 178.454388) + (xy 210.236487 178.470782) (xy 209.779906 178.659904) (xy 209.73551 178.940089) (xy 154.608113 178.940089) (xy 154.608294 178.733333) + (xy 154.35003 178.108285) (xy 153.87223 177.62965) (xy 153.247634 177.370296) (xy 152.571333 177.369706) (xy 151.946285 177.62797) + (xy 151.46765 178.10577) (xy 151.208296 178.730366) (xy 151.207706 179.406667) (xy 148.391262 179.406667) (xy 148.789715 179.24203) + (xy 149.26835 178.76423) (xy 149.527704 178.139634) (xy 149.528294 177.463333) (xy 149.27003 176.838285) (xy 148.79223 176.35965) + (xy 148.167634 176.100296) (xy 147.491333 176.099706) (xy 146.866285 176.35797) (xy 146.38765 176.83577) (xy 146.128296 177.460366) + (xy 146.127706 178.136667) (xy 139.929019 178.136667) (xy 142.151686 175.914) (xy 214.992 175.914) + ) + ) + ) + (zone (net 15) (net_name GNDPWR) (layer In2.Cu) (tstamp 58FBC8F6) (hatch edge 0.508) + (connect_pads (clearance 1)) + (min_thickness 0.8) + (fill yes (arc_segments 16) (thermal_gap 0.4) (thermal_bridge_width 1)) + (polygon + (pts + (xy 215.392 182.118) (xy 201.93 182.118) (xy 201.93 207.264) (xy 143.002 207.264) (xy 137.922 202.184) + (xy 133.604 202.184) (xy 133.604 193.802) (xy 134.874 192.532) (xy 134.874 183.134) (xy 133.35 181.61) + (xy 133.35 178.816) (xy 136.398 178.816) (xy 139.7 175.514) (xy 178.308 175.514) (xy 215.392 175.514) + ) + ) + (filled_polygon + (pts + (xy 214.992 181.718) (xy 201.93 181.718) (xy 201.774378 181.749514) (xy 201.643277 181.839092) (xy 201.557356 181.972617) + (xy 201.53 182.118) (xy 201.53 203.767775) (xy 201.476285 203.78997) (xy 201.168103 204.097614) (xy 201.11623 204.04565) + (xy 200.491634 203.786296) (xy 199.815333 203.785706) (xy 199.190285 204.04397) (xy 198.71165 204.52177) (xy 198.452296 205.146366) + (xy 198.451706 205.822667) (xy 198.70997 206.447715) (xy 199.125529 206.864) (xy 169.00083 206.864) (xy 169.123303 206.785191) + (xy 169.442966 206.317349) (xy 169.555427 205.762) (xy 169.555427 202.162) (xy 169.457806 201.643191) (xy 169.151191 201.166697) + (xy 168.683349 200.847034) (xy 168.312821 200.772) (xy 175.976573 200.772) (xy 175.976573 202.072) (xy 176.074194 202.590809) + (xy 176.380809 203.067303) (xy 176.848651 203.386966) (xy 177.404 203.499427) (xy 178.704 203.499427) (xy 179.222809 203.401806) + (xy 179.699303 203.095191) (xy 180.018966 202.627349) (xy 180.066238 202.393911) (xy 182.22351 202.393911) (xy 182.267906 202.674096) + (xy 182.8069 202.879612) (xy 183.383513 202.863218) (xy 183.840094 202.674096) (xy 183.88449 202.393911) (xy 183.054 201.563421) + (xy 182.22351 202.393911) (xy 180.066238 202.393911) (xy 180.131427 202.072) (xy 180.131427 201.1749) (xy 181.596388 201.1749) + (xy 181.612782 201.751513) (xy 181.801904 202.208094) (xy 182.082089 202.25249) (xy 182.912579 201.422) (xy 183.195421 201.422) + (xy 184.025911 202.25249) (xy 184.306096 202.208094) (xy 184.511612 201.6691) (xy 184.495218 201.092487) (xy 184.306096 200.635906) + (xy 184.025911 200.59151) (xy 183.195421 201.422) (xy 182.912579 201.422) (xy 182.082089 200.59151) (xy 181.801904 200.635906) + (xy 181.596388 201.1749) (xy 180.131427 201.1749) (xy 180.131427 200.772) (xy 180.070856 200.450089) (xy 182.22351 200.450089) + (xy 183.054 201.280579) (xy 183.88449 200.450089) (xy 183.840094 200.169904) (xy 183.3011 199.964388) (xy 182.724487 199.980782) + (xy 182.267906 200.169904) (xy 182.22351 200.450089) (xy 180.070856 200.450089) (xy 180.033806 200.253191) (xy 179.727191 199.776697) + (xy 179.259349 199.457034) (xy 178.704 199.344573) (xy 177.404 199.344573) (xy 176.885191 199.442194) (xy 176.408697 199.748809) + (xy 176.089034 200.216651) (xy 175.976573 200.772) (xy 168.312821 200.772) (xy 168.128 200.734573) (xy 165.628 200.734573) + (xy 165.109191 200.832194) (xy 164.632697 201.138809) (xy 164.313034 201.606651) (xy 164.200573 202.162) (xy 164.200573 202.26679) + (xy 163.671833 201.475475) (xy 162.812111 200.901027) (xy 161.798 200.699308) (xy 160.783889 200.901027) (xy 159.924167 201.475475) + (xy 159.349719 202.335197) (xy 159.258 202.7963) (xy 159.166281 202.335197) (xy 158.591833 201.475475) (xy 157.732111 200.901027) + (xy 156.718 200.699308) (xy 156.26179 200.790054) (xy 156.385704 200.491634) (xy 156.386294 199.815333) (xy 156.12803 199.190285) + (xy 155.65023 198.71165) (xy 155.025634 198.452296) (xy 154.349333 198.451706) (xy 153.724285 198.70997) (xy 153.24565 199.18777) + (xy 153.034801 199.695552) (xy 152.82603 199.190285) (xy 152.34823 198.71165) (xy 151.723634 198.452296) (xy 151.047333 198.451706) + (xy 150.422285 198.70997) (xy 150.113881 199.017836) (xy 150.105164 199.009103) (xy 150.28435 198.83023) (xy 150.543704 198.205634) + (xy 150.544294 197.529333) (xy 150.28603 196.904285) (xy 150.232164 196.850325) (xy 150.28435 196.79823) (xy 150.543704 196.173634) + (xy 150.544294 195.497333) (xy 150.28603 194.872285) (xy 149.978164 194.563881) (xy 150.28435 194.25823) (xy 150.543704 193.633634) + (xy 150.544294 192.957333) (xy 150.28603 192.332285) (xy 149.80823 191.85365) (xy 149.183634 191.594296) (xy 148.507333 191.593706) + (xy 147.882285 191.85197) (xy 147.40365 192.32977) (xy 147.144296 192.954366) (xy 147.143706 193.630667) (xy 147.40197 194.255715) + (xy 147.709836 194.564119) (xy 147.40365 194.86977) (xy 147.144296 195.494366) (xy 147.143706 196.170667) (xy 147.40197 196.795715) + (xy 147.455836 196.849675) (xy 147.40365 196.90177) (xy 147.144296 197.526366) (xy 147.143706 198.202667) (xy 147.40197 198.827715) + (xy 147.582836 199.008897) (xy 147.40365 199.18777) (xy 147.144296 199.812366) (xy 147.143706 200.488667) (xy 147.290984 200.845107) + (xy 146.558 200.699308) (xy 145.543889 200.901027) (xy 144.684167 201.475475) (xy 144.109719 202.335197) (xy 143.908 203.349308) + (xy 143.908 204.574692) (xy 144.109719 205.588803) (xy 144.684167 206.448525) (xy 145.305969 206.864) (xy 143.167686 206.864) + (xy 138.204843 201.901157) (xy 138.072517 201.8134) (xy 137.922 201.784) (xy 134.004 201.784) (xy 134.004 193.967686) + (xy 134.975775 192.995911) (xy 135.99551 192.995911) (xy 136.039906 193.276096) (xy 136.5789 193.481612) (xy 137.155513 193.465218) + (xy 137.612094 193.276096) (xy 137.65649 192.995911) (xy 136.826 192.165421) (xy 135.99551 192.995911) (xy 134.975775 192.995911) + (xy 135.156843 192.814843) (xy 135.2446 192.682517) (xy 135.274 192.532) (xy 135.274 191.7769) (xy 135.368388 191.7769) + (xy 135.384782 192.353513) (xy 135.573904 192.810094) (xy 135.854089 192.85449) (xy 136.684579 192.024) (xy 136.967421 192.024) + (xy 137.797911 192.85449) (xy 138.078096 192.810094) (xy 138.283612 192.2711) (xy 138.267218 191.694487) (xy 138.078096 191.237906) + (xy 137.797911 191.19351) (xy 136.967421 192.024) (xy 136.684579 192.024) (xy 135.854089 191.19351) (xy 135.573904 191.237906) + (xy 135.368388 191.7769) (xy 135.274 191.7769) (xy 135.274 191.052089) (xy 135.99551 191.052089) (xy 136.826 191.882579) + (xy 137.65649 191.052089) (xy 137.612094 190.771904) (xy 137.0731 190.566388) (xy 136.496487 190.582782) (xy 136.039906 190.771904) + (xy 135.99551 191.052089) (xy 135.274 191.052089) (xy 135.274 185.756667) (xy 147.651706 185.756667) (xy 147.90997 186.381715) + (xy 148.38777 186.86035) (xy 149.012366 187.119704) (xy 149.688667 187.120294) (xy 150.313715 186.86203) (xy 150.79235 186.38423) + (xy 150.829816 186.294) (xy 175.976573 186.294) (xy 175.976573 187.594) (xy 176.074194 188.112809) (xy 176.380809 188.589303) + (xy 176.848651 188.908966) (xy 177.404 189.021427) (xy 178.704 189.021427) (xy 179.222809 188.923806) (xy 179.407956 188.804667) + (xy 187.529706 188.804667) (xy 187.78797 189.429715) (xy 188.26577 189.90835) (xy 188.890366 190.167704) (xy 189.566667 190.168294) + (xy 190.191715 189.91003) (xy 190.67035 189.43223) (xy 190.753885 189.231054) (xy 190.83597 189.429715) (xy 191.31377 189.90835) + (xy 191.938366 190.167704) (xy 192.614667 190.168294) (xy 193.239715 189.91003) (xy 193.71835 189.43223) (xy 193.977704 188.807634) + (xy 193.978294 188.131333) (xy 193.72003 187.506285) (xy 193.24223 187.02765) (xy 192.617634 186.768296) (xy 191.941333 186.767706) + (xy 191.316285 187.02597) (xy 190.83765 187.50377) (xy 190.754115 187.704946) (xy 190.67203 187.506285) (xy 190.19423 187.02765) + (xy 189.569634 186.768296) (xy 188.893333 186.767706) (xy 188.268285 187.02597) (xy 187.78965 187.50377) (xy 187.530296 188.128366) + (xy 187.529706 188.804667) (xy 179.407956 188.804667) (xy 179.699303 188.617191) (xy 180.018966 188.149349) (xy 180.066238 187.915911) + (xy 182.22351 187.915911) (xy 182.267906 188.196096) (xy 182.8069 188.401612) (xy 183.383513 188.385218) (xy 183.840094 188.196096) + (xy 183.88449 187.915911) (xy 183.054 187.085421) (xy 182.22351 187.915911) (xy 180.066238 187.915911) (xy 180.131427 187.594) + (xy 180.131427 186.6969) (xy 181.596388 186.6969) (xy 181.612782 187.273513) (xy 181.801904 187.730094) (xy 182.082089 187.77449) + (xy 182.912579 186.944) (xy 183.195421 186.944) (xy 184.025911 187.77449) (xy 184.306096 187.730094) (xy 184.511612 187.1911) + (xy 184.495218 186.614487) (xy 184.306096 186.157906) (xy 184.025911 186.11351) (xy 183.195421 186.944) (xy 182.912579 186.944) + (xy 182.082089 186.11351) (xy 181.801904 186.157906) (xy 181.596388 186.6969) (xy 180.131427 186.6969) (xy 180.131427 186.294) + (xy 180.070856 185.972089) (xy 182.22351 185.972089) (xy 183.054 186.802579) (xy 183.88449 185.972089) (xy 183.840094 185.691904) + (xy 183.3011 185.486388) (xy 182.724487 185.502782) (xy 182.267906 185.691904) (xy 182.22351 185.972089) (xy 180.070856 185.972089) + (xy 180.033806 185.775191) (xy 179.727191 185.298697) (xy 179.259349 184.979034) (xy 178.704 184.866573) (xy 177.404 184.866573) + (xy 176.885191 184.964194) (xy 176.408697 185.270809) (xy 176.089034 185.738651) (xy 175.976573 186.294) (xy 150.829816 186.294) + (xy 151.051704 185.759634) (xy 151.052294 185.083333) (xy 150.79403 184.458285) (xy 150.31623 183.97965) (xy 149.691634 183.720296) + (xy 149.015333 183.719706) (xy 148.390285 183.97797) (xy 147.91165 184.45577) (xy 147.652296 185.080366) (xy 147.651706 185.756667) + (xy 135.274 185.756667) (xy 135.274 183.134) (xy 135.242486 182.978378) (xy 135.156843 182.851157) (xy 133.801286 181.4956) + (xy 134.32 181.4956) (xy 134.52 181.2956) (xy 134.52 179.932) (xy 134.72 179.932) (xy 134.72 181.2956) + (xy 134.92 181.4956) (xy 135.64273 181.4956) (xy 135.936764 181.373807) (xy 136.161807 181.148764) (xy 136.271512 180.883911) + (xy 209.73551 180.883911) (xy 209.779906 181.164096) (xy 210.3189 181.369612) (xy 210.895513 181.353218) (xy 211.352094 181.164096) + (xy 211.39649 180.883911) (xy 210.566 180.053421) (xy 209.73551 180.883911) (xy 136.271512 180.883911) (xy 136.2836 180.85473) + (xy 136.2836 180.132) (xy 136.0836 179.932) (xy 134.72 179.932) (xy 134.52 179.932) (xy 134.5 179.932) + (xy 134.5 179.732) (xy 134.52 179.732) (xy 134.52 179.712) (xy 134.72 179.712) (xy 134.72 179.732) + (xy 136.0836 179.732) (xy 136.2836 179.532) (xy 136.2836 179.216) (xy 136.398 179.216) (xy 136.553622 179.184486) + (xy 136.680843 179.098843) (xy 137.643019 178.136667) (xy 146.127706 178.136667) (xy 146.38597 178.761715) (xy 146.86377 179.24035) + (xy 147.488366 179.499704) (xy 148.164667 179.500294) (xy 148.391262 179.406667) (xy 151.207706 179.406667) (xy 151.46597 180.031715) + (xy 151.94377 180.51035) (xy 152.568366 180.769704) (xy 153.244667 180.770294) (xy 153.869715 180.51203) (xy 154.34835 180.03423) + (xy 154.501708 179.6649) (xy 209.108388 179.6649) (xy 209.124782 180.241513) (xy 209.313904 180.698094) (xy 209.594089 180.74249) + (xy 210.424579 179.912) (xy 210.707421 179.912) (xy 211.537911 180.74249) (xy 211.818096 180.698094) (xy 212.023612 180.1591) + (xy 212.007218 179.582487) (xy 211.818096 179.125906) (xy 211.537911 179.08151) (xy 210.707421 179.912) (xy 210.424579 179.912) + (xy 209.594089 179.08151) (xy 209.313904 179.125906) (xy 209.108388 179.6649) (xy 154.501708 179.6649) (xy 154.607704 179.409634) + (xy 154.608113 178.940089) (xy 209.73551 178.940089) (xy 210.566 179.770579) (xy 211.39649 178.940089) (xy 211.352094 178.659904) + (xy 210.8131 178.454388) (xy 210.236487 178.470782) (xy 209.779906 178.659904) (xy 209.73551 178.940089) (xy 154.608113 178.940089) + (xy 154.608294 178.733333) (xy 154.35003 178.108285) (xy 153.87223 177.62965) (xy 153.247634 177.370296) (xy 152.571333 177.369706) + (xy 151.946285 177.62797) (xy 151.46765 178.10577) (xy 151.208296 178.730366) (xy 151.207706 179.406667) (xy 148.391262 179.406667) + (xy 148.789715 179.24203) (xy 149.26835 178.76423) (xy 149.527704 178.139634) (xy 149.528294 177.463333) (xy 149.27003 176.838285) + (xy 148.79223 176.35965) (xy 148.167634 176.100296) (xy 147.491333 176.099706) (xy 146.866285 176.35797) (xy 146.38765 176.83577) + (xy 146.128296 177.460366) (xy 146.127706 178.136667) (xy 137.643019 178.136667) (xy 139.865686 175.914) (xy 214.992 175.914) + ) + ) + ) + (zone (net 14) (net_name /VCC12V) (layer F.Cu) (tstamp 58FBC9F4) (hatch edge 0.508) + (connect_pads (clearance 0.6)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 201.168 182.88) (xy 215.392 182.88) (xy 215.392 184.531) (xy 211.074 188.214) (xy 211.074 196.088) + (xy 205.486 196.088) (xy 205.486 189.992) (xy 204.216 188.722) (xy 200.66 188.722) (xy 199.136 186.944) + (xy 196.85 186.944) (xy 196.85 185.42) (xy 197.358 184.912) (xy 197.358 178.562) (xy 201.168 178.562) + (xy 201.168 182.88) + ) + ) + (filled_polygon + (pts + (xy 200.918 182.88) (xy 200.937696 182.977264) (xy 200.993682 183.059202) (xy 201.077136 183.112903) (xy 201.168 183.13) + (xy 215.142 183.13) (xy 215.142 184.415649) (xy 210.911763 188.023792) (xy 210.850544 188.101896) (xy 210.824 188.214) + (xy 210.824 195.838) (xy 210.576 195.838) (xy 210.576 195.0015) (xy 210.3885 194.814) (xy 208.276 194.814) + (xy 208.276 194.834) (xy 207.776 194.834) (xy 207.776 194.814) (xy 207.756 194.814) (xy 207.756 194.314) + (xy 207.776 194.314) (xy 207.776 192.7515) (xy 208.276 192.7515) (xy 208.276 194.314) (xy 210.3885 194.314) + (xy 210.576 194.1265) (xy 210.576 193.164816) (xy 210.461819 192.889159) (xy 210.250841 192.678181) (xy 209.975185 192.564) + (xy 208.4635 192.564) (xy 208.276 192.7515) (xy 207.776 192.7515) (xy 207.5885 192.564) (xy 206.076815 192.564) + (xy 205.801159 192.678181) (xy 205.736 192.74334) (xy 205.736 189.992) (xy 205.716304 189.894736) (xy 205.662777 189.815223) + (xy 204.392777 188.545223) (xy 204.310073 188.490375) (xy 204.216 188.472) (xy 204.210074 188.472) (xy 204.28 188.303184) + (xy 204.28 188.0915) (xy 204.0925 187.904) (xy 202.18 187.904) (xy 202.18 187.924) (xy 201.68 187.924) + (xy 201.68 187.904) (xy 201.66 187.904) (xy 201.66 187.404) (xy 201.68 187.404) (xy 201.68 186.5915) + (xy 202.18 186.5915) (xy 202.18 187.404) (xy 204.0925 187.404) (xy 204.28 187.2165) (xy 204.28 187.004816) + (xy 204.165819 186.729159) (xy 203.954841 186.518181) (xy 203.679185 186.404) (xy 202.3675 186.404) (xy 202.18 186.5915) + (xy 201.68 186.5915) (xy 201.4925 186.404) (xy 200.180815 186.404) (xy 199.905159 186.518181) (xy 199.694181 186.729159) + (xy 199.58 187.004816) (xy 199.58 187.077852) (xy 199.325814 186.781302) (xy 199.247561 186.720272) (xy 199.212617 186.712043) + (xy 199.301819 186.622841) (xy 199.416 186.347184) (xy 199.416 186.1355) (xy 199.2285 185.948) (xy 198.116 185.948) + (xy 198.116 185.968) (xy 197.616 185.968) (xy 197.616 185.948) (xy 197.596 185.948) (xy 197.596 185.448) + (xy 197.616 185.448) (xy 197.616 184.6355) (xy 198.116 184.6355) (xy 198.116 185.448) (xy 199.2285 185.448) + (xy 199.327 185.3495) (xy 209.166 185.3495) (xy 209.166 185.711184) (xy 209.280181 185.986841) (xy 209.491159 186.197819) + (xy 209.766815 186.312) (xy 210.1285 186.312) (xy 210.316 186.1245) (xy 210.316 185.162) (xy 210.816 185.162) + (xy 210.816 186.1245) (xy 211.0035 186.312) (xy 211.365185 186.312) (xy 211.640841 186.197819) (xy 211.851819 185.986841) + (xy 211.966 185.711184) (xy 211.966 185.3495) (xy 211.7785 185.162) (xy 210.816 185.162) (xy 210.316 185.162) + (xy 209.3535 185.162) (xy 209.166 185.3495) (xy 199.327 185.3495) (xy 199.416 185.2605) (xy 199.416 185.048816) + (xy 199.301819 184.773159) (xy 199.090841 184.562181) (xy 198.815185 184.448) (xy 198.3035 184.448) (xy 198.116 184.6355) + (xy 197.616 184.6355) (xy 197.608 184.6275) (xy 197.608 184.112816) (xy 209.166 184.112816) (xy 209.166 184.4745) + (xy 209.3535 184.662) (xy 210.316 184.662) (xy 210.316 183.6995) (xy 210.816 183.6995) (xy 210.816 184.662) + (xy 211.7785 184.662) (xy 211.966 184.4745) (xy 211.966 184.112816) (xy 211.851819 183.837159) (xy 211.640841 183.626181) + (xy 211.365185 183.512) (xy 211.0035 183.512) (xy 210.816 183.6995) (xy 210.316 183.6995) (xy 210.1285 183.512) + (xy 209.766815 183.512) (xy 209.491159 183.626181) (xy 209.280181 183.837159) (xy 209.166 184.112816) (xy 197.608 184.112816) + (xy 197.608 183.629554) (xy 197.700816 183.668) (xy 197.9125 183.668) (xy 198.1 183.4805) (xy 198.1 182.368) + (xy 198.6 182.368) (xy 198.6 183.4805) (xy 198.7875 183.668) (xy 198.999184 183.668) (xy 199.274841 183.553819) + (xy 199.485819 183.342841) (xy 199.6 183.067185) (xy 199.6 182.5555) (xy 199.4125 182.368) (xy 198.6 182.368) + (xy 198.1 182.368) (xy 198.08 182.368) (xy 198.08 181.868) (xy 198.1 181.868) (xy 198.1 179.828) + (xy 198.6 179.828) (xy 198.6 181.868) (xy 199.4125 181.868) (xy 199.6 181.6805) (xy 199.6 181.168815) + (xy 199.485819 180.893159) (xy 199.44066 180.848) (xy 199.485819 180.802841) (xy 199.6 180.527185) (xy 199.6 180.0155) + (xy 199.4125 179.828) (xy 198.6 179.828) (xy 198.1 179.828) (xy 198.08 179.828) (xy 198.08 179.328) + (xy 198.1 179.328) (xy 198.1 179.308) (xy 198.6 179.308) (xy 198.6 179.328) (xy 199.4125 179.328) + (xy 199.6 179.1405) (xy 199.6 178.812) (xy 200.918 178.812) + ) + ) + ) + (zone (net 14) (net_name /VCC12V) (layer F.Cu) (tstamp 58FBCA08) (hatch edge 0.508) + (connect_pads (clearance 0.6)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 162.052 194.564) (xy 164.338 194.564) (xy 166.878 197.104) (xy 171.958 197.104) (xy 173.482 197.104) + (xy 173.482 184.658) (xy 179.832 184.658) (xy 179.832 207.01) (xy 164.338 207.01) (xy 164.338 201.422) + (xy 162.052 196.088) + ) + ) + (filled_polygon + (pts + (xy 179.582 206.76) (xy 164.588 206.76) (xy 164.588 204.3995) (xy 164.878 204.3995) (xy 164.878 205.911185) + (xy 164.992181 206.186841) (xy 165.203159 206.397819) (xy 165.478816 206.512) (xy 166.4405 206.512) (xy 166.628 206.3245) + (xy 166.628 204.212) (xy 167.128 204.212) (xy 167.128 206.3245) (xy 167.3155 206.512) (xy 168.277184 206.512) + (xy 168.552841 206.397819) (xy 168.763819 206.186841) (xy 168.878 205.911185) (xy 168.878 204.3995) (xy 168.6905 204.212) + (xy 167.128 204.212) (xy 166.628 204.212) (xy 165.0655 204.212) (xy 164.878 204.3995) (xy 164.588 204.3995) + (xy 164.588 202.012815) (xy 164.878 202.012815) (xy 164.878 203.5245) (xy 165.0655 203.712) (xy 166.628 203.712) + (xy 166.628 201.5995) (xy 167.128 201.5995) (xy 167.128 203.712) (xy 168.6905 203.712) (xy 168.878 203.5245) + (xy 168.878 202.012815) (xy 168.814495 201.8595) (xy 176.654 201.8595) (xy 176.654 202.221185) (xy 176.768181 202.496841) + (xy 176.979159 202.707819) (xy 177.254816 202.822) (xy 177.6165 202.822) (xy 177.804 202.6345) (xy 177.804 201.672) + (xy 178.304 201.672) (xy 178.304 202.6345) (xy 178.4915 202.822) (xy 178.853184 202.822) (xy 179.128841 202.707819) + (xy 179.339819 202.496841) (xy 179.454 202.221185) (xy 179.454 201.8595) (xy 179.2665 201.672) (xy 178.304 201.672) + (xy 177.804 201.672) (xy 176.8415 201.672) (xy 176.654 201.8595) (xy 168.814495 201.8595) (xy 168.763819 201.737159) + (xy 168.552841 201.526181) (xy 168.277184 201.412) (xy 167.3155 201.412) (xy 167.128 201.5995) (xy 166.628 201.5995) + (xy 166.4405 201.412) (xy 165.478816 201.412) (xy 165.203159 201.526181) (xy 164.992181 201.737159) (xy 164.878 202.012815) + (xy 164.588 202.012815) (xy 164.588 201.422) (xy 164.567786 201.32352) (xy 164.267484 200.622815) (xy 176.654 200.622815) + (xy 176.654 200.9845) (xy 176.8415 201.172) (xy 177.804 201.172) (xy 177.804 200.2095) (xy 178.304 200.2095) + (xy 178.304 201.172) (xy 179.2665 201.172) (xy 179.454 200.9845) (xy 179.454 200.622815) (xy 179.339819 200.347159) + (xy 179.128841 200.136181) (xy 178.853184 200.022) (xy 178.4915 200.022) (xy 178.304 200.2095) (xy 177.804 200.2095) + (xy 177.6165 200.022) (xy 177.254816 200.022) (xy 176.979159 200.136181) (xy 176.768181 200.347159) (xy 176.654 200.622815) + (xy 164.267484 200.622815) (xy 163.513492 198.8635) (xy 163.766 198.8635) (xy 163.766 199.075184) (xy 163.880181 199.350841) + (xy 164.091159 199.561819) (xy 164.366815 199.676) (xy 165.6785 199.676) (xy 165.866 199.4885) (xy 165.866 198.676) + (xy 166.366 198.676) (xy 166.366 199.4885) (xy 166.5535 199.676) (xy 167.865185 199.676) (xy 168.140841 199.561819) + (xy 168.332 199.37066) (xy 168.447159 199.485819) (xy 168.722815 199.6) (xy 169.2345 199.6) (xy 169.422 199.4125) + (xy 169.422 198.6) (xy 169.922 198.6) (xy 169.922 199.4125) (xy 170.1095 199.6) (xy 170.621185 199.6) + (xy 170.896841 199.485819) (xy 170.942 199.44066) (xy 170.987159 199.485819) (xy 171.262815 199.6) (xy 171.7745 199.6) + (xy 171.962 199.4125) (xy 171.962 198.6) (xy 172.462 198.6) (xy 172.462 199.4125) (xy 172.6495 199.6) + (xy 173.161185 199.6) (xy 173.436841 199.485819) (xy 173.647819 199.274841) (xy 173.762 198.999184) (xy 173.762 198.7875) + (xy 173.5745 198.6) (xy 172.462 198.6) (xy 171.962 198.6) (xy 169.922 198.6) (xy 169.422 198.6) + (xy 168.3095 198.6) (xy 168.2335 198.676) (xy 166.366 198.676) (xy 165.866 198.676) (xy 163.9535 198.676) + (xy 163.766 198.8635) (xy 163.513492 198.8635) (xy 163.047771 197.776816) (xy 163.766 197.776816) (xy 163.766 197.9885) + (xy 163.9535 198.176) (xy 165.866 198.176) (xy 165.866 197.3635) (xy 165.6785 197.176) (xy 164.366815 197.176) + (xy 164.091159 197.290181) (xy 163.880181 197.501159) (xy 163.766 197.776816) (xy 163.047771 197.776816) (xy 162.402744 196.271756) + (xy 162.564 196.1105) (xy 162.564 195.298) (xy 163.064 195.298) (xy 163.064 196.1105) (xy 163.2515 196.298) + (xy 163.763185 196.298) (xy 164.038841 196.183819) (xy 164.249819 195.972841) (xy 164.364 195.697184) (xy 164.364 195.4855) + (xy 164.1765 195.298) (xy 163.064 195.298) (xy 162.564 195.298) (xy 162.544 195.298) (xy 162.544 194.814) + (xy 164.234446 194.814) (xy 166.596446 197.176) (xy 166.5535 197.176) (xy 166.366 197.3635) (xy 166.366 198.176) + (xy 168.2785 198.176) (xy 168.3545 198.1) (xy 169.422 198.1) (xy 169.422 198.08) (xy 169.922 198.08) + (xy 169.922 198.1) (xy 171.962 198.1) (xy 171.962 198.08) (xy 172.462 198.08) (xy 172.462 198.1) + (xy 173.5745 198.1) (xy 173.762 197.9125) (xy 173.762 197.700816) (xy 173.647819 197.425159) (xy 173.560719 197.338059) + (xy 173.579264 197.334304) (xy 173.661202 197.278318) (xy 173.714903 197.194864) (xy 173.732 197.104) (xy 173.732 196.301877) + (xy 173.810372 196.187176) (xy 173.878652 195.85) (xy 173.878652 194.85) (xy 173.819382 194.535009) (xy 173.732 194.399213) + (xy 173.732 187.3815) (xy 176.654 187.3815) (xy 176.654 187.743185) (xy 176.768181 188.018841) (xy 176.979159 188.229819) + (xy 177.254816 188.344) (xy 177.6165 188.344) (xy 177.804 188.1565) (xy 177.804 187.194) (xy 178.304 187.194) + (xy 178.304 188.1565) (xy 178.4915 188.344) (xy 178.853184 188.344) (xy 179.128841 188.229819) (xy 179.339819 188.018841) + (xy 179.454 187.743185) (xy 179.454 187.3815) (xy 179.2665 187.194) (xy 178.304 187.194) (xy 177.804 187.194) + (xy 176.8415 187.194) (xy 176.654 187.3815) (xy 173.732 187.3815) (xy 173.732 186.144815) (xy 176.654 186.144815) + (xy 176.654 186.5065) (xy 176.8415 186.694) (xy 177.804 186.694) (xy 177.804 185.7315) (xy 178.304 185.7315) + (xy 178.304 186.694) (xy 179.2665 186.694) (xy 179.454 186.5065) (xy 179.454 186.144815) (xy 179.339819 185.869159) + (xy 179.128841 185.658181) (xy 178.853184 185.544) (xy 178.4915 185.544) (xy 178.304 185.7315) (xy 177.804 185.7315) + (xy 177.6165 185.544) (xy 177.254816 185.544) (xy 176.979159 185.658181) (xy 176.768181 185.869159) (xy 176.654 186.144815) + (xy 173.732 186.144815) (xy 173.732 184.908) (xy 179.582 184.908) + ) + ) + ) + (zone (net 52) (net_name "Net-(D300-Pad2)") (layer F.Cu) (tstamp 58FBCA32) (hatch edge 0.508) + (connect_pads (clearance 0.7)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 154.464774 196.342) (xy 160.782 196.342) (xy 163.068 201.676) (xy 163.068 206.248) (xy 159.004 206.248) + (xy 159.004 201.422) (xy 158.242 201.422) (xy 141.732 201.422) (xy 141.732 198.374) (xy 148.336 192.024) + (xy 156.972 192.024) (xy 154.464774 196.342) + ) + ) + (filled_polygon + (pts + (xy 149.56974 194.93422) (xy 149.75724 195.12172) (xy 153.42 195.12172) (xy 153.42 195.10172) (xy 153.92 195.10172) + (xy 153.92 195.12172) (xy 153.94 195.12172) (xy 153.94 195.62172) (xy 153.92 195.62172) (xy 153.92 199.28448) + (xy 154.1075 199.47198) (xy 157.169444 199.47198) (xy 157.445101 199.357799) (xy 157.656079 199.146821) (xy 157.77026 198.871165) + (xy 157.77026 198.2175) (xy 158.116 198.2175) (xy 158.116 198.979184) (xy 158.230181 199.254841) (xy 158.441159 199.465819) + (xy 158.716815 199.58) (xy 159.3285 199.58) (xy 159.516 199.3925) (xy 159.516 198.03) (xy 158.3035 198.03) + (xy 158.116 198.2175) (xy 157.77026 198.2175) (xy 157.77026 196.592) (xy 158.116 196.592) (xy 158.116 197.3425) + (xy 158.3035 197.53) (xy 159.516 197.53) (xy 159.516 197.51) (xy 160.016 197.51) (xy 160.016 197.53) + (xy 160.036 197.53) (xy 160.036 198.03) (xy 160.016 198.03) (xy 160.016 199.3925) (xy 160.2035 199.58) + (xy 160.815185 199.58) (xy 161.090841 199.465819) (xy 161.301819 199.254841) (xy 161.416 198.979184) (xy 161.416 198.455981) + (xy 162.811648 201.712494) (xy 162.355337 201.491226) (xy 162.048 201.616824) (xy 162.048 203.712) (xy 162.068 203.712) + (xy 162.068 204.212) (xy 162.048 204.212) (xy 162.048 204.232) (xy 161.548 204.232) (xy 161.548 204.212) + (xy 159.798 204.212) (xy 159.798 204.762) (xy 160.045912 205.508337) (xy 160.469698 205.998) (xy 159.254 205.998) + (xy 159.254 203.162) (xy 159.798 203.162) (xy 159.798 203.712) (xy 161.548 203.712) (xy 161.548 201.616824) + (xy 161.240663 201.491226) (xy 160.560563 201.82101) (xy 160.045912 202.415663) (xy 159.798 203.162) (xy 159.254 203.162) + (xy 159.254 201.422) (xy 159.234304 201.324736) (xy 159.178318 201.242798) (xy 159.094864 201.189097) (xy 159.004 201.172) + (xy 156.787759 201.172) (xy 156.718 201.158124) (xy 156.648241 201.172) (xy 151.707759 201.172) (xy 151.638 201.158124) + (xy 151.568241 201.172) (xy 146.627759 201.172) (xy 146.558 201.158124) (xy 146.488241 201.172) (xy 141.982 201.172) + (xy 141.982 198.480436) (xy 144.760064 195.80922) (xy 149.56974 195.80922) (xy 149.56974 198.871165) (xy 149.683921 199.146821) + (xy 149.894899 199.357799) (xy 150.170556 199.47198) (xy 153.2325 199.47198) (xy 153.42 199.28448) (xy 153.42 195.62172) + (xy 149.75724 195.62172) (xy 149.56974 195.80922) (xy 144.760064 195.80922) (xy 148.436693 192.274) (xy 149.56974 192.274) + ) + ) + ) + (zone (net 25) (net_name "Net-(C410-Pad2)") (layer F.Cu) (tstamp 58FC1FF3) (hatch edge 0.508) + (connect_pads (clearance 0.5)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 140.716 229.616) (xy 133.604 229.616) (xy 133.604 203.708) (xy 140.716 203.708) (xy 140.716 228.854) + ) + ) + (filled_polygon + (pts + (xy 135.167 204.5525) (xy 135.3545 204.74) (xy 136.402 204.74) (xy 136.402 204.72) (xy 136.902 204.72) + (xy 136.902 204.74) (xy 137.9495 204.74) (xy 138.137 204.5525) (xy 138.137 203.958) (xy 140.466 203.958) + (xy 140.466 229.366) (xy 133.854 229.366) (xy 133.854 226.25) (xy 134.28 226.25) (xy 134.28 227) + (xy 134.512688 227.6698) (xy 134.983985 228.199569) (xy 135.554225 228.471499) (xy 135.83 228.343002) (xy 135.83 226.25) + (xy 136.33 226.25) (xy 136.33 228.343002) (xy 136.605775 228.471499) (xy 137.176015 228.199569) (xy 137.647312 227.6698) + (xy 137.88 227) (xy 137.88 226.25) (xy 136.33 226.25) (xy 135.83 226.25) (xy 134.28 226.25) + (xy 133.854 226.25) (xy 133.854 225) (xy 134.28 225) (xy 134.28 225.75) (xy 135.83 225.75) + (xy 135.83 223.656998) (xy 136.33 223.656998) (xy 136.33 225.75) (xy 137.88 225.75) (xy 137.88 225) + (xy 137.647312 224.3302) (xy 137.176015 223.800431) (xy 136.605775 223.528501) (xy 136.33 223.656998) (xy 135.83 223.656998) + (xy 135.554225 223.528501) (xy 134.983985 223.800431) (xy 134.512688 224.3302) (xy 134.28 225) (xy 133.854 225) + (xy 133.854 219.706) (xy 134.24 219.706) (xy 134.24 220.606) (xy 134.46127 221.218398) (xy 134.900051 221.699503) + (xy 135.387941 221.927763) (xy 135.64 221.796974) (xy 135.64 219.706) (xy 136.14 219.706) (xy 136.14 221.796974) + (xy 136.392059 221.927763) (xy 136.879949 221.699503) (xy 137.31873 221.218398) (xy 137.54 220.606) (xy 137.54 219.706) + (xy 136.14 219.706) (xy 135.64 219.706) (xy 134.24 219.706) (xy 133.854 219.706) (xy 133.854 218.306) + (xy 134.24 218.306) (xy 134.24 219.206) (xy 135.64 219.206) (xy 135.64 217.115026) (xy 136.14 217.115026) + (xy 136.14 219.206) (xy 137.54 219.206) (xy 137.54 218.306) (xy 137.31873 217.693602) (xy 136.879949 217.212497) + (xy 136.392059 216.984237) (xy 136.14 217.115026) (xy 135.64 217.115026) (xy 135.387941 216.984237) (xy 134.900051 217.212497) + (xy 134.46127 217.693602) (xy 134.24 218.306) (xy 133.854 218.306) (xy 133.854 211.0035) (xy 134.9 211.0035) + (xy 134.9 212.315185) (xy 135.014181 212.590841) (xy 135.225159 212.801819) (xy 135.500816 212.916) (xy 136.5125 212.916) + (xy 136.7 212.7285) (xy 136.7 210.816) (xy 137.2 210.816) (xy 137.2 212.7285) (xy 137.3875 212.916) + (xy 138.399184 212.916) (xy 138.674841 212.801819) (xy 138.885819 212.590841) (xy 139 212.315185) (xy 139 211.0035) + (xy 138.8125 210.816) (xy 137.2 210.816) (xy 136.7 210.816) (xy 135.0875 210.816) (xy 134.9 211.0035) + (xy 133.854 211.0035) (xy 133.854 208.816815) (xy 134.9 208.816815) (xy 134.9 210.1285) (xy 135.0875 210.316) + (xy 136.7 210.316) (xy 136.7 208.4035) (xy 137.2 208.4035) (xy 137.2 210.316) (xy 138.8125 210.316) + (xy 139 210.1285) (xy 139 208.816815) (xy 138.885819 208.541159) (xy 138.674841 208.330181) (xy 138.399184 208.216) + (xy 137.3875 208.216) (xy 137.2 208.4035) (xy 136.7 208.4035) (xy 136.5125 208.216) (xy 135.500816 208.216) + (xy 135.225159 208.330181) (xy 135.014181 208.541159) (xy 134.9 208.816815) (xy 133.854 208.816815) (xy 133.854 206.103) + (xy 134.096002 206.103) (xy 134.096002 205.965502) (xy 134.2335 206.103) (xy 134.395184 206.103) (xy 134.670841 205.988819) + (xy 134.881819 205.777841) (xy 134.996 205.502185) (xy 134.996 205.4275) (xy 135.167 205.4275) (xy 135.167 206.524184) + (xy 135.281181 206.799841) (xy 135.492159 207.010819) (xy 135.767815 207.125) (xy 136.2145 207.125) (xy 136.402 206.9375) + (xy 136.402 205.24) (xy 136.902 205.24) (xy 136.902 206.9375) (xy 137.0895 207.125) (xy 137.536185 207.125) + (xy 137.811841 207.010819) (xy 138.022819 206.799841) (xy 138.137 206.524184) (xy 138.137 205.4275) (xy 137.9495 205.24) + (xy 136.902 205.24) (xy 136.402 205.24) (xy 135.3545 205.24) (xy 135.167 205.4275) (xy 134.996 205.4275) + (xy 134.996 205.353) (xy 134.8085 205.1655) (xy 134.046 205.1655) (xy 134.046 205.248) (xy 133.854 205.248) + (xy 133.854 204.708) (xy 134.046 204.708) (xy 134.046 204.7905) (xy 134.8085 204.7905) (xy 134.996 204.603) + (xy 134.996 204.453815) (xy 134.881819 204.178159) (xy 134.670841 203.967181) (xy 134.648676 203.958) (xy 135.167 203.958) + ) + ) + ) + (zone (net 24) (net_name "Net-(C410-Pad1)") (layer F.Cu) (tstamp 58FC2050) (hatch edge 0.508) + (connect_pads (clearance 0.5)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 133.096 229.616) (xy 133.096 203.708) (xy 125.222 203.708) (xy 125.222 229.616) + ) + ) + (filled_polygon + (pts + (xy 128.055 204.5525) (xy 128.2425 204.74) (xy 129.29 204.74) (xy 129.29 204.72) (xy 129.79 204.72) + (xy 129.79 204.74) (xy 130.8375 204.74) (xy 131.025 204.5525) (xy 131.025 203.958) (xy 131.543324 203.958) + (xy 131.521159 203.967181) (xy 131.310181 204.178159) (xy 131.196 204.453815) (xy 131.196 204.603) (xy 131.3835 204.7905) + (xy 132.146 204.7905) (xy 132.146 204.708) (xy 132.546 204.708) (xy 132.546 204.7905) (xy 132.616 204.7905) + (xy 132.616 205.1655) (xy 132.546 205.1655) (xy 132.546 205.9155) (xy 132.7335 206.103) (xy 132.846 206.103) + (xy 132.846 229.366) (xy 125.472 229.366) (xy 125.472 226.4375) (xy 129.2 226.4375) (xy 129.2 227.949185) + (xy 129.314181 228.224841) (xy 129.525159 228.435819) (xy 129.800816 228.55) (xy 130.5625 228.55) (xy 130.75 228.3625) + (xy 130.75 226.25) (xy 131.25 226.25) (xy 131.25 228.3625) (xy 131.4375 228.55) (xy 132.199184 228.55) + (xy 132.474841 228.435819) (xy 132.685819 228.224841) (xy 132.8 227.949185) (xy 132.8 226.4375) (xy 132.6125 226.25) + (xy 131.25 226.25) (xy 130.75 226.25) (xy 129.3875 226.25) (xy 129.2 226.4375) (xy 125.472 226.4375) + (xy 125.472 224.050815) (xy 129.2 224.050815) (xy 129.2 225.5625) (xy 129.3875 225.75) (xy 130.75 225.75) + (xy 130.75 223.6375) (xy 131.25 223.6375) (xy 131.25 225.75) (xy 132.6125 225.75) (xy 132.8 225.5625) + (xy 132.8 224.050815) (xy 132.685819 223.775159) (xy 132.474841 223.564181) (xy 132.199184 223.45) (xy 131.4375 223.45) + (xy 131.25 223.6375) (xy 130.75 223.6375) (xy 130.5625 223.45) (xy 129.800816 223.45) (xy 129.525159 223.564181) + (xy 129.314181 223.775159) (xy 129.2 224.050815) (xy 125.472 224.050815) (xy 125.472 219.8935) (xy 129.16 219.8935) + (xy 129.16 221.405185) (xy 129.274181 221.680841) (xy 129.485159 221.891819) (xy 129.760816 222.006) (xy 130.3725 222.006) + (xy 130.56 221.8185) (xy 130.56 219.706) (xy 131.06 219.706) (xy 131.06 221.8185) (xy 131.2475 222.006) + (xy 131.859184 222.006) (xy 132.134841 221.891819) (xy 132.345819 221.680841) (xy 132.46 221.405185) (xy 132.46 219.8935) + (xy 132.2725 219.706) (xy 131.06 219.706) (xy 130.56 219.706) (xy 129.3475 219.706) (xy 129.16 219.8935) + (xy 125.472 219.8935) (xy 125.472 217.506815) (xy 129.16 217.506815) (xy 129.16 219.0185) (xy 129.3475 219.206) + (xy 130.56 219.206) (xy 130.56 217.0935) (xy 131.06 217.0935) (xy 131.06 219.206) (xy 132.2725 219.206) + (xy 132.46 219.0185) (xy 132.46 217.506815) (xy 132.345819 217.231159) (xy 132.134841 217.020181) (xy 131.859184 216.906) + (xy 131.2475 216.906) (xy 131.06 217.0935) (xy 130.56 217.0935) (xy 130.3725 216.906) (xy 129.760816 216.906) + (xy 129.485159 217.020181) (xy 129.274181 217.231159) (xy 129.16 217.506815) (xy 125.472 217.506815) (xy 125.472 211.0035) + (xy 127.7 211.0035) (xy 127.7 212.315185) (xy 127.814181 212.590841) (xy 128.025159 212.801819) (xy 128.300816 212.916) + (xy 129.3125 212.916) (xy 129.5 212.7285) (xy 129.5 210.816) (xy 130 210.816) (xy 130 212.7285) + (xy 130.1875 212.916) (xy 131.199184 212.916) (xy 131.474841 212.801819) (xy 131.685819 212.590841) (xy 131.8 212.315185) + (xy 131.8 211.0035) (xy 131.6125 210.816) (xy 130 210.816) (xy 129.5 210.816) (xy 127.8875 210.816) + (xy 127.7 211.0035) (xy 125.472 211.0035) (xy 125.472 208.816815) (xy 127.7 208.816815) (xy 127.7 210.1285) + (xy 127.8875 210.316) (xy 129.5 210.316) (xy 129.5 208.4035) (xy 130 208.4035) (xy 130 210.316) + (xy 131.6125 210.316) (xy 131.8 210.1285) (xy 131.8 208.816815) (xy 131.685819 208.541159) (xy 131.474841 208.330181) + (xy 131.199184 208.216) (xy 130.1875 208.216) (xy 130 208.4035) (xy 129.5 208.4035) (xy 129.3125 208.216) + (xy 128.300816 208.216) (xy 128.025159 208.330181) (xy 127.814181 208.541159) (xy 127.7 208.816815) (xy 125.472 208.816815) + (xy 125.472 205.4275) (xy 128.055 205.4275) (xy 128.055 206.524184) (xy 128.169181 206.799841) (xy 128.380159 207.010819) + (xy 128.655815 207.125) (xy 129.1025 207.125) (xy 129.29 206.9375) (xy 129.29 205.24) (xy 129.79 205.24) + (xy 129.79 206.9375) (xy 129.9775 207.125) (xy 130.424185 207.125) (xy 130.699841 207.010819) (xy 130.910819 206.799841) + (xy 131.025 206.524184) (xy 131.025 205.4275) (xy 130.9505 205.353) (xy 131.196 205.353) (xy 131.196 205.502185) + (xy 131.310181 205.777841) (xy 131.521159 205.988819) (xy 131.796816 206.103) (xy 131.9585 206.103) (xy 132.146 205.9155) + (xy 132.146 205.1655) (xy 131.3835 205.1655) (xy 131.196 205.353) (xy 130.9505 205.353) (xy 130.8375 205.24) + (xy 129.79 205.24) (xy 129.29 205.24) (xy 128.2425 205.24) (xy 128.055 205.4275) (xy 125.472 205.4275) + (xy 125.472 203.958) (xy 128.055 203.958) + ) + ) + ) + (zone (net 14) (net_name /VCC12V) (layer F.Cu) (tstamp 58FC2122) (hatch edge 0.508) + (connect_pads (clearance 0.6)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 135.509 178.181) (xy 132.461 178.181) (xy 132.461 186.436) (xy 133.731 187.833) (xy 133.731 193.548) + (xy 132.5245 194.564) (xy 132.5245 202.946) (xy 125.222 202.946) (xy 125.222 175.641) (xy 135.509 175.641) + (xy 135.509 178.181) + ) + ) + (filled_polygon + (pts + (xy 133.374731 175.963698) (xy 133.556636 176.085243) (xy 133.183373 176.557246) (xy 133.084619 176.795703) (xy 133.215984 177.042) + (xy 134.37 177.042) (xy 134.37 177.022) (xy 134.87 177.022) (xy 134.87 177.042) (xy 134.89 177.042) + (xy 134.89 177.542) (xy 134.87 177.542) (xy 134.87 177.562) (xy 134.37 177.562) (xy 134.37 177.542) + (xy 133.215984 177.542) (xy 133.084619 177.788297) (xy 133.143718 177.931) (xy 132.461 177.931) (xy 132.363736 177.950696) + (xy 132.281798 178.006682) (xy 132.228097 178.090136) (xy 132.211 178.181) (xy 132.211 186.436) (xy 132.230696 186.533264) + (xy 132.276015 186.604168) (xy 133.481 187.929652) (xy 133.481 193.431691) (xy 132.363466 194.372772) (xy 132.301755 194.450489) + (xy 132.2745 194.564) (xy 132.2745 200.297) (xy 132.095998 200.297) (xy 132.095998 200.434498) (xy 131.9585 200.297) + (xy 131.796816 200.297) (xy 131.521159 200.411181) (xy 131.310181 200.622159) (xy 131.196 200.897815) (xy 131.196 201.047) + (xy 131.3835 201.2345) (xy 132.146 201.2345) (xy 132.146 201.152) (xy 132.2745 201.152) (xy 132.2745 201.692) + (xy 132.146 201.692) (xy 132.146 201.6095) (xy 131.3835 201.6095) (xy 131.196 201.797) (xy 131.196 201.946185) + (xy 131.310181 202.221841) (xy 131.521159 202.432819) (xy 131.796816 202.547) (xy 131.9585 202.547) (xy 132.095998 202.409502) + (xy 132.095998 202.547) (xy 132.2745 202.547) (xy 132.2745 202.696) (xy 131.025 202.696) (xy 131.025 201.8475) + (xy 130.8375 201.66) (xy 129.79 201.66) (xy 129.79 201.68) (xy 129.29 201.68) (xy 129.29 201.66) + (xy 128.2425 201.66) (xy 128.055 201.8475) (xy 128.055 202.696) (xy 125.472 202.696) (xy 125.472 199.875816) + (xy 128.055 199.875816) (xy 128.055 200.9725) (xy 128.2425 201.16) (xy 129.29 201.16) (xy 129.29 199.4625) + (xy 129.79 199.4625) (xy 129.79 201.16) (xy 130.8375 201.16) (xy 131.025 200.9725) (xy 131.025 199.875816) + (xy 130.910819 199.600159) (xy 130.699841 199.389181) (xy 130.424185 199.275) (xy 129.9775 199.275) (xy 129.79 199.4625) + (xy 129.29 199.4625) (xy 129.1025 199.275) (xy 128.655815 199.275) (xy 128.380159 199.389181) (xy 128.169181 199.600159) + (xy 128.055 199.875816) (xy 125.472 199.875816) (xy 125.472 192.4615) (xy 130.426 192.4615) (xy 130.426 192.823185) + (xy 130.540181 193.098841) (xy 130.751159 193.309819) (xy 131.026816 193.424) (xy 131.3885 193.424) (xy 131.576 193.2365) + (xy 131.576 192.274) (xy 132.076 192.274) (xy 132.076 193.2365) (xy 132.2635 193.424) (xy 132.625184 193.424) + (xy 132.900841 193.309819) (xy 133.111819 193.098841) (xy 133.226 192.823185) (xy 133.226 192.4615) (xy 133.0385 192.274) + (xy 132.076 192.274) (xy 131.576 192.274) (xy 130.6135 192.274) (xy 130.426 192.4615) (xy 125.472 192.4615) + (xy 125.472 191.224815) (xy 130.426 191.224815) (xy 130.426 191.5865) (xy 130.6135 191.774) (xy 131.576 191.774) + (xy 131.576 190.8115) (xy 132.076 190.8115) (xy 132.076 191.774) (xy 133.0385 191.774) (xy 133.226 191.5865) + (xy 133.226 191.224815) (xy 133.111819 190.949159) (xy 132.900841 190.738181) (xy 132.625184 190.624) (xy 132.2635 190.624) + (xy 132.076 190.8115) (xy 131.576 190.8115) (xy 131.3885 190.624) (xy 131.026816 190.624) (xy 130.751159 190.738181) + (xy 130.540181 190.949159) (xy 130.426 191.224815) (xy 125.472 191.224815) (xy 125.472 175.891) (xy 133.326156 175.891) + ) + ) + ) + (zone (net 5) (net_name GND) (layer F.Cu) (tstamp 58FC233C) (hatch edge 0.508) + (connect_pads (clearance 1)) + (min_thickness 0.8) + (fill yes (arc_segments 16) (thermal_gap 0.3) (thermal_bridge_width 1.2)) + (polygon + (pts + (xy 310.642 162.052) (xy 320.04 162.052) (xy 320.04 210.312) (xy 292.862 210.312) (xy 292.862 217.424) + (xy 288.798 217.424) (xy 288.798 223.012) (xy 292.862 223.012) (xy 292.862 233.426) (xy 246.888 233.426) + (xy 246.888 214.376) (xy 248.158 215.646) (xy 252.984 215.646) (xy 255.016 213.614) (xy 255.016 203.454) + (xy 256.032 202.438) (xy 262.128 202.438) (xy 262.128 215.138) (xy 263.144 216.154) (xy 264.922 216.154) + (xy 264.922 221.996) (xy 265.684 222.758) (xy 269.748 222.758) (xy 271.526 220.726) (xy 278.13 220.726) + (xy 281.432 217.424) (xy 281.432 213.36) (xy 283.21 213.36) (xy 285.496 211.074) (xy 285.496 206.756) + (xy 286.766 205.486) (xy 286.766 198.12) (xy 284.734 196.088) (xy 268.732 196.088) (xy 266.7 198.374) + (xy 254.508 198.374) (xy 253.746 198.882) (xy 253.746 190.5) (xy 261.366 182.88) (xy 283.21 182.88) + (xy 287.02 179.07) (xy 287.02 176.53) (xy 284.226 173.736) (xy 284.226 169.672) (xy 269.748 169.672) + (xy 268.732 168.656) (xy 250.19 168.656) (xy 250.19 181.102) (xy 247.396 183.896) (xy 219.456 183.896) + (xy 219.456 171.196) (xy 125.222 171.196) (xy 125.222 153.162) (xy 310.642 153.162) (xy 310.642 162.052) + ) + ) + (polygon + (pts (xy 300.736 181.864) (xy 291.338 191.262) (xy 291.338 195.326) (xy 301.244 204.724) (xy 305.054 204.724) + (xy 314.706 195.072) (xy 314.706 191.008) (xy 305.562 181.864) (xy 300.736 181.864) + ) + ) + (polygon + (pts (xy 267.97 156.21) (xy 267.97 163.322) (xy 272.288 163.322) (xy 272.288 156.21) (xy 267.97 156.21) + ) + ) + (polygon + (pts (xy 233.68 156.21) (xy 233.68 162.814) (xy 238.252 162.814) (xy 238.252 156.21) (xy 233.68 156.21) + ) + ) + (filled_polygon + (pts + (xy 256.033 216.004573) (xy 255.924 216.004573) (xy 255.682049 216.050099) (xy 255.520518 215.888568) (xy 255.263238 215.782) + (xy 254.799 215.782) (xy 254.624 215.957) (xy 254.624 216.782) (xy 254.644 216.782) (xy 254.644 216.825476) + (xy 254.609034 216.876651) (xy 254.593254 216.954573) (xy 253.724 216.954573) (xy 253.205191 217.052194) (xy 252.728697 217.358809) + (xy 252.409034 217.826651) (xy 252.366524 218.036573) (xy 251.352 218.036573) (xy 251.110049 218.082099) (xy 250.948518 217.920568) + (xy 250.691238 217.814) (xy 250.227 217.814) (xy 250.052 217.989) (xy 250.052 218.814) (xy 250.072 218.814) + (xy 250.072 218.857476) (xy 250.037034 218.908651) (xy 250.021254 218.986573) (xy 249.152 218.986573) (xy 248.633191 219.084194) + (xy 248.156697 219.390809) (xy 247.837034 219.858651) (xy 247.724573 220.414) (xy 247.724573 221.414) (xy 247.822194 221.932809) + (xy 248.128809 222.409303) (xy 248.596651 222.728966) (xy 249.152 222.841427) (xy 250.552 222.841427) (xy 251.070809 222.743806) + (xy 251.547303 222.437191) (xy 251.808892 222.054344) (xy 251.901274 222.146726) (xy 252.436572 222.504401) (xy 253.068 222.63) + (xy 257.048 222.63) (xy 257.679428 222.504401) (xy 258.214726 222.146726) (xy 259.992726 220.368726) (xy 260.350402 219.833427) + (xy 260.476 219.202) (xy 260.476 217.853452) (xy 261.453226 216.876226) (xy 261.527362 216.765274) (xy 261.810901 216.340928) + (xy 261.9365 215.7095) (xy 261.9365 215.512186) (xy 262.861157 216.436843) (xy 262.993483 216.5246) (xy 263.144 216.554) + (xy 264.522 216.554) (xy 264.522 221.996) (xy 264.553514 222.151622) (xy 264.639157 222.278843) (xy 265.401157 223.040843) + (xy 265.533483 223.1286) (xy 265.684 223.158) (xy 266.082684 223.158) (xy 266.213339 223.288883) (xy 267.370677 223.769452) + (xy 268.623825 223.770545) (xy 269.782001 223.291997) (xy 270.668883 222.406661) (xy 270.742899 222.22841) (xy 270.906615 222.041306) + (xy 270.966684 222.035496) (xy 271.239194 221.922618) (xy 271.309312 221.672154) (xy 271.266811 221.629653) (xy 271.530797 221.327955) + (xy 271.592154 221.389312) (xy 271.842618 221.319194) (xy 271.901592 221.126) (xy 278.13 221.126) (xy 278.285622 221.094486) + (xy 278.412843 221.008843) (xy 281.078573 218.343113) (xy 281.078573 218.702) (xy 281.176109 219.220355) (xy 281.078573 219.702) + (xy 281.078573 220.202) (xy 281.176194 220.720809) (xy 281.348495 220.988573) (xy 280.074 220.988573) (xy 279.555191 221.086194) + (xy 279.078697 221.392809) (xy 278.759034 221.860651) (xy 278.646573 222.416) (xy 278.646573 224.116) (xy 278.744194 224.634809) + (xy 278.749497 224.64305) (xy 278.696223 224.696325) (xy 278.431191 224.746194) (xy 277.954697 225.052809) (xy 277.635034 225.520651) + (xy 277.522573 226.076) (xy 277.522573 226.576) (xy 277.620194 227.094809) (xy 277.926809 227.571303) (xy 278.394651 227.890966) + (xy 278.691108 227.951) (xy 278.425 227.951) (xy 278.25 228.126) (xy 278.25 228.215239) (xy 278.356569 228.472518) + (xy 278.553482 228.669432) (xy 278.810762 228.776) (xy 279.025 228.776) (xy 279.2 228.601) (xy 279.2 228.003427) + (xy 279.6 228.003427) (xy 279.6 228.601) (xy 279.775 228.776) (xy 279.989238 228.776) (xy 280.246518 228.669432) + (xy 280.443431 228.472518) (xy 280.55 228.215239) (xy 280.55 228.126) (xy 280.375 227.951) (xy 280.128624 227.951) + (xy 280.368809 227.905806) (xy 280.672573 227.71034) (xy 280.672573 227.9) (xy 280.770194 228.418809) (xy 281.076809 228.895303) + (xy 281.544651 229.214966) (xy 281.685407 229.24347) (xy 281.946411 229.634089) (xy 282.740611 230.428289) (xy 283.224002 230.751281) + (xy 283.7942 230.8647) (xy 288.2138 230.8647) (xy 288.783999 230.751281) (xy 289.267389 230.428289) (xy 290.053589 229.642089) + (xy 290.316163 229.24912) (xy 290.418809 229.229806) (xy 290.612404 229.105232) (xy 291.080495 229.2996) (xy 291.995491 229.300399) + (xy 292.462 229.107641) (xy 292.462 233.026) (xy 247.288 233.026) (xy 247.288 228.46977) (xy 247.723813 228.906345) + (xy 248.550484 229.249608) (xy 249.445589 229.25039) (xy 250.272858 228.908569) (xy 250.906345 228.276187) (xy 250.969102 228.125051) + (xy 252.657792 228.125051) (xy 252.740356 228.385821) (xy 253.328512 228.571035) (xy 253.942774 228.517073) (xy 254.259644 228.385821) + (xy 254.342208 228.125051) (xy 253.5 227.282843) (xy 252.657792 228.125051) (xy 250.969102 228.125051) (xy 251.249608 227.449516) + (xy 251.25015 226.828512) (xy 251.928965 226.828512) (xy 251.982927 227.442774) (xy 252.114179 227.759644) (xy 252.374949 227.842208) + (xy 253.217157 227) (xy 252.374949 226.157792) (xy 252.114179 226.240356) (xy 251.928965 226.828512) (xy 251.25015 226.828512) + (xy 251.25039 226.554411) (xy 250.969642 225.874949) (xy 252.657792 225.874949) (xy 253.5 226.717157) (xy 253.514142 226.703015) + (xy 253.796985 226.985858) (xy 253.782843 227) (xy 254.625051 227.842208) (xy 254.885821 227.759644) (xy 255.071035 227.171488) + (xy 255.017073 226.557226) (xy 254.907776 226.293359) (xy 255.232926 226.270586) (xy 255.439074 226.185197) (xy 260.469576 226.185197) + (xy 260.84178 227.086) (xy 261.530375 227.775798) (xy 262.430527 228.149573) (xy 263.405197 228.150424) (xy 264.306 227.77822) + (xy 264.995798 227.089625) (xy 265.369573 226.189473) (xy 265.369576 226.185197) (xy 270.629576 226.185197) (xy 271.00178 227.086) + (xy 271.690375 227.775798) (xy 272.590527 228.149573) (xy 273.565197 228.150424) (xy 274.466 227.77822) (xy 275.155798 227.089625) + (xy 275.529573 226.189473) (xy 275.530424 225.214803) (xy 275.15822 224.314) (xy 274.469625 223.624202) (xy 273.569473 223.250427) + (xy 272.594803 223.249576) (xy 271.694 223.62178) (xy 271.004202 224.310375) (xy 270.630427 225.210527) (xy 270.629576 226.185197) + (xy 265.369576 226.185197) (xy 265.370424 225.214803) (xy 264.99822 224.314) (xy 264.309625 223.624202) (xy 263.409473 223.250427) + (xy 262.434803 223.249576) (xy 261.534 223.62178) (xy 260.844202 224.310375) (xy 260.470427 225.210527) (xy 260.469576 226.185197) + (xy 255.439074 226.185197) (xy 255.660514 226.093474) (xy 255.774014 225.806856) (xy 254.75 224.782843) (xy 254.735858 224.796985) + (xy 254.453015 224.514142) (xy 254.467157 224.5) (xy 255.032843 224.5) (xy 256.056856 225.524014) (xy 256.343474 225.410514) + (xy 256.570617 224.731408) (xy 256.520586 224.017074) (xy 256.343474 223.589486) (xy 256.056856 223.475986) (xy 255.032843 224.5) + (xy 254.467157 224.5) (xy 253.443144 223.475986) (xy 253.156526 223.589486) (xy 252.929383 224.268592) (xy 252.979414 224.982926) + (xy 253.156526 225.410514) (xy 253.288158 225.46264) (xy 253.057226 225.482927) (xy 252.740356 225.614179) (xy 252.657792 225.874949) + (xy 250.969642 225.874949) (xy 250.908569 225.727142) (xy 250.276187 225.093655) (xy 249.550274 224.79223) (xy 249.570617 224.731408) + (xy 249.520586 224.017074) (xy 249.343474 223.589486) (xy 249.056856 223.475986) (xy 248.032843 224.5) (xy 248.046985 224.514142) + (xy 247.764142 224.796985) (xy 247.75 224.782843) (xy 247.735858 224.796985) (xy 247.453015 224.514142) (xy 247.467157 224.5) + (xy 247.453015 224.485858) (xy 247.735858 224.203015) (xy 247.75 224.217157) (xy 248.774014 223.193144) (xy 253.725986 223.193144) + (xy 254.75 224.217157) (xy 255.774014 223.193144) (xy 255.660514 222.906526) (xy 254.981408 222.679383) (xy 254.267074 222.729414) + (xy 253.839486 222.906526) (xy 253.725986 223.193144) (xy 248.774014 223.193144) (xy 248.660514 222.906526) (xy 247.981408 222.679383) + (xy 247.288 222.727948) (xy 247.288 218.374761) (xy 248.452 218.374761) (xy 248.452 218.639) (xy 248.627 218.814) + (xy 249.652 218.814) (xy 249.652 217.989) (xy 249.477 217.814) (xy 249.012762 217.814) (xy 248.755482 217.920568) + (xy 248.558569 218.117482) (xy 248.452 218.374761) (xy 247.288 218.374761) (xy 247.288 216.342761) (xy 253.024 216.342761) + (xy 253.024 216.607) (xy 253.199 216.782) (xy 254.224 216.782) (xy 254.224 215.957) (xy 254.049 215.782) + (xy 253.584762 215.782) (xy 253.327482 215.888568) (xy 253.130569 216.085482) (xy 253.024 216.342761) (xy 247.288 216.342761) + (xy 247.288 215.341686) (xy 247.875157 215.928843) (xy 248.007483 216.0166) (xy 248.158 216.046) (xy 252.984 216.046) + (xy 253.139622 216.014486) (xy 253.266843 215.928843) (xy 255.298843 213.896843) (xy 255.3866 213.764517) (xy 255.390671 213.743673) + (xy 255.657311 213.72132) (xy 255.996345 213.580888) (xy 256.033 213.471078) + ) + ) + (filled_polygon + (pts + (xy 287.0032 208.7372) (xy 287.116619 209.307399) (xy 287.439611 209.790789) (xy 288.058929 210.410107) (xy 288.02792 210.566) + (xy 288.199191 211.427038) (xy 288.68693 212.15699) (xy 289.416882 212.644729) (xy 290.27792 212.816) (xy 290.36608 212.816) + (xy 290.4576 212.797796) (xy 290.4576 213.111122) (xy 288.015722 215.553) (xy 284.365 215.553) (xy 283.794801 215.666419) + (xy 283.311411 215.989411) (xy 282.526249 216.774573) (xy 282.506 216.774573) (xy 281.987191 216.872194) (xy 281.832 216.972057) + (xy 281.832 214.360977) (xy 282.088018 214.254932) (xy 282.284931 214.058018) (xy 282.3915 213.800739) (xy 282.3915 213.76) + (xy 283.21 213.76) (xy 283.365622 213.728486) (xy 283.492843 213.642843) (xy 285.778843 211.356843) (xy 285.8666 211.224517) + (xy 285.896 211.074) (xy 285.896 207.447237) (xy 285.902427 207.4155) (xy 285.902427 206.915259) (xy 287.0032 205.814486) + ) + ) + (filled_polygon + (pts + (xy 313.113482 208.133431) (xy 313.370761 208.24) (xy 313.635 208.24) (xy 313.742862 208.132138) (xy 313.742306 208.769067) + (xy 314.00057 209.394115) (xy 314.47837 209.87275) (xy 314.572895 209.912) (xy 311.809099 209.912) (xy 312.01613 209.609) + (xy 312.100002 209.609) (xy 312.100002 209.48625) (xy 312.114966 209.464349) (xy 312.117557 209.451557) (xy 312.275 209.609) + (xy 312.439239 209.609) (xy 312.696518 209.502431) (xy 312.893432 209.305518) (xy 313 209.048238) (xy 313 208.8965) + (xy 312.825 208.7215) (xy 312.227427 208.7215) (xy 312.227427 208.3465) (xy 312.825 208.3465) (xy 313 208.1715) + (xy 313 208.01995) + ) + ) + (filled_polygon + (pts + (xy 312.423266 207.160304) (xy 312.864311 207.160689) (xy 312.81 207.215) (xy 312.81 207.67905) (xy 312.696518 207.565569) + (xy 312.439239 207.459) (xy 312.275 207.459) (xy 312.115712 207.618288) (xy 312.100002 207.593874) (xy 312.100002 207.459) + (xy 312.013213 207.459) (xy 311.979354 207.406381) (xy 312.011427 207.248) (xy 312.011427 206.989294) + ) + ) + (filled_polygon + (pts + (xy 269.374 187.844) (xy 269.394 187.844) (xy 269.394 188.244) (xy 269.374 188.244) (xy 269.374 189.269) + (xy 269.495 189.39) (xy 269.495 189.865) (xy 269.620599 190.496428) (xy 269.936093 190.968598) (xy 269.978274 191.031726) + (xy 270.173282 191.226734) (xy 269.83165 191.56777) (xy 269.572296 192.192366) (xy 269.571706 192.868667) (xy 269.82997 193.493715) + (xy 270.30777 193.97235) (xy 270.932366 194.231704) (xy 271.608667 194.232294) (xy 271.730388 194.182) (xy 271.834393 194.182) + (xy 272.002651 194.296966) (xy 272.558 194.409427) (xy 273.058 194.409427) (xy 273.576355 194.311891) (xy 274.058 194.409427) + (xy 274.558 194.409427) (xy 275.076809 194.311806) (xy 275.553303 194.005191) (xy 275.606045 193.928) (xy 276.210573 193.928) + (xy 276.210573 194.76) (xy 276.308194 195.278809) (xy 276.571501 195.688) (xy 269.174593 195.688) (xy 269.226966 195.611349) + (xy 269.339427 195.056) (xy 269.339427 194.556) (xy 269.241806 194.037191) (xy 268.935191 193.560697) (xy 268.467349 193.241034) + (xy 268.170892 193.181) (xy 268.437 193.181) (xy 268.612 193.006) (xy 268.612 192.916761) (xy 268.505431 192.659482) + (xy 268.308518 192.462568) (xy 268.051238 192.356) (xy 267.837 192.356) (xy 267.662 192.531) (xy 267.662 193.128573) + (xy 267.262 193.128573) (xy 267.262 192.531) (xy 267.087 192.356) (xy 266.872762 192.356) (xy 266.750565 192.406615) + (xy 266.610518 192.266568) (xy 266.353238 192.16) (xy 265.889 192.16) (xy 265.714 192.335) (xy 265.714 193.16) + (xy 265.734 193.16) (xy 265.734 193.332573) (xy 265.351593 193.332573) (xy 265.343806 193.291191) (xy 265.294 193.21379) + (xy 265.294 193.16) (xy 265.314 193.16) (xy 265.314 192.335) (xy 265.139 192.16) (xy 264.674762 192.16) + (xy 264.417482 192.266568) (xy 264.253066 192.430985) (xy 264.014 192.382573) (xy 263.999661 192.382573) (xy 264.310726 192.174726) + (xy 265.072726 191.412726) (xy 265.128853 191.328726) (xy 265.430401 190.877428) (xy 265.556 190.246) (xy 265.556 189.937548) + (xy 265.76597 190.445715) (xy 266.24377 190.92435) (xy 266.868366 191.183704) (xy 267.544667 191.184294) (xy 268.169715 190.92603) + (xy 268.64835 190.44823) (xy 268.907704 189.823634) (xy 268.90793 189.564303) (xy 269.088966 189.299349) (xy 269.201427 188.744) + (xy 269.201427 187.881593) (xy 269.242809 187.873806) (xy 269.32021 187.824) (xy 269.374 187.824) + ) + ) + (filled_polygon + (pts + (xy 262.923143 183.307405) (xy 262.739274 183.491274) (xy 262.381599 184.026572) (xy 262.256 184.658) (xy 262.256 187.549369) + (xy 262.209238 187.53) (xy 261.995 187.53) (xy 261.82 187.705) (xy 261.82 188.150066) (xy 261.672604 188.297205) + (xy 261.566 188.276) (xy 261.42 188.276) (xy 261.42 187.705) (xy 261.245 187.53) (xy 261.072 187.53) + (xy 261.072 187.386761) (xy 260.965431 187.129482) (xy 260.768518 186.932568) (xy 260.511238 186.826) (xy 260.047 186.826) + (xy 259.872 187.001) (xy 259.872 187.826) (xy 259.892 187.826) (xy 259.892 187.998573) (xy 259.509593 187.998573) + (xy 259.501806 187.957191) (xy 259.452 187.87979) (xy 259.452 187.826) (xy 259.472 187.826) (xy 259.472 187.001) + (xy 259.297 186.826) (xy 258.832762 186.826) (xy 258.575482 186.932568) (xy 258.411066 187.096985) (xy 258.172 187.048573) + (xy 257.763113 187.048573) (xy 261.531686 183.28) (xy 262.934522 183.28) + ) + ) + (filled_polygon + (pts + (xy 281.292 183.416) (xy 281.433 183.557) (xy 281.433 183.619083) (xy 281.383697 183.650809) (xy 281.107374 184.055221) + (xy 281.03253 183.874085) (xy 280.733484 183.574516) (xy 280.892 183.416) (xy 280.892 183.28) (xy 281.292 183.28) + ) + ) + (filled_polygon + (pts + (xy 279.274 163.274646) (xy 279.022485 163.37857) (xy 278.54385 163.85637) (xy 278.284496 164.480966) (xy 278.284345 164.654064) + (xy 278.027034 165.030651) (xy 277.914573 165.586) (xy 277.914573 166.448407) (xy 277.873191 166.456194) (xy 277.79579 166.506) + (xy 277.742 166.506) (xy 277.742 166.486) (xy 276.917 166.486) (xy 276.742 166.661) (xy 276.742 167.125238) + (xy 276.848568 167.382518) (xy 277.012985 167.546934) (xy 276.964573 167.786) (xy 276.964573 169.186) (xy 276.980755 169.272) + (xy 269.913686 169.272) (xy 269.014843 168.373157) (xy 268.882517 168.2854) (xy 268.732 168.256) (xy 250.19 168.256) + (xy 250.034378 168.287514) (xy 249.903277 168.377092) (xy 249.817356 168.510617) (xy 249.79 168.656) (xy 249.79 180.936314) + (xy 247.625345 183.100969) (xy 247.56796 183.043583) (xy 247.371047 182.84667) (xy 247.113767 182.740101) (xy 246.835289 182.740102) + (xy 246.57801 182.84667) (xy 246.391165 183.033515) (xy 246.391165 183.281002) (xy 246.606163 183.496) (xy 243.657747 183.496) + (xy 243.586535 183.137995) (xy 243.109635 182.424264) (xy 242.395904 181.947364) (xy 241.554 181.779899) (xy 240.737053 181.9424) + (xy 240.981254 181.576927) (xy 241.046 181.576927) (xy 241.564809 181.479306) (xy 242.041303 181.172691) (xy 242.360966 180.704849) + (xy 242.473427 180.1495) (xy 242.473427 179.946) (xy 243.254561 179.946) (xy 243.39 179.973427) (xy 244.29 179.973427) + (xy 244.435761 179.946) (xy 244.614 179.946) (xy 245.245428 179.820401) (xy 245.780726 179.462726) (xy 246.530726 178.712726) + (xy 246.588139 178.626801) (xy 246.888401 178.177428) (xy 247.014 177.546) (xy 247.014 176.671427) (xy 247.846 176.671427) + (xy 248.364809 176.573806) (xy 248.841303 176.267191) (xy 249.160966 175.799349) (xy 249.273427 175.244) (xy 249.273427 174.744) + (xy 249.175891 174.225645) (xy 249.273427 173.744) (xy 249.273427 173.244) (xy 249.175806 172.725191) (xy 249.144745 172.67692) + (xy 249.38245 172.43963) (xy 249.641804 171.815034) (xy 249.642394 171.138733) (xy 249.38413 170.513685) (xy 248.90633 170.03505) + (xy 248.281734 169.775696) (xy 247.605433 169.775106) (xy 247.563772 169.79232) (xy 247.469412 169.69796) (xy 247.682809 169.657806) + (xy 248.159303 169.351191) (xy 248.478966 168.883349) (xy 248.591427 168.328) (xy 248.591427 167.328) (xy 248.503645 166.861481) + (xy 248.577657 166.496) (xy 250.444 166.496) (xy 251.075428 166.370401) (xy 251.610726 166.012726) (xy 252.651453 164.972) + (xy 254.416309 164.972) (xy 254.5715 165.003427) (xy 255.425261 165.003427) (xy 255.719 165.20413) (xy 255.719 165.288002) + (xy 255.84175 165.288002) (xy 255.863651 165.302966) (xy 255.876443 165.305557) (xy 255.719 165.463) (xy 255.719 165.627239) + (xy 255.825569 165.884518) (xy 256.022482 166.081432) (xy 256.279762 166.188) (xy 256.4315 166.188) (xy 256.6065 166.013) + (xy 256.6065 165.415427) (xy 256.9815 165.415427) (xy 256.9815 166.013) (xy 257.1565 166.188) (xy 257.308238 166.188) + (xy 257.565518 166.081432) (xy 257.762431 165.884518) (xy 257.869 165.627239) (xy 257.869 165.463) (xy 257.709712 165.303712) + (xy 257.734126 165.288002) (xy 257.869 165.288002) (xy 257.869 165.238) (xy 257.900548 165.238) (xy 258.421274 165.758726) + (xy 258.956572 166.116401) (xy 259.588 166.242) (xy 275.336 166.242) (xy 275.967428 166.116401) (xy 276.502726 165.758726) + (xy 276.685927 165.575525) (xy 276.742 165.552356) (xy 276.742 165.911) (xy 276.917 166.086) (xy 277.742 166.086) + (xy 277.742 165.061) (xy 277.567 164.886) (xy 277.351714 164.886) (xy 277.543704 164.423634) (xy 277.544294 163.747333) + (xy 277.28603 163.122285) (xy 276.80823 162.64365) (xy 276.768003 162.626946) (xy 276.812431 162.582518) (xy 276.919 162.325239) + (xy 276.919 162.161) (xy 276.759712 162.001712) (xy 276.784125 161.986002) (xy 276.919 161.986002) (xy 276.919 161.974051) + (xy 277.196667 161.974294) (xy 277.318388 161.924) (xy 277.416665 161.924) (xy 277.536366 161.973704) (xy 278.212667 161.974294) + (xy 278.837715 161.71603) (xy 279.274 161.280506) + ) + ) + (filled_polygon + (pts + (xy 230.388573 161.412) (xy 230.486194 161.930809) (xy 230.792809 162.407303) (xy 231.260651 162.726966) (xy 231.816 162.839427) + (xy 233.285149 162.839427) (xy 233.311514 162.969622) (xy 233.401092 163.100723) (xy 233.534617 163.186644) (xy 233.68 163.214) + (xy 237.860879 163.214) (xy 237.976761 163.262) (xy 238.741 163.262) (xy 238.916 163.087) (xy 238.916 162.012) + (xy 238.896 162.012) (xy 238.896 161.689427) (xy 239.336 161.689427) (xy 239.336 162.012) (xy 239.316 162.012) + (xy 239.316 163.087) (xy 239.491 163.262) (xy 240.255239 163.262) (xy 240.512518 163.155431) (xy 240.709432 162.958518) + (xy 240.816 162.701238) (xy 240.816 162.187) (xy 240.641002 162.012002) (xy 240.816 162.012002) (xy 240.816 161.87425) + (xy 241.046 161.92) (xy 241.241 161.92) (xy 241.241 161.986002) (xy 241.36375 161.986002) (xy 241.385651 162.000966) + (xy 241.398443 162.003557) (xy 241.241 162.161) (xy 241.241 162.325239) (xy 241.347569 162.582518) (xy 241.544482 162.779432) + (xy 241.801762 162.886) (xy 241.9535 162.886) (xy 242.1285 162.711) (xy 242.1285 162.148704) (xy 242.316 162.186) + (xy 242.5035 162.148704) (xy 242.5035 162.711) (xy 242.614 162.8215) (xy 242.614 164.268665) (xy 242.258 164.196573) + (xy 241.358 164.196573) (xy 241.212239 164.224) (xy 240.78 164.224) (xy 240.148572 164.349599) (xy 239.668015 164.670697) + (xy 239.613274 164.707274) (xy 239.471388 164.84916) (xy 239.099191 164.919194) (xy 238.622697 165.225809) (xy 238.40187 165.549) + (xy 238.317998 165.549) (xy 238.317998 165.67175) (xy 238.303034 165.693651) (xy 238.300443 165.706443) (xy 238.143 165.549) + (xy 237.978761 165.549) (xy 237.744 165.646242) (xy 237.509239 165.549) (xy 237.345 165.549) (xy 237.185712 165.708288) + (xy 237.170002 165.683874) (xy 237.170002 165.549) (xy 237.083213 165.549) (xy 236.893191 165.253697) (xy 236.425349 164.934034) + (xy 236.238294 164.896154) (xy 236.197099 164.868629) (xy 235.47 164.724) (xy 233.934 164.724) (xy 233.206901 164.868629) + (xy 232.590497 165.280497) (xy 232.178629 165.896901) (xy 232.034 166.624) (xy 232.129876 167.106) (xy 231.521005 167.106) + (xy 231.521 167.105999) (xy 230.927841 167.223987) (xy 230.424984 167.559984) (xy 230.424982 167.559987) (xy 228.846968 169.138) + (xy 228.104005 169.138) (xy 228.104 169.137999) (xy 227.510841 169.255987) (xy 227.007984 169.591984) (xy 227.007982 169.591987) + (xy 226.546422 170.053547) (xy 226.107191 170.136194) (xy 225.630697 170.442809) (xy 225.311034 170.910651) (xy 225.198573 171.466) + (xy 225.198573 171.966) (xy 225.296109 172.484355) (xy 225.198573 172.966) (xy 225.198573 173.466) (xy 225.296194 173.984809) + (xy 225.602809 174.461303) (xy 226.070651 174.780966) (xy 226.626 174.893427) (xy 227.044529 174.893427) (xy 226.974 175.248) + (xy 226.974 177.8) (xy 227.099599 178.431428) (xy 227.42109 178.912573) (xy 227.457274 178.966726) (xy 227.953274 179.462726) + (xy 228.488572 179.820401) (xy 229.12 179.946) (xy 229.538561 179.946) (xy 229.674 179.973427) (xy 230.574 179.973427) + (xy 230.719761 179.946) (xy 231.490573 179.946) (xy 231.490573 180.1495) (xy 231.588194 180.668309) (xy 231.894809 181.144803) + (xy 232.362651 181.464466) (xy 232.918 181.576927) (xy 232.982746 181.576927) (xy 233.237759 181.958581) (xy 233.266413 182.001464) + (xy 232.791 181.906899) (xy 231.949096 182.074364) (xy 231.235365 182.551264) (xy 230.758465 183.264995) (xy 230.712515 183.496) + (xy 219.856 183.496) (xy 219.856 171.196) (xy 219.824486 171.040378) (xy 219.734908 170.909277) (xy 219.601383 170.823356) + (xy 219.456 170.796) (xy 195.497384 170.796) (xy 195.517664 170.782449) (xy 195.786809 170.731806) (xy 196.263303 170.425191) + (xy 196.582966 169.957349) (xy 196.695427 169.402) (xy 196.695427 168.902) (xy 196.597891 168.383645) (xy 196.620095 168.274) + (xy 220.472 168.274) (xy 221.103428 168.148401) (xy 221.638726 167.790726) (xy 230.203927 159.225525) (xy 230.323715 159.17603) + (xy 230.388573 159.111285) + ) + ) + (filled_polygon + (pts + (xy 146.363 163.932888) (xy 146.363 163.810761) (xy 146.256431 163.553482) (xy 146.059518 163.356568) (xy 145.802238 163.25) + (xy 145.6505 163.25) (xy 145.4755 163.425) (xy 145.4755 164.022573) (xy 145.1005 164.022573) (xy 145.1005 163.425) + (xy 144.9255 163.25) (xy 144.773762 163.25) (xy 144.516482 163.356568) (xy 144.319569 163.553482) (xy 144.213 163.810761) + (xy 144.213 163.975) (xy 144.372288 164.134288) (xy 144.347874 164.149998) (xy 144.213 164.149998) (xy 144.213 164.236787) + (xy 143.917697 164.426809) (xy 143.709169 164.732) (xy 134.62 164.732) (xy 134.31836 164.792) (xy 133.245878 164.792) + (xy 133.119623 165.032129) (xy 133.207663 165.244701) (xy 132.922944 165.434944) (xy 132.402689 166.21356) (xy 132.22 167.132) + (xy 132.402689 168.05044) (xy 132.695868 168.489213) (xy 132.484359 168.805758) (xy 132.312053 169.672) (xy 132.484359 170.538242) + (xy 132.656587 170.796) (xy 125.622 170.796) (xy 125.622 162.052) (xy 132.312053 162.052) (xy 132.484359 162.918242) + (xy 132.975046 163.652607) (xy 133.251812 163.837536) (xy 133.247843 163.842283) (xy 133.119623 164.151871) (xy 133.245878 164.392) + (xy 134.42 164.392) (xy 134.42 164.372) (xy 134.82 164.372) (xy 134.82 164.392) (xy 135.994122 164.392) + (xy 136.120377 164.151871) (xy 135.992157 163.842283) (xy 135.988188 163.837536) (xy 136.264954 163.652607) (xy 136.755641 162.918242) + (xy 136.927947 162.052) (xy 136.755641 161.185758) (xy 136.264954 160.451393) (xy 135.530589 159.960706) (xy 134.664347 159.7884) + (xy 134.575653 159.7884) (xy 133.709411 159.960706) (xy 132.975046 160.451393) (xy 132.484359 161.185758) (xy 132.312053 162.052) + (xy 125.622 162.052) (xy 125.622 153.562) (xy 156.733887 153.562) + ) + ) + (filled_polygon + (pts + (xy 307.882 164.617178) (xy 307.882 164.785499) (xy 307.854238 164.774) (xy 307.7025 164.774) (xy 307.5275 164.949) + (xy 307.5275 165.546573) (xy 307.1525 165.546573) (xy 307.1525 164.949) (xy 307.057322 164.853822) (xy 307.173303 164.779191) + (xy 307.492966 164.311349) (xy 307.506978 164.242156) + ) + ) + (filled_polygon + (pts + (xy 298.832 160.723411) (xy 298.832 163.124782) (xy 298.563014 163.175395) (xy 298.196 163.101073) (xy 297.688 163.101073) + (xy 297.293014 163.175395) (xy 296.926 163.101073) (xy 296.418 163.101073) (xy 296.023014 163.175395) (xy 295.656 163.101073) + (xy 295.148 163.101073) (xy 294.753014 163.175395) (xy 294.386 163.101073) (xy 293.878 163.101073) (xy 293.359191 163.198694) + (xy 292.882697 163.505309) (xy 292.563034 163.973151) (xy 292.450573 164.5285) (xy 292.450573 165.253109) (xy 292.147191 165.310194) + (xy 292.087611 165.348533) (xy 291.677634 165.178296) (xy 291.001333 165.177706) (xy 290.987427 165.183452) (xy 290.987427 164.9) + (xy 291.355 164.9) (xy 291.53 164.725) (xy 291.53 164.389262) (xy 291.423432 164.131982) (xy 291.226518 163.935069) + (xy 290.969239 163.8285) (xy 290.878 163.8285) (xy 290.814241 163.892259) (xy 290.776002 163.832834) (xy 290.776002 163.8285) + (xy 290.773213 163.8285) (xy 290.583191 163.533197) (xy 290.115349 163.213534) (xy 290.100022 163.21043) (xy 291.005452 162.305) + (xy 296.037 162.305) (xy 296.668428 162.179401) (xy 297.203726 161.821726) (xy 297.838726 161.186726) (xy 298.131254 160.748927) + (xy 298.196 160.748927) (xy 298.590986 160.674605) + ) + ) + (filled_polygon + (pts + (xy 273.5244 161.9612) (xy 273.5044 161.9612) (xy 273.5044 161.9812) (xy 273.1044 161.9812) (xy 273.1044 161.9612) + (xy 273.0844 161.9612) (xy 273.0844 161.638627) (xy 273.5244 161.638627) + ) + ) + (filled_polygon + (pts + (xy 258.280573 157.994) (xy 258.280573 158.744) (xy 258.364118 159.188) (xy 258.326761 159.188) (xy 258.129144 159.269856) + (xy 258.25 159.149) (xy 258.25 158.984761) (xy 258.143431 158.727482) (xy 257.946518 158.530568) (xy 257.689238 158.424) + (xy 257.5375 158.424) (xy 257.375002 158.586498) (xy 257.375002 158.449947) (xy 257.498432 158.326518) (xy 257.605 158.069238) + (xy 257.605 157.855) (xy 257.430002 157.680002) (xy 257.605 157.680002) (xy 257.605 157.594) (xy 258.361575 157.594) + ) + ) + (filled_polygon + (pts + (xy 289.803191 156.848694) (xy 289.326697 157.155309) (xy 289.064519 157.539019) (xy 289.004 157.4785) (xy 288.912761 157.4785) + (xy 288.671 157.578641) (xy 288.429239 157.4785) (xy 288.338 157.4785) (xy 288.274241 157.542259) (xy 288.236002 157.482834) + (xy 288.236002 157.4785) (xy 288.233213 157.4785) (xy 288.043191 157.183197) (xy 287.575349 156.863534) (xy 287.459135 156.84) + (xy 289.849395 156.84) + ) + ) + ) + (zone (net 19) (net_name /FIL+) (layer F.Cu) (tstamp 58FD999A) (hatch edge 0.508) + (connect_pads (clearance 0.6)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.3) (thermal_bridge_width 1)) + (polygon + (pts + (xy 235.204 228.854) (xy 231.013 228.854) (xy 231.013 216.154) (xy 230.124 215.138) (xy 230.124 197.358) + (xy 224.536 197.358) (xy 222.25 195.072) (xy 222.25 190.246) (xy 236.855 190.246) (xy 236.855 202.946) + (xy 235.204 212.09) + ) + ) + (filled_polygon + (pts + (xy 236.605 202.923611) (xy 234.957978 212.045579) (xy 234.954 212.09) (xy 234.954 228.604) (xy 231.263 228.604) + (xy 231.263 226.33) (xy 231.28 226.33) (xy 231.28 226.88) (xy 231.512688 227.5498) (xy 231.983985 228.079569) + (xy 232.554225 228.351499) (xy 232.83 228.273596) (xy 232.83 226.33) (xy 233.33 226.33) (xy 233.33 228.273596) + (xy 233.605775 228.351499) (xy 234.176015 228.079569) (xy 234.647312 227.5498) (xy 234.88 226.88) (xy 234.88 226.33) + (xy 233.33 226.33) (xy 232.83 226.33) (xy 231.28 226.33) (xy 231.263 226.33) (xy 231.263 225.28) + (xy 231.28 225.28) (xy 231.28 225.83) (xy 232.83 225.83) (xy 232.83 223.886404) (xy 233.33 223.886404) + (xy 233.33 225.83) (xy 234.88 225.83) (xy 234.88 225.28) (xy 234.647312 224.6102) (xy 234.176015 224.080431) + (xy 233.605775 223.808501) (xy 233.33 223.886404) (xy 232.83 223.886404) (xy 232.554225 223.808501) (xy 231.983985 224.080431) + (xy 231.512688 224.6102) (xy 231.28 225.28) (xy 231.263 225.28) (xy 231.263 221.25) (xy 231.28 221.25) + (xy 231.28 221.8) (xy 231.512688 222.4698) (xy 231.983985 222.999569) (xy 232.554225 223.271499) (xy 232.83 223.193596) + (xy 232.83 221.25) (xy 233.33 221.25) (xy 233.33 223.193596) (xy 233.605775 223.271499) (xy 234.176015 222.999569) + (xy 234.647312 222.4698) (xy 234.88 221.8) (xy 234.88 221.25) (xy 233.33 221.25) (xy 232.83 221.25) + (xy 231.28 221.25) (xy 231.263 221.25) (xy 231.263 220.2) (xy 231.28 220.2) (xy 231.28 220.75) + (xy 232.83 220.75) (xy 232.83 218.806404) (xy 233.33 218.806404) (xy 233.33 220.75) (xy 234.88 220.75) + (xy 234.88 220.2) (xy 234.647312 219.5302) (xy 234.176015 219.000431) (xy 233.605775 218.728501) (xy 233.33 218.806404) + (xy 232.83 218.806404) (xy 232.554225 218.728501) (xy 231.983985 219.000431) (xy 231.512688 219.5302) (xy 231.28 220.2) + (xy 231.263 220.2) (xy 231.263 216.154) (xy 231.243304 216.056736) (xy 231.201144 215.989374) (xy 230.374 215.044067) + (xy 230.374 213.4935) (xy 230.55 213.4935) (xy 230.55 214.815402) (xy 230.633732 215.01755) (xy 230.78845 215.172267) + (xy 230.990598 215.256) (xy 232.0125 215.256) (xy 232.15 215.1185) (xy 232.15 213.356) (xy 232.65 213.356) + (xy 232.65 215.1185) (xy 232.7875 215.256) (xy 233.809402 215.256) (xy 234.01155 215.172267) (xy 234.166268 215.01755) + (xy 234.25 214.815402) (xy 234.25 213.4935) (xy 234.1125 213.356) (xy 232.65 213.356) (xy 232.15 213.356) + (xy 230.6875 213.356) (xy 230.55 213.4935) (xy 230.374 213.4935) (xy 230.374 211.396598) (xy 230.55 211.396598) + (xy 230.55 212.7185) (xy 230.6875 212.856) (xy 232.15 212.856) (xy 232.15 211.0935) (xy 232.65 211.0935) + (xy 232.65 212.856) (xy 234.1125 212.856) (xy 234.25 212.7185) (xy 234.25 211.396598) (xy 234.166268 211.19445) + (xy 234.01155 211.039733) (xy 233.809402 210.956) (xy 232.7875 210.956) (xy 232.65 211.0935) (xy 232.15 211.0935) + (xy 232.0125 210.956) (xy 230.990598 210.956) (xy 230.78845 211.039733) (xy 230.633732 211.19445) (xy 230.55 211.396598) + (xy 230.374 211.396598) (xy 230.374 202.8255) (xy 233.496 202.8255) (xy 233.496 203.197402) (xy 233.579732 203.39955) + (xy 233.73445 203.554267) (xy 233.936598 203.638) (xy 234.3085 203.638) (xy 234.446 203.5005) (xy 234.446 202.688) + (xy 234.946 202.688) (xy 234.946 203.5005) (xy 235.0835 203.638) (xy 235.455402 203.638) (xy 235.65755 203.554267) + (xy 235.812268 203.39955) (xy 235.896 203.197402) (xy 235.896 202.8255) (xy 235.7585 202.688) (xy 234.946 202.688) + (xy 234.446 202.688) (xy 233.6335 202.688) (xy 233.496 202.8255) (xy 230.374 202.8255) (xy 230.374 201.678598) + (xy 233.496 201.678598) (xy 233.496 202.0505) (xy 233.6335 202.188) (xy 234.446 202.188) (xy 234.446 201.3755) + (xy 234.946 201.3755) (xy 234.946 202.188) (xy 235.7585 202.188) (xy 235.896 202.0505) (xy 235.896 201.678598) + (xy 235.812268 201.47645) (xy 235.65755 201.321733) (xy 235.455402 201.238) (xy 235.0835 201.238) (xy 234.946 201.3755) + (xy 234.446 201.3755) (xy 234.3085 201.238) (xy 233.936598 201.238) (xy 233.73445 201.321733) (xy 233.579732 201.47645) + (xy 233.496 201.678598) (xy 230.374 201.678598) (xy 230.374 197.358) (xy 230.354304 197.260736) (xy 230.298318 197.178798) + (xy 230.214864 197.125097) (xy 230.124 197.108) (xy 224.639554 197.108) (xy 222.5 194.968446) (xy 222.5 194.3995) + (xy 223.402 194.3995) (xy 223.402 195.421402) (xy 223.485733 195.62355) (xy 223.64045 195.778268) (xy 223.842598 195.862) + (xy 225.1645 195.862) (xy 225.302 195.7245) (xy 225.302 194.262) (xy 225.802 194.262) (xy 225.802 195.7245) + (xy 225.9395 195.862) (xy 227.261402 195.862) (xy 227.46355 195.778268) (xy 227.618267 195.62355) (xy 227.702 195.421402) + (xy 227.702 195.344825) (xy 232.109729 195.344825) (xy 232.197655 195.551995) (xy 232.718111 195.684517) (xy 233.249664 195.607781) + (xy 233.384345 195.551995) (xy 233.472271 195.344825) (xy 232.791 194.663553) (xy 232.109729 195.344825) (xy 227.702 195.344825) + (xy 227.702 194.3995) (xy 227.5645 194.262) (xy 225.802 194.262) (xy 225.302 194.262) (xy 223.5395 194.262) + (xy 223.402 194.3995) (xy 222.5 194.3995) (xy 222.5 194.237111) (xy 231.416483 194.237111) (xy 231.493219 194.768664) + (xy 231.549005 194.903345) (xy 231.756175 194.991271) (xy 232.437447 194.31) (xy 233.144553 194.31) (xy 233.825825 194.991271) + (xy 234.032995 194.903345) (xy 234.101322 194.635) (xy 235.536 194.635) (xy 235.536 194.794402) (xy 235.619732 194.99655) + (xy 235.77445 195.151267) (xy 235.976598 195.235) (xy 236.1485 195.235) (xy 236.286 195.0975) (xy 236.286 194.4975) + (xy 235.6735 194.4975) (xy 235.536 194.635) (xy 234.101322 194.635) (xy 234.165517 194.382889) (xy 234.088781 193.851336) + (xy 234.078121 193.825598) (xy 235.536 193.825598) (xy 235.536 193.985) (xy 235.6735 194.1225) (xy 236.286 194.1225) + (xy 236.286 193.5225) (xy 236.1485 193.385) (xy 235.976598 193.385) (xy 235.77445 193.468733) (xy 235.619732 193.62345) + (xy 235.536 193.825598) (xy 234.078121 193.825598) (xy 234.032995 193.716655) (xy 233.825825 193.628729) (xy 233.144553 194.31) + (xy 232.437447 194.31) (xy 231.756175 193.628729) (xy 231.549005 193.716655) (xy 231.416483 194.237111) (xy 222.5 194.237111) + (xy 222.5 192.602598) (xy 223.402 192.602598) (xy 223.402 193.6245) (xy 223.5395 193.762) (xy 225.302 193.762) + (xy 225.302 192.2995) (xy 225.802 192.2995) (xy 225.802 193.762) (xy 227.5645 193.762) (xy 227.702 193.6245) + (xy 227.702 193.275175) (xy 232.109729 193.275175) (xy 232.791 193.956447) (xy 233.472271 193.275175) (xy 233.384345 193.068005) + (xy 232.863889 192.935483) (xy 232.332336 193.012219) (xy 232.197655 193.068005) (xy 232.109729 193.275175) (xy 227.702 193.275175) + (xy 227.702 192.602598) (xy 227.618267 192.40045) (xy 227.46355 192.245732) (xy 227.261402 192.162) (xy 225.9395 192.162) + (xy 225.802 192.2995) (xy 225.302 192.2995) (xy 225.1645 192.162) (xy 223.842598 192.162) (xy 223.64045 192.245732) + (xy 223.485733 192.40045) (xy 223.402 192.602598) (xy 222.5 192.602598) (xy 222.5 190.496) (xy 236.605 190.496) + ) + ) + ) + (zone (net 8) (net_name /FIL-) (layer F.Cu) (tstamp 58FD99FF) (hatch edge 0.508) + (connect_pads (clearance 0.6)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.3) (thermal_bridge_width 1)) + (polygon + (pts + (xy 244.094 207.772) (xy 241.554 217.932) (xy 241.554 228.854) (xy 236.093 228.854) (xy 236.093 212.09) + (xy 237.617 202.946) (xy 237.617 189.357) (xy 221.107 189.357) (xy 221.107 195.199) (xy 215.519 195.199) + (xy 215.519 189.611) (xy 218.313 186.817) (xy 244.094 186.817) (xy 244.094 207.772) + ) + ) + (filled_polygon + (pts + (xy 243.844 207.741222) (xy 241.311464 217.871366) (xy 241.304 217.932) (xy 241.304 228.604) (xy 236.343 228.604) + (xy 236.343 226.33) (xy 236.36 226.33) (xy 236.36 226.88) (xy 236.592688 227.5498) (xy 237.063985 228.079569) + (xy 237.634225 228.351499) (xy 237.91 228.273596) (xy 237.91 226.33) (xy 238.41 226.33) (xy 238.41 228.273596) + (xy 238.685775 228.351499) (xy 239.256015 228.079569) (xy 239.727312 227.5498) (xy 239.96 226.88) (xy 239.96 226.33) + (xy 238.41 226.33) (xy 237.91 226.33) (xy 236.36 226.33) (xy 236.343 226.33) (xy 236.343 225.28) + (xy 236.36 225.28) (xy 236.36 225.83) (xy 237.91 225.83) (xy 237.91 223.886404) (xy 238.41 223.886404) + (xy 238.41 225.83) (xy 239.96 225.83) (xy 239.96 225.28) (xy 239.727312 224.6102) (xy 239.256015 224.080431) + (xy 238.685775 223.808501) (xy 238.41 223.886404) (xy 237.91 223.886404) (xy 237.634225 223.808501) (xy 237.063985 224.080431) + (xy 236.592688 224.6102) (xy 236.36 225.28) (xy 236.343 225.28) (xy 236.343 221.25) (xy 236.36 221.25) + (xy 236.36 221.8) (xy 236.592688 222.4698) (xy 237.063985 222.999569) (xy 237.634225 223.271499) (xy 237.91 223.193596) + (xy 237.91 221.25) (xy 238.41 221.25) (xy 238.41 223.193596) (xy 238.685775 223.271499) (xy 239.256015 222.999569) + (xy 239.727312 222.4698) (xy 239.96 221.8) (xy 239.96 221.25) (xy 238.41 221.25) (xy 237.91 221.25) + (xy 236.36 221.25) (xy 236.343 221.25) (xy 236.343 220.2) (xy 236.36 220.2) (xy 236.36 220.75) + (xy 237.91 220.75) (xy 237.91 218.806404) (xy 238.41 218.806404) (xy 238.41 220.75) (xy 239.96 220.75) + (xy 239.96 220.2) (xy 239.727312 219.5302) (xy 239.256015 219.000431) (xy 238.685775 218.728501) (xy 238.41 218.806404) + (xy 237.91 218.806404) (xy 237.634225 218.728501) (xy 237.063985 219.000431) (xy 236.592688 219.5302) (xy 236.36 220.2) + (xy 236.343 220.2) (xy 236.343 213.4935) (xy 237.75 213.4935) (xy 237.75 214.815402) (xy 237.833732 215.01755) + (xy 237.98845 215.172267) (xy 238.190598 215.256) (xy 239.2125 215.256) (xy 239.35 215.1185) (xy 239.35 213.356) + (xy 239.85 213.356) (xy 239.85 215.1185) (xy 239.9875 215.256) (xy 241.009402 215.256) (xy 241.21155 215.172267) + (xy 241.366268 215.01755) (xy 241.45 214.815402) (xy 241.45 213.4935) (xy 241.3125 213.356) (xy 239.85 213.356) + (xy 239.35 213.356) (xy 237.8875 213.356) (xy 237.75 213.4935) (xy 236.343 213.4935) (xy 236.343 212.110688) + (xy 236.462015 211.396598) (xy 237.75 211.396598) (xy 237.75 212.7185) (xy 237.8875 212.856) (xy 239.35 212.856) + (xy 239.35 211.0935) (xy 239.85 211.0935) (xy 239.85 212.856) (xy 241.3125 212.856) (xy 241.45 212.7185) + (xy 241.45 211.396598) (xy 241.366268 211.19445) (xy 241.21155 211.039733) (xy 241.009402 210.956) (xy 239.9875 210.956) + (xy 239.85 211.0935) (xy 239.35 211.0935) (xy 239.2125 210.956) (xy 238.190598 210.956) (xy 237.98845 211.039733) + (xy 237.833732 211.19445) (xy 237.75 211.396598) (xy 236.462015 211.396598) (xy 237.801101 203.362077) (xy 239.125477 203.362077) + (xy 239.194267 203.553902) (xy 239.659496 203.660964) (xy 240.130283 203.581841) (xy 240.197733 203.553902) (xy 240.266523 203.362077) + (xy 239.696 202.791553) (xy 239.125477 203.362077) (xy 237.801101 203.362077) (xy 237.863598 202.9871) (xy 237.867 202.946) + (xy 237.867 202.401496) (xy 238.473036 202.401496) (xy 238.552159 202.872283) (xy 238.580098 202.939733) (xy 238.771923 203.008523) + (xy 239.342447 202.438) (xy 240.049553 202.438) (xy 240.620077 203.008523) (xy 240.811902 202.939733) (xy 240.918964 202.474504) + (xy 240.839841 202.003717) (xy 240.811902 201.936267) (xy 240.620077 201.867477) (xy 240.049553 202.438) (xy 239.342447 202.438) + (xy 238.771923 201.867477) (xy 238.580098 201.936267) (xy 238.473036 202.401496) (xy 237.867 202.401496) (xy 237.867 201.513923) + (xy 239.125477 201.513923) (xy 239.696 202.084447) (xy 240.266523 201.513923) (xy 240.197733 201.322098) (xy 239.732504 201.215036) + (xy 239.261717 201.294159) (xy 239.194267 201.322098) (xy 239.125477 201.513923) (xy 237.867 201.513923) (xy 237.867 194.4975) + (xy 238.186 194.4975) (xy 238.186 195.0975) (xy 238.3235 195.235) (xy 238.495402 195.235) (xy 238.536865 195.217825) + (xy 240.872729 195.217825) (xy 240.960655 195.424995) (xy 241.481111 195.557517) (xy 242.012664 195.480781) (xy 242.147345 195.424995) + (xy 242.235271 195.217825) (xy 241.554 194.536553) (xy 240.872729 195.217825) (xy 238.536865 195.217825) (xy 238.69755 195.151267) + (xy 238.852268 194.99655) (xy 238.936 194.794402) (xy 238.936 194.635) (xy 238.7985 194.4975) (xy 238.186 194.4975) + (xy 237.867 194.4975) (xy 237.867 193.5225) (xy 238.186 193.5225) (xy 238.186 194.1225) (xy 238.7985 194.1225) + (xy 238.810889 194.110111) (xy 240.179483 194.110111) (xy 240.256219 194.641664) (xy 240.312005 194.776345) (xy 240.519175 194.864271) + (xy 241.200447 194.183) (xy 241.907553 194.183) (xy 242.588825 194.864271) (xy 242.795995 194.776345) (xy 242.928517 194.255889) + (xy 242.851781 193.724336) (xy 242.795995 193.589655) (xy 242.588825 193.501729) (xy 241.907553 194.183) (xy 241.200447 194.183) + (xy 240.519175 193.501729) (xy 240.312005 193.589655) (xy 240.179483 194.110111) (xy 238.810889 194.110111) (xy 238.936 193.985) + (xy 238.936 193.825598) (xy 238.852268 193.62345) (xy 238.69755 193.468733) (xy 238.495402 193.385) (xy 238.3235 193.385) + (xy 238.186 193.5225) (xy 237.867 193.5225) (xy 237.867 193.148175) (xy 240.872729 193.148175) (xy 241.554 193.829447) + (xy 242.235271 193.148175) (xy 242.147345 192.941005) (xy 241.626889 192.808483) (xy 241.095336 192.885219) (xy 240.960655 192.941005) + (xy 240.872729 193.148175) (xy 237.867 193.148175) (xy 237.867 189.357) (xy 237.847304 189.259736) (xy 237.791318 189.177798) + (xy 237.707864 189.124097) (xy 237.617 189.107) (xy 221.107 189.107) (xy 221.009736 189.126696) (xy 220.927798 189.182682) + (xy 220.874097 189.266136) (xy 220.857 189.357) (xy 220.857 194.949) (xy 220.417732 194.949) (xy 220.379596 194.814) + (xy 218.436 194.814) (xy 218.436 194.834) (xy 217.936 194.834) (xy 217.936 194.814) (xy 215.992404 194.814) + (xy 215.954268 194.949) (xy 215.769 194.949) (xy 215.769 194.038225) (xy 215.914501 194.038225) (xy 215.992404 194.314) + (xy 217.936 194.314) (xy 217.936 192.764) (xy 218.436 192.764) (xy 218.436 194.314) (xy 220.379596 194.314) + (xy 220.457499 194.038225) (xy 220.185569 193.467985) (xy 219.6558 192.996688) (xy 218.986 192.764) (xy 218.436 192.764) + (xy 217.936 192.764) (xy 217.386 192.764) (xy 216.7162 192.996688) (xy 216.186431 193.467985) (xy 215.914501 194.038225) + (xy 215.769 194.038225) (xy 215.769 189.714554) (xy 218.416554 187.067) (xy 243.844 187.067) + ) + ) + ) + (zone (net 5) (net_name GND) (layer In1.Cu) (tstamp 58FF4AEB) (hatch edge 0.508) + (connect_pads (clearance 0.8)) + (min_thickness 0.7) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 320.04 213.36) (xy 292.862 213.36) (xy 292.862 233.426) (xy 116.078 233.426) (xy 116.078 153.162) + (xy 320.04 153.162) (xy 320.04 213.36) + ) + ) + (polygon + (pts (xy 126.238 171.196) (xy 126.238 229.616) (xy 142.494 229.616) (xy 142.494 209.296) (xy 205.486 209.296) + (xy 205.486 215.392) (xy 221.234 215.392) (xy 221.234 203.962) (xy 229.362 203.962) (xy 229.362 186.182) + (xy 219.456 186.182) (xy 219.456 171.196) (xy 126.238 171.196) + ) + ) + (polygon + (pts (xy 159.766 213.868) (xy 159.766 221.488) (xy 151.13 221.488) (xy 151.13 228.854) (xy 163.83 228.854) + (xy 163.83 213.868) (xy 159.766 213.868) + ) + ) + (polygon + (pts (xy 269.24 195.707) (xy 266.446 198.501) (xy 254 198.501) (xy 252.222 200.279) (xy 249.174 200.279) + (xy 246.888 202.438) (xy 246.888 214.503) (xy 248.158 215.773) (xy 252.73 215.773) (xy 255.016 213.487) + (xy 255.016 202.946) (xy 255.778 202.184) (xy 262.89 202.184) (xy 262.89 213.614) (xy 264.668 215.519) + (xy 264.541 221.234) (xy 265.684 222.377) (xy 269.494 222.377) (xy 270.637 220.218) (xy 277.622 220.218) + (xy 279.908 218.059) (xy 279.908 215.011) (xy 280.67 214.884) (xy 282.956 214.884) (xy 286.258 211.582) + (xy 286.258 207.264) (xy 287.655 205.867) (xy 287.655 198.501) (xy 285.115 195.707) (xy 269.24 195.707) + ) + ) + (filled_polygon + (pts + (xy 319.69 212.187) (xy 313.471001 212.187) (xy 313.471001 213.01) (xy 292.862 213.01) (xy 292.72583 213.037575) + (xy 292.611117 213.115955) (xy 292.535936 213.23279) (xy 292.512 213.36) (xy 292.512 222.385529) (xy 292.449469 222.253316) + (xy 291.957991 221.80786) (xy 291.497055 221.616944) (xy 291.234 221.786082) (xy 291.234 223.116) (xy 291.254 223.116) + (xy 291.254 223.416) (xy 291.234 223.416) (xy 291.234 224.745918) (xy 291.497055 224.915056) (xy 291.957991 224.72414) + (xy 292.449469 224.278684) (xy 292.512 224.146471) (xy 292.512 225.183903) (xy 291.949559 224.950357) (xy 291.134019 224.949645) + (xy 290.585272 225.176382) (xy 290.356179 225.01985) (xy 289.9 224.927471) (xy 289.646807 224.927471) (xy 289.958214 224.719396) + (xy 290.051213 224.580213) (xy 290.210009 224.72414) (xy 290.670945 224.915056) (xy 290.934 224.745918) (xy 290.934 223.416) + (xy 290.914 223.416) (xy 290.914 223.116) (xy 290.934 223.116) (xy 290.934 221.786082) (xy 290.670945 221.616944) + (xy 290.210009 221.80786) (xy 290.051213 221.951787) (xy 289.958214 221.812604) (xy 289.309367 221.379059) (xy 288.544 221.226818) + (xy 287.778633 221.379059) (xy 287.274 221.716243) (xy 286.769367 221.379059) (xy 286.004 221.226818) (xy 285.238633 221.379059) + (xy 284.734 221.716243) (xy 284.229367 221.379059) (xy 283.464 221.226818) (xy 282.698633 221.379059) (xy 282.493763 221.515949) + (xy 282.230179 221.33585) (xy 281.774 221.243471) (xy 280.074 221.243471) (xy 279.647836 221.323659) (xy 279.25643 221.575522) + (xy 278.99385 221.959821) (xy 278.901471 222.416) (xy 278.901471 224.116) (xy 278.981659 224.542164) (xy 279.233522 224.93357) + (xy 279.617821 225.19615) (xy 280.074 225.288529) (xy 281.26261 225.288529) (xy 281.01985 225.643821) (xy 280.927471 226.1) + (xy 280.927471 227.9) (xy 281.007659 228.326164) (xy 281.259522 228.71757) (xy 281.643821 228.98015) (xy 282.1 229.072529) + (xy 283.9 229.072529) (xy 284.326164 228.992341) (xy 284.587482 228.824187) (xy 285.130441 229.049643) (xy 285.945981 229.050355) + (xy 286.699715 228.738919) (xy 287.048935 228.390308) (xy 287.259522 228.71757) (xy 287.643821 228.98015) (xy 288.1 229.072529) + (xy 289.9 229.072529) (xy 290.326164 228.992341) (xy 290.587482 228.824187) (xy 291.130441 229.049643) (xy 291.945981 229.050355) + (xy 292.512 228.816481) (xy 292.512 233.076) (xy 116.428 233.076) (xy 116.428 229.524805) (xy 118.349541 229.524805) + (xy 118.752129 230.499144) (xy 119.496935 231.245251) (xy 120.47057 231.649539) (xy 121.524805 231.650459) (xy 122.499144 231.247871) + (xy 123.245251 230.503065) (xy 123.649539 229.52943) (xy 123.650459 228.475195) (xy 123.247871 227.500856) (xy 122.503065 226.754749) + (xy 121.52943 226.350461) (xy 120.475195 226.349541) (xy 119.500856 226.752129) (xy 118.754749 227.496935) (xy 118.350461 228.47057) + (xy 118.349541 229.524805) (xy 116.428 229.524805) (xy 116.428 171.196) (xy 125.888 171.196) (xy 125.888 229.616) + (xy 125.915575 229.75217) (xy 125.993955 229.866883) (xy 126.11079 229.942064) (xy 126.238 229.966) (xy 142.494 229.966) + (xy 142.63017 229.938425) (xy 142.744883 229.860045) (xy 142.820064 229.74321) (xy 142.844 229.616) (xy 142.844 226.1) + (xy 142.927471 226.1) (xy 142.927471 227.9) (xy 143.007659 228.326164) (xy 143.259522 228.71757) (xy 143.643821 228.98015) + (xy 144.1 229.072529) (xy 145.9 229.072529) (xy 146.326164 228.992341) (xy 146.587482 228.824187) (xy 147.130441 229.049643) + (xy 147.945981 229.050355) (xy 148.699715 228.738919) (xy 149.276892 228.162748) (xy 149.589643 227.409559) (xy 149.590355 226.594019) + (xy 149.308887 225.912814) (xy 149.664286 225.765967) (xy 150.072533 225.358431) (xy 150.293748 224.825688) (xy 150.294251 224.248843) + (xy 150.073967 223.715714) (xy 149.666431 223.307467) (xy 149.133688 223.086252) (xy 148.556843 223.085749) (xy 148.023714 223.306033) + (xy 147.615467 223.713569) (xy 147.394252 224.246312) (xy 147.393749 224.823157) (xy 147.446125 224.949917) (xy 147.134019 224.949645) + (xy 146.585272 225.176382) (xy 146.356179 225.01985) (xy 145.9 224.927471) (xy 144.1 224.927471) (xy 143.673836 225.007659) + (xy 143.28243 225.259522) (xy 143.01985 225.643821) (xy 142.927471 226.1) (xy 142.844 226.1) (xy 142.844 221.488) + (xy 150.78 221.488) (xy 150.78 228.854) (xy 150.807575 228.99017) (xy 150.885955 229.104883) (xy 151.00279 229.180064) + (xy 151.13 229.204) (xy 163.83 229.204) (xy 163.96617 229.176425) (xy 164.080883 229.098045) (xy 164.156064 228.98121) + (xy 164.18 228.854) (xy 164.18 225.82505) (xy 164.451981 225.82505) (xy 164.451981 227.82403) (xy 164.532169 228.250194) + (xy 164.784032 228.6416) (xy 165.168331 228.90418) (xy 165.62451 228.996559) (xy 167.62349 228.996559) (xy 168.049654 228.916371) + (xy 168.44106 228.664508) (xy 168.70364 228.280209) (xy 168.796019 227.82403) (xy 168.796019 226.017393) (xy 204.659593 226.017393) + (xy 205.016605 226.881429) (xy 205.677094 227.543071) (xy 206.540505 227.901591) (xy 207.475393 227.902407) (xy 208.339429 227.545395) + (xy 209.001071 226.884906) (xy 209.359591 226.021495) (xy 209.36 225.552) (xy 219.853961 225.552) (xy 220.032844 226.451306) + (xy 220.54226 227.213701) (xy 221.304655 227.723117) (xy 222.203961 227.902) (xy 222.296039 227.902) (xy 223.195345 227.723117) + (xy 223.95774 227.213701) (xy 224.467156 226.451306) (xy 224.646039 225.552) (xy 224.467156 224.652694) (xy 223.95774 223.890299) + (xy 223.195345 223.380883) (xy 222.296039 223.202) (xy 222.203961 223.202) (xy 221.304655 223.380883) (xy 220.54226 223.890299) + (xy 220.032844 224.652694) (xy 219.853961 225.552) (xy 209.36 225.552) (xy 209.360407 225.086607) (xy 209.003395 224.222571) + (xy 208.342906 223.560929) (xy 207.479495 223.202409) (xy 206.544607 223.201593) (xy 205.680571 223.558605) (xy 205.018929 224.219094) + (xy 204.660409 225.082505) (xy 204.659593 226.017393) (xy 168.796019 226.017393) (xy 168.796019 225.82505) (xy 168.715831 225.398886) + (xy 168.47912 225.031026) (xy 169.057061 224.644858) (xy 169.479768 224.012233) (xy 169.628203 223.266) (xy 169.479768 222.519767) + (xy 169.057061 221.887142) (xy 168.424436 221.464435) (xy 168.1005 221.4) (xy 178.317471 221.4) (xy 178.317471 223.1) + (xy 178.397659 223.526164) (xy 178.649522 223.91757) (xy 179.033821 224.18015) (xy 179.49 224.272529) (xy 181.19 224.272529) + (xy 181.616164 224.192341) (xy 182.00757 223.940478) (xy 182.27015 223.556179) (xy 182.362529 223.1) (xy 182.362529 221.4) + (xy 193.557471 221.4) (xy 193.557471 223.1) (xy 193.637659 223.526164) (xy 193.889522 223.91757) (xy 194.273821 224.18015) + (xy 194.73 224.272529) (xy 196.43 224.272529) (xy 196.856164 224.192341) (xy 197.24757 223.940478) (xy 197.51015 223.556179) + (xy 197.602529 223.1) (xy 197.602529 221.4) (xy 197.522341 220.973836) (xy 197.270478 220.58243) (xy 196.886179 220.31985) + (xy 196.43 220.227471) (xy 194.73 220.227471) (xy 194.303836 220.307659) (xy 193.91243 220.559522) (xy 193.64985 220.943821) + (xy 193.557471 221.4) (xy 182.362529 221.4) (xy 182.282341 220.973836) (xy 182.030478 220.58243) (xy 181.646179 220.31985) + (xy 181.19 220.227471) (xy 179.49 220.227471) (xy 179.063836 220.307659) (xy 178.67243 220.559522) (xy 178.40985 220.943821) + (xy 178.317471 221.4) (xy 168.1005 221.4) (xy 167.678203 221.316) (xy 167.601797 221.316) (xy 166.855564 221.464435) + (xy 166.222939 221.887142) (xy 165.800232 222.519767) (xy 165.651797 223.266) (xy 165.800232 224.012233) (xy 166.222939 224.644858) + (xy 166.234407 224.652521) (xy 165.62451 224.652521) (xy 165.198346 224.732709) (xy 164.80694 224.984572) (xy 164.54436 225.368871) + (xy 164.451981 225.82505) (xy 164.18 225.82505) (xy 164.18 217.360286) (xy 164.198 217.269794) (xy 164.198 216.054206) + (xy 164.478 216.054206) (xy 164.478 217.269794) (xy 164.660689 218.188234) (xy 165.180944 218.96685) (xy 165.95956 219.487105) + (xy 166.878 219.669794) (xy 167.79644 219.487105) (xy 168.575056 218.96685) (xy 169.095311 218.188234) (xy 169.203152 217.646079) + (xy 178.339654 217.646079) (xy 178.643494 218.381429) (xy 179.205612 218.944529) (xy 179.94043 219.249652) (xy 180.736079 219.250346) + (xy 181.471429 218.946506) (xy 182.034529 218.384388) (xy 182.339652 217.64957) (xy 182.339655 217.646079) (xy 193.579654 217.646079) + (xy 193.883494 218.381429) (xy 194.445612 218.944529) (xy 195.18043 219.249652) (xy 195.976079 219.250346) (xy 196.093085 219.202) + (xy 205.783797 219.202) (xy 205.932232 219.948233) (xy 206.354939 220.580858) (xy 206.987564 221.003565) (xy 207.733797 221.152) + (xy 207.810203 221.152) (xy 208.556436 221.003565) (xy 209.189061 220.580858) (xy 209.611768 219.948233) (xy 209.683387 219.588177) + (xy 215.981662 219.588177) (xy 216.277906 220.305143) (xy 216.825971 220.854166) (xy 217.542419 221.151661) (xy 218.318177 221.152338) + (xy 219.035143 220.856094) (xy 219.584166 220.308029) (xy 219.881661 219.591581) (xy 219.882002 219.2) (xy 225.577471 219.2) + (xy 225.577471 222.8) (xy 225.657659 223.226164) (xy 225.861629 223.543143) (xy 225.66985 223.823821) (xy 225.577471 224.28) + (xy 225.577471 227.88) (xy 225.657659 228.306164) (xy 225.909522 228.69757) (xy 226.293821 228.96015) (xy 226.75 229.052529) + (xy 229.25 229.052529) (xy 229.676164 228.972341) (xy 230.06757 228.720478) (xy 230.33015 228.336179) (xy 230.422529 227.88) + (xy 230.422529 224.28) (xy 230.342341 223.853836) (xy 230.138371 223.536857) (xy 230.33015 223.256179) (xy 230.422529 222.8) + (xy 230.422529 220.392206) (xy 230.68 220.392206) (xy 230.68 221.607794) (xy 230.862689 222.526234) (xy 231.382944 223.30485) + (xy 231.734871 223.54) (xy 231.382944 223.77515) (xy 230.862689 224.553766) (xy 230.68 225.472206) (xy 230.68 226.687794) + (xy 230.862689 227.606234) (xy 231.382944 228.38485) (xy 232.16156 228.905105) (xy 233.08 229.087794) (xy 233.99844 228.905105) + (xy 234.777056 228.38485) (xy 235.297311 227.606234) (xy 235.48 226.687794) (xy 235.48 225.472206) (xy 235.297311 224.553766) + (xy 234.777056 223.77515) (xy 234.425129 223.54) (xy 234.777056 223.30485) (xy 235.297311 222.526234) (xy 235.48 221.607794) + (xy 235.48 220.392206) (xy 235.76 220.392206) (xy 235.76 221.607794) (xy 235.942689 222.526234) (xy 236.462944 223.30485) + (xy 236.814871 223.54) (xy 236.462944 223.77515) (xy 235.942689 224.553766) (xy 235.76 225.472206) (xy 235.76 226.687794) + (xy 235.942689 227.606234) (xy 236.462944 228.38485) (xy 237.24156 228.905105) (xy 238.16 229.087794) (xy 239.07844 228.905105) + (xy 239.857056 228.38485) (xy 240.377311 227.606234) (xy 240.56 226.687794) (xy 240.56 225.85719) (xy 246.604942 225.85719) + (xy 246.706046 226.192074) (xy 247.107795 226.341668) (xy 247.000348 226.60043) (xy 246.999654 227.396079) (xy 247.303494 228.131429) + (xy 247.865612 228.694529) (xy 248.60043 228.999652) (xy 249.396079 229.000346) (xy 250.131429 228.696506) (xy 250.652649 228.176194) + (xy 252.535938 228.176194) (xy 252.606364 228.48518) (xy 253.242742 228.714107) (xy 253.918285 228.682077) (xy 254.393636 228.48518) + (xy 254.464062 228.176194) (xy 253.5 227.212132) (xy 252.535938 228.176194) (xy 250.652649 228.176194) (xy 250.694529 228.134388) + (xy 250.999652 227.39957) (xy 251.000224 226.742742) (xy 251.785893 226.742742) (xy 251.817923 227.418285) (xy 252.01482 227.893636) + (xy 252.323806 227.964062) (xy 253.287868 227) (xy 252.323806 226.035938) (xy 252.01482 226.106364) (xy 251.785893 226.742742) + (xy 251.000224 226.742742) (xy 251.000346 226.603921) (xy 250.696506 225.868571) (xy 250.65182 225.823806) (xy 252.535938 225.823806) + (xy 253.5 226.787868) (xy 253.514143 226.773726) (xy 253.726275 226.985858) (xy 253.712132 227) (xy 254.676194 227.964062) + (xy 254.98518 227.893636) (xy 255.214107 227.257258) (xy 255.182077 226.581715) (xy 255.122455 226.437776) (xy 255.208291 226.434663) + (xy 255.793954 226.192074) (xy 255.810977 226.135687) (xy 260.719619 226.135687) (xy 261.053843 226.944572) (xy 261.672173 227.563982) + (xy 262.480473 227.899618) (xy 263.355687 227.900381) (xy 264.164572 227.566157) (xy 264.783982 226.947827) (xy 265.119618 226.139527) + (xy 265.119621 226.135687) (xy 270.879619 226.135687) (xy 271.213843 226.944572) (xy 271.832173 227.563982) (xy 272.640473 227.899618) + (xy 273.515687 227.900381) (xy 274.324572 227.566157) (xy 274.943982 226.947827) (xy 275.279618 226.139527) (xy 275.280381 225.264313) + (xy 274.946157 224.455428) (xy 274.327827 223.836018) (xy 273.519527 223.500382) (xy 272.644313 223.499619) (xy 271.835428 223.833843) + (xy 271.216018 224.452173) (xy 270.880382 225.260473) (xy 270.879619 226.135687) (xy 265.119621 226.135687) (xy 265.120381 225.264313) + (xy 264.786157 224.455428) (xy 264.167827 223.836018) (xy 263.359527 223.500382) (xy 262.484313 223.499619) (xy 261.675428 223.833843) + (xy 261.056018 224.452173) (xy 260.720382 225.260473) (xy 260.719619 226.135687) (xy 255.810977 226.135687) (xy 255.895058 225.85719) + (xy 254.75 224.712132) (xy 254.735858 224.726275) (xy 254.523726 224.514143) (xy 254.537868 224.5) (xy 254.962132 224.5) + (xy 256.10719 225.645058) (xy 256.442074 225.543954) (xy 256.712776 224.816958) (xy 256.684663 224.041709) (xy 256.442074 223.456046) + (xy 256.10719 223.354942) (xy 254.962132 224.5) (xy 254.537868 224.5) (xy 253.39281 223.354942) (xy 253.057926 223.456046) + (xy 252.787224 224.183042) (xy 252.815337 224.958291) (xy 252.981496 225.359435) (xy 252.606364 225.51482) (xy 252.535938 225.823806) + (xy 250.65182 225.823806) (xy 250.134388 225.305471) (xy 249.611692 225.088429) (xy 249.712776 224.816958) (xy 249.684663 224.041709) + (xy 249.442074 223.456046) (xy 249.10719 223.354942) (xy 247.962132 224.5) (xy 247.976275 224.514143) (xy 247.764143 224.726275) + (xy 247.75 224.712132) (xy 246.604942 225.85719) (xy 240.56 225.85719) (xy 240.56 225.472206) (xy 240.377311 224.553766) + (xy 240.129601 224.183042) (xy 245.787224 224.183042) (xy 245.815337 224.958291) (xy 246.057926 225.543954) (xy 246.39281 225.645058) + (xy 247.537868 224.5) (xy 246.39281 223.354942) (xy 246.057926 223.456046) (xy 245.787224 224.183042) (xy 240.129601 224.183042) + (xy 239.857056 223.77515) (xy 239.505129 223.54) (xy 239.857056 223.30485) (xy 239.965327 223.14281) (xy 246.604942 223.14281) + (xy 247.75 224.287868) (xy 248.895058 223.14281) (xy 253.604942 223.14281) (xy 254.75 224.287868) (xy 255.895058 223.14281) + (xy 255.793954 222.807926) (xy 255.066958 222.537224) (xy 254.291709 222.565337) (xy 253.706046 222.807926) (xy 253.604942 223.14281) + (xy 248.895058 223.14281) (xy 248.793954 222.807926) (xy 248.066958 222.537224) (xy 247.291709 222.565337) (xy 246.706046 222.807926) + (xy 246.604942 223.14281) (xy 239.965327 223.14281) (xy 240.377311 222.526234) (xy 240.56 221.607794) (xy 240.56 220.392206) + (xy 240.377311 219.473766) (xy 239.857056 218.69515) (xy 239.07844 218.174895) (xy 238.16 217.992206) (xy 237.24156 218.174895) + (xy 236.462944 218.69515) (xy 235.942689 219.473766) (xy 235.76 220.392206) (xy 235.48 220.392206) (xy 235.297311 219.473766) + (xy 234.777056 218.69515) (xy 233.99844 218.174895) (xy 233.08 217.992206) (xy 232.16156 218.174895) (xy 231.382944 218.69515) + (xy 230.862689 219.473766) (xy 230.68 220.392206) (xy 230.422529 220.392206) (xy 230.422529 219.2) (xy 230.342341 218.773836) + (xy 230.090478 218.38243) (xy 229.706179 218.11985) (xy 229.25 218.027471) (xy 226.75 218.027471) (xy 226.323836 218.107659) + (xy 225.93243 218.359522) (xy 225.66985 218.743821) (xy 225.577471 219.2) (xy 219.882002 219.2) (xy 219.882338 218.815823) + (xy 219.586094 218.098857) (xy 219.038029 217.549834) (xy 218.321581 217.252339) (xy 217.545823 217.251662) (xy 216.828857 217.547906) + (xy 216.279834 218.095971) (xy 215.982339 218.812419) (xy 215.981662 219.588177) (xy 209.683387 219.588177) (xy 209.760203 219.202) + (xy 209.611768 218.455767) (xy 209.189061 217.823142) (xy 208.556436 217.400435) (xy 207.810203 217.252) (xy 207.733797 217.252) + (xy 206.987564 217.400435) (xy 206.354939 217.823142) (xy 205.932232 218.455767) (xy 205.783797 219.202) (xy 196.093085 219.202) + (xy 196.711429 218.946506) (xy 197.274529 218.384388) (xy 197.579652 217.64957) (xy 197.580346 216.853921) (xy 197.276506 216.118571) + (xy 196.714388 215.555471) (xy 195.97957 215.250348) (xy 195.183921 215.249654) (xy 194.448571 215.553494) (xy 193.885471 216.115612) + (xy 193.580348 216.85043) (xy 193.579654 217.646079) (xy 182.339655 217.646079) (xy 182.340346 216.853921) (xy 182.036506 216.118571) + (xy 181.474388 215.555471) (xy 180.73957 215.250348) (xy 179.943921 215.249654) (xy 179.208571 215.553494) (xy 178.645471 216.115612) + (xy 178.340348 216.85043) (xy 178.339654 217.646079) (xy 169.203152 217.646079) (xy 169.278 217.269794) (xy 169.278 216.054206) + (xy 169.095311 215.135766) (xy 168.575056 214.35715) (xy 167.79644 213.836895) (xy 166.878 213.654206) (xy 165.95956 213.836895) + (xy 165.180944 214.35715) (xy 164.660689 215.135766) (xy 164.478 216.054206) (xy 164.198 216.054206) (xy 164.18 215.963714) + (xy 164.18 213.868) (xy 164.152425 213.73183) (xy 164.074045 213.617117) (xy 163.95721 213.541936) (xy 163.83 213.518) + (xy 159.766 213.518) (xy 159.62983 213.545575) (xy 159.515117 213.623955) (xy 159.439936 213.74079) (xy 159.416 213.868) + (xy 159.416 215.963714) (xy 159.398 216.054206) (xy 159.398 217.269794) (xy 159.416 217.360286) (xy 159.416 221.138) + (xy 151.13 221.138) (xy 150.99383 221.165575) (xy 150.879117 221.243955) (xy 150.803936 221.36079) (xy 150.78 221.488) + (xy 142.844 221.488) (xy 142.844 216.054206) (xy 144.158 216.054206) (xy 144.158 217.269794) (xy 144.340689 218.188234) + (xy 144.860944 218.96685) (xy 145.63956 219.487105) (xy 146.558 219.669794) (xy 147.47644 219.487105) (xy 148.255056 218.96685) + (xy 148.775311 218.188234) (xy 148.958 217.269794) (xy 148.958 216.054206) (xy 149.238 216.054206) (xy 149.238 217.269794) + (xy 149.420689 218.188234) (xy 149.940944 218.96685) (xy 150.71956 219.487105) (xy 151.638 219.669794) (xy 152.55644 219.487105) + (xy 153.335056 218.96685) (xy 153.855311 218.188234) (xy 154.038 217.269794) (xy 154.038 216.054206) (xy 154.318 216.054206) + (xy 154.318 217.269794) (xy 154.500689 218.188234) (xy 155.020944 218.96685) (xy 155.79956 219.487105) (xy 156.718 219.669794) + (xy 157.63644 219.487105) (xy 158.415056 218.96685) (xy 158.935311 218.188234) (xy 159.118 217.269794) (xy 159.118 216.054206) + (xy 158.935311 215.135766) (xy 158.415056 214.35715) (xy 157.63644 213.836895) (xy 156.718 213.654206) (xy 155.79956 213.836895) + (xy 155.020944 214.35715) (xy 154.500689 215.135766) (xy 154.318 216.054206) (xy 154.038 216.054206) (xy 153.855311 215.135766) + (xy 153.335056 214.35715) (xy 152.55644 213.836895) (xy 151.638 213.654206) (xy 150.71956 213.836895) (xy 149.940944 214.35715) + (xy 149.420689 215.135766) (xy 149.238 216.054206) (xy 148.958 216.054206) (xy 148.775311 215.135766) (xy 148.255056 214.35715) + (xy 147.47644 213.836895) (xy 146.558 213.654206) (xy 145.63956 213.836895) (xy 144.860944 214.35715) (xy 144.340689 215.135766) + (xy 144.158 216.054206) (xy 142.844 216.054206) (xy 142.844 209.646) (xy 205.049634 209.646) (xy 205.018206 209.804) + (xy 205.136 210.396191) (xy 205.136 215.392) (xy 205.163575 215.52817) (xy 205.241955 215.642883) (xy 205.35879 215.718064) + (xy 205.486 215.742) (xy 221.234 215.742) (xy 221.37017 215.714425) (xy 221.484883 215.636045) (xy 221.560064 215.51921) + (xy 221.584 215.392) (xy 221.584 204.312) (xy 229.362 204.312) (xy 229.49817 204.284425) (xy 229.612883 204.206045) + (xy 229.688064 204.08921) (xy 229.712 203.962) (xy 229.712 201.788) (xy 232.873471 201.788) (xy 232.873471 203.088) + (xy 232.953659 203.514164) (xy 233.205522 203.90557) (xy 233.589821 204.16815) (xy 234.046 204.260529) (xy 235.346 204.260529) + (xy 235.772164 204.180341) (xy 236.16357 203.928478) (xy 236.42615 203.544179) (xy 236.518529 203.088) (xy 236.518529 202.794471) + (xy 237.895688 202.794471) (xy 238.169145 203.456286) (xy 238.675051 203.963076) (xy 239.336387 204.237687) (xy 240.052471 204.238312) + (xy 240.714286 203.964855) (xy 241.221076 203.458949) (xy 241.495687 202.797613) (xy 241.496 202.438) (xy 246.538 202.438) + (xy 246.538 214.503) (xy 246.565575 214.63917) (xy 246.640513 214.750487) (xy 247.910513 216.020487) (xy 248.026298 216.097275) + (xy 248.158 216.123) (xy 252.73 216.123) (xy 252.86617 216.095425) (xy 252.977487 216.020487) (xy 255.087355 213.910619) + (xy 255.632789 213.886115) (xy 256.130211 213.680075) (xy 256.206781 213.365913) (xy 255.366 212.525132) (xy 255.366 212.1535) + (xy 255.418632 212.1535) (xy 256.418913 213.153781) (xy 256.733075 213.077211) (xy 256.97036 212.422703) (xy 256.939115 211.727211) + (xy 256.733075 211.229789) (xy 256.418913 211.153219) (xy 255.418632 212.1535) (xy 255.366 212.1535) (xy 255.366 211.781868) + (xy 256.206781 210.941087) (xy 256.130211 210.626925) (xy 255.475703 210.38964) (xy 255.366 210.394568) (xy 255.366 207.103601) + (xy 255.632789 207.091615) (xy 256.130211 206.885575) (xy 256.206781 206.571413) (xy 255.366 205.730632) (xy 255.366 205.359) + (xy 255.418632 205.359) (xy 256.418913 206.359281) (xy 256.733075 206.282711) (xy 256.97036 205.628203) (xy 256.939115 204.932711) + (xy 256.733075 204.435289) (xy 256.418913 204.358719) (xy 255.418632 205.359) (xy 255.366 205.359) (xy 255.366 204.987368) + (xy 256.206781 204.146587) (xy 256.130211 203.832425) (xy 255.475703 203.59514) (xy 255.366 203.600068) (xy 255.366 203.090974) + (xy 255.922974 202.534) (xy 262.54 202.534) (xy 262.54 203.115894) (xy 262.259235 203.526807) (xy 262.090942 204.357863) + (xy 262.025419 204.199285) (xy 261.449248 203.622108) (xy 260.696059 203.309357) (xy 259.880519 203.308645) (xy 259.126785 203.620081) + (xy 258.549608 204.196252) (xy 258.236857 204.949441) (xy 258.236145 205.764981) (xy 258.547581 206.518715) (xy 259.123752 207.095892) + (xy 259.876941 207.408643) (xy 260.692481 207.409355) (xy 261.446215 207.097919) (xy 262.023392 206.521748) (xy 262.089805 206.361807) + (xy 262.234324 207.129858) (xy 262.54 207.604892) (xy 262.54 209.910394) (xy 262.259235 210.321307) (xy 262.090942 211.152363) + (xy 262.025419 210.993785) (xy 261.449248 210.416608) (xy 260.696059 210.103857) (xy 259.880519 210.103145) (xy 259.126785 210.414581) + (xy 258.549608 210.990752) (xy 258.236857 211.743941) (xy 258.236145 212.559481) (xy 258.547581 213.313215) (xy 259.123752 213.890392) + (xy 259.876941 214.203143) (xy 260.692481 214.203855) (xy 261.446215 213.892419) (xy 262.023392 213.316248) (xy 262.089805 213.156307) + (xy 262.234324 213.924358) (xy 262.749001 214.724188) (xy 263.534307 215.260765) (xy 264.044686 215.364119) (xy 264.314921 215.653657) + (xy 264.191086 221.226224) (xy 264.215629 221.362973) (xy 264.293513 221.481487) (xy 265.436513 222.624487) (xy 265.552298 222.701275) + (xy 265.684 222.727) (xy 265.723084 222.727) (xy 266.241698 223.24652) (xy 267.380667 223.719461) (xy 268.613923 223.720537) + (xy 269.753715 223.249585) (xy 270.62652 222.378302) (xy 270.694721 222.214055) (xy 270.942271 222.20097) (xy 271.373466 222.022363) + (xy 271.431587 221.723719) (xy 271.054787 221.346919) (xy 271.099461 221.239333) (xy 271.099698 220.967566) (xy 271.643719 221.511587) + (xy 271.942363 221.453466) (xy 272.154568 220.853361) (xy 272.139484 220.568) (xy 277.622 220.568) (xy 277.75817 220.540425) + (xy 277.862318 220.472455) (xy 280.148318 218.313455) (xy 280.228382 218.19991) (xy 280.258 218.059) (xy 280.258 215.307495) + (xy 280.698968 215.234) (xy 282.956 215.234) (xy 283.09217 215.206425) (xy 283.203487 215.131487) (xy 286.505487 211.829487) + (xy 286.582275 211.713702) (xy 286.608 211.582) (xy 286.608 207.408974) (xy 287.902487 206.114487) (xy 287.979275 205.998702) + (xy 288.005 205.867) (xy 288.005 200.406) (xy 288.282818 200.406) (xy 288.435059 201.171367) (xy 288.772243 201.676) + (xy 288.435059 202.180633) (xy 288.282818 202.946) (xy 288.435059 203.711367) (xy 288.772243 204.216) (xy 288.435059 204.720633) + (xy 288.282818 205.486) (xy 288.435059 206.251367) (xy 288.772243 206.756) (xy 288.435059 207.260633) (xy 288.282818 208.026) + (xy 288.435059 208.791367) (xy 288.772243 209.296) (xy 288.435059 209.800633) (xy 288.282818 210.566) (xy 288.435059 211.331367) + (xy 288.868604 211.980214) (xy 289.517451 212.413759) (xy 290.282818 212.566) (xy 290.361182 212.566) (xy 291.126549 212.413759) + (xy 291.775396 211.980214) (xy 292.208941 211.331367) (xy 292.361182 210.566) (xy 292.208941 209.800633) (xy 291.871757 209.296) + (xy 292.208941 208.791367) (xy 292.361182 208.026) (xy 292.317254 207.805157) (xy 295.094749 207.805157) (xy 295.315033 208.338286) + (xy 295.722569 208.746533) (xy 296.255312 208.967748) (xy 296.832157 208.968251) (xy 297.365286 208.747967) (xy 297.773533 208.340431) + (xy 297.994748 207.807688) (xy 297.99475 207.805157) (xy 300.682749 207.805157) (xy 300.903033 208.338286) (xy 301.310569 208.746533) + (xy 301.843312 208.967748) (xy 302.420157 208.968251) (xy 302.953286 208.747967) (xy 303.361533 208.340431) (xy 303.425593 208.186157) + (xy 307.921749 208.186157) (xy 308.142033 208.719286) (xy 308.549569 209.127533) (xy 309.082312 209.348748) (xy 309.659157 209.349251) + (xy 310.192286 209.128967) (xy 310.600533 208.721431) (xy 310.601311 208.719557) (xy 313.992349 208.719557) (xy 314.212633 209.252686) + (xy 314.620169 209.660933) (xy 315.152912 209.882148) (xy 315.729757 209.882651) (xy 316.262886 209.662367) (xy 316.671133 209.254831) + (xy 316.892348 208.722088) (xy 316.892851 208.145243) (xy 316.672567 207.612114) (xy 316.265031 207.203867) (xy 315.732288 206.982652) + (xy 315.155443 206.982149) (xy 314.622314 207.202433) (xy 314.214067 207.609969) (xy 313.992852 208.142712) (xy 313.992349 208.719557) + (xy 310.601311 208.719557) (xy 310.821748 208.188688) (xy 310.822251 207.611843) (xy 310.601967 207.078714) (xy 310.194431 206.670467) + (xy 309.661688 206.449252) (xy 309.084843 206.448749) (xy 308.551714 206.669033) (xy 308.143467 207.076569) (xy 307.922252 207.609312) + (xy 307.921749 208.186157) (xy 303.425593 208.186157) (xy 303.582748 207.807688) (xy 303.583251 207.230843) (xy 303.362967 206.697714) + (xy 302.955431 206.289467) (xy 302.422688 206.068252) (xy 301.845843 206.067749) (xy 301.312714 206.288033) (xy 300.904467 206.695569) + (xy 300.683252 207.228312) (xy 300.682749 207.805157) (xy 297.99475 207.805157) (xy 297.995251 207.230843) (xy 297.774967 206.697714) + (xy 297.367431 206.289467) (xy 296.834688 206.068252) (xy 296.257843 206.067749) (xy 295.724714 206.288033) (xy 295.316467 206.695569) + (xy 295.095252 207.228312) (xy 295.094749 207.805157) (xy 292.317254 207.805157) (xy 292.208941 207.260633) (xy 291.871757 206.756) + (xy 292.208941 206.251367) (xy 292.361182 205.486) (xy 292.208941 204.720633) (xy 291.871757 204.216) (xy 292.208941 203.711367) + (xy 292.26617 203.423657) (xy 292.656349 203.423657) (xy 292.876633 203.956786) (xy 293.284169 204.365033) (xy 293.816912 204.586248) + (xy 294.393757 204.586751) (xy 294.628975 204.489561) (xy 294.629233 204.490186) (xy 295.036769 204.898433) (xy 295.569512 205.119648) + (xy 296.146357 205.120151) (xy 296.679486 204.899867) (xy 297.087733 204.492331) (xy 297.308948 203.959588) (xy 297.308961 203.944357) + (xy 299.234949 203.944357) (xy 299.455233 204.477486) (xy 299.862769 204.885733) (xy 300.395512 205.106948) (xy 300.972357 205.107451) + (xy 301.505486 204.887167) (xy 301.828449 204.564766) (xy 302.199569 204.936533) (xy 302.732312 205.157748) (xy 303.309157 205.158251) + (xy 303.842286 204.937967) (xy 304.250533 204.530431) (xy 304.471748 203.997688) (xy 304.472251 203.420843) (xy 304.447177 203.360157) + (xy 310.791949 203.360157) (xy 311.012233 203.893286) (xy 311.419769 204.301533) (xy 311.73886 204.434032) (xy 311.534367 204.638169) + (xy 311.313152 205.170912) (xy 311.312649 205.747757) (xy 311.532933 206.280886) (xy 311.940469 206.689133) (xy 312.473212 206.910348) + (xy 313.050057 206.910851) (xy 313.583186 206.690567) (xy 313.991433 206.283031) (xy 314.212648 205.750288) (xy 314.213151 205.173443) + (xy 313.992867 204.640314) (xy 313.585331 204.232067) (xy 313.26624 204.099568) (xy 313.470733 203.895431) (xy 313.691948 203.362688) + (xy 313.692451 202.785843) (xy 313.472167 202.252714) (xy 313.064631 201.844467) (xy 312.531888 201.623252) (xy 311.955043 201.622749) + (xy 311.421914 201.843033) (xy 311.013667 202.250569) (xy 310.792452 202.783312) (xy 310.791949 203.360157) (xy 304.447177 203.360157) + (xy 304.251967 202.887714) (xy 303.844431 202.479467) (xy 303.516496 202.343296) (xy 303.615533 202.244431) (xy 303.836748 201.711688) + (xy 303.837251 201.134843) (xy 303.616967 200.601714) (xy 303.601343 200.586063) (xy 303.817157 200.586251) (xy 304.350286 200.365967) + (xy 304.758533 199.958431) (xy 304.954431 199.486657) (xy 308.378949 199.486657) (xy 308.599233 200.019786) (xy 309.006769 200.428033) + (xy 309.539512 200.649248) (xy 310.116357 200.649751) (xy 310.649486 200.429467) (xy 311.057733 200.021931) (xy 311.278948 199.489188) + (xy 311.279451 198.912343) (xy 311.059167 198.379214) (xy 310.651631 197.970967) (xy 310.118888 197.749752) (xy 309.542043 197.749249) + (xy 309.008914 197.969533) (xy 308.600667 198.377069) (xy 308.379452 198.909812) (xy 308.378949 199.486657) (xy 304.954431 199.486657) + (xy 304.979748 199.425688) (xy 304.980251 198.848843) (xy 304.759967 198.315714) (xy 304.352431 197.907467) (xy 303.819688 197.686252) + (xy 303.242843 197.685749) (xy 302.709714 197.906033) (xy 302.301467 198.313569) (xy 302.080252 198.846312) (xy 302.07989 199.260975) + (xy 301.591843 199.260549) (xy 301.058714 199.480833) (xy 300.650467 199.888369) (xy 300.429252 200.421112) (xy 300.428749 200.997957) + (xy 300.649033 201.531086) (xy 301.014402 201.897093) (xy 301.157033 202.242286) (xy 301.226597 202.311971) (xy 300.974888 202.207452) + (xy 300.398043 202.206949) (xy 299.864914 202.427233) (xy 299.456667 202.834769) (xy 299.235452 203.367512) (xy 299.234949 203.944357) + (xy 297.308961 203.944357) (xy 297.309451 203.382743) (xy 297.089167 202.849614) (xy 296.681631 202.441367) (xy 296.148888 202.220152) + (xy 295.572043 202.219649) (xy 295.336825 202.316839) (xy 295.336567 202.316214) (xy 294.929031 201.907967) (xy 294.396288 201.686752) + (xy 293.819443 201.686249) (xy 293.286314 201.906533) (xy 292.878067 202.314069) (xy 292.656852 202.846812) (xy 292.656349 203.423657) + (xy 292.26617 203.423657) (xy 292.361182 202.946) (xy 292.208941 202.180633) (xy 291.871757 201.676) (xy 292.208941 201.171367) + (xy 292.361182 200.406) (xy 292.208941 199.640633) (xy 291.900343 199.178782) (xy 292.022 198.885076) (xy 292.022 198.2285) + (xy 291.895857 198.102357) (xy 297.355349 198.102357) (xy 297.575633 198.635486) (xy 297.983169 199.043733) (xy 298.515912 199.264948) + (xy 299.092757 199.265451) (xy 299.625886 199.045167) (xy 300.034133 198.637631) (xy 300.255348 198.104888) (xy 300.255851 197.528043) + (xy 300.035567 196.994914) (xy 299.628031 196.586667) (xy 299.095288 196.365452) (xy 298.518443 196.364949) (xy 297.985314 196.585233) + (xy 297.577067 196.992769) (xy 297.355852 197.525512) (xy 297.355349 198.102357) (xy 291.895857 198.102357) (xy 291.8095 198.016) + (xy 290.472 198.016) (xy 290.472 198.036) (xy 290.172 198.036) (xy 290.172 198.016) (xy 288.8345 198.016) + (xy 288.622 198.2285) (xy 288.622 198.885076) (xy 288.743657 199.178782) (xy 288.435059 199.640633) (xy 288.282818 200.406) + (xy 288.005 200.406) (xy 288.005 198.501) (xy 287.977425 198.36483) (xy 287.913979 198.265565) (xy 286.624306 196.846924) + (xy 288.622 196.846924) (xy 288.622 197.5035) (xy 288.8345 197.716) (xy 290.172 197.716) (xy 290.172 196.3785) + (xy 290.472 196.3785) (xy 290.472 197.716) (xy 291.8095 197.716) (xy 292.022 197.5035) (xy 292.022 197.094551) + (xy 292.165433 197.441686) (xy 292.572969 197.849933) (xy 293.105712 198.071148) (xy 293.682557 198.071651) (xy 294.215686 197.851367) + (xy 294.623933 197.443831) (xy 294.845148 196.911088) (xy 294.845651 196.334243) (xy 294.625367 195.801114) (xy 294.60255 195.778257) + (xy 295.145549 195.778257) (xy 295.365833 196.311386) (xy 295.773369 196.719633) (xy 296.306112 196.940848) (xy 296.882957 196.941351) + (xy 297.416086 196.721067) (xy 297.824333 196.313531) (xy 298.045548 195.780788) (xy 298.046051 195.203943) (xy 297.825767 194.670814) + (xy 297.511359 194.355857) (xy 303.959349 194.355857) (xy 304.179633 194.888986) (xy 304.587169 195.297233) (xy 305.119912 195.518448) + (xy 305.297331 195.518603) (xy 305.021114 195.632733) (xy 304.612867 196.040269) (xy 304.391652 196.573012) (xy 304.391149 197.149857) + (xy 304.611433 197.682986) (xy 305.018969 198.091233) (xy 305.45639 198.272867) (xy 305.475033 198.317986) (xy 305.882569 198.726233) + (xy 306.415312 198.947448) (xy 306.992157 198.947951) (xy 307.525286 198.727667) (xy 307.933533 198.320131) (xy 308.11279 197.888433) + (xy 308.155212 197.906048) (xy 308.732057 197.906551) (xy 309.265186 197.686267) (xy 309.673433 197.278731) (xy 309.894648 196.745988) + (xy 309.895151 196.169143) (xy 309.881085 196.135102) (xy 309.938286 196.111467) (xy 310.346533 195.703931) (xy 310.445932 195.464552) + (xy 310.606312 195.531148) (xy 311.183157 195.531651) (xy 311.716286 195.311367) (xy 312.124533 194.903831) (xy 312.345748 194.371088) + (xy 312.346251 193.794243) (xy 312.125967 193.261114) (xy 311.718431 192.852867) (xy 311.208803 192.64125) (xy 311.418648 192.135888) + (xy 311.419151 191.559043) (xy 311.198867 191.025914) (xy 310.791331 190.617667) (xy 310.377721 190.445921) (xy 310.168525 190.23636) + (xy 310.117991 190.114057) (xy 311.299949 190.114057) (xy 311.520233 190.647186) (xy 311.927769 191.055433) (xy 312.460512 191.276648) + (xy 313.037357 191.277151) (xy 313.570486 191.056867) (xy 313.978733 190.649331) (xy 314.199948 190.116588) (xy 314.200451 189.539743) + (xy 313.980167 189.006614) (xy 313.572631 188.598367) (xy 313.039888 188.377152) (xy 312.463043 188.376649) (xy 311.929914 188.596933) + (xy 311.521667 189.004469) (xy 311.300452 189.537212) (xy 311.299949 190.114057) (xy 310.117991 190.114057) (xy 309.954267 189.717814) + (xy 309.546731 189.309567) (xy 309.013988 189.088352) (xy 308.437143 189.087849) (xy 307.904014 189.308133) (xy 307.495767 189.715669) + (xy 307.274552 190.248412) (xy 307.274049 190.825257) (xy 307.494333 191.358386) (xy 307.788075 191.65264) (xy 308.002333 192.171186) + (xy 308.409869 192.579433) (xy 308.823479 192.751179) (xy 309.146469 193.074733) (xy 309.656097 193.28635) (xy 309.568068 193.498348) + (xy 309.407688 193.431752) (xy 308.830843 193.431249) (xy 308.297714 193.651533) (xy 307.889467 194.059069) (xy 307.774021 194.337093) + (xy 307.489988 194.219152) (xy 306.913143 194.218649) (xy 306.859451 194.240834) (xy 306.859851 193.781543) (xy 306.639567 193.248414) + (xy 306.232031 192.840167) (xy 305.699288 192.618952) (xy 305.122443 192.618449) (xy 304.589314 192.838733) (xy 304.181067 193.246269) + (xy 303.959852 193.779012) (xy 303.959349 194.355857) (xy 297.511359 194.355857) (xy 297.418231 194.262567) (xy 296.885488 194.041352) + (xy 296.308643 194.040849) (xy 295.775514 194.261133) (xy 295.367267 194.668669) (xy 295.146052 195.201412) (xy 295.145549 195.778257) + (xy 294.60255 195.778257) (xy 294.217831 195.392867) (xy 293.685088 195.171652) (xy 293.108243 195.171149) (xy 292.575114 195.391433) + (xy 292.166867 195.798969) (xy 291.945652 196.331712) (xy 291.945364 196.661909) (xy 291.892595 196.534513) (xy 291.653486 196.295405) + (xy 291.341075 196.166) (xy 290.6845 196.166) (xy 290.472 196.3785) (xy 290.172 196.3785) (xy 289.9595 196.166) + (xy 289.302925 196.166) (xy 288.990514 196.295405) (xy 288.751405 196.534513) (xy 288.622 196.846924) (xy 286.624306 196.846924) + (xy 285.373979 195.471565) (xy 285.261978 195.389356) (xy 285.115 195.357) (xy 269.24 195.357) (xy 269.10383 195.384575) + (xy 268.992513 195.459513) (xy 266.301026 198.151) (xy 259.982373 198.151) (xy 260.154286 198.079967) (xy 260.562533 197.672431) + (xy 260.783748 197.139688) (xy 260.784193 196.629157) (xy 264.233749 196.629157) (xy 264.454033 197.162286) (xy 264.861569 197.570533) + (xy 265.394312 197.791748) (xy 265.971157 197.792251) (xy 266.504286 197.571967) (xy 266.912533 197.164431) (xy 267.133748 196.631688) + (xy 267.134251 196.054843) (xy 266.913967 195.521714) (xy 266.506431 195.113467) (xy 265.973688 194.892252) (xy 265.396843 194.891749) + (xy 264.863714 195.112033) (xy 264.455467 195.519569) (xy 264.234252 196.052312) (xy 264.233749 196.629157) (xy 260.784193 196.629157) + (xy 260.784251 196.562843) (xy 260.563967 196.029714) (xy 260.156431 195.621467) (xy 259.623688 195.400252) (xy 259.046843 195.399749) + (xy 258.513714 195.620033) (xy 258.105467 196.027569) (xy 257.884252 196.560312) (xy 257.883749 197.137157) (xy 258.104033 197.670286) + (xy 258.511569 198.078533) (xy 258.686088 198.151) (xy 254 198.151) (xy 253.86383 198.178575) (xy 253.752513 198.253513) + (xy 252.077026 199.929) (xy 249.174 199.929) (xy 249.03783 199.956575) (xy 248.933682 200.024545) (xy 246.647682 202.183545) + (xy 246.567618 202.29709) (xy 246.538 202.438) (xy 241.496 202.438) (xy 241.496312 202.081529) (xy 241.222855 201.419714) + (xy 240.716949 200.912924) (xy 240.055613 200.638313) (xy 239.339529 200.637688) (xy 238.677714 200.911145) (xy 238.170924 201.417051) + (xy 237.896313 202.078387) (xy 237.895688 202.794471) (xy 236.518529 202.794471) (xy 236.518529 201.788) (xy 236.438341 201.361836) + (xy 236.186478 200.97043) (xy 235.802179 200.70785) (xy 235.346 200.615471) (xy 234.046 200.615471) (xy 233.619836 200.695659) + (xy 233.22843 200.947522) (xy 232.96585 201.331821) (xy 232.873471 201.788) (xy 229.712 201.788) (xy 229.712 196.883157) + (xy 253.565749 196.883157) (xy 253.786033 197.416286) (xy 254.193569 197.824533) (xy 254.726312 198.045748) (xy 255.303157 198.046251) + (xy 255.836286 197.825967) (xy 256.244533 197.418431) (xy 256.465748 196.885688) (xy 256.466251 196.308843) (xy 256.245967 195.775714) + (xy 255.838431 195.367467) (xy 255.305688 195.146252) (xy 254.728843 195.145749) (xy 254.195714 195.366033) (xy 253.787467 195.773569) + (xy 253.566252 196.306312) (xy 253.565749 196.883157) (xy 229.712 196.883157) (xy 229.712 194.696177) (xy 230.840662 194.696177) + (xy 231.136906 195.413143) (xy 231.684971 195.962166) (xy 232.401419 196.259661) (xy 233.177177 196.260338) (xy 233.894143 195.964094) + (xy 234.443166 195.416029) (xy 234.740661 194.699581) (xy 234.740774 194.569177) (xy 239.603662 194.569177) (xy 239.899906 195.286143) + (xy 240.447971 195.835166) (xy 241.164419 196.132661) (xy 241.940177 196.133338) (xy 242.657143 195.837094) (xy 243.206166 195.289029) + (xy 243.503661 194.572581) (xy 243.504338 193.796823) (xy 243.208094 193.079857) (xy 242.660029 192.530834) (xy 241.948528 192.235393) + (xy 244.410593 192.235393) (xy 244.767605 193.099429) (xy 245.428094 193.761071) (xy 246.291505 194.119591) (xy 247.226393 194.120407) + (xy 248.090429 193.763395) (xy 248.752071 193.102906) (xy 248.869894 192.819157) (xy 269.821749 192.819157) (xy 270.042033 193.352286) + (xy 270.449569 193.760533) (xy 270.982312 193.981748) (xy 271.559157 193.982251) (xy 272.092286 193.761967) (xy 272.500533 193.354431) + (xy 272.721748 192.821688) (xy 272.721971 192.565157) (xy 275.409749 192.565157) (xy 275.630033 193.098286) (xy 276.037569 193.506533) + (xy 276.570312 193.727748) (xy 277.147157 193.728251) (xy 277.680286 193.507967) (xy 278.088533 193.100431) (xy 278.309748 192.567688) + (xy 278.310251 191.990843) (xy 278.127751 191.549157) (xy 279.981749 191.549157) (xy 280.202033 192.082286) (xy 280.609569 192.490533) + (xy 281.063448 192.679001) (xy 280.711467 193.030369) (xy 280.490252 193.563112) (xy 280.489749 194.139957) (xy 280.710033 194.673086) + (xy 281.117569 195.081333) (xy 281.650312 195.302548) (xy 282.227157 195.303051) (xy 282.760286 195.082767) (xy 283.168533 194.675231) + (xy 283.389748 194.142488) (xy 283.390234 193.584871) (xy 283.504033 193.860286) (xy 283.911569 194.268533) (xy 284.444312 194.489748) + (xy 285.021157 194.490251) (xy 285.554286 194.269967) (xy 285.962533 193.862431) (xy 286.183748 193.329688) (xy 286.184251 192.752843) + (xy 286.155872 192.684159) (xy 286.222312 192.711748) (xy 286.799157 192.712251) (xy 287.332286 192.491967) (xy 287.740533 192.084431) + (xy 287.961748 191.551688) (xy 287.962251 190.974843) (xy 287.741967 190.441714) (xy 287.334431 190.033467) (xy 286.801688 189.812252) + (xy 286.224843 189.811749) (xy 285.691714 190.032033) (xy 285.283467 190.439569) (xy 285.062252 190.972312) (xy 285.061749 191.549157) + (xy 285.090128 191.617841) (xy 285.023688 191.590252) (xy 284.446843 191.589749) (xy 283.913714 191.810033) (xy 283.505467 192.217569) + (xy 283.284252 192.750312) (xy 283.283766 193.307929) (xy 283.169967 193.032514) (xy 282.762431 192.624267) (xy 282.308552 192.435799) + (xy 282.660533 192.084431) (xy 282.881748 191.551688) (xy 282.882251 190.974843) (xy 282.661967 190.441714) (xy 282.254431 190.033467) + (xy 281.721688 189.812252) (xy 281.144843 189.811749) (xy 280.611714 190.032033) (xy 280.203467 190.439569) (xy 279.982252 190.972312) + (xy 279.981749 191.549157) (xy 278.127751 191.549157) (xy 278.089967 191.457714) (xy 277.682431 191.049467) (xy 277.149688 190.828252) + (xy 276.572843 190.827749) (xy 276.039714 191.048033) (xy 275.631467 191.455569) (xy 275.410252 191.988312) (xy 275.409749 192.565157) + (xy 272.721971 192.565157) (xy 272.722251 192.244843) (xy 272.501967 191.711714) (xy 272.094431 191.303467) (xy 271.561688 191.082252) + (xy 270.984843 191.081749) (xy 270.451714 191.302033) (xy 270.043467 191.709569) (xy 269.822252 192.242312) (xy 269.821749 192.819157) + (xy 248.869894 192.819157) (xy 249.110591 192.239495) (xy 249.111407 191.304607) (xy 248.754395 190.440571) (xy 248.093906 189.778929) + (xy 248.075189 189.771157) (xy 261.439749 189.771157) (xy 261.660033 190.304286) (xy 262.067569 190.712533) (xy 262.600312 190.933748) + (xy 263.177157 190.934251) (xy 263.710286 190.713967) (xy 264.118533 190.306431) (xy 264.339748 189.773688) (xy 264.33975 189.771157) + (xy 265.757749 189.771157) (xy 265.978033 190.304286) (xy 266.385569 190.712533) (xy 266.918312 190.933748) (xy 267.495157 190.934251) + (xy 268.028286 190.713967) (xy 268.436533 190.306431) (xy 268.657748 189.773688) (xy 268.65786 189.644157) (xy 271.091749 189.644157) + (xy 271.312033 190.177286) (xy 271.719569 190.585533) (xy 272.252312 190.806748) (xy 272.829157 190.807251) (xy 273.362286 190.586967) + (xy 273.526134 190.423404) (xy 273.637269 190.534733) (xy 274.170012 190.755948) (xy 274.746857 190.756451) (xy 275.279986 190.536167) + (xy 275.688233 190.128631) (xy 275.909448 189.595888) (xy 275.909836 189.150878) (xy 276.227412 189.282748) (xy 276.804257 189.283251) + (xy 277.337386 189.062967) (xy 277.745633 188.655431) (xy 277.851881 188.399557) (xy 297.964949 188.399557) (xy 298.185233 188.932686) + (xy 298.592769 189.340933) (xy 299.125512 189.562148) (xy 299.702357 189.562651) (xy 299.976871 189.449224) (xy 300.039536 189.475245) + (xy 299.845052 189.943612) (xy 299.844549 190.520457) (xy 300.064833 191.053586) (xy 300.472369 191.461833) (xy 301.005112 191.683048) + (xy 301.581957 191.683551) (xy 302.115086 191.463267) (xy 302.523333 191.055731) (xy 302.744548 190.522988) (xy 302.745051 189.946143) + (xy 302.524767 189.413014) (xy 302.117231 189.004767) (xy 301.610264 188.794255) (xy 301.804748 188.325888) (xy 301.805251 187.749043) + (xy 301.584967 187.215914) (xy 301.177431 186.807667) (xy 300.644688 186.586452) (xy 300.067843 186.585949) (xy 299.793329 186.699376) + (xy 299.704888 186.662652) (xy 299.128043 186.662149) (xy 298.594914 186.882433) (xy 298.186667 187.289969) (xy 297.965452 187.822712) + (xy 297.964949 188.399557) (xy 277.851881 188.399557) (xy 277.966848 188.122688) (xy 277.967351 187.545843) (xy 277.837326 187.231157) + (xy 283.410749 187.231157) (xy 283.631033 187.764286) (xy 284.038569 188.172533) (xy 284.571312 188.393748) (xy 285.148157 188.394251) + (xy 285.681286 188.173967) (xy 286.089533 187.766431) (xy 286.310748 187.233688) (xy 286.310949 187.002557) (xy 288.897149 187.002557) + (xy 289.117433 187.535686) (xy 289.524969 187.943933) (xy 290.057712 188.165148) (xy 290.634557 188.165651) (xy 291.167686 187.945367) + (xy 291.575933 187.537831) (xy 291.797148 187.005088) (xy 291.797651 186.428243) (xy 291.577367 185.895114) (xy 291.169831 185.486867) + (xy 290.637088 185.265652) (xy 290.060243 185.265149) (xy 289.527114 185.485433) (xy 289.118867 185.892969) (xy 288.897652 186.425712) + (xy 288.897149 187.002557) (xy 286.310949 187.002557) (xy 286.311251 186.656843) (xy 286.090967 186.123714) (xy 285.683431 185.715467) + (xy 285.150688 185.494252) (xy 284.573843 185.493749) (xy 284.040714 185.714033) (xy 283.632467 186.121569) (xy 283.411252 186.654312) + (xy 283.410749 187.231157) (xy 277.837326 187.231157) (xy 277.747067 187.012714) (xy 277.339531 186.604467) (xy 276.806788 186.383252) + (xy 276.229943 186.382749) (xy 275.752359 186.580082) (xy 275.358331 186.185367) (xy 274.825588 185.964152) (xy 274.248743 185.963649) + (xy 273.715614 186.183933) (xy 273.307367 186.591469) (xy 273.086152 187.124212) (xy 273.085649 187.701057) (xy 273.241089 188.077251) + (xy 272.831688 187.907252) (xy 272.254843 187.906749) (xy 271.721714 188.127033) (xy 271.313467 188.534569) (xy 271.092252 189.067312) + (xy 271.091749 189.644157) (xy 268.65786 189.644157) (xy 268.658251 189.196843) (xy 268.437967 188.663714) (xy 268.030431 188.255467) + (xy 267.497688 188.034252) (xy 266.920843 188.033749) (xy 266.387714 188.254033) (xy 265.979467 188.661569) (xy 265.758252 189.194312) + (xy 265.757749 189.771157) (xy 264.33975 189.771157) (xy 264.340251 189.196843) (xy 264.119967 188.663714) (xy 263.712431 188.255467) + (xy 263.179688 188.034252) (xy 262.602843 188.033749) (xy 262.069714 188.254033) (xy 261.661467 188.661569) (xy 261.440252 189.194312) + (xy 261.439749 189.771157) (xy 248.075189 189.771157) (xy 247.230495 189.420409) (xy 246.295607 189.419593) (xy 245.431571 189.776605) + (xy 244.769929 190.437094) (xy 244.411409 191.300505) (xy 244.410593 192.235393) (xy 241.948528 192.235393) (xy 241.943581 192.233339) + (xy 241.167823 192.232662) (xy 240.450857 192.528906) (xy 239.901834 193.076971) (xy 239.604339 193.793419) (xy 239.603662 194.569177) + (xy 234.740774 194.569177) (xy 234.741338 193.923823) (xy 234.445094 193.206857) (xy 233.897029 192.657834) (xy 233.180581 192.360339) + (xy 232.404823 192.359662) (xy 231.687857 192.655906) (xy 231.138834 193.203971) (xy 230.841339 193.920419) (xy 230.840662 194.696177) + (xy 229.712 194.696177) (xy 229.712 186.182) (xy 229.684425 186.04583) (xy 229.606045 185.931117) (xy 229.48921 185.855936) + (xy 229.362 185.832) (xy 219.806 185.832) (xy 219.806 184.111797) (xy 230.841 184.111797) (xy 230.841 184.188203) + (xy 230.989435 184.934436) (xy 231.412142 185.567061) (xy 232.044767 185.989768) (xy 232.791 186.138203) (xy 233.537233 185.989768) + (xy 234.169858 185.567061) (xy 234.592565 184.934436) (xy 234.741 184.188203) (xy 234.741 184.111797) (xy 234.715739 183.984797) + (xy 239.604 183.984797) (xy 239.604 184.061203) (xy 239.752435 184.807436) (xy 240.175142 185.440061) (xy 240.807767 185.862768) + (xy 241.554 186.011203) (xy 242.300233 185.862768) (xy 242.932858 185.440061) (xy 243.355565 184.807436) (xy 243.429218 184.437157) + (xy 262.963749 184.437157) (xy 263.184033 184.970286) (xy 263.591569 185.378533) (xy 264.124312 185.599748) (xy 264.701157 185.600251) + (xy 265.234286 185.379967) (xy 265.491744 185.122957) (xy 278.140249 185.122957) (xy 278.360533 185.656086) (xy 278.768069 186.064333) + (xy 279.300812 186.285548) (xy 279.877657 186.286051) (xy 280.410786 186.065767) (xy 280.819033 185.658231) (xy 281.040248 185.125488) + (xy 281.040305 185.059457) (xy 297.228349 185.059457) (xy 297.448633 185.592586) (xy 297.856169 186.000833) (xy 298.388912 186.222048) + (xy 298.965757 186.222551) (xy 299.498886 186.002267) (xy 299.907133 185.594731) (xy 300.08721 185.161057) (xy 301.254249 185.161057) + (xy 301.474533 185.694186) (xy 301.882069 186.102433) (xy 302.414812 186.323648) (xy 302.991657 186.324151) (xy 303.202821 186.2369) + (xy 302.809467 186.629569) (xy 302.588252 187.162312) (xy 302.587749 187.739157) (xy 302.808033 188.272286) (xy 303.215569 188.680533) + (xy 303.377046 188.747584) (xy 303.443033 188.907286) (xy 303.850569 189.315533) (xy 304.012046 189.382584) (xy 304.078033 189.542286) + (xy 304.485569 189.950533) (xy 305.018312 190.171748) (xy 305.595157 190.172251) (xy 306.128286 189.951967) (xy 306.493339 189.58755) + (xy 307.068357 189.588051) (xy 307.601486 189.367767) (xy 308.009733 188.960231) (xy 308.230948 188.427488) (xy 308.231451 187.850643) + (xy 308.148654 187.650257) (xy 308.912349 187.650257) (xy 309.132633 188.183386) (xy 309.540169 188.591633) (xy 310.072912 188.812848) + (xy 310.649757 188.813351) (xy 311.182886 188.593067) (xy 311.591133 188.185531) (xy 311.812348 187.652788) (xy 311.812851 187.075943) + (xy 311.592567 186.542814) (xy 311.185031 186.134567) (xy 310.652288 185.913352) (xy 310.075443 185.912849) (xy 309.542314 186.133133) + (xy 309.134067 186.540669) (xy 308.912852 187.073412) (xy 308.912349 187.650257) (xy 308.148654 187.650257) (xy 308.011167 187.317514) + (xy 307.603631 186.909267) (xy 307.070888 186.688052) (xy 306.494043 186.687549) (xy 305.960914 186.907833) (xy 305.75245 187.115934) + (xy 305.495431 186.858467) (xy 305.333954 186.791416) (xy 305.267967 186.631714) (xy 304.860431 186.223467) (xy 304.327688 186.002252) + (xy 303.750843 186.001749) (xy 303.539679 186.089) (xy 303.933033 185.696331) (xy 304.154248 185.163588) (xy 304.154751 184.586743) + (xy 303.934467 184.053614) (xy 303.526931 183.645367) (xy 302.994188 183.424152) (xy 302.417343 183.423649) (xy 301.884214 183.643933) + (xy 301.475967 184.051469) (xy 301.254752 184.584212) (xy 301.254249 185.161057) (xy 300.08721 185.161057) (xy 300.128348 185.061988) + (xy 300.128851 184.485143) (xy 299.908567 183.952014) (xy 299.501031 183.543767) (xy 298.968288 183.322552) (xy 298.391443 183.322049) + (xy 297.858314 183.542333) (xy 297.450067 183.949869) (xy 297.228852 184.482612) (xy 297.228349 185.059457) (xy 281.040305 185.059457) + (xy 281.040751 184.548643) (xy 280.820467 184.015514) (xy 280.412931 183.607267) (xy 279.880188 183.386052) (xy 279.303343 183.385549) + (xy 278.770214 183.605833) (xy 278.361967 184.013369) (xy 278.140752 184.546112) (xy 278.140249 185.122957) (xy 265.491744 185.122957) + (xy 265.642533 184.972431) (xy 265.863748 184.439688) (xy 265.864251 183.862843) (xy 265.643967 183.329714) (xy 265.236431 182.921467) + (xy 264.91057 182.786157) (xy 272.615749 182.786157) (xy 272.836033 183.319286) (xy 273.243569 183.727533) (xy 273.776312 183.948748) + (xy 274.353157 183.949251) (xy 274.886286 183.728967) (xy 275.294533 183.321431) (xy 275.515748 182.788688) (xy 275.515761 182.773457) + (xy 304.607049 182.773457) (xy 304.827333 183.306586) (xy 304.950723 183.430191) (xy 304.925052 183.492012) (xy 304.924549 184.068857) + (xy 305.144833 184.601986) (xy 305.552369 185.010233) (xy 306.085112 185.231448) (xy 306.661957 185.231951) (xy 307.195086 185.011667) + (xy 307.603333 184.604131) (xy 307.685326 184.406671) (xy 307.880886 184.325867) (xy 308.289133 183.918331) (xy 308.510348 183.385588) + (xy 308.510851 182.808743) (xy 308.437095 182.63024) (xy 308.561148 182.331488) (xy 308.561651 181.754643) (xy 308.472648 181.539238) + (xy 308.490486 181.531867) (xy 308.683689 181.339) (xy 312.500471 181.339) (xy 312.500471 182.389) (xy 312.560723 182.709215) + (xy 312.523292 182.79936) (xy 312.52271 183.465716) (xy 312.777176 184.081572) (xy 312.835906 184.140405) (xy 312.807606 184.224459) + (xy 312.837598 184.665287) (xy 312.778832 184.72395) (xy 312.523292 185.33936) (xy 312.52271 186.005716) (xy 312.777176 186.621572) + (xy 312.835906 186.680405) (xy 312.807606 186.764459) (xy 312.844736 187.310206) (xy 312.982151 187.641955) (xy 313.257589 187.672279) + (xy 313.663959 187.265909) (xy 313.814484 187.328413) (xy 313.876245 187.477887) (xy 313.469721 187.884411) (xy 313.500045 188.159849) + (xy 314.018459 188.334394) (xy 314.459287 188.304402) (xy 314.51795 188.363168) (xy 315.13336 188.618708) (xy 315.799716 188.61929) + (xy 316.415572 188.364824) (xy 316.887168 187.89405) (xy 317.142708 187.27864) (xy 317.14329 186.612284) (xy 317.017982 186.309015) + (xy 317.142708 186.00864) (xy 317.14329 185.342284) (xy 317.017982 185.039015) (xy 317.142708 184.73864) (xy 317.14329 184.072284) + (xy 317.017982 183.769015) (xy 317.142708 183.46864) (xy 317.14329 182.802284) (xy 317.017982 182.499015) (xy 317.142708 182.19864) + (xy 317.14329 181.532284) (xy 316.888824 180.916428) (xy 316.41805 180.444832) (xy 315.871855 180.218032) (xy 316.288286 180.045967) + (xy 316.696533 179.638431) (xy 316.917748 179.105688) (xy 316.918251 178.528843) (xy 316.697967 177.995714) (xy 316.290431 177.587467) + (xy 315.757688 177.366252) (xy 315.180843 177.365749) (xy 314.960116 177.456951) (xy 314.741688 177.366252) (xy 314.164843 177.365749) + (xy 313.631714 177.586033) (xy 313.223467 177.993569) (xy 313.002252 178.526312) (xy 313.001749 179.103157) (xy 313.222033 179.636286) + (xy 313.629569 180.044533) (xy 313.923227 180.166471) (xy 313.673 180.166471) (xy 313.246836 180.246659) (xy 312.85543 180.498522) + (xy 312.59285 180.882821) (xy 312.500471 181.339) (xy 308.683689 181.339) (xy 308.898733 181.124331) (xy 309.119948 180.591588) + (xy 309.120451 180.014743) (xy 308.900167 179.481614) (xy 308.492631 179.073367) (xy 307.959888 178.852152) (xy 307.383043 178.851649) + (xy 306.849914 179.071933) (xy 306.441667 179.479469) (xy 306.220452 180.012212) (xy 306.219949 180.589057) (xy 306.308952 180.804462) + (xy 306.291114 180.811833) (xy 306.066248 181.036307) (xy 305.770143 181.036049) (xy 305.237014 181.256333) (xy 304.828767 181.663869) + (xy 304.607552 182.196612) (xy 304.607049 182.773457) (xy 275.515761 182.773457) (xy 275.516251 182.211843) (xy 275.295967 181.678714) + (xy 274.888431 181.270467) (xy 274.355688 181.049252) (xy 273.778843 181.048749) (xy 273.245714 181.269033) (xy 272.837467 181.676569) + (xy 272.616252 182.209312) (xy 272.615749 182.786157) (xy 264.91057 182.786157) (xy 264.703688 182.700252) (xy 264.126843 182.699749) + (xy 263.593714 182.920033) (xy 263.185467 183.327569) (xy 262.964252 183.860312) (xy 262.963749 184.437157) (xy 243.429218 184.437157) + (xy 243.504 184.061203) (xy 243.504 183.984797) (xy 243.355565 183.238564) (xy 242.932858 182.605939) (xy 242.300233 182.183232) + (xy 241.554 182.034797) (xy 240.807767 182.183232) (xy 240.175142 182.605939) (xy 239.752435 183.238564) (xy 239.604 183.984797) + (xy 234.715739 183.984797) (xy 234.592565 183.365564) (xy 234.169858 182.732939) (xy 233.537233 182.310232) (xy 232.791 182.161797) + (xy 232.044767 182.310232) (xy 231.412142 182.732939) (xy 230.989435 183.365564) (xy 230.841 184.111797) (xy 219.806 184.111797) + (xy 219.806 181.026247) (xy 255.154753 181.026247) (xy 255.333636 181.925553) (xy 255.843052 182.687948) (xy 256.605447 183.197364) + (xy 257.504753 183.376247) (xy 258.404059 183.197364) (xy 259.166454 182.687948) (xy 259.231562 182.62284) (xy 259.740978 181.860445) + (xy 259.919861 180.961139) (xy 259.740978 180.061833) (xy 259.689092 179.984181) (xy 259.915948 179.890446) (xy 259.915749 180.119157) + (xy 260.136033 180.652286) (xy 260.543569 181.060533) (xy 261.076312 181.281748) (xy 261.653157 181.282251) (xy 262.186286 181.061967) + (xy 262.594533 180.654431) (xy 262.815748 180.121688) (xy 262.816251 179.544843) (xy 262.672444 179.196802) (xy 262.815748 178.851688) + (xy 262.815971 178.595157) (xy 263.598749 178.595157) (xy 263.819033 179.128286) (xy 264.226569 179.536533) (xy 264.759312 179.757748) + (xy 265.336157 179.758251) (xy 265.692152 179.611157) (xy 285.442749 179.611157) (xy 285.663033 180.144286) (xy 286.070569 180.552533) + (xy 286.603312 180.773748) (xy 287.180157 180.774251) (xy 287.713286 180.553967) (xy 288.099816 180.16811) (xy 288.483569 180.552533) + (xy 289.016312 180.773748) (xy 289.593157 180.774251) (xy 290.126286 180.553967) (xy 290.534533 180.146431) (xy 290.755748 179.613688) + (xy 290.755838 179.509559) (xy 293.748549 179.509559) (xy 293.968833 180.042688) (xy 294.376369 180.450935) (xy 294.909112 180.67215) + (xy 295.485957 180.672653) (xy 295.7684 180.55595) (xy 295.756872 180.583712) (xy 295.756369 181.160557) (xy 295.976653 181.693686) + (xy 296.384189 182.101933) (xy 296.916932 182.323148) (xy 297.493777 182.323651) (xy 298.026906 182.103367) (xy 298.435153 181.695831) + (xy 298.656368 181.163088) (xy 298.656871 180.586243) (xy 298.436587 180.053114) (xy 298.029051 179.644867) (xy 297.64202 179.484157) + (xy 301.990849 179.484157) (xy 302.211133 180.017286) (xy 302.618669 180.425533) (xy 303.151412 180.646748) (xy 303.728257 180.647251) + (xy 304.261386 180.426967) (xy 304.669633 180.019431) (xy 304.890848 179.486688) (xy 304.891351 178.909843) (xy 304.671067 178.376714) + (xy 304.263531 177.968467) (xy 303.730788 177.747252) (xy 303.153943 177.746749) (xy 302.620814 177.967033) (xy 302.212567 178.374569) + (xy 301.991352 178.907312) (xy 301.990849 179.484157) (xy 297.64202 179.484157) (xy 297.496308 179.423652) (xy 296.919463 179.423149) + (xy 296.63702 179.539852) (xy 296.648548 179.51209) (xy 296.649051 178.935245) (xy 296.428767 178.402116) (xy 296.021231 177.993869) + (xy 295.488488 177.772654) (xy 294.911643 177.772151) (xy 294.378514 177.992435) (xy 293.970267 178.399971) (xy 293.749052 178.932714) + (xy 293.748549 179.509559) (xy 290.755838 179.509559) (xy 290.756251 179.036843) (xy 290.535967 178.503714) (xy 290.128431 178.095467) + (xy 289.595688 177.874252) (xy 289.018843 177.873749) (xy 288.485714 178.094033) (xy 288.099184 178.47989) (xy 287.715431 178.095467) + (xy 287.182688 177.874252) (xy 286.605843 177.873749) (xy 286.072714 178.094033) (xy 285.664467 178.501569) (xy 285.443252 179.034312) + (xy 285.442749 179.611157) (xy 265.692152 179.611157) (xy 265.869286 179.537967) (xy 266.277533 179.130431) (xy 266.498748 178.597688) + (xy 266.499251 178.020843) (xy 266.384969 177.744257) (xy 296.910849 177.744257) (xy 297.131133 178.277386) (xy 297.538669 178.685633) + (xy 298.071412 178.906848) (xy 298.648257 178.907351) (xy 299.181386 178.687067) (xy 299.589633 178.279531) (xy 299.755346 177.880451) + (xy 299.861633 178.137686) (xy 300.269169 178.545933) (xy 300.801912 178.767148) (xy 301.378757 178.767651) (xy 301.911886 178.547367) + (xy 302.320133 178.139831) (xy 302.541348 177.607088) (xy 302.541851 177.030243) (xy 302.321567 176.497114) (xy 301.914031 176.088867) + (xy 301.733247 176.013799) (xy 301.810286 175.981967) (xy 302.218533 175.574431) (xy 302.439748 175.041688) (xy 302.43975 175.039157) + (xy 306.143749 175.039157) (xy 306.364033 175.572286) (xy 306.771569 175.980533) (xy 307.304312 176.201748) (xy 307.881157 176.202251) + (xy 308.414286 175.981967) (xy 308.742987 175.653838) (xy 308.620752 175.948212) (xy 308.620249 176.525057) (xy 308.840533 177.058186) + (xy 309.248069 177.466433) (xy 309.780812 177.687648) (xy 310.357657 177.688151) (xy 310.890786 177.467867) (xy 311.299033 177.060331) + (xy 311.520248 176.527588) (xy 311.520751 175.950743) (xy 311.300467 175.417614) (xy 310.892931 175.009367) (xy 310.360188 174.788152) + (xy 309.783343 174.787649) (xy 309.250214 175.007933) (xy 308.921513 175.336062) (xy 309.043748 175.041688) (xy 309.044251 174.464843) + (xy 308.823967 173.931714) (xy 308.416431 173.523467) (xy 307.883688 173.302252) (xy 307.306843 173.301749) (xy 306.773714 173.522033) + (xy 306.365467 173.929569) (xy 306.144252 174.462312) (xy 306.143749 175.039157) (xy 302.43975 175.039157) (xy 302.440251 174.464843) + (xy 302.219967 173.931714) (xy 301.812431 173.523467) (xy 301.279688 173.302252) (xy 300.829281 173.301859) (xy 300.695967 172.979214) + (xy 300.288431 172.570967) (xy 299.755688 172.349752) (xy 299.178843 172.349249) (xy 298.645714 172.569533) (xy 298.237467 172.977069) + (xy 298.016252 173.509812) (xy 298.015749 174.086657) (xy 298.236033 174.619786) (xy 298.643569 175.028033) (xy 299.176312 175.249248) + (xy 299.626719 175.249641) (xy 299.760033 175.572286) (xy 300.167569 175.980533) (xy 300.348353 176.055601) (xy 300.271314 176.087433) + (xy 299.863067 176.494969) (xy 299.697354 176.894049) (xy 299.591067 176.636814) (xy 299.183531 176.228567) (xy 298.650788 176.007352) + (xy 298.073943 176.006849) (xy 297.540814 176.227133) (xy 297.132567 176.634669) (xy 296.911352 177.167412) (xy 296.910849 177.744257) + (xy 266.384969 177.744257) (xy 266.278967 177.487714) (xy 265.871431 177.079467) (xy 265.338688 176.858252) (xy 264.761843 176.857749) + (xy 264.228714 177.078033) (xy 263.820467 177.485569) (xy 263.599252 178.018312) (xy 263.598749 178.595157) (xy 262.815971 178.595157) + (xy 262.816251 178.274843) (xy 262.595967 177.741714) (xy 262.188431 177.333467) (xy 261.655688 177.112252) (xy 261.078843 177.111749) + (xy 260.545714 177.332033) (xy 260.350016 177.52739) (xy 260.156431 177.333467) (xy 259.623688 177.112252) (xy 259.046843 177.111749) + (xy 258.513714 177.332033) (xy 258.105467 177.739569) (xy 257.884252 178.272312) (xy 257.883902 178.673606) (xy 257.569861 178.611139) + (xy 256.670555 178.790022) (xy 255.90816 179.299438) (xy 255.843052 179.364546) (xy 255.333636 180.126941) (xy 255.154753 181.026247) + (xy 219.806 181.026247) (xy 219.806 178.595157) (xy 234.261749 178.595157) (xy 234.482033 179.128286) (xy 234.889569 179.536533) + (xy 235.422312 179.757748) (xy 235.999157 179.758251) (xy 236.532286 179.537967) (xy 236.940533 179.130431) (xy 236.981828 179.030982) + (xy 237.022033 179.128286) (xy 237.429569 179.536533) (xy 237.962312 179.757748) (xy 238.539157 179.758251) (xy 239.072286 179.537967) + (xy 239.480533 179.130431) (xy 239.701748 178.597688) (xy 239.702251 178.020843) (xy 239.481967 177.487714) (xy 239.074431 177.079467) + (xy 238.541688 176.858252) (xy 238.310348 176.85805) (xy 238.431748 176.565688) (xy 238.432251 175.988843) (xy 238.211967 175.455714) + (xy 237.922915 175.166157) (xy 282.369349 175.166157) (xy 282.589633 175.699286) (xy 282.997169 176.107533) (xy 283.529912 176.328748) + (xy 284.106757 176.329251) (xy 284.639886 176.108967) (xy 285.048133 175.701431) (xy 285.269348 175.168688) (xy 285.269851 174.591843) + (xy 285.174435 174.360918) (xy 285.554286 174.203967) (xy 285.962533 173.796431) (xy 286.183748 173.263688) (xy 286.184251 172.686843) + (xy 286.093049 172.466116) (xy 286.183748 172.247688) (xy 286.18375 172.245157) (xy 292.427749 172.245157) (xy 292.648033 172.778286) + (xy 293.055569 173.186533) (xy 293.588312 173.407748) (xy 294.165157 173.408251) (xy 294.698286 173.187967) (xy 295.106533 172.780431) + (xy 295.327748 172.247688) (xy 295.328251 171.670843) (xy 295.250701 171.483157) (xy 301.063749 171.483157) (xy 301.284033 172.016286) + (xy 301.691569 172.424533) (xy 302.224312 172.645748) (xy 302.801157 172.646251) (xy 303.334286 172.425967) (xy 303.546731 172.213892) + (xy 303.570033 172.270286) (xy 303.977569 172.678533) (xy 304.510312 172.899748) (xy 305.087157 172.900251) (xy 305.17791 172.862753) + (xy 305.247569 172.932533) (xy 305.780312 173.153748) (xy 306.357157 173.154251) (xy 306.890286 172.933967) (xy 307.182086 172.642675) + (xy 307.342412 172.709248) (xy 307.919257 172.709751) (xy 308.452386 172.489467) (xy 308.860633 172.081931) (xy 309.081848 171.549188) + (xy 309.082073 171.291708) (xy 309.590312 171.502748) (xy 310.167157 171.503251) (xy 310.700286 171.282967) (xy 311.108533 170.875431) + (xy 311.329748 170.342688) (xy 311.330251 169.765843) (xy 311.109967 169.232714) (xy 310.702431 168.824467) (xy 310.169688 168.603252) + (xy 309.592843 168.602749) (xy 309.059714 168.823033) (xy 308.651467 169.230569) (xy 308.430252 169.763312) (xy 308.430027 170.020792) + (xy 307.921788 169.809752) (xy 307.344943 169.809249) (xy 306.811814 170.029533) (xy 306.520014 170.320825) (xy 306.359688 170.254252) + (xy 305.782843 170.253749) (xy 305.69209 170.291247) (xy 305.622431 170.221467) (xy 305.089688 170.000252) (xy 304.512843 169.999749) + (xy 303.979714 170.220033) (xy 303.767269 170.432108) (xy 303.743967 170.375714) (xy 303.336431 169.967467) (xy 302.803688 169.746252) + (xy 302.226843 169.745749) (xy 301.693714 169.966033) (xy 301.285467 170.373569) (xy 301.064252 170.906312) (xy 301.063749 171.483157) + (xy 295.250701 171.483157) (xy 295.107967 171.137714) (xy 294.700431 170.729467) (xy 294.167688 170.508252) (xy 293.590843 170.507749) + (xy 293.057714 170.728033) (xy 292.649467 171.135569) (xy 292.428252 171.668312) (xy 292.427749 172.245157) (xy 286.18375 172.245157) + (xy 286.184251 171.670843) (xy 285.963967 171.137714) (xy 285.556431 170.729467) (xy 285.023688 170.508252) (xy 284.446843 170.507749) + (xy 283.913714 170.728033) (xy 283.505467 171.135569) (xy 283.284252 171.668312) (xy 283.283749 172.245157) (xy 283.374951 172.465884) + (xy 283.284252 172.684312) (xy 283.283749 173.261157) (xy 283.379165 173.492082) (xy 282.999314 173.649033) (xy 282.591067 174.056569) + (xy 282.369852 174.589312) (xy 282.369349 175.166157) (xy 237.922915 175.166157) (xy 237.804431 175.047467) (xy 237.271688 174.826252) + (xy 236.694843 174.825749) (xy 236.474116 174.916951) (xy 236.255688 174.826252) (xy 235.678843 174.825749) (xy 235.145714 175.046033) + (xy 234.737467 175.453569) (xy 234.516252 175.986312) (xy 234.515749 176.563157) (xy 234.736033 177.096286) (xy 234.804611 177.164984) + (xy 234.483467 177.485569) (xy 234.262252 178.018312) (xy 234.261749 178.595157) (xy 219.806 178.595157) (xy 219.806 172.499157) + (xy 230.578749 172.499157) (xy 230.799033 173.032286) (xy 231.206569 173.440533) (xy 231.739312 173.661748) (xy 232.316157 173.662251) + (xy 232.672152 173.515157) (xy 240.865749 173.515157) (xy 241.086033 174.048286) (xy 241.493569 174.456533) (xy 242.026312 174.677748) + (xy 242.603157 174.678251) (xy 243.136286 174.457967) (xy 243.544533 174.050431) (xy 243.765748 173.517688) (xy 243.766251 172.940843) + (xy 243.545967 172.407714) (xy 243.138431 171.999467) (xy 242.605688 171.778252) (xy 242.028843 171.777749) (xy 241.495714 171.998033) + (xy 241.087467 172.405569) (xy 240.866252 172.938312) (xy 240.865749 173.515157) (xy 232.672152 173.515157) (xy 232.849286 173.441967) + (xy 233.257533 173.034431) (xy 233.478748 172.501688) (xy 233.479251 171.924843) (xy 233.258967 171.391714) (xy 233.096694 171.229157) + (xy 243.659743 171.229157) (xy 243.880027 171.762286) (xy 244.287563 172.170533) (xy 244.820306 172.391748) (xy 245.397151 172.392251) + (xy 245.93028 172.171967) (xy 246.338527 171.764431) (xy 246.49217 171.394419) (xy 246.491849 171.762557) (xy 246.712133 172.295686) + (xy 247.119669 172.703933) (xy 247.652412 172.925148) (xy 248.229257 172.925651) (xy 248.762386 172.705367) (xy 249.170633 172.297831) + (xy 249.391848 171.765088) (xy 249.392351 171.188243) (xy 249.304306 170.975157) (xy 251.025749 170.975157) (xy 251.169556 171.323198) + (xy 251.026252 171.668312) (xy 251.025749 172.245157) (xy 251.246033 172.778286) (xy 251.653569 173.186533) (xy 252.186312 173.407748) + (xy 252.763157 173.408251) (xy 253.238512 173.211839) (xy 253.710312 173.407748) (xy 254.287157 173.408251) (xy 254.820286 173.187967) + (xy 255.228533 172.780431) (xy 255.449748 172.247688) (xy 255.450251 171.670843) (xy 255.306444 171.322802) (xy 255.449748 170.977688) + (xy 255.450251 170.400843) (xy 255.229967 169.867714) (xy 254.822431 169.459467) (xy 254.289688 169.238252) (xy 253.712843 169.237749) + (xy 253.237488 169.434161) (xy 252.765688 169.238252) (xy 252.188843 169.237749) (xy 251.655714 169.458033) (xy 251.247467 169.865569) + (xy 251.026252 170.398312) (xy 251.025749 170.975157) (xy 249.304306 170.975157) (xy 249.172067 170.655114) (xy 248.764531 170.246867) + (xy 248.231788 170.025652) (xy 247.654943 170.025149) (xy 247.121814 170.245433) (xy 246.713567 170.652969) (xy 246.559924 171.022981) + (xy 246.560245 170.654843) (xy 246.339961 170.121714) (xy 245.932425 169.713467) (xy 245.399682 169.492252) (xy 244.822837 169.491749) + (xy 244.289708 169.712033) (xy 243.881461 170.119569) (xy 243.660246 170.652312) (xy 243.659743 171.229157) (xy 233.096694 171.229157) + (xy 232.851431 170.983467) (xy 232.318688 170.762252) (xy 231.741843 170.761749) (xy 231.208714 170.982033) (xy 230.800467 171.389569) + (xy 230.579252 171.922312) (xy 230.578749 172.499157) (xy 219.806 172.499157) (xy 219.806 171.196) (xy 219.778425 171.05983) + (xy 219.700045 170.945117) (xy 219.58321 170.869936) (xy 219.456 170.846) (xy 136.25021 170.846) (xy 136.519773 170.442571) + (xy 136.673049 169.672) (xy 136.519773 168.901429) (xy 136.186065 168.402) (xy 136.503345 167.927157) (xy 188.541749 167.927157) + (xy 188.762033 168.460286) (xy 189.169569 168.868533) (xy 189.702312 169.089748) (xy 190.279157 169.090251) (xy 190.812286 168.869967) + (xy 191.220533 168.462431) (xy 191.337328 168.181157) (xy 241.373749 168.181157) (xy 241.594033 168.714286) (xy 242.001569 169.122533) + (xy 242.534312 169.343748) (xy 243.111157 169.344251) (xy 243.644286 169.123967) (xy 244.052533 168.716431) (xy 244.273748 168.183688) + (xy 244.274251 167.606843) (xy 244.228187 167.495359) (xy 282.724949 167.495359) (xy 282.945233 168.028488) (xy 283.352769 168.436735) + (xy 283.885512 168.65795) (xy 284.462357 168.658453) (xy 284.995486 168.438169) (xy 285.403733 168.030633) (xy 285.502726 167.792231) + (xy 285.765769 168.055733) (xy 286.065449 168.180171) (xy 286.065049 168.638357) (xy 286.285333 169.171486) (xy 286.692869 169.579733) + (xy 287.225612 169.800948) (xy 287.802457 169.801451) (xy 288.335586 169.581167) (xy 288.743833 169.173631) (xy 288.965048 168.640888) + (xy 288.965551 168.064043) (xy 288.745267 167.530914) (xy 288.380147 167.165157) (xy 289.887749 167.165157) (xy 290.108033 167.698286) + (xy 290.515569 168.106533) (xy 291.048312 168.327748) (xy 291.625157 168.328251) (xy 292.158286 168.107967) (xy 292.566533 167.700431) + (xy 292.681968 167.422435) (xy 292.681749 167.673157) (xy 292.902033 168.206286) (xy 293.309569 168.614533) (xy 293.842312 168.835748) + (xy 294.306166 168.836152) (xy 294.465269 168.995533) (xy 294.998012 169.216748) (xy 295.574857 169.217251) (xy 296.107986 168.996967) + (xy 296.416333 168.689157) (xy 299.793749 168.689157) (xy 300.014033 169.222286) (xy 300.421569 169.630533) (xy 300.954312 169.851748) + (xy 301.531157 169.852251) (xy 302.064286 169.631967) (xy 302.472533 169.224431) (xy 302.693748 168.691688) (xy 302.694251 168.114843) + (xy 302.473967 167.581714) (xy 302.066431 167.173467) (xy 301.533688 166.952252) (xy 300.956843 166.951749) (xy 300.423714 167.172033) + (xy 300.015467 167.579569) (xy 299.794252 168.112312) (xy 299.793749 168.689157) (xy 296.416333 168.689157) (xy 296.516233 168.589431) + (xy 296.737448 168.056688) (xy 296.737951 167.479843) (xy 296.517667 166.946714) (xy 296.110131 166.538467) (xy 295.835953 166.424618) + (xy 295.836251 166.082843) (xy 295.615967 165.549714) (xy 295.208431 165.141467) (xy 294.675688 164.920252) (xy 294.098843 164.919749) + (xy 293.565714 165.140033) (xy 293.157467 165.547569) (xy 292.936252 166.080312) (xy 292.935859 166.531234) (xy 292.903467 166.563569) + (xy 292.788032 166.841565) (xy 292.788251 166.590843) (xy 292.567967 166.057714) (xy 292.160431 165.649467) (xy 291.627688 165.428252) + (xy 291.050843 165.427749) (xy 290.517714 165.648033) (xy 290.109467 166.055569) (xy 289.888252 166.588312) (xy 289.887749 167.165157) + (xy 288.380147 167.165157) (xy 288.337731 167.122667) (xy 288.038051 166.998229) (xy 288.038451 166.540043) (xy 287.818167 166.006914) + (xy 287.410631 165.598667) (xy 286.877888 165.377452) (xy 286.301043 165.376949) (xy 285.767914 165.597233) (xy 285.359667 166.004769) + (xy 285.260674 166.243171) (xy 284.997631 165.979669) (xy 284.464888 165.758454) (xy 283.888043 165.757951) (xy 283.354914 165.978235) + (xy 282.946667 166.385771) (xy 282.725452 166.918514) (xy 282.724949 167.495359) (xy 244.228187 167.495359) (xy 244.053967 167.073714) + (xy 243.646431 166.665467) (xy 243.113688 166.444252) (xy 242.536843 166.443749) (xy 242.003714 166.664033) (xy 241.595467 167.071569) + (xy 241.374252 167.604312) (xy 241.373749 168.181157) (xy 191.337328 168.181157) (xy 191.441748 167.929688) (xy 191.442251 167.352843) + (xy 191.259751 166.911157) (xy 232.483749 166.911157) (xy 232.704033 167.444286) (xy 233.111569 167.852533) (xy 233.644312 168.073748) + (xy 234.221157 168.074251) (xy 234.754286 167.853967) (xy 235.162533 167.446431) (xy 235.383748 166.913688) (xy 235.384251 166.336843) + (xy 235.163967 165.803714) (xy 234.756431 165.395467) (xy 234.223688 165.174252) (xy 233.646843 165.173749) (xy 233.113714 165.394033) + (xy 232.705467 165.801569) (xy 232.484252 166.334312) (xy 232.483749 166.911157) (xy 191.259751 166.911157) (xy 191.221967 166.819714) + (xy 190.814431 166.411467) (xy 190.281688 166.190252) (xy 189.704843 166.189749) (xy 189.171714 166.410033) (xy 188.763467 166.817569) + (xy 188.542252 167.350312) (xy 188.541749 167.927157) (xy 136.503345 167.927157) (xy 136.519773 167.902571) (xy 136.673049 167.132) + (xy 136.528074 166.403157) (xy 155.775749 166.403157) (xy 155.996033 166.936286) (xy 156.403569 167.344533) (xy 156.936312 167.565748) + (xy 157.513157 167.566251) (xy 158.046286 167.345967) (xy 158.454533 166.938431) (xy 158.675748 166.405688) (xy 158.676251 165.828843) + (xy 158.455967 165.295714) (xy 158.048431 164.887467) (xy 157.515688 164.666252) (xy 156.938843 164.665749) (xy 156.405714 164.886033) + (xy 155.997467 165.293569) (xy 155.776252 165.826312) (xy 155.775749 166.403157) (xy 136.528074 166.403157) (xy 136.519773 166.361429) + (xy 136.083279 165.70817) (xy 135.95466 165.62223) (xy 136.089186 165.474015) (xy 136.282541 165.00719) (xy 136.113587 164.742) + (xy 134.77 164.742) (xy 134.77 164.762) (xy 134.47 164.762) (xy 134.47 164.742) (xy 133.126413 164.742) + (xy 132.957459 165.00719) (xy 133.150814 165.474015) (xy 133.28534 165.62223) (xy 133.156721 165.70817) (xy 132.720227 166.361429) + (xy 132.566951 167.132) (xy 132.720227 167.902571) (xy 133.053935 168.402) (xy 132.720227 168.901429) (xy 132.566951 169.672) + (xy 132.720227 170.442571) (xy 132.98979 170.846) (xy 126.238 170.846) (xy 126.10183 170.873575) (xy 125.987117 170.951955) + (xy 125.911936 171.06879) (xy 125.888 171.196) (xy 116.428 171.196) (xy 116.428 162.052) (xy 132.566951 162.052) + (xy 132.720227 162.822571) (xy 133.156721 163.47583) (xy 133.28534 163.56177) (xy 133.150814 163.709985) (xy 132.957459 164.17681) + (xy 133.126413 164.442) (xy 134.47 164.442) (xy 134.47 164.422) (xy 134.77 164.422) (xy 134.77 164.442) + (xy 136.113587 164.442) (xy 136.158721 164.371157) (xy 274.393749 164.371157) (xy 274.614033 164.904286) (xy 275.021569 165.312533) + (xy 275.554312 165.533748) (xy 276.131157 165.534251) (xy 276.664286 165.313967) (xy 276.870855 165.107757) (xy 278.533949 165.107757) + (xy 278.754233 165.640886) (xy 279.161769 166.049133) (xy 279.694512 166.270348) (xy 280.271357 166.270851) (xy 280.804486 166.050567) + (xy 281.212733 165.643031) (xy 281.433948 165.110288) (xy 281.434451 164.533443) (xy 281.262446 164.117157) (xy 281.759749 164.117157) + (xy 281.980033 164.650286) (xy 282.387569 165.058533) (xy 282.920312 165.279748) (xy 283.497157 165.280251) (xy 284.030286 165.059967) + (xy 284.438533 164.652431) (xy 284.515108 164.468018) (xy 284.660869 164.614033) (xy 285.193612 164.835248) (xy 285.770457 164.835751) + (xy 286.303586 164.615467) (xy 286.711833 164.207931) (xy 286.933048 163.675188) (xy 286.933551 163.098343) (xy 286.713267 162.565214) + (xy 286.380519 162.231886) (xy 286.799157 162.232251) (xy 287.332286 162.011967) (xy 287.620674 161.724081) (xy 287.815157 161.724251) + (xy 288.171152 161.577157) (xy 302.587749 161.577157) (xy 302.808033 162.110286) (xy 303.215569 162.518533) (xy 303.748312 162.739748) + (xy 304.325157 162.740251) (xy 304.858286 162.519967) (xy 305.266533 162.112431) (xy 305.487748 161.579688) (xy 305.488251 161.002843) + (xy 305.267967 160.469714) (xy 304.860431 160.061467) (xy 304.327688 159.840252) (xy 303.750843 159.839749) (xy 303.217714 160.060033) + (xy 302.809467 160.467569) (xy 302.588252 161.000312) (xy 302.587749 161.577157) (xy 288.171152 161.577157) (xy 288.348286 161.503967) + (xy 288.756533 161.096431) (xy 288.977748 160.563688) (xy 288.978251 159.986843) (xy 288.757967 159.453714) (xy 288.350431 159.045467) + (xy 288.330419 159.037157) (xy 291.411749 159.037157) (xy 291.632033 159.570286) (xy 292.039569 159.978533) (xy 292.572312 160.199748) + (xy 293.149157 160.200251) (xy 293.682286 159.979967) (xy 294.090533 159.572431) (xy 294.311748 159.039688) (xy 294.312196 158.524805) + (xy 312.349541 158.524805) (xy 312.752129 159.499144) (xy 313.496935 160.245251) (xy 314.47057 160.649539) (xy 315.524805 160.650459) + (xy 316.499144 160.247871) (xy 317.245251 159.503065) (xy 317.649539 158.52943) (xy 317.650459 157.475195) (xy 317.247871 156.500856) + (xy 316.503065 155.754749) (xy 315.52943 155.350461) (xy 314.475195 155.349541) (xy 313.500856 155.752129) (xy 312.754749 156.496935) + (xy 312.350461 157.47057) (xy 312.349541 158.524805) (xy 294.312196 158.524805) (xy 294.312251 158.462843) (xy 294.091967 157.929714) + (xy 293.684431 157.521467) (xy 293.151688 157.300252) (xy 292.574843 157.299749) (xy 292.041714 157.520033) (xy 291.633467 157.927569) + (xy 291.412252 158.460312) (xy 291.411749 159.037157) (xy 288.330419 159.037157) (xy 287.817688 158.824252) (xy 287.240843 158.823749) + (xy 286.707714 159.044033) (xy 286.419326 159.331919) (xy 286.224843 159.331749) (xy 285.691714 159.552033) (xy 285.283467 159.959569) + (xy 285.062252 160.492312) (xy 285.061749 161.069157) (xy 285.282033 161.602286) (xy 285.614781 161.935614) (xy 285.196143 161.935249) + (xy 284.663014 162.155533) (xy 284.254767 162.563069) (xy 284.178192 162.747482) (xy 284.032431 162.601467) (xy 283.499688 162.380252) + (xy 282.922843 162.379749) (xy 282.389714 162.600033) (xy 281.981467 163.007569) (xy 281.760252 163.540312) (xy 281.759749 164.117157) + (xy 281.262446 164.117157) (xy 281.214167 164.000314) (xy 280.806631 163.592067) (xy 280.273888 163.370852) (xy 279.697043 163.370349) + (xy 279.163914 163.590633) (xy 278.755667 163.998169) (xy 278.534452 164.530912) (xy 278.533949 165.107757) (xy 276.870855 165.107757) + (xy 277.072533 164.906431) (xy 277.293748 164.373688) (xy 277.294251 163.796843) (xy 277.073967 163.263714) (xy 276.666431 162.855467) + (xy 276.133688 162.634252) (xy 275.556843 162.633749) (xy 275.023714 162.854033) (xy 274.615467 163.261569) (xy 274.394252 163.794312) + (xy 274.393749 164.371157) (xy 136.158721 164.371157) (xy 136.282541 164.17681) (xy 136.089186 163.709985) (xy 135.95466 163.56177) + (xy 136.083279 163.47583) (xy 136.519773 162.822571) (xy 136.673049 162.052) (xy 136.568184 161.524805) (xy 215.349541 161.524805) + (xy 215.752129 162.499144) (xy 216.496935 163.245251) (xy 217.47057 163.649539) (xy 218.524805 163.650459) (xy 219.499144 163.247871) + (xy 220.155001 162.593157) (xy 255.851749 162.593157) (xy 256.072033 163.126286) (xy 256.479569 163.534533) (xy 257.012312 163.755748) + (xy 257.589157 163.756251) (xy 258.122286 163.535967) (xy 258.530533 163.128431) (xy 258.751748 162.595688) (xy 258.752251 162.018843) + (xy 258.531967 161.485714) (xy 258.124431 161.077467) (xy 257.591688 160.856252) (xy 257.014843 160.855749) (xy 256.481714 161.076033) + (xy 256.073467 161.483569) (xy 255.852252 162.016312) (xy 255.851749 162.593157) (xy 220.155001 162.593157) (xy 220.245251 162.503065) + (xy 220.649539 161.52943) (xy 220.650459 160.475195) (xy 220.581028 160.307157) (xy 239.595749 160.307157) (xy 239.816033 160.840286) + (xy 240.223569 161.248533) (xy 240.756312 161.469748) (xy 241.333157 161.470251) (xy 241.866286 161.249967) (xy 242.274533 160.842431) + (xy 242.391328 160.561157) (xy 275.409749 160.561157) (xy 275.630033 161.094286) (xy 276.037569 161.502533) (xy 276.570312 161.723748) + (xy 277.147157 161.724251) (xy 277.367884 161.633049) (xy 277.586312 161.723748) (xy 278.163157 161.724251) (xy 278.696286 161.503967) + (xy 279.104533 161.096431) (xy 279.325748 160.563688) (xy 279.326251 159.986843) (xy 279.105967 159.453714) (xy 278.698431 159.045467) + (xy 278.678419 159.037157) (xy 280.489749 159.037157) (xy 280.710033 159.570286) (xy 281.117569 159.978533) (xy 281.650312 160.199748) + (xy 282.227157 160.200251) (xy 282.760286 159.979967) (xy 283.168533 159.572431) (xy 283.389748 159.039688) (xy 283.390251 158.462843) + (xy 283.169967 157.929714) (xy 282.762431 157.521467) (xy 282.229688 157.300252) (xy 281.652843 157.299749) (xy 281.119714 157.520033) + (xy 280.711467 157.927569) (xy 280.490252 158.460312) (xy 280.489749 159.037157) (xy 278.678419 159.037157) (xy 278.165688 158.824252) + (xy 277.588843 158.823749) (xy 277.368116 158.914951) (xy 277.149688 158.824252) (xy 276.572843 158.823749) (xy 276.039714 159.044033) + (xy 275.631467 159.451569) (xy 275.410252 159.984312) (xy 275.409749 160.561157) (xy 242.391328 160.561157) (xy 242.495748 160.309688) + (xy 242.496251 159.732843) (xy 242.275967 159.199714) (xy 241.868431 158.791467) (xy 241.335688 158.570252) (xy 240.758843 158.569749) + (xy 240.225714 158.790033) (xy 239.817467 159.197569) (xy 239.596252 159.730312) (xy 239.595749 160.307157) (xy 220.581028 160.307157) + (xy 220.247871 159.500856) (xy 219.503065 158.754749) (xy 218.52943 158.350461) (xy 217.475195 158.349541) (xy 216.500856 158.752129) + (xy 215.754749 159.496935) (xy 215.350461 160.47057) (xy 215.349541 161.524805) (xy 136.568184 161.524805) (xy 136.519773 161.281429) + (xy 136.083279 160.62817) (xy 135.43002 160.191676) (xy 134.659449 160.0384) (xy 134.580551 160.0384) (xy 133.80998 160.191676) + (xy 133.156721 160.62817) (xy 132.720227 161.281429) (xy 132.566951 162.052) (xy 116.428 162.052) (xy 116.428 158.524805) + (xy 118.349541 158.524805) (xy 118.752129 159.499144) (xy 119.496935 160.245251) (xy 120.47057 160.649539) (xy 121.524805 160.650459) + (xy 122.499144 160.247871) (xy 123.245251 159.503065) (xy 123.649539 158.52943) (xy 123.649982 158.021157) (xy 227.911749 158.021157) + (xy 228.132033 158.554286) (xy 228.539569 158.962533) (xy 229.072312 159.183748) (xy 229.649157 159.184251) (xy 230.182286 158.963967) + (xy 230.590533 158.556431) (xy 230.811748 158.023688) (xy 230.812251 157.446843) (xy 230.591967 156.913714) (xy 230.184431 156.505467) + (xy 230.164419 156.497157) (xy 294.891549 156.497157) (xy 295.111833 157.030286) (xy 295.519369 157.438533) (xy 296.052112 157.659748) + (xy 296.628957 157.660251) (xy 297.162086 157.439967) (xy 297.570333 157.032431) (xy 297.791548 156.499688) (xy 297.792051 155.922843) + (xy 297.571767 155.389714) (xy 297.164231 154.981467) (xy 296.631488 154.760252) (xy 296.054643 154.759749) (xy 295.521514 154.980033) + (xy 295.113267 155.387569) (xy 294.892052 155.920312) (xy 294.891549 156.497157) (xy 230.164419 156.497157) (xy 229.651688 156.284252) + (xy 229.074843 156.283749) (xy 228.541714 156.504033) (xy 228.133467 156.911569) (xy 227.912252 157.444312) (xy 227.911749 158.021157) + (xy 123.649982 158.021157) (xy 123.650459 157.475195) (xy 123.247871 156.500856) (xy 122.503065 155.754749) (xy 121.52943 155.350461) + (xy 120.475195 155.349541) (xy 119.500856 155.752129) (xy 118.754749 156.496935) (xy 118.350461 157.47057) (xy 118.349541 158.524805) + (xy 116.428 158.524805) (xy 116.428 153.512) (xy 319.69 153.512) + ) + ) + ) + (zone (net 5) (net_name GND) (layer B.Cu) (tstamp 58FF4AE7) (hatch edge 0.508) + (connect_pads (clearance 1)) + (min_thickness 0.8) + (fill yes (arc_segments 16) (thermal_gap 0.3) (thermal_bridge_width 1)) + (polygon + (pts + (xy 310.642 162.052) (xy 320.04 162.052) (xy 320.04 210.312) (xy 292.862 210.312) (xy 292.862 217.424) + (xy 288.798 217.424) (xy 288.798 223.012) (xy 292.862 223.012) (xy 292.862 232.156) (xy 246.888 232.156) + (xy 246.888 217.17) (xy 245.11 215.392) (xy 245.11 203.2) (xy 250.19 198.12) (xy 250.19 188.722) + (xy 247.396 186.182) (xy 219.456 186.182) (xy 219.456 171.196) (xy 125.222 171.196) (xy 125.222 153.162) + (xy 310.642 153.162) (xy 310.642 162.052) + ) + ) + (polygon + (pts (xy 300.736 181.864) (xy 291.338 191.262) (xy 291.338 195.326) (xy 301.244 204.724) (xy 305.054 204.724) + (xy 314.706 195.072) (xy 314.706 191.008) (xy 305.562 181.864) (xy 300.736 181.864) + ) + ) + (polygon + (pts (xy 250.19 168.656) (xy 250.19 183.896) (xy 251.968 185.674) (xy 258.572 185.674) (xy 261.366 182.88) + (xy 283.21 182.88) (xy 287.02 179.07) (xy 287.02 176.53) (xy 284.226 173.736) (xy 284.226 169.672) + (xy 269.748 169.672) (xy 268.732 168.656) (xy 250.19 168.656) + ) + ) + (polygon + (pts (xy 213.614 157.48) (xy 213.614 164.338) (xy 222.25 164.338) (xy 222.25 157.48) (xy 213.614 157.48) + ) + ) + (filled_polygon + (pts + (xy 269.622 192.990388) (xy 269.622 198.3105) (xy 269.747599 198.941928) (xy 270.074631 199.431366) (xy 270.105274 199.477226) + (xy 271.240975 200.612927) (xy 271.29047 200.732715) (xy 271.76827 201.21135) (xy 272.392866 201.470704) (xy 273.069167 201.471294) + (xy 273.694215 201.21303) (xy 274.17285 200.73523) (xy 274.432204 200.110634) (xy 274.432794 199.434333) (xy 274.17453 198.809285) + (xy 273.69673 198.33065) (xy 273.575095 198.280143) (xy 272.922 197.627048) (xy 272.922 192.991335) (xy 272.954943 192.912) + (xy 275.282561 192.912) (xy 275.41797 193.239715) (xy 275.89577 193.71835) (xy 276.520366 193.977704) (xy 277.196667 193.978294) + (xy 277.821715 193.72003) (xy 278.30035 193.24223) (xy 278.437473 192.912) (xy 279.337386 192.912) (xy 279.293419 192.977801) + (xy 279.232791 193.282601) (xy 279.18 193.548) (xy 279.18 197.739) (xy 279.293419 198.309199) (xy 279.616411 198.792589) + (xy 280.987648 200.163826) (xy 281.19647 200.669215) (xy 281.67427 201.14785) (xy 282.298866 201.407204) (xy 282.975167 201.407794) + (xy 283.600215 201.14953) (xy 284.07885 200.67173) (xy 284.338204 200.047134) (xy 284.338794 199.370833) (xy 284.08053 198.745785) + (xy 283.60273 198.26715) (xy 283.094146 198.055968) (xy 282.16 197.121822) (xy 282.16 196.876762) (xy 288.772 196.876762) + (xy 288.772 197.591) (xy 288.947 197.766) (xy 290.222 197.766) (xy 290.222 196.491) (xy 290.047 196.316) + (xy 289.332761 196.316) (xy 289.075482 196.422569) (xy 288.878568 196.619482) (xy 288.772 196.876762) (xy 282.16 196.876762) + (xy 282.16 195.552992) (xy 282.276667 195.553094) (xy 282.785618 195.3428) (xy 290.941402 195.3428) (xy 290.969514 195.481622) + (xy 291.062696 195.616185) (xy 291.715071 196.235105) (xy 291.695696 196.281766) (xy 291.695462 196.549513) (xy 291.568518 196.422569) + (xy 291.311239 196.316) (xy 290.597 196.316) (xy 290.422 196.491) (xy 290.422 197.766) (xy 291.697 197.766) + (xy 291.872 197.591) (xy 291.872 197.386184) (xy 291.95337 197.583115) (xy 292.43117 198.06175) (xy 293.055766 198.321104) + (xy 293.732067 198.321694) (xy 293.859116 198.269199) (xy 297.164631 201.4052) (xy 294.3479 201.4052) (xy 294.190178 201.436573) + (xy 293.769933 201.436206) (xy 293.144885 201.69447) (xy 292.66625 202.17227) (xy 292.528272 202.504559) (xy 292.444809 202.084962) + (xy 292.171549 201.676) (xy 292.444809 201.267038) (xy 292.61608 200.406) (xy 292.444809 199.544962) (xy 291.95707 198.81501) + (xy 291.872 198.758168) (xy 291.872 198.141) (xy 291.697 197.966) (xy 290.422 197.966) (xy 290.422 197.986) + (xy 290.222 197.986) (xy 290.222 197.966) (xy 288.947 197.966) (xy 288.772 198.141) (xy 288.772 198.758168) + (xy 288.68693 198.81501) (xy 288.199191 199.544962) (xy 288.02792 200.406) (xy 288.199191 201.267038) (xy 288.472451 201.676) + (xy 288.199191 202.084962) (xy 288.02792 202.946) (xy 288.199191 203.807038) (xy 288.472451 204.216) (xy 288.199191 204.624962) + (xy 288.02792 205.486) (xy 288.199191 206.347038) (xy 288.472451 206.756) (xy 288.199191 207.164962) (xy 288.02792 208.026) + (xy 288.199191 208.887038) (xy 288.472451 209.296) (xy 288.199191 209.704962) (xy 288.02792 210.566) (xy 288.199191 211.427038) + (xy 288.68693 212.15699) (xy 288.744419 212.195403) (xy 287.596622 213.3432) (xy 285.2928 213.3432) (xy 284.722602 213.456619) + (xy 284.487065 213.614) (xy 284.239211 213.779611) (xy 275.527011 222.491811) (xy 275.204019 222.975201) (xy 275.119749 223.398858) + (xy 275.0906 223.5454) (xy 275.0906 224.246262) (xy 274.469625 223.624202) (xy 273.569473 223.250427) (xy 272.594803 223.249576) + (xy 271.694 223.62178) (xy 271.004202 224.310375) (xy 270.630427 225.210527) (xy 270.629576 226.185197) (xy 271.00178 227.086) + (xy 271.152517 227.237) (xy 264.848166 227.237) (xy 264.995798 227.089625) (xy 265.369573 226.189473) (xy 265.370424 225.214803) + (xy 264.99822 224.314) (xy 264.309625 223.624202) (xy 263.409473 223.250427) (xy 262.434803 223.249576) (xy 261.534 223.62178) + (xy 260.844202 224.310375) (xy 260.470427 225.210527) (xy 260.469576 226.185197) (xy 260.84178 227.086) (xy 260.992517 227.237) + (xy 255.056149 227.237) (xy 255.042138 226.654503) (xy 254.894473 226.298008) (xy 255.135442 226.294334) (xy 255.746237 226.041333) + (xy 255.851142 225.742563) (xy 254.75 224.641421) (xy 254.735858 224.655564) (xy 254.594437 224.514143) (xy 254.608579 224.5) + (xy 254.891421 224.5) (xy 255.992563 225.601142) (xy 256.291333 225.496237) (xy 256.55525 224.83056) (xy 256.544334 224.114558) + (xy 256.291333 223.503763) (xy 255.992563 223.398858) (xy 254.891421 224.5) (xy 254.608579 224.5) (xy 253.507437 223.398858) + (xy 253.208667 223.503763) (xy 252.94475 224.16944) (xy 252.955666 224.885442) (xy 253.192394 225.456951) (xy 253.154503 225.457862) + (xy 252.653847 225.66524) (xy 252.579486 225.938064) (xy 253.5 226.858579) (xy 253.514142 226.844436) (xy 253.655564 226.985858) + (xy 253.641421 227) (xy 253.655564 227.014143) (xy 253.514143 227.155564) (xy 253.5 227.141421) (xy 253.485858 227.155564) + (xy 253.344437 227.014143) (xy 253.358579 227) (xy 252.438064 226.079486) (xy 252.16524 226.153847) (xy 251.943034 226.729047) + (xy 251.955252 227.237) (xy 251.344178 227.237) (xy 251.249876 227.142698) (xy 251.25039 226.554411) (xy 250.908569 225.727142) + (xy 250.276187 225.093655) (xy 249.554694 224.794065) (xy 249.544334 224.114558) (xy 249.291333 223.503763) (xy 248.992563 223.398858) + (xy 247.891421 224.5) (xy 247.905564 224.514143) (xy 247.764143 224.655564) (xy 247.75 224.641421) (xy 247.735858 224.655564) + (xy 247.594437 224.514143) (xy 247.608579 224.5) (xy 247.594437 224.485858) (xy 247.735858 224.344437) (xy 247.75 224.358579) + (xy 248.851142 223.257437) (xy 253.648858 223.257437) (xy 254.75 224.358579) (xy 255.851142 223.257437) (xy 255.746237 222.958667) + (xy 255.08056 222.69475) (xy 254.364558 222.705666) (xy 253.753763 222.958667) (xy 253.648858 223.257437) (xy 248.851142 223.257437) + (xy 248.746237 222.958667) (xy 248.08056 222.69475) (xy 247.364558 222.705666) (xy 247.288 222.737378) (xy 247.288 221.243825) + (xy 264.849455 221.243825) (xy 265.328003 222.402001) (xy 266.213339 223.288883) (xy 267.370677 223.769452) (xy 268.623825 223.770545) + (xy 269.782001 223.291997) (xy 270.668883 222.406661) (xy 270.81164 222.062863) (xy 270.869513 222.061218) (xy 271.326094 221.872096) + (xy 271.388221 221.609642) (xy 271.113789 221.33521) (xy 271.149452 221.249323) (xy 271.149593 221.088172) (xy 271.529642 221.468221) + (xy 271.792096 221.406094) (xy 271.997612 220.8671) (xy 271.981218 220.290487) (xy 271.792096 219.833906) (xy 271.529642 219.771779) + (xy 271.15041 220.151011) (xy 271.150545 219.996175) (xy 271.113079 219.9055) (xy 271.388221 219.630358) (xy 271.326094 219.367904) + (xy 270.809572 219.170957) (xy 270.671997 218.837999) (xy 269.786661 217.951117) (xy 268.629323 217.470548) (xy 267.376175 217.469455) + (xy 266.217999 217.948003) (xy 265.331117 218.833339) (xy 264.850548 219.990677) (xy 264.849455 221.243825) (xy 247.288 221.243825) + (xy 247.288 217.17) (xy 247.256486 217.014378) (xy 247.170843 216.887157) (xy 245.51 215.226314) (xy 245.51 212.608991) + (xy 247.826101 212.608991) (xy 248.175518 213.454643) (xy 248.821953 214.102208) (xy 249.666995 214.4531) (xy 250.581991 214.453899) + (xy 251.427643 214.104482) (xy 252.075208 213.458047) (xy 252.160943 213.251572) (xy 254.249849 213.251572) (xy 254.330324 213.529584) + (xy 254.923623 213.760133) (xy 255.559988 213.746088) (xy 256.082676 213.529584) (xy 256.163151 213.251572) (xy 255.2065 212.294921) + (xy 254.249849 213.251572) (xy 252.160943 213.251572) (xy 252.4261 212.613005) (xy 252.426748 211.870623) (xy 253.599867 211.870623) + (xy 253.613912 212.506988) (xy 253.830416 213.029676) (xy 254.108428 213.110151) (xy 255.065079 212.1535) (xy 255.347921 212.1535) + (xy 256.304572 213.110151) (xy 256.582584 213.029676) (xy 256.746057 212.608991) (xy 257.986101 212.608991) (xy 258.335518 213.454643) + (xy 258.981953 214.102208) (xy 259.826995 214.4531) (xy 260.741991 214.453899) (xy 261.587643 214.104482) (xy 262.117679 213.575371) + (xy 262.186832 213.942887) (xy 262.712458 214.759734) (xy 263.514473 215.307727) (xy 264.4665 215.500518) (xy 266.2665 215.500518) + (xy 267.155887 215.333168) (xy 267.972734 214.807542) (xy 268.520727 214.005527) (xy 268.713518 213.0535) (xy 268.713518 211.2535) + (xy 268.546168 210.364113) (xy 268.020542 209.547266) (xy 267.218527 208.999273) (xy 266.2665 208.806482) (xy 264.4665 208.806482) + (xy 263.577113 208.973832) (xy 262.760266 209.499458) (xy 262.212273 210.301473) (xy 262.123781 210.738457) (xy 261.591047 210.204792) + (xy 260.746005 209.8539) (xy 259.831009 209.853101) (xy 258.985357 210.202518) (xy 258.337792 210.848953) (xy 257.9869 211.693995) + (xy 257.986101 212.608991) (xy 256.746057 212.608991) (xy 256.813133 212.436377) (xy 256.799088 211.800012) (xy 256.582584 211.277324) + (xy 256.304572 211.196849) (xy 255.347921 212.1535) (xy 255.065079 212.1535) (xy 254.108428 211.196849) (xy 253.830416 211.277324) + (xy 253.599867 211.870623) (xy 252.426748 211.870623) (xy 252.426899 211.698009) (xy 252.16139 211.055428) (xy 254.249849 211.055428) + (xy 255.2065 212.012079) (xy 256.163151 211.055428) (xy 256.082676 210.777416) (xy 255.489377 210.546867) (xy 254.853012 210.560912) + (xy 254.330324 210.777416) (xy 254.249849 211.055428) (xy 252.16139 211.055428) (xy 252.077482 210.852357) (xy 251.431047 210.204792) + (xy 250.586005 209.8539) (xy 249.671009 209.853101) (xy 248.825357 210.202518) (xy 248.177792 210.848953) (xy 247.8269 211.693995) + (xy 247.826101 212.608991) (xy 245.51 212.608991) (xy 245.51 205.814491) (xy 247.826101 205.814491) (xy 248.175518 206.660143) + (xy 248.821953 207.307708) (xy 249.666995 207.6586) (xy 250.581991 207.659399) (xy 251.427643 207.309982) (xy 252.075208 206.663547) + (xy 252.160943 206.457072) (xy 254.249849 206.457072) (xy 254.330324 206.735084) (xy 254.923623 206.965633) (xy 255.559988 206.951588) + (xy 256.082676 206.735084) (xy 256.163151 206.457072) (xy 255.2065 205.500421) (xy 254.249849 206.457072) (xy 252.160943 206.457072) + (xy 252.4261 205.818505) (xy 252.426748 205.076123) (xy 253.599867 205.076123) (xy 253.613912 205.712488) (xy 253.830416 206.235176) + (xy 254.108428 206.315651) (xy 255.065079 205.359) (xy 255.347921 205.359) (xy 256.304572 206.315651) (xy 256.582584 206.235176) + (xy 256.746057 205.814491) (xy 257.986101 205.814491) (xy 258.335518 206.660143) (xy 258.981953 207.307708) (xy 259.826995 207.6586) + (xy 260.741991 207.659399) (xy 261.587643 207.309982) (xy 262.117679 206.780871) (xy 262.186832 207.148387) (xy 262.712458 207.965234) + (xy 263.514473 208.513227) (xy 264.4665 208.706018) (xy 266.2665 208.706018) (xy 267.155887 208.538668) (xy 267.972734 208.013042) + (xy 268.520727 207.211027) (xy 268.713518 206.259) (xy 268.713518 204.459) (xy 268.546168 203.569613) (xy 268.020542 202.752766) + (xy 267.218527 202.204773) (xy 266.2665 202.011982) (xy 264.4665 202.011982) (xy 263.577113 202.179332) (xy 262.760266 202.704958) + (xy 262.212273 203.506973) (xy 262.123781 203.943957) (xy 261.591047 203.410292) (xy 260.746005 203.0594) (xy 259.831009 203.058601) + (xy 258.985357 203.408018) (xy 258.337792 204.054453) (xy 257.9869 204.899495) (xy 257.986101 205.814491) (xy 256.746057 205.814491) + (xy 256.813133 205.641877) (xy 256.799088 205.005512) (xy 256.582584 204.482824) (xy 256.304572 204.402349) (xy 255.347921 205.359) + (xy 255.065079 205.359) (xy 254.108428 204.402349) (xy 253.830416 204.482824) (xy 253.599867 205.076123) (xy 252.426748 205.076123) + (xy 252.426899 204.903509) (xy 252.16139 204.260928) (xy 254.249849 204.260928) (xy 255.2065 205.217579) (xy 256.163151 204.260928) + (xy 256.082676 203.982916) (xy 255.489377 203.752367) (xy 254.853012 203.766412) (xy 254.330324 203.982916) (xy 254.249849 204.260928) + (xy 252.16139 204.260928) (xy 252.077482 204.057857) (xy 251.431047 203.410292) (xy 250.586005 203.0594) (xy 249.671009 203.058601) + (xy 248.825357 203.408018) (xy 248.177792 204.054453) (xy 247.8269 204.899495) (xy 247.826101 205.814491) (xy 245.51 205.814491) + (xy 245.51 203.365686) (xy 250.472843 198.402843) (xy 250.5606 198.270517) (xy 250.59 198.12) (xy 250.59 196.932667) + (xy 253.315706 196.932667) (xy 253.57397 197.557715) (xy 254.05177 198.03635) (xy 254.676366 198.295704) (xy 255.352667 198.296294) + (xy 255.977715 198.03803) (xy 256.45635 197.56023) (xy 256.611466 197.186667) (xy 257.633706 197.186667) (xy 257.89197 197.811715) + (xy 258.36977 198.29035) (xy 258.994366 198.549704) (xy 259.670667 198.550294) (xy 260.295715 198.29203) (xy 260.77435 197.81423) + (xy 261.033704 197.189634) (xy 261.034149 196.678667) (xy 263.983706 196.678667) (xy 264.24197 197.303715) (xy 264.71977 197.78235) + (xy 265.344366 198.041704) (xy 266.020667 198.042294) (xy 266.645715 197.78403) (xy 267.12435 197.30623) (xy 267.383704 196.681634) + (xy 267.384294 196.005333) (xy 267.12603 195.380285) (xy 266.64823 194.90165) (xy 266.023634 194.642296) (xy 265.347333 194.641706) + (xy 264.722285 194.89997) (xy 264.24365 195.37777) (xy 263.984296 196.002366) (xy 263.983706 196.678667) (xy 261.034149 196.678667) + (xy 261.034294 196.513333) (xy 260.77603 195.888285) (xy 260.29823 195.40965) (xy 259.673634 195.150296) (xy 258.997333 195.149706) + (xy 258.372285 195.40797) (xy 257.89365 195.88577) (xy 257.634296 196.510366) (xy 257.633706 197.186667) (xy 256.611466 197.186667) + (xy 256.715704 196.935634) (xy 256.716294 196.259333) (xy 256.45803 195.634285) (xy 255.98023 195.15565) (xy 255.355634 194.896296) + (xy 254.679333 194.895706) (xy 254.054285 195.15397) (xy 253.57565 195.63177) (xy 253.316296 196.256366) (xy 253.315706 196.932667) + (xy 250.59 196.932667) (xy 250.59 193.576452) (xy 251.254452 192.912) (xy 269.589611 192.912) + ) + ) + (filled_polygon + (pts + (xy 310.242 162.052) (xy 310.273514 162.207622) (xy 310.363092 162.338723) (xy 310.496617 162.424644) (xy 310.642 162.452) + (xy 319.64 162.452) (xy 319.64 209.912) (xy 316.313388 209.912) (xy 316.404315 209.87443) (xy 316.88295 209.39663) + (xy 317.142304 208.772034) (xy 317.142894 208.095733) (xy 316.88463 207.470685) (xy 316.40683 206.99205) (xy 315.782234 206.732696) + (xy 315.105933 206.732106) (xy 314.480885 206.99037) (xy 314.00225 207.46817) (xy 313.951743 207.589805) (xy 311.629548 209.912) + (xy 294.4663 209.912) (xy 294.4663 208.236778) (xy 294.845789 207.857289) (xy 295.10297 208.479715) (xy 295.58077 208.95835) + (xy 296.205366 209.217704) (xy 296.881667 209.218294) (xy 297.003388 209.168) (xy 301.673665 209.168) (xy 301.793366 209.217704) + (xy 302.469667 209.218294) (xy 302.591388 209.168) (xy 308.236719 209.168) (xy 308.40777 209.33935) (xy 309.032366 209.598704) + (xy 309.708667 209.599294) (xy 310.333715 209.34103) (xy 310.81235 208.86323) (xy 311.071704 208.238634) (xy 311.072294 207.562333) + (xy 310.81403 206.937285) (xy 310.33623 206.45865) (xy 310.214595 206.408143) (xy 310.157726 206.351274) (xy 309.921922 206.193715) + (xy 309.622428 205.993599) (xy 308.991 205.868) (xy 304.340778 205.868) (xy 305.092593 205.116185) (xy 305.209622 205.092486) + (xy 305.336843 205.006843) (xy 306.934019 203.409667) (xy 310.541906 203.409667) (xy 310.80017 204.034715) (xy 311.27797 204.51335) + (xy 311.30998 204.526642) (xy 311.063196 205.120966) (xy 311.062606 205.797267) (xy 311.32087 206.422315) (xy 311.79867 206.90095) + (xy 312.423266 207.160304) (xy 313.099567 207.160894) (xy 313.724615 206.90263) (xy 314.20325 206.42483) (xy 314.462604 205.800234) + (xy 314.463194 205.123933) (xy 314.20493 204.498885) (xy 313.72713 204.02025) (xy 313.69512 204.006958) (xy 313.941904 203.412634) + (xy 313.942494 202.736333) (xy 313.68423 202.111285) (xy 313.20643 201.63265) (xy 312.581834 201.373296) (xy 311.905533 201.372706) + (xy 311.280485 201.63097) (xy 310.80185 202.10877) (xy 310.542496 202.733366) (xy 310.541906 203.409667) (xy 306.934019 203.409667) + (xy 309.45771 200.885976) (xy 309.489566 200.899204) (xy 310.165867 200.899794) (xy 310.790915 200.64153) (xy 311.26955 200.16373) + (xy 311.528904 199.539134) (xy 311.529494 198.862833) (xy 311.515272 198.828414) (xy 314.988843 195.354843) (xy 315.0766 195.222517) + (xy 315.106 195.072) (xy 315.106 191.008) (xy 315.074486 190.852378) (xy 314.988843 190.725157) (xy 314.984564 190.720878) + (xy 317.791589 187.913853) (xy 318.114581 187.430463) (xy 318.228 186.860264) (xy 318.228 184.404) (xy 318.114581 183.833802) + (xy 317.791589 183.350411) (xy 317.393159 182.951981) (xy 317.393333 182.752774) (xy 317.28858 182.499251) (xy 317.392665 182.248586) + (xy 317.393333 181.482774) (xy 317.100887 180.775) (xy 316.61652 180.289786) (xy 316.811503 180.159503) (xy 317.223371 179.543099) + (xy 317.368 178.816) (xy 317.223371 178.088901) (xy 316.811503 177.472497) (xy 316.195099 177.060629) (xy 315.688 176.959761) + (xy 315.688 168.656) (xy 315.649848 168.464198) (xy 315.574581 168.085801) (xy 315.251589 167.602411) (xy 312.203589 164.554411) + (xy 311.720199 164.231419) (xy 311.15 164.118) (xy 287.019872 164.118) (xy 287.183004 163.725134) (xy 287.183164 163.542) + (xy 287.274 163.542) (xy 287.844199 163.428581) (xy 288.327589 163.105589) (xy 288.581589 162.851589) (xy 288.719719 162.644863) + (xy 288.904581 162.368198) (xy 289.018 161.798) (xy 289.018 161.626667) (xy 302.337706 161.626667) (xy 302.59597 162.251715) + (xy 303.07377 162.73035) (xy 303.698366 162.989704) (xy 304.374667 162.990294) (xy 304.999715 162.73203) (xy 305.47835 162.25423) + (xy 305.737704 161.629634) (xy 305.738294 160.953333) (xy 305.48003 160.328285) (xy 305.00223 159.84965) (xy 304.377634 159.590296) + (xy 303.701333 159.589706) (xy 303.076285 159.84797) (xy 302.59765 160.32577) (xy 302.338296 160.950366) (xy 302.337706 161.626667) + (xy 289.018 161.626667) (xy 289.018 161.118659) (xy 289.227704 160.613634) (xy 289.22789 160.4) (xy 292.402665 160.4) + (xy 292.522366 160.449704) (xy 293.198667 160.450294) (xy 293.823715 160.19203) (xy 294.30235 159.71423) (xy 294.561704 159.089634) + (xy 294.562294 158.413333) (xy 294.30403 157.788285) (xy 293.82623 157.30965) (xy 293.201634 157.050296) (xy 292.525333 157.049706) + (xy 292.403612 157.1) (xy 282.399335 157.1) (xy 282.279634 157.050296) (xy 281.603333 157.049706) (xy 281.481612 157.1) + (xy 279.4 157.1) (xy 278.768572 157.225599) (xy 278.233273 157.583274) (xy 277.229747 158.5868) (xy 277.199634 158.574296) + (xy 276.523333 158.573706) (xy 275.898285 158.83197) (xy 275.41965 159.30977) (xy 275.321559 159.546) (xy 242.689549 159.546) + (xy 242.48803 159.058285) (xy 242.01023 158.57965) (xy 241.385634 158.320296) (xy 240.709333 158.319706) (xy 240.084285 158.57797) + (xy 239.60565 159.05577) (xy 239.402089 159.546) (xy 229.87 159.546) (xy 229.299801 159.659419) (xy 228.816411 159.982411) + (xy 224.172822 164.626) (xy 222.523416 164.626) (xy 222.536723 164.616908) (xy 222.622644 164.483383) (xy 222.65 164.338) + (xy 222.65 158.070667) (xy 227.661706 158.070667) (xy 227.91997 158.695715) (xy 228.39777 159.17435) (xy 229.022366 159.433704) + (xy 229.698667 159.434294) (xy 230.323715 159.17603) (xy 230.80235 158.69823) (xy 231.061704 158.073634) (xy 231.062294 157.397333) + (xy 230.80403 156.772285) (xy 230.578806 156.546667) (xy 294.641506 156.546667) (xy 294.89977 157.171715) (xy 295.37757 157.65035) + (xy 296.002166 157.909704) (xy 296.678467 157.910294) (xy 297.303515 157.65203) (xy 297.78215 157.17423) (xy 298.041504 156.549634) + (xy 298.042094 155.873333) (xy 297.78383 155.248285) (xy 297.30603 154.76965) (xy 296.681434 154.510296) (xy 296.005133 154.509706) + (xy 295.380085 154.76797) (xy 294.90145 155.24577) (xy 294.642096 155.870366) (xy 294.641506 156.546667) (xy 230.578806 156.546667) + (xy 230.32623 156.29365) (xy 229.701634 156.034296) (xy 229.025333 156.033706) (xy 228.400285 156.29197) (xy 227.92165 156.76977) + (xy 227.662296 157.394366) (xy 227.661706 158.070667) (xy 222.65 158.070667) (xy 222.65 157.48) (xy 222.618486 157.324378) + (xy 222.528908 157.193277) (xy 222.395383 157.107356) (xy 222.25 157.08) (xy 213.614 157.08) (xy 213.458378 157.111514) + (xy 213.327277 157.201092) (xy 213.241356 157.334617) (xy 213.214 157.48) (xy 213.214 164.338) (xy 213.245514 164.493622) + (xy 213.335092 164.624723) (xy 213.337077 164.626) (xy 158.070659 164.626) (xy 157.565634 164.416296) (xy 156.889333 164.415706) + (xy 156.264285 164.67397) (xy 155.78565 165.15177) (xy 155.526296 165.776366) (xy 155.525706 166.452667) (xy 155.78397 167.077715) + (xy 156.26177 167.55635) (xy 156.886366 167.815704) (xy 157.562667 167.816294) (xy 158.071618 167.606) (xy 188.292029 167.606) + (xy 188.291706 167.976667) (xy 188.54997 168.601715) (xy 189.02777 169.08035) (xy 189.652366 169.339704) (xy 190.328667 169.340294) + (xy 190.837618 169.13) (xy 225.044 169.13) (xy 225.614199 169.016581) (xy 226.097589 168.693589) (xy 229.217178 165.574) + (xy 232.57957 165.574) (xy 232.49365 165.65977) (xy 232.234296 166.284366) (xy 232.233706 166.960667) (xy 232.49197 167.585715) + (xy 232.96977 168.06435) (xy 233.594366 168.323704) (xy 233.955997 168.324019) (xy 233.515411 168.618411) (xy 231.572674 170.561148) + (xy 231.067285 170.76997) (xy 230.58865 171.24777) (xy 230.329296 171.872366) (xy 230.328706 172.548667) (xy 230.58697 173.173715) + (xy 231.06477 173.65235) (xy 231.689366 173.911704) (xy 232.365667 173.912294) (xy 232.990715 173.65403) (xy 233.46935 173.17623) + (xy 233.680532 172.667646) (xy 235.186178 171.162) (xy 239.377563 171.162) (xy 239.267599 171.326572) (xy 239.142 171.958) + (xy 239.142 173.736) (xy 239.267599 174.367428) (xy 239.480356 174.685841) (xy 239.233099 174.520629) (xy 238.506 174.376) + (xy 235.966 174.376) (xy 235.238901 174.520629) (xy 234.622497 174.932497) (xy 234.210629 175.548901) (xy 234.143914 175.884302) + (xy 233.783273 176.125274) (xy 233.275274 176.633274) (xy 232.917599 177.168572) (xy 232.792 177.8) (xy 232.792 181.688548) + (xy 232.51968 181.960868) (xy 231.949096 182.074364) (xy 231.235365 182.551264) (xy 230.758465 183.264995) (xy 230.591 184.106899) + (xy 230.591 184.193101) (xy 230.758465 185.035005) (xy 231.235365 185.748736) (xy 231.285148 185.782) (xy 219.856 185.782) + (xy 219.856 171.196) (xy 219.824486 171.040378) (xy 219.734908 170.909277) (xy 219.601383 170.823356) (xy 219.456 170.796) + (xy 136.583413 170.796) (xy 136.755641 170.538242) (xy 136.927947 169.672) (xy 136.755641 168.805758) (xy 136.485859 168.402) + (xy 136.755641 167.998242) (xy 136.927947 167.132) (xy 136.755641 166.265758) (xy 136.264954 165.531393) (xy 135.977908 165.339595) + (xy 136.145544 164.934869) (xy 136.004995 164.692) (xy 134.72 164.692) (xy 134.72 164.712) (xy 134.52 164.712) + (xy 134.52 164.692) (xy 133.235005 164.692) (xy 133.094456 164.934869) (xy 133.262092 165.339595) (xy 132.975046 165.531393) + (xy 132.484359 166.265758) (xy 132.312053 167.132) (xy 132.484359 167.998242) (xy 132.754141 168.402) (xy 132.484359 168.805758) + (xy 132.312053 169.672) (xy 132.484359 170.538242) (xy 132.656587 170.796) (xy 125.622 170.796) (xy 125.622 162.052) + (xy 132.312053 162.052) (xy 132.484359 162.918242) (xy 132.975046 163.652607) (xy 133.262092 163.844405) (xy 133.094456 164.249131) + (xy 133.235005 164.492) (xy 134.52 164.492) (xy 134.52 164.472) (xy 134.72 164.472) (xy 134.72 164.492) + (xy 136.004995 164.492) (xy 136.145544 164.249131) (xy 135.977908 163.844405) (xy 136.264954 163.652607) (xy 136.755641 162.918242) + (xy 136.927947 162.052) (xy 136.755641 161.185758) (xy 136.264954 160.451393) (xy 135.530589 159.960706) (xy 134.664347 159.7884) + (xy 134.575653 159.7884) (xy 133.709411 159.960706) (xy 132.975046 160.451393) (xy 132.484359 161.185758) (xy 132.312053 162.052) + (xy 125.622 162.052) (xy 125.622 153.562) (xy 310.242 153.562) + ) + ) + (filled_polygon + (pts + (xy 252.148497 187.017503) (xy 252.764901 187.429371) (xy 253.492 187.574) (xy 259.588 187.574) (xy 260.315099 187.429371) + (xy 260.931503 187.017503) (xy 261.899006 186.05) (xy 272.8859 186.05) (xy 272.8859 186.954565) (xy 272.836196 187.074266) + (xy 272.835687 187.657256) (xy 272.205333 187.656706) (xy 271.580285 187.91497) (xy 271.10165 188.39277) (xy 271.056294 188.502) + (xy 269.406411 188.502) (xy 269.397381 188.456601) (xy 269.074389 187.973211) (xy 268.515589 187.414411) (xy 268.032199 187.091419) + (xy 267.462 186.978) (xy 263.906 186.978) (xy 263.335801 187.091419) (xy 262.852411 187.414411) (xy 262.433674 187.833148) + (xy 261.928285 188.04197) (xy 261.44965 188.51977) (xy 261.190296 189.144366) (xy 261.189888 189.612) (xy 250.59 189.612) + (xy 250.59 188.722) (xy 250.558486 188.566378) (xy 250.459069 188.426024) (xy 247.665069 185.886024) (xy 247.528719 185.80466) + (xy 247.396 185.782) (xy 242.869783 185.782) (xy 243.109635 185.621736) (xy 243.586535 184.908005) (xy 243.754 184.066101) + (xy 243.754 183.979899) (xy 243.586535 183.137995) (xy 243.156231 182.494) (xy 247.624994 182.494) + ) + ) + (filled_polygon + (pts + (xy 239.407969 184.337422) (xy 239.521465 184.908005) (xy 239.998365 185.621736) (xy 240.238217 185.782) (xy 234.296852 185.782) + (xy 234.346635 185.748736) (xy 234.823535 185.035005) (xy 234.991 184.193101) (xy 234.991 184.156452) (xy 235.608726 183.538726) + (xy 235.966402 183.003427) (xy 236.092 182.372) (xy 236.092 181.021452) + ) + ) + (filled_polygon + (pts + (xy 312.708 169.273178) (xy 312.708 176.420822) (xy 309.309999 179.818823) (xy 309.11223 179.340185) (xy 308.63443 178.86155) + (xy 308.009834 178.602196) (xy 307.333533 178.601606) (xy 306.708485 178.85987) (xy 306.620139 178.948061) (xy 303.135789 175.463711) + (xy 302.686004 175.163174) (xy 304.699266 173.149912) (xy 305.12005 173.15028) (xy 305.730366 173.403704) (xy 306.406667 173.404294) + (xy 306.630404 173.311848) (xy 306.15365 173.78777) (xy 305.894296 174.412366) (xy 305.893706 175.088667) (xy 306.15197 175.713715) + (xy 306.62977 176.19235) (xy 307.254366 176.451704) (xy 307.930667 176.452294) (xy 308.370471 176.270571) (xy 308.370206 176.574567) + (xy 308.62847 177.199615) (xy 309.10627 177.67825) (xy 309.730866 177.937604) (xy 310.407167 177.938194) (xy 311.032215 177.67993) + (xy 311.51085 177.20213) (xy 311.770204 176.577534) (xy 311.770794 175.901233) (xy 311.51253 175.276185) (xy 311.03473 174.79755) + (xy 310.410134 174.538196) (xy 309.733833 174.537606) (xy 309.294029 174.719329) (xy 309.294294 174.415333) (xy 309.03603 173.790285) + (xy 308.55823 173.31165) (xy 307.933634 173.052296) (xy 307.257333 173.051706) (xy 307.033596 173.144152) (xy 307.240488 172.937621) + (xy 307.292466 172.959204) (xy 307.968767 172.959794) (xy 308.593815 172.70153) (xy 309.07245 172.22373) (xy 309.308086 171.656253) + (xy 309.540366 171.752704) (xy 310.216667 171.753294) (xy 310.841715 171.49503) (xy 311.32035 171.01723) (xy 311.579704 170.392634) + (xy 311.580294 169.716333) (xy 311.32203 169.091285) (xy 310.84423 168.61265) (xy 310.219634 168.353296) (xy 309.543333 168.352706) + (xy 309.044655 168.558755) (xy 309.008681 168.377901) (xy 308.685689 167.894511) (xy 307.889178 167.098) (xy 310.532822 167.098) + ) + ) + (filled_polygon + (pts + (xy 278.54385 163.85637) (xy 278.287555 164.4736) (xy 277.522956 164.4736) (xy 277.543704 164.423634) (xy 277.544294 163.747333) + (xy 277.459452 163.542) (xy 278.858769 163.542) + ) + ) + ) + (zone (net 23) (net_name VCC) (layer F.Cu) (tstamp 58FF4F7E) (hatch edge 0.508) + (connect_pads (clearance 0.6)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.3) (thermal_bridge_width 1)) + (polygon + (pts + (xy 311.15 193.294) (xy 303.022 201.168) (xy 294.894 193.04) (xy 303.022 185.166) + ) + ) + (filled_polygon + (pts + (xy 305.631208 188.128762) (xy 305.631001 188.365546) (xy 305.805709 188.788372) (xy 306.128927 189.112154) (xy 306.551447 189.2876) + (xy 306.790254 189.287808) (xy 307.643829 190.141383) (xy 307.5745 190.308347) (xy 307.574101 190.765846) (xy 307.748809 191.188672) + (xy 308.072027 191.512454) (xy 308.082155 191.51666) (xy 308.082101 191.578646) (xy 308.256809 192.001472) (xy 308.580027 192.325254) + (xy 308.993637 192.497) (xy 309.316627 192.820554) (xy 309.739147 192.996) (xy 310.196646 192.996399) (xy 310.410488 192.908042) + (xy 310.502242 192.999796) (xy 310.245428 193.105909) (xy 309.921646 193.429127) (xy 309.7462 193.851647) (xy 309.74616 193.897134) + (xy 309.347753 193.7317) (xy 308.890254 193.731301) (xy 308.467428 193.906009) (xy 308.329831 194.043367) (xy 307.690484 193.40402) + (xy 307.385527 193.200253) (xy 307.292359 193.181721) (xy 307.025804 193.1287) (xy 306.096167 193.1287) (xy 306.061873 193.094346) + (xy 305.639353 192.9189) (xy 305.181854 192.918501) (xy 304.759028 193.093209) (xy 304.435246 193.416427) (xy 304.2598 193.838947) + (xy 304.259401 194.296446) (xy 304.434109 194.719272) (xy 304.757327 195.043054) (xy 305.179847 195.2185) (xy 305.637346 195.218899) + (xy 306.060172 195.044191) (xy 306.095725 195.0087) (xy 306.233887 195.0087) (xy 306.225946 195.016627) (xy 306.0505 195.439147) + (xy 306.050261 195.712882) (xy 305.613654 195.712501) (xy 305.190828 195.887209) (xy 304.867046 196.210427) (xy 304.6916 196.632947) + (xy 304.691201 197.090446) (xy 304.865909 197.513272) (xy 305.189127 197.837054) (xy 305.611647 198.0125) (xy 305.673431 198.012554) + (xy 305.729509 198.148272) (xy 305.754252 198.173058) (xy 303.219709 200.628397) (xy 303.039273 200.447646) (xy 303.009452 200.435263) + (xy 302.854491 200.060228) (xy 302.531273 199.736446) (xy 302.312166 199.645465) (xy 302.168691 199.298228) (xy 301.845473 198.974446) + (xy 301.422953 198.799) (xy 301.263174 198.798861) (xy 301.083473 198.618846) (xy 300.660953 198.4434) (xy 300.650945 198.443391) + (xy 299.955659 197.748105) (xy 299.955799 197.587454) (xy 299.781091 197.164628) (xy 299.457873 196.840846) (xy 299.035353 196.6654) + (xy 298.872812 196.665258) (xy 298.079563 195.872009) (xy 298.048447 195.715577) (xy 297.844681 195.41062) (xy 297.745957 195.311896) + (xy 297.745999 195.263354) (xy 297.571291 194.840528) (xy 297.248073 194.516746) (xy 296.825553 194.3413) (xy 296.548612 194.341058) + (xy 295.250382 193.042828) (xy 297.766048 190.605776) (xy 298.017047 190.71) (xy 298.474546 190.710399) (xy 298.897372 190.535691) + (xy 298.972147 190.461046) (xy 300.144601 190.461046) (xy 300.319309 190.883872) (xy 300.642527 191.207654) (xy 301.065047 191.3831) + (xy 301.522546 191.383499) (xy 301.945372 191.208791) (xy 302.269154 190.885573) (xy 302.4446 190.463053) (xy 302.444999 190.005554) + (xy 302.270291 189.582728) (xy 301.947073 189.258946) (xy 301.524553 189.0835) (xy 301.067054 189.083101) (xy 300.644228 189.257809) + (xy 300.320446 189.581027) (xy 300.145 190.003547) (xy 300.144601 190.461046) (xy 298.972147 190.461046) (xy 299.221154 190.212473) + (xy 299.3966 189.789953) (xy 299.396999 189.332454) (xy 299.368036 189.262359) (xy 299.642946 189.262599) (xy 299.977155 189.124507) + (xy 300.125247 189.186) (xy 300.582746 189.186399) (xy 301.005572 189.011691) (xy 301.329354 188.688473) (xy 301.5048 188.265953) + (xy 301.505117 187.902974) (xy 301.583354 187.824873) (xy 301.7588 187.402353) (xy 301.759199 186.944854) (xy 301.697987 186.79671) + (xy 302.495914 186.023718) (xy 302.932246 186.024099) (xy 303.352783 185.850337) + ) + ) + ) + (zone (net 157) (net_name "Net-(D350-Pad2)") (layer F.Cu) (tstamp 58FF6B5B) (hatch edge 0.508) + (connect_pads (clearance 0.6)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 196.85 196.088) (xy 204.47 196.088) (xy 204.47 200.914) (xy 205.486 201.93) (xy 205.486 209.296) + (xy 197.866 209.296) (xy 197.866 203.2) + ) + ) + (filled_polygon + (pts + (xy 204.22 196.972296) (xy 204.183799 196.884899) (xy 203.972821 196.673921) (xy 203.697165 196.55974) (xy 200.63522 196.55974) + (xy 200.44772 196.74724) (xy 200.44772 200.41) (xy 200.46772 200.41) (xy 200.46772 200.91) (xy 200.44772 200.91) + (xy 200.44772 204.57276) (xy 200.63522 204.76026) (xy 203.697165 204.76026) (xy 203.972821 204.646079) (xy 204.183799 204.435101) + (xy 204.29798 204.159444) (xy 204.29798 201.0975) (xy 204.110482 200.910002) (xy 204.22 200.910002) (xy 204.22 200.914) + (xy 204.239696 201.011264) (xy 204.293223 201.090777) (xy 205.236 202.033554) (xy 205.236 209.046) (xy 198.116 209.046) + (xy 198.116 204.76026) (xy 199.76022 204.76026) (xy 199.94772 204.57276) (xy 199.94772 200.91) (xy 199.92772 200.91) + (xy 199.92772 200.41) (xy 199.94772 200.41) (xy 199.94772 196.74724) (xy 199.76022 196.55974) (xy 199.466064 196.55974) + (xy 199.516 196.439184) (xy 199.516 196.338) (xy 204.22 196.338) + ) + ) + ) + (zone (net 0) (net_name "") (layer F.Mask) (tstamp 58FFC1FA) (hatch full 0.508) + (connect_pads (clearance 0.6)) + (min_thickness 0.254) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 278.638 203.962) (xy 279.4 204.724) (xy 282.194 204.724) (xy 282.448 204.978) (xy 282.448 208.28) + (xy 281.686 209.042) (xy 279.146 209.042) (xy 276.606 211.582) (xy 276.606 212.598) (xy 276.86 212.852) + (xy 276.86 218.186) (xy 275.844 219.202) (xy 270.764 219.202) (xy 270.002 219.71) (xy 270.002 221.996) + (xy 269.494 222.25) (xy 265.938 222.25) (xy 265.176 221.488) (xy 265.176 214.63) (xy 263.398 212.852) + (xy 263.398 202.946) (xy 272.288 202.946) (xy 273.05 202.184) (xy 278.638 202.184) (xy 278.638 203.962) + ) + ) + (filled_polygon + (pts + (xy 278.511 203.962) (xy 278.521006 204.01141) (xy 278.548197 204.051803) (xy 279.310197 204.813803) (xy 279.352211 204.841666) + (xy 279.4 204.851) (xy 282.141394 204.851) (xy 282.321 205.030606) (xy 282.321 208.227394) (xy 281.633394 208.915) + (xy 279.146 208.915) (xy 279.09659 208.925006) (xy 279.056197 208.952197) (xy 276.516197 211.492197) (xy 276.488334 211.534211) + (xy 276.479 211.582) (xy 276.479 212.598) (xy 276.489006 212.64741) (xy 276.516197 212.687803) (xy 276.733 212.904606) + (xy 276.733 218.133394) (xy 275.791394 219.075) (xy 270.764 219.075) (xy 270.693553 219.09633) (xy 269.931553 219.60433) + (xy 269.895992 219.640063) (xy 269.875 219.71) (xy 269.875 221.91751) (xy 269.46402 222.123) (xy 265.990606 222.123) + (xy 265.303 221.435394) (xy 265.303 214.63) (xy 265.292994 214.58059) (xy 265.265803 214.540197) (xy 263.525 212.799394) + (xy 263.525 203.073) (xy 272.288 203.073) (xy 272.33741 203.062994) (xy 272.377803 203.035803) (xy 273.102606 202.311) + (xy 278.511 202.311) + ) + ) + ) + (zone (net 5) (net_name GND) (layer F.Cu) (tstamp 58FFC6F8) (hatch edge 0.508) + (connect_pads (clearance 1)) + (min_thickness 0.8) + (fill yes (arc_segments 16) (thermal_gap 0.3) (thermal_bridge_width 1.2)) + (polygon + (pts + (xy 189.992 209.296) (xy 196.342 209.296) (xy 196.342 214.122) (xy 189.992 214.122) + ) + ) + (filled_polygon + (pts + (xy 194.256 210.634) (xy 194.276 210.634) (xy 194.276 211.034) (xy 194.256 211.034) (xy 194.256 211.859) + (xy 194.431 212.034) (xy 195.695238 212.034) (xy 195.942 211.931789) (xy 195.942 213.722) (xy 190.392 213.722) + (xy 190.392 213.362087) (xy 190.420761 213.374) (xy 190.685 213.374) (xy 190.86 213.199) (xy 190.86 211.274) + (xy 190.84 211.274) (xy 190.84 210.874) (xy 190.86 210.874) (xy 190.86 210.854) (xy 191.26 210.854) + (xy 191.26 210.874) (xy 191.28 210.874) (xy 191.28 211.274) (xy 191.26 211.274) (xy 191.26 213.199) + (xy 191.435 213.374) (xy 191.699239 213.374) (xy 191.956518 213.267431) (xy 192.153432 213.070518) (xy 192.26 212.813238) + (xy 192.26 211.969068) (xy 192.416762 212.034) (xy 193.681 212.034) (xy 193.856 211.859) (xy 193.856 211.034) + (xy 193.836 211.034) (xy 193.836 210.634) (xy 193.856 210.634) (xy 193.856 210.614) (xy 194.256 210.614) + ) + ) + ) + (zone (net 23) (net_name VCC) (layer B.Cu) (tstamp 58FF4F7E) (hatch edge 0.508) + (connect_pads (clearance 1)) + (min_thickness 0.8) + (fill yes (arc_segments 16) (thermal_gap 0.3) (thermal_bridge_width 1)) + (polygon + (pts + (xy 311.15 193.294) (xy 303.022 201.168) (xy 294.894 193.04) (xy 303.022 185.166) + ) + ) + (filled_polygon + (pts + (xy 303.96757 195.030415) (xy 304.44537 195.50905) (xy 304.689572 195.610451) (xy 304.40105 195.89847) (xy 304.141696 196.523066) + (xy 304.141106 197.199367) (xy 304.39937 197.824415) (xy 304.87717 198.30305) (xy 305.246276 198.456316) (xy 304.067466 199.598288) + (xy 303.821589 199.352411) (xy 303.338199 199.029419) (xy 302.768 198.916) (xy 302.564269 198.916) (xy 302.15743 198.50845) + (xy 301.532834 198.249096) (xy 301.491472 198.24906) (xy 301.39543 198.15285) (xy 300.815807 197.912171) (xy 303.758089 194.969889) + (xy 303.872074 194.799298) + ) + ) + (filled_polygon + (pts + (xy 304.971939 187.681625) (xy 304.8812 188.1378) (xy 304.8812 192.447628) (xy 304.447885 192.62667) (xy 304.1945 192.879613) + (xy 304.1945 186.904186) + ) + ) + ) + (zone (net 38) (net_name "Net-(D201-Pad1)") (layer F.Cu) (tstamp 590029D5) (hatch edge 0.508) + (connect_pads (clearance 0.5)) + (min_thickness 0.2) + (fill yes (arc_segments 16) (thermal_gap 0.4) (thermal_bridge_width 1)) + (polygon + (pts + (xy 251.206 169.672) (xy 262.636 169.672) (xy 262.636 180.848) (xy 260.35 180.848) (xy 258.318 178.562) + (xy 256.032 178.562) (xy 256.032 176.784) (xy 251.206 176.784) + ) + ) + (filled_polygon + (pts + (xy 262.536 170.340886) (xy 262.356996 170.26674) (xy 259.43228 170.26674) (xy 259.30728 170.39174) (xy 259.30728 173.717) + (xy 259.32728 173.717) (xy 259.32728 174.517) (xy 259.30728 174.517) (xy 259.30728 177.84226) (xy 259.43228 177.96726) + (xy 262.356996 177.96726) (xy 262.536 177.893114) (xy 262.536 180.748) (xy 260.394906 180.748) (xy 258.392741 178.495564) + (xy 258.361005 178.471719) (xy 258.318 178.462) (xy 256.132 178.462) (xy 256.132 177.96726) (xy 258.38228 177.96726) + (xy 258.50728 177.84226) (xy 258.50728 174.517) (xy 255.18202 174.517) (xy 255.05702 174.642) (xy 255.05702 176.684) + (xy 251.306 176.684) (xy 251.306 174.855) (xy 251.711 174.855) (xy 251.711 175.479456) (xy 251.78712 175.663227) + (xy 251.927773 175.803879) (xy 252.111544 175.88) (xy 252.586 175.88) (xy 252.711 175.755) (xy 252.711 174.73) + (xy 253.511 174.73) (xy 253.511 175.755) (xy 253.636 175.88) (xy 254.110456 175.88) (xy 254.294227 175.803879) + (xy 254.43488 175.663227) (xy 254.511 175.479456) (xy 254.511 174.855) (xy 254.386 174.73) (xy 253.511 174.73) + (xy 252.711 174.73) (xy 251.836 174.73) (xy 251.711 174.855) (xy 251.306 174.855) (xy 251.306 173.180544) + (xy 251.711 173.180544) (xy 251.711 173.805) (xy 251.836 173.93) (xy 252.711 173.93) (xy 252.711 172.905) + (xy 253.511 172.905) (xy 253.511 173.93) (xy 254.386 173.93) (xy 254.511 173.805) (xy 254.511 173.180544) + (xy 254.43488 172.996773) (xy 254.294227 172.856121) (xy 254.110456 172.78) (xy 253.636 172.78) (xy 253.511 172.905) + (xy 252.711 172.905) (xy 252.586 172.78) (xy 252.111544 172.78) (xy 251.927773 172.856121) (xy 251.78712 172.996773) + (xy 251.711 173.180544) (xy 251.306 173.180544) (xy 251.306 170.667284) (xy 255.05702 170.667284) (xy 255.05702 173.592) + (xy 255.18202 173.717) (xy 258.50728 173.717) (xy 258.50728 170.39174) (xy 258.38228 170.26674) (xy 255.457564 170.26674) + (xy 255.273793 170.342861) (xy 255.13314 170.483513) (xy 255.05702 170.667284) (xy 251.306 170.667284) (xy 251.306 169.772) + (xy 262.536 169.772) + ) + ) + ) + (zone (net 38) (net_name "Net-(D201-Pad1)") (layer B.Cu) (tstamp 590029D5) (hatch edge 0.508) + (connect_pads (clearance 0.5)) + (min_thickness 0.2) + (fill yes (arc_segments 16) (thermal_gap 0.4) (thermal_bridge_width 1)) + (polygon + (pts + (xy 251.206 169.672) (xy 262.636 169.672) (xy 262.636 180.848) (xy 260.35 180.848) (xy 258.318 178.562) + (xy 256.032 178.562) (xy 256.032 176.784) (xy 251.206 176.784) + ) + ) + (filled_polygon + (pts + (xy 262.536 180.748) (xy 260.394906 180.748) (xy 258.392741 178.495564) (xy 258.361005 178.471719) (xy 258.318 178.462) + (xy 256.132 178.462) (xy 256.132 176.784) (xy 256.124121 176.745094) (xy 256.101727 176.712319) (xy 256.068346 176.690839) + (xy 256.032 176.684) (xy 251.306 176.684) (xy 251.306 169.772) (xy 262.536 169.772) + ) + ) + ) + (zone (net 52) (net_name "Net-(D300-Pad2)") (layer B.Cu) (tstamp 58FBCA32) (hatch edge 0.508) + (connect_pads (clearance 0.7)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 160.782 196.342) (xy 163.068 201.676) (xy 163.068 206.248) (xy 159.004 206.248) (xy 159.004 201.422) + (xy 158.242 201.422) (xy 141.732 201.422) (xy 141.732 198.374) (xy 148.336 192.024) (xy 156.972 192.024) + (xy 156.972 196.342) + ) + ) + (filled_polygon + (pts + (xy 156.722 196.342) (xy 156.741696 196.439264) (xy 156.797682 196.521202) (xy 156.881136 196.574903) (xy 156.972 196.592) + (xy 160.617151 196.592) (xy 162.811648 201.712494) (xy 162.355337 201.491226) (xy 162.048 201.616824) (xy 162.048 203.712) + (xy 162.068 203.712) (xy 162.068 204.212) (xy 162.048 204.212) (xy 162.048 204.232) (xy 161.548 204.232) + (xy 161.548 204.212) (xy 159.798 204.212) (xy 159.798 204.762) (xy 160.045912 205.508337) (xy 160.469698 205.998) + (xy 159.254 205.998) (xy 159.254 203.162) (xy 159.798 203.162) (xy 159.798 203.712) (xy 161.548 203.712) + (xy 161.548 201.616824) (xy 161.240663 201.491226) (xy 160.560563 201.82101) (xy 160.045912 202.415663) (xy 159.798 203.162) + (xy 159.254 203.162) (xy 159.254 201.422) (xy 159.234304 201.324736) (xy 159.178318 201.242798) (xy 159.094864 201.189097) + (xy 159.004 201.172) (xy 156.787759 201.172) (xy 156.718 201.158124) (xy 156.648241 201.172) (xy 151.707759 201.172) + (xy 151.638 201.158124) (xy 151.568241 201.172) (xy 146.627759 201.172) (xy 146.558 201.158124) (xy 146.488241 201.172) + (xy 141.982 201.172) (xy 141.982 198.480436) (xy 148.436693 192.274) (xy 156.722 192.274) + ) + ) + ) + (zone (net 14) (net_name /VCC12V) (layer B.Cu) (tstamp 59002A7D) (hatch edge 0.508) + (connect_pads (clearance 1)) + (min_thickness 0.8) + (fill yes (arc_segments 16) (thermal_gap 0.4) (thermal_bridge_width 1)) + (polygon + (pts + (xy 195.58 194.056) (xy 195.58 207.264) (xy 164.084 207.264) (xy 164.084 200.406) (xy 163.83 200.406) + (xy 161.544 195.326) (xy 161.544 190.246) (xy 148.336 190.246) (xy 140.716 197.866) (xy 140.716 200.406) + (xy 140.462 200.406) (xy 137.922 202.946) (xy 125.222 202.946) (xy 125.222 175.514) (xy 215.392 175.514) + (xy 215.392 184.531) (xy 211.074 188.214) (xy 211.074 196.088) (xy 205.486 196.088) (xy 205.486 194.056) + (xy 195.58 194.056) + ) + ) + (filled_polygon + (pts + (xy 132.975046 176.352607) (xy 133.179499 176.489218) (xy 132.995496 176.933457) (xy 133.15982 177.192) (xy 134.52 177.192) + (xy 134.52 177.172) (xy 134.72 177.172) (xy 134.72 177.192) (xy 136.08018 177.192) (xy 136.244504 176.933457) + (xy 136.060501 176.489218) (xy 136.264954 176.352607) (xy 136.558022 175.914) (xy 193.084522 175.914) (xy 192.864296 176.444366) + (xy 192.863706 177.120667) (xy 193.12197 177.745715) (xy 193.59977 178.22435) (xy 193.621578 178.233406) (xy 193.62997 178.253715) + (xy 194.10777 178.73235) (xy 194.732366 178.991704) (xy 195.408667 178.992294) (xy 195.579648 178.921646) (xy 195.748366 178.991704) + (xy 196.424667 178.992294) (xy 197.049715 178.73403) (xy 197.52835 178.25623) (xy 197.537406 178.234422) (xy 197.557715 178.22603) + (xy 198.03635 177.74823) (xy 198.295704 177.123634) (xy 198.296294 176.447333) (xy 198.075926 175.914) (xy 214.992 175.914) + (xy 214.992 184.346437) (xy 210.814421 187.909666) (xy 210.71647 188.034634) (xy 210.674 188.214) (xy 210.674 195.688) + (xy 210.626 195.688) (xy 210.626 194.864) (xy 210.426 194.664) (xy 208.126 194.664) (xy 208.126 194.684) + (xy 207.926 194.684) (xy 207.926 194.664) (xy 207.906 194.664) (xy 207.906 194.464) (xy 207.926 194.464) + (xy 207.926 192.714) (xy 208.126 192.714) (xy 208.126 194.464) (xy 210.426 194.464) (xy 210.626 194.264) + (xy 210.626 193.15487) (xy 210.504207 192.860836) (xy 210.279164 192.635793) (xy 209.98513 192.514) (xy 208.326 192.514) + (xy 208.126 192.714) (xy 207.926 192.714) (xy 207.726 192.514) (xy 206.06687 192.514) (xy 205.772836 192.635793) + (xy 205.547793 192.860836) (xy 205.426 193.15487) (xy 205.426 193.656) (xy 195.58 193.656) (xy 195.424378 193.687514) + (xy 195.293277 193.777092) (xy 195.207356 193.910617) (xy 195.18 194.056) (xy 195.18 206.864) (xy 192.034301 206.864) + (xy 192.19435 206.70423) (xy 192.453704 206.079634) (xy 192.454294 205.403333) (xy 192.383646 205.232352) (xy 192.453704 205.063634) + (xy 192.454294 204.387333) (xy 192.331042 204.089039) (xy 192.453704 203.793634) (xy 192.454294 203.117333) (xy 192.19603 202.492285) + (xy 191.866474 202.162153) (xy 191.68803 201.730285) (xy 191.21023 201.25165) (xy 190.585634 200.992296) (xy 189.909333 200.991706) + (xy 189.293224 201.246276) (xy 188.639333 201.245706) (xy 188.014285 201.50397) (xy 187.53565 201.98177) (xy 187.276296 202.606366) + (xy 187.275706 203.282667) (xy 187.504168 203.835588) (xy 187.276296 204.384366) (xy 187.275706 205.060667) (xy 187.398958 205.358961) + (xy 187.276296 205.654366) (xy 187.275706 206.330667) (xy 187.496074 206.864) (xy 164.484 206.864) (xy 164.484 204.262) + (xy 164.828 204.262) (xy 164.828 205.92113) (xy 164.949793 206.215164) (xy 165.174836 206.440207) (xy 165.46887 206.562) + (xy 166.578 206.562) (xy 166.778 206.362) (xy 166.778 204.062) (xy 166.978 204.062) (xy 166.978 206.362) + (xy 167.178 206.562) (xy 168.28713 206.562) (xy 168.581164 206.440207) (xy 168.806207 206.215164) (xy 168.928 205.92113) + (xy 168.928 204.262) (xy 168.728 204.062) (xy 166.978 204.062) (xy 166.778 204.062) (xy 165.028 204.062) + (xy 164.828 204.262) (xy 164.484 204.262) (xy 164.484 202.00287) (xy 164.828 202.00287) (xy 164.828 203.662) + (xy 165.028 203.862) (xy 166.778 203.862) (xy 166.778 201.562) (xy 166.978 201.562) (xy 166.978 203.862) + (xy 168.728 203.862) (xy 168.928 203.662) (xy 168.928 202.00287) (xy 168.81166 201.722) (xy 176.604 201.722) + (xy 176.604 202.23113) (xy 176.725793 202.525164) (xy 176.950836 202.750207) (xy 177.24487 202.872) (xy 177.754 202.872) + (xy 177.954 202.672) (xy 177.954 201.522) (xy 178.154 201.522) (xy 178.154 202.672) (xy 178.354 202.872) + (xy 178.86313 202.872) (xy 179.157164 202.750207) (xy 179.382207 202.525164) (xy 179.504 202.23113) (xy 179.504 201.827981) + (xy 181.003645 201.827981) (xy 181.315081 202.581715) (xy 181.891252 203.158892) (xy 182.644441 203.471643) (xy 183.459981 203.472355) + (xy 184.213715 203.160919) (xy 184.790892 202.584748) (xy 185.103643 201.831559) (xy 185.104355 201.016019) (xy 184.792919 200.262285) + (xy 184.216748 199.685108) (xy 183.463559 199.372357) (xy 182.648019 199.371645) (xy 181.894285 199.683081) (xy 181.317108 200.259252) + (xy 181.004357 201.012441) (xy 181.003645 201.827981) (xy 179.504 201.827981) (xy 179.504 201.722) (xy 179.304 201.522) + (xy 178.154 201.522) (xy 177.954 201.522) (xy 176.804 201.522) (xy 176.604 201.722) (xy 168.81166 201.722) + (xy 168.806207 201.708836) (xy 168.581164 201.483793) (xy 168.28713 201.362) (xy 167.178 201.362) (xy 166.978 201.562) + (xy 166.778 201.562) (xy 166.578 201.362) (xy 165.46887 201.362) (xy 165.174836 201.483793) (xy 164.949793 201.708836) + (xy 164.828 202.00287) (xy 164.484 202.00287) (xy 164.484 200.61287) (xy 176.604 200.61287) (xy 176.604 201.122) + (xy 176.804 201.322) (xy 177.954 201.322) (xy 177.954 200.172) (xy 178.154 200.172) (xy 178.154 201.322) + (xy 179.304 201.322) (xy 179.504 201.122) (xy 179.504 200.61287) (xy 179.382207 200.318836) (xy 179.157164 200.093793) + (xy 178.86313 199.972) (xy 178.354 199.972) (xy 178.154 200.172) (xy 177.954 200.172) (xy 177.754 199.972) + (xy 177.24487 199.972) (xy 176.950836 200.093793) (xy 176.725793 200.318836) (xy 176.604 200.61287) (xy 164.484 200.61287) + (xy 164.484 200.406) (xy 164.452486 200.250378) (xy 164.362908 200.119277) (xy 164.229383 200.033356) (xy 164.089063 200.006953) + (xy 161.944 195.240145) (xy 161.944 191.852667) (xy 167.971706 191.852667) (xy 168.042354 192.023648) (xy 167.972296 192.192366) + (xy 167.971706 192.868667) (xy 168.042354 193.039648) (xy 167.972296 193.208366) (xy 167.971706 193.884667) (xy 168.22997 194.509715) + (xy 168.70777 194.98835) (xy 169.332366 195.247704) (xy 170.008667 195.248294) (xy 170.306961 195.125042) (xy 170.602366 195.247704) + (xy 171.278667 195.248294) (xy 171.576961 195.125042) (xy 171.872366 195.247704) (xy 172.548667 195.248294) (xy 173.173715 194.99003) + (xy 173.65235 194.51223) (xy 173.911704 193.887634) (xy 173.912294 193.211333) (xy 173.841646 193.040352) (xy 173.911704 192.871634) + (xy 173.912294 192.195333) (xy 173.841646 192.024352) (xy 173.911704 191.855634) (xy 173.912294 191.179333) (xy 173.65403 190.554285) + (xy 173.17623 190.07565) (xy 172.551634 189.816296) (xy 171.875333 189.815706) (xy 171.577039 189.938958) (xy 171.281634 189.816296) + (xy 170.605333 189.815706) (xy 170.307039 189.938958) (xy 170.011634 189.816296) (xy 169.335333 189.815706) (xy 168.710285 190.07397) + (xy 168.23165 190.55177) (xy 167.972296 191.176366) (xy 167.971706 191.852667) (xy 161.944 191.852667) (xy 161.944 190.246) + (xy 161.912486 190.090378) (xy 161.822908 189.959277) (xy 161.689383 189.873356) (xy 161.544 189.846) (xy 150.831478 189.846) + (xy 151.051704 189.315634) (xy 151.052294 188.639333) (xy 150.981646 188.468352) (xy 151.051704 188.299634) (xy 151.052294 187.623333) + (xy 150.895558 187.244) (xy 176.604 187.244) (xy 176.604 187.75313) (xy 176.725793 188.047164) (xy 176.950836 188.272207) + (xy 177.24487 188.394) (xy 177.754 188.394) (xy 177.954 188.194) (xy 177.954 187.044) (xy 178.154 187.044) + (xy 178.154 188.194) (xy 178.354 188.394) (xy 178.86313 188.394) (xy 179.157164 188.272207) (xy 179.382207 188.047164) + (xy 179.504 187.75313) (xy 179.504 187.349981) (xy 181.003645 187.349981) (xy 181.315081 188.103715) (xy 181.891252 188.680892) + (xy 182.644441 188.993643) (xy 183.459981 188.994355) (xy 183.919061 188.804667) (xy 187.529706 188.804667) (xy 187.78797 189.429715) + (xy 188.26577 189.90835) (xy 188.890366 190.167704) (xy 189.566667 190.168294) (xy 189.688388 190.118) (xy 191.818665 190.118) + (xy 191.938366 190.167704) (xy 192.614667 190.168294) (xy 193.239715 189.91003) (xy 193.71835 189.43223) (xy 193.977704 188.807634) + (xy 193.978294 188.131333) (xy 193.72003 187.506285) (xy 193.24223 187.02765) (xy 192.617634 186.768296) (xy 191.941333 186.767706) + (xy 191.819612 186.818) (xy 189.689335 186.818) (xy 189.569634 186.768296) (xy 188.893333 186.767706) (xy 188.268285 187.02597) + (xy 187.78965 187.50377) (xy 187.530296 188.128366) (xy 187.529706 188.804667) (xy 183.919061 188.804667) (xy 184.213715 188.682919) + (xy 184.790892 188.106748) (xy 185.103643 187.353559) (xy 185.104355 186.538019) (xy 184.792919 185.784285) (xy 184.221632 185.212) + (xy 209.116 185.212) (xy 209.116 185.72113) (xy 209.237793 186.015164) (xy 209.462836 186.240207) (xy 209.75687 186.362) + (xy 210.266 186.362) (xy 210.466 186.162) (xy 210.466 185.012) (xy 210.666 185.012) (xy 210.666 186.162) + (xy 210.866 186.362) (xy 211.37513 186.362) (xy 211.669164 186.240207) (xy 211.894207 186.015164) (xy 212.016 185.72113) + (xy 212.016 185.212) (xy 211.816 185.012) (xy 210.666 185.012) (xy 210.466 185.012) (xy 209.316 185.012) + (xy 209.116 185.212) (xy 184.221632 185.212) (xy 184.216748 185.207108) (xy 183.463559 184.894357) (xy 182.648019 184.893645) + (xy 181.894285 185.205081) (xy 181.317108 185.781252) (xy 181.004357 186.534441) (xy 181.003645 187.349981) (xy 179.504 187.349981) + (xy 179.504 187.244) (xy 179.304 187.044) (xy 178.154 187.044) (xy 177.954 187.044) (xy 176.804 187.044) + (xy 176.604 187.244) (xy 150.895558 187.244) (xy 150.79403 186.998285) (xy 150.486164 186.689881) (xy 150.79235 186.38423) + (xy 150.895892 186.13487) (xy 176.604 186.13487) (xy 176.604 186.644) (xy 176.804 186.844) (xy 177.954 186.844) + (xy 177.954 185.694) (xy 178.154 185.694) (xy 178.154 186.844) (xy 179.304 186.844) (xy 179.504 186.644) + (xy 179.504 186.13487) (xy 179.382207 185.840836) (xy 179.157164 185.615793) (xy 178.86313 185.494) (xy 178.354 185.494) + (xy 178.154 185.694) (xy 177.954 185.694) (xy 177.754 185.494) (xy 177.24487 185.494) (xy 176.950836 185.615793) + (xy 176.725793 185.840836) (xy 176.604 186.13487) (xy 150.895892 186.13487) (xy 151.051704 185.759634) (xy 151.052294 185.083333) + (xy 151.002 184.961612) (xy 151.002 184.10287) (xy 209.116 184.10287) (xy 209.116 184.612) (xy 209.316 184.812) + (xy 210.466 184.812) (xy 210.466 183.662) (xy 210.666 183.662) (xy 210.666 184.812) (xy 211.816 184.812) + (xy 212.016 184.612) (xy 212.016 184.10287) (xy 211.894207 183.808836) (xy 211.669164 183.583793) (xy 211.37513 183.462) + (xy 210.866 183.462) (xy 210.666 183.662) (xy 210.466 183.662) (xy 210.266 183.462) (xy 209.75687 183.462) + (xy 209.462836 183.583793) (xy 209.237793 183.808836) (xy 209.116 184.10287) (xy 151.002 184.10287) (xy 151.002 183.309452) + (xy 152.618785 181.692667) (xy 192.355706 181.692667) (xy 192.426354 181.863648) (xy 192.356296 182.032366) (xy 192.355706 182.708667) + (xy 192.61397 183.333715) (xy 193.09177 183.81235) (xy 193.716366 184.071704) (xy 194.392667 184.072294) (xy 195.017715 183.81403) + (xy 195.49635 183.33623) (xy 195.755704 182.711634) (xy 195.756294 182.035333) (xy 195.685646 181.864352) (xy 195.755704 181.695634) + (xy 195.756294 181.019333) (xy 195.49803 180.394285) (xy 195.42186 180.317981) (xy 208.515645 180.317981) (xy 208.827081 181.071715) + (xy 209.403252 181.648892) (xy 210.156441 181.961643) (xy 210.971981 181.962355) (xy 211.725715 181.650919) (xy 212.302892 181.074748) + (xy 212.615643 180.321559) (xy 212.616355 179.506019) (xy 212.304919 178.752285) (xy 211.728748 178.175108) (xy 210.975559 177.862357) + (xy 210.160019 177.861645) (xy 209.406285 178.173081) (xy 208.829108 178.749252) (xy 208.516357 179.502441) (xy 208.515645 180.317981) + (xy 195.42186 180.317981) (xy 195.02023 179.91565) (xy 194.395634 179.656296) (xy 193.719333 179.655706) (xy 193.094285 179.91397) + (xy 192.61565 180.39177) (xy 192.356296 181.016366) (xy 192.355706 181.692667) (xy 152.618785 181.692667) (xy 153.749927 180.561525) + (xy 153.869715 180.51203) (xy 154.34835 180.03423) (xy 154.607704 179.409634) (xy 154.608294 178.733333) (xy 154.35003 178.108285) + (xy 153.87223 177.62965) (xy 153.247634 177.370296) (xy 152.571333 177.369706) (xy 151.946285 177.62797) (xy 151.46765 178.10577) + (xy 151.417143 178.227405) (xy 148.185274 181.459274) (xy 147.827599 181.994572) (xy 147.702 182.626) (xy 147.702 184.960665) + (xy 147.652296 185.080366) (xy 147.651706 185.756667) (xy 147.754702 186.005936) (xy 147.491333 186.005706) (xy 146.866285 186.26397) + (xy 146.38765 186.74177) (xy 146.303886 186.943497) (xy 146.104285 187.02597) (xy 145.62565 187.50377) (xy 145.366296 188.128366) + (xy 145.365706 188.804667) (xy 145.62397 189.429715) (xy 146.10177 189.90835) (xy 146.726366 190.167704) (xy 146.869683 190.167829) + (xy 146.969526 190.267847) (xy 147.14797 190.699715) (xy 147.232211 190.784103) (xy 140.433157 197.583157) (xy 140.3454 197.715483) + (xy 140.316 197.866) (xy 140.316 200.035566) (xy 140.306378 200.037514) (xy 140.179157 200.123157) (xy 137.756314 202.546) + (xy 125.622 202.546) (xy 125.622 192.324) (xy 130.376 192.324) (xy 130.376 192.83313) (xy 130.497793 193.127164) + (xy 130.722836 193.352207) (xy 131.01687 193.474) (xy 131.526 193.474) (xy 131.726 193.274) (xy 131.726 192.124) + (xy 131.926 192.124) (xy 131.926 193.274) (xy 132.126 193.474) (xy 132.63513 193.474) (xy 132.929164 193.352207) + (xy 133.154207 193.127164) (xy 133.276 192.83313) (xy 133.276 192.429981) (xy 134.775645 192.429981) (xy 135.087081 193.183715) + (xy 135.663252 193.760892) (xy 136.416441 194.073643) (xy 137.231981 194.074355) (xy 137.985715 193.762919) (xy 138.562892 193.186748) + (xy 138.875643 192.433559) (xy 138.876355 191.618019) (xy 138.564919 190.864285) (xy 137.988748 190.287108) (xy 137.235559 189.974357) + (xy 136.420019 189.973645) (xy 135.666285 190.285081) (xy 135.089108 190.861252) (xy 134.776357 191.614441) (xy 134.775645 192.429981) + (xy 133.276 192.429981) (xy 133.276 192.324) (xy 133.076 192.124) (xy 131.926 192.124) (xy 131.726 192.124) + (xy 130.576 192.124) (xy 130.376 192.324) (xy 125.622 192.324) (xy 125.622 191.21487) (xy 130.376 191.21487) + (xy 130.376 191.724) (xy 130.576 191.924) (xy 131.726 191.924) (xy 131.726 190.774) (xy 131.926 190.774) + (xy 131.926 191.924) (xy 133.076 191.924) (xy 133.276 191.724) (xy 133.276 191.21487) (xy 133.154207 190.920836) + (xy 132.929164 190.695793) (xy 132.63513 190.574) (xy 132.126 190.574) (xy 131.926 190.774) (xy 131.726 190.774) + (xy 131.526 190.574) (xy 131.01687 190.574) (xy 130.722836 190.695793) (xy 130.497793 190.920836) (xy 130.376 191.21487) + (xy 125.622 191.21487) (xy 125.622 178.9684) (xy 132.328973 178.9684) (xy 132.328973 180.6956) (xy 132.426594 181.214409) + (xy 132.733209 181.690903) (xy 133.201051 182.010566) (xy 133.7564 182.123027) (xy 135.4836 182.123027) (xy 136.002409 182.025406) + (xy 136.478903 181.718791) (xy 136.798566 181.250949) (xy 136.911027 180.6956) (xy 136.911027 178.9684) (xy 136.813406 178.449591) + (xy 136.506791 177.973097) (xy 136.198229 177.762265) (xy 136.244504 177.650543) (xy 136.08018 177.392) (xy 134.72 177.392) + (xy 134.72 177.412) (xy 134.52 177.412) (xy 134.52 177.392) (xy 133.15982 177.392) (xy 132.995496 177.650543) + (xy 133.042535 177.764109) (xy 132.761097 177.945209) (xy 132.441434 178.413051) (xy 132.328973 178.9684) (xy 125.622 178.9684) + (xy 125.622 175.914) (xy 132.681978 175.914) + ) + ) + ) + (zone (net 157) (net_name "Net-(D350-Pad2)") (layer B.Cu) (tstamp 58FF6B5B) (hatch edge 0.508) + (connect_pads (clearance 0.6)) + (min_thickness 0.5) + (fill yes (arc_segments 16) (thermal_gap 0.5) (thermal_bridge_width 1)) + (polygon + (pts + (xy 196.85 196.088) (xy 204.47 196.088) (xy 204.47 200.914) (xy 205.486 201.93) (xy 205.486 209.296) + (xy 197.866 209.296) (xy 197.866 203.962) (xy 196.85 203.962) + ) + ) + (filled_polygon + (pts + (xy 204.22 200.914) (xy 204.239696 201.011264) (xy 204.293223 201.090777) (xy 205.236 202.033554) (xy 205.236 209.046) + (xy 198.116 209.046) (xy 198.116 203.962) (xy 198.096304 203.864736) (xy 198.040318 203.782798) (xy 197.956864 203.729097) + (xy 197.866 203.712) (xy 197.1 203.712) (xy 197.1 196.338) (xy 204.22 196.338) + ) + ) + ) +) diff --git a/hardware/rev1/kicad/IONPAK1.net b/hardware/rev1/kicad/IONPAK1.net new file mode 100644 index 0000000..3927956 --- /dev/null +++ b/hardware/rev1/kicad/IONPAK1.net @@ -0,0 +1,4068 @@ +(export (version D) + (design + (source C:\APP\Dropbox\SebastianHK\CAD\IONPAK1.sch) + (date "4/26/2017 9:07:36 AM") + (tool "Eeschema 4.0.2-stable") + (sheet (number 1) (name /) (tstamps /) + (title_block + (title "IONPAK V1") + (company "M-Labs Limited") + (rev 1A) + (date 2017-04-21) + (source IONPAK1.sch) + (comment (number 1) (value "Designers Sébastien Bourdeauducq and Alexander Shafir")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value ""))))) + (components + (comp (ref U100) + (value LMP7721) + (footprint proj_footprints:SOIC-8-N_ns) + (fields + (field (name MFR) "Texas Instruments") + (field (name MPN) LMP7721MA/NOPB) + (field (name SPN) LMP7721MA/NOPB-ND)) + (libsource (lib ionpak) (part LMP7721)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F5746A)) + (comp (ref P1) + (value BNC-90) + (footprint proj_footprints:bnc_90_1-1337543-0_ns) + (fields + (field (name MPN) 1-1337543-0) + (field (name MFG) "TE Connectivity AMP Connectors") + (field (name MFR) "TE Connectivity AMP Connectors") + (field (name SPN) A97553-ND)) + (libsource (lib conn) (part BNC)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F574D8)) + (comp (ref GDT100) + (value 2051-09) + (footprint proj_footprints:BOURNS-GDT-2051_ns) + (fields + (field (name MFR) Bourns) + (field (name MPN) 2051-09-SM-RPLF) + (field (name SPN) 2051-09-SM-RPLFCT-ND)) + (libsource (lib ionpak) (part GDT)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F57AA7)) + (comp (ref R101) + (value 100K/1206) + (footprint proj_footprints:R_1206_ns) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC1206JR-07100KL) + (field (name SPN) 311-100KERCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F57BD5)) + (comp (ref R102) + (value 100K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-071KL) + (field (name SPN) 311-1.00KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F57CD8)) + (comp (ref R105) + (value HMC1206JT500M) + (footprint proj_footprints:R_1206_ns) + (fields + (field (name MFR) "Stackpole Electronics Inc.") + (field (name MPN) HMC1206JT500M) + (field (name SPN) HMC1206JT500MCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F57EA5)) + (comp (ref R104) + (value 10M/1206) + (footprint proj_footprints:R_1206_ns) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC1206JR-0710ML) + (field (name SPN) 311-10MERCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F57F3D)) + (comp (ref RL101) + (value 9001-05-02) + (footprint proj_footprints:COTO-9001_ns) + (fields + (field (name MFR) COTO) + (field (name MPN) 9001-05-02) + (field (name SPN) 306-1247-ND)) + (libsource (lib ionpak) (part 9001)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F583C7)) + (comp (ref U401) + (value LM2664) + (footprint proj_footprints:SOT-23-6) + (fields + (field (name MFR) "Texas Instruments") + (field (name MPN) LM2664M6/NOPB) + (field (name SPN) LM2664M6/NOPBCT-ND)) + (libsource (lib ionpak) (part LM2664)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F59D4D)) + (comp (ref U400) + (value SPB05A-05) + (footprint proj_footprints:SPB05A) + (fields + (field (name MFR) "Mean Well") + (field (name MPN) SPB05A-05) + (field (name SPN) Mouser/Taobao)) + (libsource (lib ionpak) (part SPB05A-05)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F5B862)) + (comp (ref C400) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F5C2B6)) + (comp (ref R106) + (value HMC1206JT500M) + (footprint proj_footprints:R_1206_ns) + (fields + (field (name MFR) "Stackpole Electronics Inc.") + (field (name MPN) HMC1206JT500M) + (field (name SPN) HMC1206JT500MCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F5E146)) + (comp (ref C402) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6094F)) + (comp (ref C403) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F60AB2)) + (comp (ref C401) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F60CE4)) + (comp (ref U403) + (value AMS1117-3.0) + (footprint proj_footprints:SOT-223) + (fields + (field (name MFR) "Texas Instruments") + (field (name MPN) TLV1117LV30DCYR) + (field (name SPN) 296-30591-1-ND)) + (libsource (lib ionpak) (part AMS1117)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F63D59)) + (comp (ref C405) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F64229)) + (comp (ref C406) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F642A5)) + (comp (ref C408) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F64C96)) + (comp (ref C409) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F64C9C)) + (comp (ref R121) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F692FE)) + (comp (ref R110) + (value 4.7K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-074K7L) + (field (name SPN) 311-4.70KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6A4E5)) + (comp (ref R112) + (value 4.7K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-074K7L) + (field (name SPN) 311-4.70KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6A813)) + (comp (ref R111) + (value 1K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-071KL) + (field (name SPN) 311-1.00KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6A9EE)) + (comp (ref C102) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F62A9A)) + (comp (ref R109) + (value 33R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0733RL) + (field (name SPN) 311-33.0HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6BD4C)) + (comp (ref R113) + (value 4.7K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-074K7L) + (field (name SPN) 311-4.70KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6CF71)) + (comp (ref R115) + (value 42.2K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0742K2L) + (field (name SPN) 311-42.2KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6D19F)) + (comp (ref R114) + (value 4.7K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-074K7L) + (field (name SPN) 311-4.70KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6D23F)) + (comp (ref R116) + (value 240R/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F70D60)) + (comp (ref R117) + (value 10K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F70E03)) + (comp (ref Q101) + (value DMG2305UX) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) DMG2305UX-13) + (field (name SPN) DMG2305UX-13DICT-ND)) + (libsource (lib device) (part Q_PMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F72545)) + (comp (ref R120) + (value 33K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0733KL) + (field (name SPN) 311-33.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F72D4A)) + (comp (ref Q103) + (value 2N7002) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Nexperia USA Inc.") + (field (name MPN) 2N7002P,215) + (field (name SPN) 1727-4692-1-ND)) + (libsource (lib device) (part Q_NMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F73714)) + (comp (ref Q104) + (value 2N7002) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Nexperia USA Inc.") + (field (name MPN) 2N7002P,215) + (field (name SPN) 1727-4692-1-ND)) + (libsource (lib device) (part Q_NMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F7523C)) + (comp (ref C100) + (value DNP/1206) + (footprint proj_footprints:C_1206_ns) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F788C6)) + (comp (ref U101) + (value TSV324A) + (footprint SMD_Packages:SOIC-14_N) + (fields + (field (name MFR) STMicroelectronics) + (field (name MPN) TSV324IDT) + (field (name SPN) 497-8198-1-ND)) + (libsource (lib ionpak) (part TSV358A)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F5D1C7)) + (comp (ref U200) + (value TSV324A) + (footprint SMD_Packages:SOIC-14_N) + (fields + (field (name MFR) STMicroelectronics) + (field (name MPN) TSV324IDT) + (field (name SPN) 497-8198-1-ND)) + (libsource (lib ionpak) (part TSV358A)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F62540)) + (comp (ref R204) + (value 1M/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F631BF)) + (comp (ref R206) + (value 2.7M/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-071KL) + (field (name SPN) RC0603FR-072M7L-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F63913)) + (comp (ref R205) + (value 2.7M/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-071KL) + (field (name SPN) RC0603FR-072M7L-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F63ED1)) + (comp (ref R203) + (value 560K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07560KL) + (field (name SPN) 311-560KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F646E6)) + (comp (ref R202) + (value 560K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07560KL) + (field (name SPN) 311-560KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F64854)) + (comp (ref R200) + (value 15M/1%/RNV) + (footprint Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (fields + (field (name MPN) RNV14FAL15M0) + (field (name MFG) "Stackpole Electronics Inc.") + (field (name SPN) RNV14FAL15M0CT-ND) + (field (name MFR) "Stackpole Electronics Inc.")) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F64DE7)) + (comp (ref R208) + (value 4.7K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-074K7L) + (field (name SPN) 311-4.70KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6EBE7)) + (comp (ref R209) + (value 4.7K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-074K7L) + (field (name SPN) 311-4.70KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6F253)) + (comp (ref R212) + (value 7.5K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-077K5L) + (field (name SPN) 311-7.50KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6F3E5)) + (comp (ref R211) + (value 7.5K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-077K5L) + (field (name SPN) 311-7.50KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6F999)) + (comp (ref R213) + (value 240R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F7557D)) + (comp (ref R207) + (value 33K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0733KL) + (field (name SPN) 311-33.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F7B554)) + (comp (ref R210) + (value 33K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0733KL) + (field (name SPN) 311-33.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F7D442)) + (comp (ref D200) + (value SMCJ7.5A) + (footprint proj_footprints:DO-214AB) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) SMCJ7.5A-13-F) + (field (name SPN) SMCJ7.5A-FDICT-ND)) + (libsource (lib device) (part ZENER)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F80F87)) + (comp (ref Q200) + (value FQD7N10L) + (footprint proj_footprints:DPAK) + (fields + (field (name MFR) "Fairchild/ON Semiconductor") + (field (name MPN) FQD7N10LTM) + (field (name SPN) FQD7N10LTMCT-ND)) + (libsource (lib device) (part Q_NMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F858C2)) + (comp (ref R217) + (value 1K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-071KL) + (field (name SPN) 311-1.00KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F86620)) + (comp (ref R219) + (value 22K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0722KL) + (field (name SPN) 311-22.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F88462)) + (comp (ref C202) + (value 1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM033R71A103KA01D) + (field (name SPN) 490-3194-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F88C9C)) + (comp (ref C104) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F8DF55)) + (comp (ref C201) + (value TBD) + (footprint Capacitors_SMD:C_0603) + (fields) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F93131)) + (comp (ref R225) + (value 22K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0722KL) + (field (name SPN) 311-22.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F9C5D7)) + (comp (ref R226) + (value 22K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0722KL) + (field (name SPN) 311-22.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FADE7F)) + (comp (ref R228) + (value 42.2K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0742K2L) + (field (name SPN) 311-42.2KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FAF0A1)) + (comp (ref R229) + (value 240R/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FB5E97)) + (comp (ref C204) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F70D88)) + (comp (ref U202) + (value LM339PT) + (footprint SMD_Packages:SOIC-14_N) + (fields + (field (name MFR) STMicroelectronics) + (field (name MPN) LM339PT) + (field (name SPN) 497-4048-1-ND)) + (libsource (lib ionpak) (part LM339PT)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F6EF0E)) + (comp (ref R215) + (value 10K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F71FC5)) + (comp (ref R214) + (value 4.7/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F74869)) + (comp (ref R221) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F770DF)) + (comp (ref R220) + (value 470R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07470RL) + (field (name SPN) 311-470HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F770EE)) + (comp (ref C205) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F80BFB)) + (comp (ref R218) + (value 1K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-071KL) + (field (name SPN) 311-1.00KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F85BEA)) + (comp (ref TR300) + (value TDK_B66208X) + (footprint proj_footprints:TDK_B66208X) + (fields + (field (name MPN) TranformerFl) + (field (name SPN) custom)) + (libsource (lib ionpak) (part TRANS1)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F8A734)) + (comp (ref D301) + (value UF4007) + (footprint Diodes_THT:Diode_DO-41_SOD81_Horizontal_RM10) + (fields + (field (name MFR) "SMC Diode Solutions") + (field (name MPN) UF4007TA) + (field (name SPN) 1655-1692-1-ND)) + (libsource (lib device) (part D_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F8CA35)) + (comp (ref C306) + (value 10pF/1206) + (footprint Capacitors_SMD:C_1206) + (fields + (field (name MFR) "Samsung Electro-Mechanics America, Inc.") + (field (name MPN) CL31C100JIFNNNE) + (field (name SPN) 1276-3195-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F8FC62)) + (comp (ref R306) + (value 10K/RNV) + (footprint Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (fields + (field (name MFR) "Stackpole Electronics Inc.") + (field (name MPN) RNF14FTD10K0) + (field (name SPN) RNF14FTD10K0CT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F927B6)) + (comp (ref C307) + (value 10uF/450V) + (footprint Capacitors_THT:C_Radial_D14_L25_P5) + (fields + (field (name MPN) UVR2W100MHD1TO) + (field (name MFG) Nichicon) + (field (name SPN) 493-12876-1-ND) + (field (name MFR) Nichicon)) + (libsource (lib device) (part CP1_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F94869)) + (comp (ref R305) + (value 2R/2512) + (footprint Resistors_SMD:R_2512) + (fields + (field (name MFR) "Stackpole Electronics Inc.") + (field (name MPN) RMCF2512JT2R00) + (field (name SPN) RMCF2512JT2R00CT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58F98FE3)) + (comp (ref R308) + (value 470K/1206) + (footprint Resistors_SMD:R_1206) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC1206JR-07470KL) + (field (name SPN) 311-470KERCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FA1668)) + (comp (ref R309) + (value 470K/1206) + (footprint Resistors_SMD:R_1206) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC1206JR-07470KL) + (field (name SPN) 311-470KERCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FA3042)) + (comp (ref R310) + (value 470K/1206) + (footprint Resistors_SMD:R_1206) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC1206JR-07470KL) + (field (name SPN) 311-470KERCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FA3197)) + (comp (ref R311) + (value 470K/1206) + (footprint Resistors_SMD:R_1206) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC1206JR-07470KL) + (field (name SPN) 311-470KERCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FA32EB)) + (comp (ref R317) + (value 10K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FA4C65)) + (comp (ref R318) + (value 10K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FAF418)) + (comp (ref R319) + (value 240R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FB3B28)) + (comp (ref Q301) + (value BC807-40) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BC807-40LT1G) + (field (name SPN) BC807-40LT1GOSCT-ND)) + (libsource (lib transistors) (part BC807-40)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FBE4E0)) + (comp (ref R307) + (value 100R/1206) + (footprint Resistors_SMD:R_1206) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC1206JR-07100RL) + (field (name SPN) 311-100ERCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FC0B2F)) + (comp (ref Q300) + (value IRFR3411) + (footprint proj_footprints:DPAK) + (fields + (field (name MFR) "Infineon Technologies") + (field (name MPN) IRFR3411TRPBF) + (field (name SPN) IRFR3411TRPBFCT-ND)) + (libsource (lib device) (part Q_NMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FC57AF)) + (comp (ref D300) + (value S1M) + (footprint proj_footprints:DO-214AC) + (fields + (field (name MFR) "SMC Diode Solutions") + (field (name MPN) S1MTR) + (field (name SPN) 1655-1506-1-ND)) + (libsource (lib device) (part D_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FC9667)) + (comp (ref R303) + (value 4.7K/2512) + (footprint Resistors_SMD:R_2512) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC2512JK-074K7L) + (field (name SPN) YAG3405CT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FCA1A2)) + (comp (ref C305) + (value 0.1uF/100V/1206) + (footprint Capacitors_SMD:C_1206) + (fields + (field (name MFR) Murata) + (field (name MPN) GRJ319R72A104KE11L) + (field (name SPN) 490-10931-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FCB9FE)) + (comp (ref U301) + (value FAN3100C) + (footprint proj_footprints:SOT-23-5) + (fields + (field (name MFR) "Fairchild/ON Semiconductor") + (field (name MPN) FAN3100CSX) + (field (name SPN) FAN3100CSXCT-ND)) + (libsource (lib ionpak) (part FAN3100)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FDE12A)) + (comp (ref R304) + (value 0R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603JR-070RL) + (field (name SPN) 311-0.0GRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FDF6AF)) + (comp (ref C304) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FE4855)) + (comp (ref R302) + (value 3.3R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-073R3L) + (field (name SPN) RC0603FR-073R3L-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FE53FF)) + (comp (ref U300) + (value H11L) + (footprint proj_footprints:6-SMD) + (fields + (field (name MFR) "Everlight Electronics Co Ltd") + (field (name MPN) "H11L1S(TA)") + (field (name SPN) 1080-1201-1-ND)) + (libsource (lib ionpak) (part H11L)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FE9B4F)) + (comp (ref R301) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FEDAA7)) + (comp (ref TR350) + (value TDK_B66206X) + (footprint proj_footprints:TDK_B66206X) + (fields + (field (name MPN) TransformerHV) + (field (name SPN) custom)) + (libsource (lib ionpak) (part TRANS1)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF59CC)) + (comp (ref D351) + (value B560C-13-F) + (footprint proj_footprints:DO-214AB) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) B560C-13-F) + (field (name SPN) B560C-FDICT-ND)) + (libsource (lib device) (part D_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF59D2)) + (comp (ref Q350) + (value IRFR3411) + (footprint proj_footprints:DPAK) + (fields + (field (name MFR) "Infineon Technologies") + (field (name MPN) IRFR3411TRPBF) + (field (name SPN) IRFR3411TRPBFCT-ND)) + (libsource (lib device) (part Q_NMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF5A09)) + (comp (ref D350) + (value S1M) + (footprint proj_footprints:DO-214AC) + (fields + (field (name MFR) "SMC Diode Solutions") + (field (name MPN) S1MTR) + (field (name SPN) 1655-1506-1-ND)) + (libsource (lib device) (part D_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF5A0F)) + (comp (ref R353) + (value 4.7K/2512) + (footprint Resistors_SMD:R_2512) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC2512JK-074K7L) + (field (name SPN) YAG3405CT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF5A15)) + (comp (ref C354) + (value 0.1uF/100V/1206) + (footprint Capacitors_SMD:C_1206) + (fields + (field (name MFR) Murata) + (field (name MPN) GRJ319R72A104KE11L) + (field (name SPN) 490-10931-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF5A1B)) + (comp (ref U351) + (value FAN3100C) + (footprint proj_footprints:SOT-23-5) + (fields + (field (name MFR) "Fairchild/ON Semiconductor") + (field (name MPN) FAN3100CSX) + (field (name SPN) FAN3100CSXCT-ND)) + (libsource (lib ionpak) (part FAN3100)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF5A2E)) + (comp (ref R354) + (value 0R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603JR-070RL) + (field (name SPN) 311-0.0GRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF5A34)) + (comp (ref C353) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF5A3A)) + (comp (ref R352) + (value 3.3R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-073R3L) + (field (name SPN) RC0603FR-073R3L-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF5A40)) + (comp (ref U350) + (value H11L) + (footprint proj_footprints:6-SMD) + (fields + (field (name MFR) "Everlight Electronics Co Ltd") + (field (name MPN) "H11L1S(TA)") + (field (name SPN) 1080-1201-1-ND)) + (libsource (lib ionpak) (part H11L)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF5A4C)) + (comp (ref R351) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF5A92)) + (comp (ref R313) + (value 2M/1%/RNV) + (footprint Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (fields + (field (name MPN) RNV14FAL2M00) + (field (name MFG) "Stackpole Electronics Inc.") + (field (name SPN) RNV14FAL2M00CT-ND) + (field (name MFR) "Stackpole Electronics Inc.")) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 590186CF)) + (comp (ref D201) + (value S1M) + (footprint proj_footprints:DO-214AC) + (fields + (field (name MFR) "SMC Diode Solutions") + (field (name MPN) S1MTR) + (field (name SPN) 1655-1506-1-ND)) + (libsource (lib device) (part D_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5903B022)) + (comp (ref GDT200) + (value 2051-09) + (footprint proj_footprints:BOURNS-GDT-2051) + (fields + (field (name MFR) Bourns) + (field (name MPN) 2051-09-SM-RPLF) + (field (name SPN) 2051-09-SM-RPLFCT-ND)) + (libsource (lib ionpak) (part GDT)) + (sheetpath (names /) (tstamps /)) + (tstamp 5903CFE3)) + (comp (ref C200) + (value 10000pF/1206) + (footprint Capacitors_SMD:C_1206) + (fields + (field (name MPN) GRM31BR72J103KW01L) + (field (name MFR) Murata) + (field (name SPN) 490-3512-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59049CC7)) + (comp (ref R224) + (value 39R/1%/1206) + (footprint Resistors_SMD:R_1206) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC1206FR-0739RL) + (field (name SPN) 311-39.0FRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FA0BB1)) + (comp (ref U201) + (value TLV271) + (footprint proj_footprints:SOT-23-5) + (fields + (field (name MFR) "Texas Instruments") + (field (name MPN) TLV271IDBVR) + (field (name SPN) 296-13371-1-ND)) + (libsource (lib ionpak) (part TLV271)) + (sheetpath (names /) (tstamps /)) + (tstamp 590634E6)) + (comp (ref D205) + (value BAS116) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Infineon Technologies") + (field (name MPN) BAS116-7-F) + (field (name SPN) BAS116-FDICT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 5906626F)) + (comp (ref D206) + (value BAS16) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BAS16LT3G) + (field (name SPN) BAS16LT3GOSCT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 5908F260)) + (comp (ref D101) + (value BAS16) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BAS16LT3G) + (field (name SPN) BAS16LT3GOSCT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 59091FA0)) + (comp (ref U402) + (value LM337L) + (footprint SMD_Packages:SOIC-8-N) + (fields + (field (name MFR) "Texas Instruments") + (field (name MPN) LM337LMX) + (field (name SPN) LM337LMXCT-ND)) + (libsource (lib ionpak) (part LM337L)) + (sheetpath (names /) (tstamps /)) + (tstamp 590E4F71)) + (comp (ref R401) + (value 240R/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 590E8134)) + (comp (ref R400) + (value 240R/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 590E94DA)) + (comp (ref C404) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 590F90F7)) + (comp (ref Q203) + (value DMN3404L) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) DMN3404L-7) + (field (name SPN) DMN3404LDICT-ND)) + (libsource (lib device) (part Q_NMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 59107C0C)) + (comp (ref R227) + (value 4.7K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-074K7L) + (field (name SPN) 311-4.70KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5910A19B)) + (comp (ref R230) + (value 10K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59124F41)) + (comp (ref R103) + (value 100K/1206) + (footprint proj_footprints:R_1206_ns) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC1206JR-07100KL) + (field (name SPN) 311-100KERCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5913E0E6)) + (comp (ref RL100) + (value 9001-05-02) + (footprint proj_footprints:COTO-9001_ns) + (fields + (field (name MFR) COTO) + (field (name MPN) 9001-05-02) + (field (name SPN) 306-1247-ND)) + (libsource (lib ionpak) (part 9001)) + (sheetpath (names /) (tstamps /)) + (tstamp 5913E0ED)) + (comp (ref D100) + (value BAS16) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BAS16LT3G) + (field (name SPN) BAS16LT3GOSCT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 5913E0F9)) + (comp (ref R119) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5913ED21)) + (comp (ref Q100) + (value DMG2305UX) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) DMG2305UX-13) + (field (name SPN) DMG2305UX-13DICT-ND)) + (libsource (lib device) (part Q_PMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 5913ED28)) + (comp (ref R118) + (value 33K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0733KL) + (field (name SPN) 311-33.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5913ED2E)) + (comp (ref Q102) + (value 2N7002) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Nexperia USA Inc.") + (field (name MPN) 2N7002P,215) + (field (name SPN) 1727-4692-1-ND)) + (libsource (lib device) (part Q_NMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 5913ED35)) + (comp (ref C355) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59149B79)) + (comp (ref Q202) + (value DMN3404L) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) DMN3404L-7) + (field (name SPN) DMN3404LDICT-ND)) + (libsource (lib device) (part Q_NMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 5916049B)) + (comp (ref R216) + (value 180R/1W) + (footprint Resistors_ThroughHole:R_Axial_DIN0414_L11.9mm_D4.5mm_P15.24mm_Horizontal) + (fields + (field (name MPN) KNP100JR-73-180R) + (field (name MFR) YAGEO) + (field (name SPN) 180ACCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59170DA2)) + (comp (ref R232) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 591A2D95)) + (comp (ref Q201) + (value DMN3404L) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) DMN3404L-7) + (field (name SPN) DMN3404LDICT-ND)) + (libsource (lib device) (part Q_NMOS_GSD)) + (sheetpath (names /) (tstamps /)) + (tstamp 591A3499)) + (comp (ref D204) + (value BAS116) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Infineon Technologies") + (field (name MPN) BAS116-7-F) + (field (name SPN) BAS116-FDICT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 591A398B)) + (comp (ref R223) + (value 200R/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07200RL) + (field (name SPN) 311-200HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 591A487F)) + (comp (ref D203) + (value SMAJ5.0A) + (footprint proj_footprints:DO-214AC) + (fields + (field (name MFR) "Littelfuse Inc.") + (field (name MPN) SMAJ5.0A) + (field (name SPN) SMAJ5.0ALFCT-ND)) + (libsource (lib device) (part ZENER)) + (sheetpath (names /) (tstamps /)) + (tstamp 591B0A28)) + (comp (ref R231) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 591B2219)) + (comp (ref C203) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 591BA371)) + (comp (ref R235) + (value 10K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 591BC3F5)) + (comp (ref R234) + (value 4.7K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-074K7L) + (field (name SPN) 311-4.70KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 591C7B22)) + (comp (ref R237) + (value 240R/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 591C861D)) + (comp (ref R236) + (value 10K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 591C8626)) + (comp (ref R233) + (value 22K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0722KL) + (field (name SPN) 311-22.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 591D8B50)) + (comp (ref D207) + (value BAS16) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BAS16LT3G) + (field (name SPN) BAS16LT3GOSCT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 591DD557)) + (comp (ref U501) + (value 74HC00) + (footprint SMD_Packages:SOIC-14_N) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) 74HC00S14-13) + (field (name SPN) 74HC00S14-13DICT-ND)) + (libsource (lib 74xx) (part 74HC00)) + (sheetpath (names /) (tstamps /)) + (tstamp 591F5961)) + (comp (ref D208) + (value BAS16) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BAS16LT3G) + (field (name SPN) BAS16LT3GOSCT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 5921AC31)) + (comp (ref D209) + (value BAS16) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BAS16LT3G) + (field (name SPN) BAS16LT3GOSCT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 5921B77B)) + (comp (ref D304) + (value BAS16) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BAS16LT3G) + (field (name SPN) BAS16LT3GOSCT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 5922DEB4)) + (comp (ref R500) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59240809)) + (comp (ref R501) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5924A84E)) + (comp (ref C500) + (value TBD) + (footprint Capacitors_SMD:C_0603) + (fields) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5924D38E)) + (comp (ref D500) + (value BAS16) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BAS16LT3G) + (field (name SPN) BAS16LT3GOSCT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 5925F403)) + (comp (ref U502) + (value 74HC00) + (footprint SMD_Packages:SOIC-14_N) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) 74HC00S14-13) + (field (name SPN) 74HC00S14-13DICT-ND)) + (libsource (lib 74xx) (part 74HC00)) + (sheetpath (names /) (tstamps /)) + (tstamp 592685D6)) + (comp (ref R300) + (value 240R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 592924F9)) + (comp (ref R350) + (value 240R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59292757)) + (comp (ref D305) + (value BAS16) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BAS16LT3G) + (field (name SPN) BAS16LT3GOSCT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 592C714C)) + (comp (ref P3) + (value EXT_PWR) + (footprint Connectors_Phoenix:PhoenixContact_MSTBA-G_02x5.08mm_Angled) + (fields + (field (name MPN) 1746978) + (field (name MFG) "Phoenix Contact") + (field (name SPN) 277-6765-ND) + (field (name MFR) "Phoenix Contact")) + (libsource (lib conn) (part CONN_01X02)) + (sheetpath (names /) (tstamps /)) + (tstamp 592ED973)) + (comp (ref J4) + (value INT_PWR) + (footprint Connectors_Phoenix:PhoenixContact_MCV-G_02x5.08mm_Vertical) + (fields + (field (name MPN) DNP)) + (libsource (lib conn) (part CONN_01X02)) + (sheetpath (names /) (tstamps /)) + (tstamp 592F2185)) + (comp (ref C412) + (value 470uF/16V) + (footprint Capacitors_THT:C_Radial_D13_L21_P5) + (fields + (field (name MPN) ECA-1CM471) + (field (name MFG) "Panasonic Electronic Components") + (field (name SPN) P5141-ND) + (field (name MFR) "Panasonic Electronic Components")) + (libsource (lib device) (part CP1_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 592F8857)) + (comp (ref FB401) + (value FB_10A) + (footprint proj_footprints:28F0121-0SR-10) + (fields + (field (name MPN) 28F0121-0SR-10) + (field (name MFR) "Laird-Signal Integrity Products ") + (field (name SPN) 240-2435-1-ND)) + (libsource (lib device) (part INDUCTOR_SMALL)) + (sheetpath (names /) (tstamps /)) + (tstamp 592FF5F2)) + (comp (ref C410) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 593010E8)) + (comp (ref C411) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59301FE3)) + (comp (ref FB400) + (value FB_10A) + (footprint proj_footprints:28F0121-0SR-10) + (fields + (field (name MPN) 28F0121-0SR-10) + (field (name MFR) "Laird-Signal Integrity Products ") + (field (name SPN) 240-2435-1-ND)) + (libsource (lib device) (part INDUCTOR_SMALL)) + (sheetpath (names /) (tstamps /)) + (tstamp 59307926)) + (comp (ref D401) + (value SMCJ12A) + (footprint proj_footprints:DO-214AB) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) SMCJ12A-13-F) + (field (name SPN) SMCJ12A-FDICT-ND)) + (libsource (lib device) (part ZENER)) + (sheetpath (names /) (tstamps /)) + (tstamp 5930DACF)) + (comp (ref P2) + (value CONN_01X03) + (footprint proj_footprints:PhoenixContact_1786417_03) + (fields + (field (name MPN) 1786417) + (field (name MFG) "Phoenix Contact") + (field (name SPN) 277-5985-ND) + (field (name MFR) "Phoenix Contact")) + (libsource (lib conn) (part CONN_01X03)) + (sheetpath (names /) (tstamps /)) + (tstamp 593236BC)) + (comp (ref C501) + (value 1000pF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM033R71E102KA01D) + (field (name SPN) 490-3184-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5935E565)) + (comp (ref R502) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59360A18)) + (comp (ref R312) + (value 22R/1W) + (footprint Resistors_ThroughHole:R_Axial_DIN0414_L11.9mm_D4.5mm_P15.24mm_Horizontal) + (fields + (field (name MPN) KNP100JR-73-22R) + (field (name MFR) YAGEO) + (field (name SPN) 22ACCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5936901A)) + (comp (ref D202) + (value BAS116) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "Infineon Technologies") + (field (name MPN) BAS116-7-F) + (field (name SPN) BAS116-FDICT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 5937BAFC)) + (comp (ref R222) + (value 100R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603JR-07100RL) + (field (name SPN) 311-100GRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59381B1C)) + (comp (ref C309) + (value 2200pF/2kV/1812) + (footprint Capacitors_SMD:C_1812) + (fields + (field (name MPN) C1812C222KGRACTU) + (field (name Kemet) Murata) + (field (name MFR) Kemet) + (field (name SPN) 399-7120-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59399FDF)) + (comp (ref U500) + (value TM4C1294KCPDT) + (footprint proj_footprints:TQFP-128) + (fields + (field (name MFR) "Texas Instruments") + (field (name MPN) TM4C1294KCPDTI3R) + (field (name SPN) 296-43659-1-ND)) + (libsource (lib ionpak) (part TM4C1294KCPDT)) + (sheetpath (names /) (tstamps /)) + (tstamp 593CBD05)) + (comp (ref R505) + (value 4.87K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-074K87L) + (field (name SPN) 311-4.87KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594892A3)) + (comp (ref T500) + (value HX1198FNL) + (footprint proj_footprints:H2019FNL) + (fields + (field (name MFR) "Pulse Electronics Corporation") + (field (name MPN) HX1198FNL) + (field (name SPN) 553-2201-ND)) + (libsource (lib ionpak) (part HX1198FNL)) + (sheetpath (names /) (tstamps /)) + (tstamp 594A443F)) + (comp (ref P4) + (value RJ45_NO_SHIELD) + (footprint proj_footprints:FCI_54601-908WPLF) + (fields + (field (name MFR) "Amphenol FCI") + (field (name MPN) 54601-908WPLF) + (field (name SPN) 609-5081-ND)) + (libsource (lib ionpak) (part RJ45_NO_SHIELD)) + (sheetpath (names /) (tstamps /)) + (tstamp 594A5C40)) + (comp (ref Y500) + (value 25MHz) + (footprint proj_footprints:XTAL3_2X2_5) + (fields + (field (name MFR) "Abracon LLC") + (field (name MPN) ABM8G-25.000MHZ-18-D2Y-T) + (field (name SPN) 535-10275-1-ND)) + (libsource (lib ionpak) (part Crystal_4_Pin_1_3_Active)) + (sheetpath (names /) (tstamps /)) + (tstamp 594A96CC)) + (comp (ref J5) + (value JTAG_ARM_10P) + (footprint proj_footprints:Pin_Header_Straight_2x05_Pitch1.27mm) + (fields + (field (name MFR) "Sullins Connector Solutions") + (field (name MPN) GRPB052VWVN-RC) + (field (name SPN) S9015E-05-ND)) + (libsource (lib conn) (part CONN_02X05)) + (sheetpath (names /) (tstamps /)) + (tstamp 594A9E7A)) + (comp (ref R507) + (value 2K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-072KL) + (field (name SPN) 311-2.00KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594B7375)) + (comp (ref C512) + (value 12pF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM0335C1E120JA01D) + (field (name SPN) 490-3144-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594B8F3A)) + (comp (ref C513) + (value 12pF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM0335C1E120JA01D) + (field (name SPN) 490-3144-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594BAF9F)) + (comp (ref C504) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594BD856)) + (comp (ref C509) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594C0D92)) + (comp (ref C510) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594C2E03)) + (comp (ref C511) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594CA7C6)) + (comp (ref C505) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594CE190)) + (comp (ref C506) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594CE458)) + (comp (ref C507) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594CE7D4)) + (comp (ref C508) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594CEA88)) + (comp (ref C503) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594D5D15)) + (comp (ref R506) + (value 51R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0751RL) + (field (name SPN) 311-51.0HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594D9273)) + (comp (ref C502) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594DDB1F)) + (comp (ref R503) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594E1103)) + (comp (ref R522) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594E6FD4)) + (comp (ref R519) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594E8436)) + (comp (ref R520) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594E8A5B)) + (comp (ref R521) + (value 10K) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0710KL) + (field (name SPN) 311-10.0KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594E8D13)) + (comp (ref R504) + (value 100R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603JR-07100RL) + (field (name SPN) 311-100GRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 594EBC7E)) + (comp (ref D504) + (value SRV05-4) + (footprint proj_footprints:SOT-23-6) + (fields + (field (name MFR) "SMC Diode Solutions") + (field (name MPN) SRV05-4ATR) + (field (name SPN) 1655-1653-1-ND)) + (libsource (lib ionpak) (part SRV05-4)) + (sheetpath (names /) (tstamps /)) + (tstamp 5950A59C)) + (comp (ref C514) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5950CEC7)) + (comp (ref R514) + (value 49.9R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0749R9L) + (field (name SPN) 311-49.9HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5950F7A1)) + (comp (ref R513) + (value 49.9R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0749R9L) + (field (name SPN) 311-49.9HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59511CB3)) + (comp (ref R512) + (value 49.9R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0749R9L) + (field (name SPN) 311-49.9HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59511F7B)) + (comp (ref R511) + (value 49.9R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0749R9L) + (field (name SPN) 311-49.9HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59512248)) + (comp (ref R518) + (value 75R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) 311-75.0HRCT-ND) + (field (name SPN) RC0603FR-0775RL)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5952D85A)) + (comp (ref R517) + (value 75R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) 311-75.0HRCT-ND) + (field (name SPN) RC0603FR-0775RL)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 595300E1)) + (comp (ref R516) + (value 75R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) 311-75.0HRCT-ND) + (field (name SPN) RC0603FR-0775RL)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59531346)) + (comp (ref R515) + (value 75R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) 311-75.0HRCT-ND) + (field (name SPN) RC0603FR-0775RL)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59531B91)) + (comp (ref H400) + (value 3mm_Mounting_Hole_EARTH) + (footprint proj_footprints:3mm_EARTH) + (fields) + (libsource (lib ionpak) (part 3mm_Mounting_Hole_SH)) + (sheetpath (names /) (tstamps /)) + (tstamp 595424FF)) + (comp (ref H401) + (value 3mm_Mounting_Hole) + (footprint proj_footprints:NPTH_3mm_ID) + (fields) + (libsource (lib ionpak) (part 3mm_Mounting_Hole)) + (sheetpath (names /) (tstamps /)) + (tstamp 59545BD2)) + (comp (ref H402) + (value 3mm_Mounting_Hole) + (footprint proj_footprints:NPTH_3mm_ID) + (fields) + (libsource (lib ionpak) (part 3mm_Mounting_Hole)) + (sheetpath (names /) (tstamps /)) + (tstamp 59545EE0)) + (comp (ref H403) + (value 3mm_Mounting_Hole) + (footprint proj_footprints:NPTH_3mm_ID) + (fields) + (libsource (lib ionpak) (part 3mm_Mounting_Hole)) + (sheetpath (names /) (tstamps /)) + (tstamp 595461E6)) + (comp (ref S1) + (value SW_PBTN_2PIN_V) + (footprint proj_footprints:SW_Tactile_SKHH_Angled_v) + (fields + (field (name MPN) 1825027-8) + (field (name MFG) "TE Connectivity ") + (field (name SPN) 450-1657-ND) + (field (name MFR) "TE Connectivity ALCOSWITCH Switches")) + (libsource (lib ionpak) (part SW_PBTN_2PIN_V)) + (sheetpath (names /) (tstamps /)) + (tstamp 5954E4BA)) + (comp (ref D501) + (value LTL-533-11) + (footprint LEDs:LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm) + (fields + (field (name MFR) "Lite-On Inc.") + (field (name MPN) LTL-533-11) + (field (name SPN) 160-1977-ND)) + (libsource (lib ionpak) (part Led_Small_th1)) + (sheetpath (names /) (tstamps /)) + (tstamp 5955AA6D)) + (comp (ref R508) + (value 240R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59560FEE)) + (comp (ref D400) + (value LTL-533-11) + (footprint LEDs:LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm) + (fields + (field (name MFR) "Lite-On Inc.") + (field (name MPN) LTL-533-11) + (field (name SPN) 160-1977-ND)) + (libsource (lib ionpak) (part Led_Small_th1)) + (sheetpath (names /) (tstamps /)) + (tstamp 59565125)) + (comp (ref R403) + (value 240R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59569728)) + (comp (ref R402) + (value 10R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603JR-0710RL) + (field (name SPN) 311-10GRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59582703)) + (comp (ref C407) + (value 10uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Murata) + (field (name MPN) GRM188R60J106KE47D) + (field (name SPN) 490-12538-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 595830A8)) + (comp (ref D502) + (value LTL-523-11) + (footprint LEDs:LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm) + (fields + (field (name MFR) "Lite-On Inc.") + (field (name MPN) LTL-523-11) + (field (name SPN) 160-1976-ND)) + (libsource (lib ionpak) (part Led_Small_th1)) + (sheetpath (names /) (tstamps /)) + (tstamp 59597D9F)) + (comp (ref R509) + (value 240R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-07240RL) + (field (name SPN) 311-240HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 59597DA5)) + (comp (ref U404) + (value AMS1117-3.3) + (footprint proj_footprints:SOT-223) + (fields + (field (name MFR) "Diodes Incorporated") + (field (name MPN) AZ1117IH-3.3TRG1) + (field (name SPN) AZ1117IH-3.3TRG1DICT-ND)) + (libsource (lib ionpak) (part AMS1117)) + (sheetpath (names /) (tstamps /)) + (tstamp 59667234)) + (comp (ref J6) + (value TTL-232R-3V3) + (footprint Pin_Headers:Pin_Header_Straight_1x06_Pitch2.54mm) + (fields + (field (name MFR) "Sullins Connector Solutions") + (field (name MPN) SWR25X-NRTC-S06-ST-BA) + (field (name SPN) S9414-ND)) + (libsource (lib conn) (part CONN_01X06)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FEC002)) + (comp (ref R201) + (value 15M/1%/RNV) + (footprint Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (fields + (field (name MPN) RNV14FAL15M0) + (field (name MFG) "Stackpole Electronics Inc.") + (field (name SPN) RNV14FAL15M0CT-ND) + (field (name MFR) "Stackpole Electronics Inc.")) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 590C53B6)) + (comp (ref C308) + (value 10uF/450V) + (footprint Capacitors_THT:C_Radial_D14_L25_P5) + (fields + (field (name MPN) UVR2W100MHD1TO) + (field (name MFG) Nichicon) + (field (name SPN) 493-12876-1-ND) + (field (name MFR) Nichicon)) + (libsource (lib device) (part CP1_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 590D5DD5)) + (comp (ref C350) + (value 470uF/16V) + (footprint Capacitors_THT:C_Radial_D13_L21_P5) + (fields + (field (name MPN) ECA-1CM471) + (field (name MFG) "Panasonic Electronic Components") + (field (name SPN) P5141-ND) + (field (name MFR) "Panasonic Electronic Components")) + (libsource (lib device) (part CP1_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 590DD1FE)) + (comp (ref C301) + (value 470uF/16V) + (footprint Capacitors_THT:C_Radial_D13_L21_P5) + (fields + (field (name MPN) ECA-1CM471) + (field (name MFG) "Panasonic Electronic Components") + (field (name SPN) P5141-ND) + (field (name MFR) "Panasonic Electronic Components")) + (libsource (lib device) (part CP1_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 590E24D3)) + (comp (ref C515) + (value 2200pF/2kV/1812) + (footprint Capacitors_SMD:C_1812) + (fields + (field (name MPN) C1812C222KGRACTU) + (field (name MFG) Kemet) + (field (name MFR) Kemet) + (field (name SPN) 399-7120-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5953CA9A)) + (comp (ref C356) + (value 470uF/16V) + (footprint Capacitors_THT:C_Radial_D13_L21_P5) + (fields + (field (name MPN) ECA-1CM471) + (field (name MFG) "Panasonic Electronic Components") + (field (name SPN) P5141-ND) + (field (name MFR) "Panasonic Electronic Components")) + (libsource (lib device) (part CP1_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FB7511)) + (comp (ref J7) + (value CONN_01X05) + (footprint Pin_Headers:Pin_Header_Straight_1x05_Pitch2.54mm) + (fields + (field (name MFR) "Sullins Connector Solutions") + (field (name MPN) SWR25X-NRTC-S05-ST-BA) + (field (name SPN) S9413-ND)) + (libsource (lib conn) (part CONN_01X05)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF089B)) + (comp (ref R238) + (value 7.5K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-077K5L) + (field (name SPN) 311-7.50KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FA6505)) + (comp (ref R239) + (value 7.5K/1%) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-077K5L) + (field (name SPN) 311-7.50KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FA6838)) + (comp (ref D210) + (value BAS16) + (footprint proj_footprints:SOT-23) + (fields + (field (name MFR) "ON Semiconductor") + (field (name MPN) BAS16LT3G) + (field (name SPN) BAS16LT3GOSCT-ND)) + (libsource (lib ionpak) (part BAS116)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FA7E23)) + (comp (ref R100) + (value 100K/1206) + (footprint proj_footprints:R_1206_ns) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC1206JR-07100KL) + (field (name SPN) 311-100KERCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FB0A9B)) + (comp (ref C103) + (value 0.1uF) + (footprint Capacitors_SMD:C_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) CC0603KRX7R7BB104) + (field (name SPN) 311-1088-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FC640C)) + (comp (ref R108) + (value 33R) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-0733RL) + (field (name SPN) 311-33.0HRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FC80F2)) + (comp (ref C101) + (value DNP) + (footprint Capacitors_SMD:C_0603) + (fields) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FCE288)) + (comp (ref R107) + (value DNP) + (footprint Resistors_SMD:R_0603) + (fields + (field (name MFR) Yageo) + (field (name MPN) RC0603FR-071KL) + (field (name SPN) 311-1.00KHRCT-ND)) + (libsource (lib device) (part R_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FCEDCD)) + (comp (ref C300) + (value 470uF/16V) + (footprint Capacitors_THT:C_Radial_D13_L21_P5) + (fields + (field (name MPN) ECA-1CM471) + (field (name MFG) "Panasonic Electronic Components") + (field (name SPN) P5141-ND) + (field (name MFR) "Panasonic Electronic Components")) + (libsource (lib device) (part CP1_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FECAC7)) + (comp (ref C302) + (value 10uF/25V/1206) + (footprint Capacitors_SMD:C_1206) + (fields + (field (name MFR) "Samsung Electro-Mechanics America, Inc.") + (field (name MPN) CL31A106KAHNNNE) + (field (name SPN) 1276-1075-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF4412)) + (comp (ref C303) + (value 10uF/25V/1206) + (footprint Capacitors_SMD:C_1206) + (fields + (field (name MFR) "Samsung Electro-Mechanics America, Inc.") + (field (name MPN) CL31A106KAHNNNE) + (field (name SPN) 1276-1075-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FF9FED)) + (comp (ref C352) + (value 10uF/25V/1206) + (footprint Capacitors_SMD:C_1206) + (fields + (field (name MFR) "Samsung Electro-Mechanics America, Inc.") + (field (name MPN) CL31A106KAHNNNE) + (field (name SPN) 1276-1075-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FFD4CF)) + (comp (ref C351) + (value 10uF/25V/1206) + (footprint Capacitors_SMD:C_1206) + (fields + (field (name MFR) "Samsung Electro-Mechanics America, Inc.") + (field (name MPN) CL31A106KAHNNNE) + (field (name SPN) 1276-1075-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 58FFD842)) + (comp (ref C413) + (value 2200pF/2kV/1812) + (footprint Capacitors_SMD:C_1812) + (fields + (field (name MPN) C1812C222KGRACTU) + (field (name MFG) Kemet) + (field (name MFR) Kemet) + (field (name SPN) 399-7120-1-ND)) + (libsource (lib device) (part C_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 590D5BAD)) + (comp (ref H404) + (value 3mm_Mounting_Hole) + (footprint proj_footprints:NPTH_3mm_ID) + (fields) + (libsource (lib ionpak) (part 3mm_Mounting_Hole)) + (sheetpath (names /) (tstamps /)) + (tstamp 591FA5A5))) + (libparts + (libpart (lib ionpak) (part 3mm_Mounting_Hole) + (fields + (field (name Reference) H) + (field (name Value) 3mm_Mounting_Hole))) + (libpart (lib ionpak) (part 3mm_Mounting_Hole_SH) + (fields + (field (name Reference) H) + (field (name Value) 3mm_Mounting_Hole_SH)) + (pins + (pin (num 1) (name SH) (type passive)))) + (libpart (lib 74xx) (part 74LS00) + (aliases + (alias 74LS37) + (alias 7400) + (alias 74HCT00) + (alias 74HC00)) + (description "Quad nand2") + (footprints + (fp 14DIP300*) + (fp SO14*)) + (fields + (field (name Reference) U) + (field (name Value) 74LS00)) + (pins + (pin (num 1) (name ~) (type input)) + (pin (num 2) (name ~) (type input)) + (pin (num 3) (name ~) (type output)) + (pin (num 4) (name ~) (type input)) + (pin (num 5) (name ~) (type input)) + (pin (num 6) (name ~) (type output)) + (pin (num 7) (name GND) (type power_in)) + (pin (num 8) (name ~) (type output)) + (pin (num 9) (name ~) (type input)) + (pin (num 10) (name ~) (type input)) + (pin (num 11) (name ~) (type output)) + (pin (num 12) (name ~) (type input)) + (pin (num 13) (name ~) (type input)) + (pin (num 14) (name VCC) (type power_in)))) + (libpart (lib ionpak) (part 9001) + (fields + (field (name Reference) RL) + (field (name Value) 9001)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)) + (pin (num 3) (name ~) (type passive)) + (pin (num 4) (name ~) (type passive)))) + (libpart (lib ionpak) (part AMS1117) + (footprints + (fp SOT223) + (fp SOT89-3) + (fp TO220-3) + (fp TO252) + (fp TO263)) + (fields + (field (name Reference) U) + (field (name Value) AMS1117)) + (pins + (pin (num 1) (name GND/ADJ) (type power_in)) + (pin (num 2) (name VO) (type power_out)) + (pin (num 3) (name VI) (type power_in)))) + (libpart (lib ionpak) (part BAS116) + (fields + (field (name Reference) D) + (field (name Value) BAS116)) + (pins + (pin (num 1) (name A) (type passive)) + (pin (num 3) (name K) (type passive)))) + (libpart (lib transistors) (part BC807-40) + (aliases + (alias BC808-40)) + (description "45V Vce, 0.8A Ic, PNP, SOT-23") + (docs http://www.fairchildsemi.com/ds/BC/BC807.pdf) + (footprints + (fp SOT-23*)) + (fields + (field (name Reference) Q) + (field (name Value) BC807-40) + (field (name Footprint) SOT-23)) + (pins + (pin (num 1) (name B) (type input)) + (pin (num 2) (name E) (type passive)) + (pin (num 3) (name C) (type passive)))) + (libpart (lib conn) (part BNC) + (footprints + (fp BNC_*) + (fp bnc) + (fp bnc-*)) + (fields + (field (name Reference) P) + (field (name Value) BNC)) + (pins + (pin (num 1) (name In) (type passive)) + (pin (num 2) (name Ext) (type passive)))) + (libpart (lib conn) (part CONN_01X02) + (description "Connector 01x02") + (footprints + (fp Pin_Header_Straight_1X02) + (fp Pin_Header_Angled_1X02) + (fp Socket_Strip_Straight_1X02) + (fp Socket_Strip_Angled_1X02)) + (fields + (field (name Reference) P) + (field (name Value) CONN_01X02)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name P2) (type passive)))) + (libpart (lib conn) (part CONN_01X03) + (description "Connector 01x03") + (footprints + (fp Pin_Header_Straight_1X03) + (fp Pin_Header_Angled_1X03) + (fp Socket_Strip_Straight_1X03) + (fp Socket_Strip_Angled_1X03)) + (fields + (field (name Reference) P) + (field (name Value) CONN_01X03)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name P2) (type passive)) + (pin (num 3) (name P3) (type passive)))) + (libpart (lib conn) (part CONN_01X05) + (description "Connector 01x05") + (footprints + (fp Pin_Header_Straight_1X05) + (fp Pin_Header_Angled_1X05) + (fp Socket_Strip_Straight_1X05) + (fp Socket_Strip_Angled_1X05)) + (fields + (field (name Reference) P) + (field (name Value) CONN_01X05)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name P2) (type passive)) + (pin (num 3) (name P3) (type passive)) + (pin (num 4) (name P4) (type passive)) + (pin (num 5) (name P5) (type passive)))) + (libpart (lib conn) (part CONN_01X06) + (description "Connector 01x06") + (footprints + (fp Pin_Header_Straight_1X06) + (fp Pin_Header_Angled_1X06) + (fp Socket_Strip_Straight_1X06) + (fp Socket_Strip_Angled_1X06)) + (fields + (field (name Reference) P) + (field (name Value) CONN_01X06)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name P2) (type passive)) + (pin (num 3) (name P3) (type passive)) + (pin (num 4) (name P4) (type passive)) + (pin (num 5) (name P5) (type passive)) + (pin (num 6) (name P6) (type passive)))) + (libpart (lib conn) (part CONN_02X05) + (description "Connector 02x05") + (footprints + (fp Pin_Header_Straight_2X05) + (fp Pin_Header_Angled_2X05) + (fp Socket_Strip_Straight_2X05) + (fp Socket_Strip_Angled_2X05)) + (fields + (field (name Reference) P) + (field (name Value) CONN_02X05)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name P2) (type passive)) + (pin (num 3) (name P3) (type passive)) + (pin (num 4) (name P4) (type passive)) + (pin (num 5) (name P5) (type passive)) + (pin (num 6) (name P6) (type passive)) + (pin (num 7) (name P7) (type passive)) + (pin (num 8) (name P8) (type passive)) + (pin (num 9) (name P9) (type passive)) + (pin (num 10) (name P10) (type passive)))) + (libpart (lib device) (part CP1_Small) + (description "Polarised capacitor") + (footprints + (fp CP*) + (fp Elko*) + (fp TantalC*) + (fp C*elec) + (fp c_elec*) + (fp SMD*_Pol)) + (fields + (field (name Reference) C) + (field (name Value) CP1_Small)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib device) (part C_Small) + (description "Unpolarized capacitor") + (footprints + (fp C?) + (fp C_????_*) + (fp C_????) + (fp SMD*_c) + (fp Capacitor*)) + (fields + (field (name Reference) C) + (field (name Value) C_Small)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib ionpak) (part Crystal_4_Pin_1_3_Active) + (footprints + (fp Crystal_)) + (fields + (field (name Reference) Y) + (field (name Value) Crystal_4_Pin_1_3_Active)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name GND) (type power_in)) + (pin (num 3) (name 3) (type passive)) + (pin (num 4) (name GND) (type power_in)))) + (libpart (lib device) (part D_Small) + (description Diode) + (footprints + (fp Diode_*) + (fp D-Pak_TO252AA) + (fp *SingleDiode) + (fp *SingleDiode*) + (fp *_Diode_*)) + (fields + (field (name Reference) D) + (field (name Value) D_Small)) + (pins + (pin (num 1) (name K) (type passive)) + (pin (num 2) (name A) (type passive)))) + (libpart (lib ionpak) (part FAN3100) + (fields + (field (name Reference) U) + (field (name Value) FAN3100)) + (pins + (pin (num 1) (name VDD) (type passive)) + (pin (num 2) (name GND) (type passive)) + (pin (num 3) (name IN+) (type passive)) + (pin (num 4) (name IN-) (type passive)) + (pin (num 5) (name OUT) (type passive)))) + (libpart (lib ionpak) (part GDT) + (fields + (field (name Reference) GDT) + (field (name Value) GDT)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib ionpak) (part H11L) + (fields + (field (name Reference) Q) + (field (name Value) H11L)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)) + (pin (num 4) (name OUT) (type passive)) + (pin (num 5) (name GND) (type power_in)) + (pin (num 6) (name VCC) (type power_out)))) + (libpart (lib ionpak) (part HX1198FNL) + (fields + (field (name Reference) T) + (field (name Value) HX1198FNL)) + (pins + (pin (num 1) (name TD+) (type passive)) + (pin (num 2) (name TCT) (type passive)) + (pin (num 3) (name TD-) (type passive)) + (pin (num 4) (name ~) (type NotConnected)) + (pin (num 5) (name ~) (type NotConnected)) + (pin (num 6) (name RD+) (type passive)) + (pin (num 7) (name RCT) (type passive)) + (pin (num 8) (name RD-) (type passive)) + (pin (num 9) (name RX-) (type passive)) + (pin (num 10) (name RXCT) (type passive)) + (pin (num 11) (name RX+) (type passive)) + (pin (num 12) (name ~) (type NotConnected)) + (pin (num 13) (name ~) (type NotConnected)) + (pin (num 14) (name TX-) (type passive)) + (pin (num 15) (name TXCT) (type passive)) + (pin (num 16) (name TX+) (type passive)))) + (libpart (lib device) (part INDUCTOR_SMALL) + (fields + (field (name Reference) L) + (field (name Value) INDUCTOR_SMALL)) + (pins + (pin (num 1) (name 1) (type input)) + (pin (num 2) (name 2) (type input)))) + (libpart (lib ionpak) (part LM2664) + (fields + (field (name Reference) U) + (field (name Value) LM2664)) + (pins + (pin (num 1) (name GND) (type power_in)) + (pin (num 2) (name OUT) (type passive)) + (pin (num 3) (name CAP1) (type passive)) + (pin (num 4) (name SDn) (type input)) + (pin (num 5) (name V+) (type passive)) + (pin (num 6) (name CAP+) (type passive)))) + (libpart (lib ionpak) (part LM337L) + (footprints + (fp SOT223) + (fp SOT89-3) + (fp TO220-3) + (fp TO252) + (fp TO263)) + (fields + (field (name Reference) U) + (field (name Value) LM337L)) + (pins + (pin (num 1) (name VO) (type power_out)) + (pin (num 2) (name VI) (type passive)) + (pin (num 3) (name VI) (type passive)) + (pin (num 4) (name ADJ) (type passive)) + (pin (num 6) (name VI) (type passive)) + (pin (num 7) (name VI) (type passive)))) + (libpart (lib ionpak) (part LM339PT) + (fields + (field (name Reference) U) + (field (name Value) LM339PT)) + (pins + (pin (num 1) (name ~) (type output)) + (pin (num 2) (name ~) (type output)) + (pin (num 3) (name V+) (type power_in)) + (pin (num 4) (name -) (type input)) + (pin (num 5) (name +) (type input)) + (pin (num 6) (name -) (type input)) + (pin (num 7) (name +) (type input)) + (pin (num 8) (name -) (type input)) + (pin (num 9) (name +) (type input)) + (pin (num 10) (name -) (type input)) + (pin (num 11) (name +) (type input)) + (pin (num 12) (name V-) (type power_in)) + (pin (num 13) (name ~) (type output)) + (pin (num 14) (name ~) (type output)))) + (libpart (lib ionpak) (part LMP7721) + (fields + (field (name Reference) U) + (field (name Value) LMP7721)) + (pins + (pin (num 1) (name +) (type passive)) + (pin (num 2) (name GND) (type power_in)) + (pin (num 3) (name V-) (type power_in)) + (pin (num 4) (name ~) (type output)) + (pin (num 6) (name V+) (type power_in)) + (pin (num 7) (name GND) (type power_in)) + (pin (num 8) (name -) (type input)))) + (libpart (lib ionpak) (part Led_Small_th1) + (description Led) + (fields + (field (name Reference) D) + (field (name Value) Led_Small_th1)) + (pins + (pin (num 1) (name A) (type passive)) + (pin (num 2) (name K) (type passive)))) + (libpart (lib device) (part Q_NMOS_GSD) + (description "Transistor N-MOSFET (general)") + (fields + (field (name Reference) Q) + (field (name Value) Q_NMOS_GSD)) + (pins + (pin (num 1) (name G) (type input)) + (pin (num 2) (name S) (type passive)) + (pin (num 3) (name D) (type passive)))) + (libpart (lib device) (part Q_PMOS_GSD) + (description "Transistor P-MOSFET (general)") + (fields + (field (name Reference) Q) + (field (name Value) Q_PMOS_GSD)) + (pins + (pin (num 1) (name G) (type input)) + (pin (num 2) (name S) (type passive)) + (pin (num 3) (name D) (type passive)))) + (libpart (lib ionpak) (part RJ45_NO_SHIELD) + (fields + (field (name Reference) J) + (field (name Value) RJ45_NO_SHIELD)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)) + (pin (num 3) (name ~) (type passive)) + (pin (num 4) (name ~) (type passive)) + (pin (num 5) (name ~) (type passive)) + (pin (num 6) (name ~) (type passive)) + (pin (num 7) (name ~) (type passive)) + (pin (num 8) (name ~) (type passive)))) + (libpart (lib device) (part R_Small) + (description Resistor) + (footprints + (fp Resistor_*) + (fp R_*)) + (fields + (field (name Reference) R) + (field (name Value) R_Small)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib ionpak) (part SPB05A-05) + (fields + (field (name Reference) U) + (field (name Value) SPB05A-05)) + (pins + (pin (num 1) (name VIN-) (type passive)) + (pin (num 2) (name IN+) (type passive)) + (pin (num 6) (name VOUT+) (type passive)) + (pin (num 7) (name VOUT-) (type passive)))) + (libpart (lib ionpak) (part SRV05-4) + (fields + (field (name Reference) D) + (field (name Value) SRV05-4)) + (pins + (pin (num 1) (name ESD1) (type passive)) + (pin (num 2) (name GND) (type power_in)) + (pin (num 3) (name ESD2) (type passive)) + (pin (num 4) (name ESD3) (type passive)) + (pin (num 5) (name VCC) (type passive)) + (pin (num 6) (name ESD4) (type passive)))) + (libpart (lib ionpak) (part SW_PBTN_2PIN_V) + (fields + (field (name Reference) S) + (field (name Value) SW_PBTN_2PIN_V)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)) + (pin (num 3) (name GND) (type passive)) + (pin (num 4) (name GND) (type passive)))) + (libpart (lib ionpak) (part TLV271) + (fields + (field (name Reference) U) + (field (name Value) TLV271)) + (pins + (pin (num 1) (name ~) (type output)) + (pin (num 2) (name V-) (type passive)) + (pin (num 3) (name +) (type input)) + (pin (num 4) (name -) (type input)) + (pin (num 5) (name V+) (type passive)))) + (libpart (lib ionpak) (part TM4C1294KCPDT) + (fields + (field (name Reference) U) + (field (name Value) TM4C1294KCPDT)) + (pins + (pin (num 1) (name T0CCP0/PD0) (type BiDi)) + (pin (num 2) (name T0CCP1/PD1) (type BiDi)) + (pin (num 3) (name T1CCP0/PD2) (type BiDi)) + (pin (num 4) (name T1CCP1/PD3) (type BiDi)) + (pin (num 5) (name PQ0) (type BiDi)) + (pin (num 6) (name PQ1) (type BiDi)) + (pin (num 7) (name VDD1) (type power_in)) + (pin (num 8) (name VDDA) (type passive)) + (pin (num 9) (name VREFA+) (type passive)) + (pin (num 10) (name GNDA) (type passive)) + (pin (num 11) (name PQ2) (type BiDi)) + (pin (num 12) (name PE3/AIN0) (type BiDi)) + (pin (num 13) (name PE2/AIN1) (type BiDi)) + (pin (num 14) (name PE1/AIN2) (type BiDi)) + (pin (num 15) (name PE0/AIN3) (type BiDi)) + (pin (num 16) (name VDD2) (type power_in)) + (pin (num 17) (name GND1) (type power_in)) + (pin (num 18) (name PK0) (type BiDi)) + (pin (num 19) (name PK1) (type BiDi)) + (pin (num 20) (name PK2) (type BiDi)) + (pin (num 21) (name PK3) (type BiDi)) + (pin (num 22) (name PC7) (type BiDi)) + (pin (num 23) (name PC6) (type BiDi)) + (pin (num 24) (name PC5) (type BiDi)) + (pin (num 25) (name PC4) (type BiDi)) + (pin (num 26) (name VDD3) (type power_in)) + (pin (num 27) (name PQ3) (type BiDi)) + (pin (num 28) (name VDD4) (type power_in)) + (pin (num 29) (name U0RTS/PH0) (type BiDi)) + (pin (num 30) (name U0CTS/PH1) (type BiDi)) + (pin (num 31) (name PH2) (type BiDi)) + (pin (num 32) (name PH3) (type BiDi)) + (pin (num 33) (name PA0/U0RX) (type BiDi)) + (pin (num 34) (name PA1/U0TX) (type BiDi)) + (pin (num 35) (name PA2) (type BiDi)) + (pin (num 36) (name PA3) (type BiDi)) + (pin (num 37) (name PA4/T2CCP0) (type BiDi)) + (pin (num 38) (name PA5/T2CCP1) (type BiDi)) + (pin (num 39) (name VDD5) (type power_in)) + (pin (num 40) (name PA6/T3CCP0) (type BiDi)) + (pin (num 41) (name PA7/T3CCP1) (type BiDi)) + (pin (num 42) (name M0PWM0/PF0) (type BiDi)) + (pin (num 43) (name M0PWM1/PF1) (type BiDi)) + (pin (num 44) (name M0PWM2/PF2) (type BiDi)) + (pin (num 45) (name M0PWM3/PF3) (type BiDi)) + (pin (num 46) (name PF4) (type BiDi)) + (pin (num 47) (name VDD6) (type power_in)) + (pin (num 48) (name GND2) (type power_in)) + (pin (num 49) (name PG0/M0PWM4) (type BiDi)) + (pin (num 50) (name PG1/M0PWM5) (type BiDi)) + (pin (num 51) (name VDD8) (type power_in)) + (pin (num 52) (name VDD9) (type power_in)) + (pin (num 53) (name EN0RXIN) (type passive)) + (pin (num 54) (name EN0RXIP) (type passive)) + (pin (num 55) (name GND3) (type power_in)) + (pin (num 56) (name EN0TXON) (type passive)) + (pin (num 57) (name EN0TXOP) (type passive)) + (pin (num 58) (name GND4) (type power_in)) + (pin (num 59) (name RBIAS) (type passive)) + (pin (num 60) (name PK7) (type BiDi)) + (pin (num 61) (name PK6/EN0LED1) (type BiDi)) + (pin (num 62) (name PK5/EN0LED2) (type BiDi)) + (pin (num 63) (name PK4/EN0LED0) (type BiDi)) + (pin (num 64) (name WAKEN) (type input)) + (pin (num 65) (name HIBN) (type output)) + (pin (num 66) (name XOSC0) (type BiDi)) + (pin (num 67) (name XOSC1) (type BiDi)) + (pin (num 68) (name VBAT) (type passive)) + (pin (num 69) (name VDD10) (type power_in)) + (pin (num 70) (name RESETN) (type input)) + (pin (num 71) (name PM7) (type BiDi)) + (pin (num 72) (name PM6) (type BiDi)) + (pin (num 73) (name PM5) (type BiDi)) + (pin (num 74) (name PM4) (type BiDi)) + (pin (num 75) (name PM3) (type BiDi)) + (pin (num 76) (name PM2) (type BiDi)) + (pin (num 77) (name PM1) (type BiDi)) + (pin (num 78) (name PM0) (type BiDi)) + (pin (num 79) (name VDD11) (type power_in)) + (pin (num 80) (name GND5) (type power_in)) + (pin (num 81) (name PL0) (type BiDi)) + (pin (num 82) (name PL1) (type BiDi)) + (pin (num 83) (name PL2) (type BiDi)) + (pin (num 84) (name PL3) (type BiDi)) + (pin (num 85) (name PL4) (type BiDi)) + (pin (num 86) (name PL5) (type BiDi)) + (pin (num 87) (name VDDC1) (type passive)) + (pin (num 88) (name OSC0) (type passive)) + (pin (num 89) (name OSC1) (type passive)) + (pin (num 90) (name VDD12) (type power_in)) + (pin (num 91) (name PB2) (type BiDi)) + (pin (num 92) (name PB3) (type BiDi)) + (pin (num 93) (name PL7) (type BiDi)) + (pin (num 94) (name PL6) (type BiDi)) + (pin (num 95) (name PB0) (type BiDi)) + (pin (num 96) (name PB1) (type BiDi)) + (pin (num 97) (name PC3/TDO/SWO) (type BiDi)) + (pin (num 98) (name PC2/TDI) (type BiDi)) + (pin (num 99) (name PC1/TMS/SWDIO) (type BiDi)) + (pin (num 100) (name PC0/TCK/SWCLK) (type BiDi)) + (pin (num 101) (name VDD13) (type power_in)) + (pin (num 102) (name PQ4) (type BiDi)) + (pin (num 103) (name PP2) (type BiDi)) + (pin (num 104) (name PP3) (type BiDi)) + (pin (num 105) (name PP4) (type BiDi)) + (pin (num 106) (name PP5) (type BiDi)) + (pin (num 107) (name PN0) (type BiDi)) + (pin (num 108) (name PN1) (type BiDi)) + (pin (num 109) (name PN2) (type BiDi)) + (pin (num 110) (name PN3) (type BiDi)) + (pin (num 111) (name PN4) (type BiDi)) + (pin (num 112) (name PN5) (type BiDi)) + (pin (num 113) (name VDD14) (type power_in)) + (pin (num 114) (name GND6) (type power_in)) + (pin (num 115) (name VDDC2) (type passive)) + (pin (num 116) (name PJ0) (type BiDi)) + (pin (num 117) (name PJ1) (type BiDi)) + (pin (num 118) (name PP0) (type BiDi)) + (pin (num 119) (name PP1) (type BiDi)) + (pin (num 120) (name PB5) (type BiDi)) + (pin (num 121) (name PB4) (type power_in)) + (pin (num 122) (name VDD15) (type power_in)) + (pin (num 123) (name PE4) (type BiDi)) + (pin (num 124) (name PE5) (type BiDi)) + (pin (num 125) (name AIN7/PD4) (type BiDi)) + (pin (num 126) (name AIN6/PD5) (type BiDi)) + (pin (num 127) (name AIN5/PD6) (type BiDi)) + (pin (num 128) (name AIN4/PD7) (type BiDi)))) + (libpart (lib ionpak) (part TRANS1) + (fields + (field (name Reference) TR) + (field (name Value) TRANS1)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)) + (pin (num 9) (name ~) (type passive)) + (pin (num 10) (name ~) (type passive)))) + (libpart (lib ionpak) (part TSV358A) + (fields + (field (name Reference) U) + (field (name Value) TSV358A)) + (pins + (pin (num 1) (name ~) (type output)) + (pin (num 2) (name -) (type input)) + (pin (num 3) (name +) (type input)) + (pin (num 4) (name V+) (type power_in)) + (pin (num 5) (name +) (type input)) + (pin (num 6) (name -) (type input)) + (pin (num 7) (name ~) (type output)) + (pin (num 8) (name ~) (type output)) + (pin (num 9) (name -) (type input)) + (pin (num 10) (name +) (type input)) + (pin (num 11) (name V-) (type power_in)) + (pin (num 12) (name +) (type input)) + (pin (num 13) (name -) (type input)) + (pin (num 14) (name ~) (type output)))) + (libpart (lib device) (part ZENER) + (description "Diode zener") + (footprints + (fp D?) + (fp SO*) + (fp SM*)) + (fields + (field (name Reference) D) + (field (name Value) ZENER)) + (pins + (pin (num 1) (name K) (type passive)) + (pin (num 2) (name A) (type passive))))) + (libraries + (library (logical 74xx) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\74xx.lib")) + (library (logical conn) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\conn.lib")) + (library (logical ionpak) + (uri C:\APP\Dropbox\SebastianHK\CAD\ionpak.lib)) + (library (logical device) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\device.lib")) + (library (logical transistors) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\transistors.lib"))) + (nets + (net (code 1) (name /FBI_MED) + (node (ref Q201) (pin 1)) + (node (ref U500) (pin 104))) + (net (code 2) (name "Net-(D205-Pad1)") + (node (ref D205) (pin 1)) + (node (ref R224) (pin 2))) + (net (code 3) (name "Net-(R235-Pad2)") + (node (ref U201) (pin 1)) + (node (ref R235) (pin 2)) + (node (ref R237) (pin 2))) + (net (code 4) (name /FIL-) + (node (ref R200) (pin 1)) + (node (ref P2) (pin 3)) + (node (ref C356) (pin 2)) + (node (ref TR350) (pin 10)) + (node (ref GDT200) (pin 1)) + (node (ref C200) (pin 1)) + (node (ref D200) (pin 2)) + (node (ref R216) (pin 1)) + (node (ref C355) (pin 2))) + (net (code 5) (name "Net-(Q100-Pad1)") + (node (ref R118) (pin 2)) + (node (ref Q100) (pin 1)) + (node (ref Q102) (pin 3))) + (net (code 6) (name /FIL+) + (node (ref D200) (pin 1)) + (node (ref D351) (pin 1)) + (node (ref P2) (pin 2)) + (node (ref C356) (pin 1)) + (node (ref C355) (pin 1)) + (node (ref R201) (pin 1))) + (net (code 7) (name "Net-(R234-Pad2)") + (node (ref U201) (pin 4)) + (node (ref R235) (pin 1)) + (node (ref R234) (pin 2))) + (net (code 8) (name "Net-(D205-Pad3)") + (node (ref Q202) (pin 3)) + (node (ref R232) (pin 2)) + (node (ref D205) (pin 3))) + (net (code 9) (name /FBI_HIGH) + (node (ref Q202) (pin 1)) + (node (ref U500) (pin 105))) + (net (code 10) (name "Net-(D201-Pad2)") + (node (ref D201) (pin 2)) + (node (ref R216) (pin 2))) + (net (code 11) (name "Net-(U501-Pad10)") + (node (ref U501) (pin 11)) + (node (ref U501) (pin 10))) + (net (code 12) (name /FV_ERRN) + (node (ref U500) (pin 81)) + (node (ref U202) (pin 2)) + (node (ref U501) (pin 1))) + (net (code 13) (name /AV_ERRN) + (node (ref D304) (pin 3)) + (node (ref U202) (pin 13)) + (node (ref U500) (pin 84))) + (net (code 14) (name /FBI_ERRN) + (node (ref U202) (pin 14)) + (node (ref D209) (pin 3)) + (node (ref U500) (pin 83))) + (net (code 15) (name "Net-(R233-Pad2)") + (node (ref U201) (pin 3)) + (node (ref R233) (pin 2))) + (net (code 16) (name "Net-(R226-Pad2)") + (node (ref U101) (pin 10)) + (node (ref R226) (pin 2))) + (net (code 17) (name "Net-(D204-Pad1)") + (node (ref D204) (pin 1)) + (node (ref R233) (pin 1)) + (node (ref R223) (pin 2))) + (net (code 18) (name "Net-(R227-Pad1)") + (node (ref U101) (pin 9)) + (node (ref R228) (pin 1)) + (node (ref R227) (pin 1))) + (net (code 19) (name "Net-(Q200-Pad1)") + (node (ref Q200) (pin 1)) + (node (ref R217) (pin 1))) + (net (code 20) (name "Net-(R400-Pad1)") + (node (ref U402) (pin 4)) + (node (ref R401) (pin 2)) + (node (ref R400) (pin 1))) + (net (code 21) (name "Net-(D201-Pad1)") + (node (ref D201) (pin 1)) + (node (ref Q200) (pin 3))) + (net (code 22) (name "Net-(C307-Pad2)") + (node (ref C307) (pin 2)) + (node (ref R310) (pin 1)) + (node (ref R309) (pin 2)) + (node (ref C308) (pin 1))) + (net (code 23) (name "Net-(C353-Pad1)") + (node (ref U351) (pin 1)) + (node (ref U351) (pin 3)) + (node (ref R351) (pin 1)) + (node (ref U350) (pin 6)) + (node (ref R352) (pin 2)) + (node (ref C353) (pin 1))) + (net (code 24) (name /VREF_ERR) + (node (ref R221) (pin 1)) + (node (ref U202) (pin 7)) + (node (ref R220) (pin 2)) + (node (ref U202) (pin 11)) + (node (ref U202) (pin 9))) + (net (code 25) (name "Net-(R103-Pad2)") + (node (ref RL100) (pin 1)) + (node (ref R103) (pin 2))) + (net (code 26) (name "Net-(D100-Pad3)") + (node (ref Q100) (pin 3)) + (node (ref D100) (pin 3)) + (node (ref RL100) (pin 2))) + (net (code 27) (name /IC_GAIN_RELAY2) + (node (ref Q102) (pin 1)) + (node (ref U500) (pin 119)) + (node (ref R119) (pin 1))) + (net (code 28) (name /FBV_ERRN) + (node (ref D208) (pin 3)) + (node (ref U202) (pin 1)) + (node (ref U500) (pin 82))) + (net (code 29) (name /FBI_GAIN) + (node (ref U500) (pin 106)) + (node (ref Q203) (pin 1))) + (net (code 30) (name "Net-(Q203-Pad3)") + (node (ref R227) (pin 2)) + (node (ref Q203) (pin 3))) + (net (code 31) (name /FD_ADC) + (node (ref U500) (pin 15)) + (node (ref R237) (pin 1)) + (node (ref D207) (pin 1)) + (node (ref R236) (pin 2))) + (net (code 32) (name "Net-(D204-Pad3)") + (node (ref Q201) (pin 3)) + (node (ref D204) (pin 3)) + (node (ref R231) (pin 2))) + (net (code 33) (name "Net-(D202-Pad3)") + (node (ref D202) (pin 3)) + (node (ref R222) (pin 2)) + (node (ref D203) (pin 2))) + (net (code 34) (name "Net-(R505-Pad2)") + (node (ref R505) (pin 2)) + (node (ref U500) (pin 59))) + (net (code 35) (name "Net-(R350-Pad2)") + (node (ref R350) (pin 2)) + (node (ref U350) (pin 1))) + (net (code 36) (name "Net-(U501-Pad6)") + (node (ref U501) (pin 9)) + (node (ref U501) (pin 6))) + (net (code 37) (name /ERR_LATCHN) + (node (ref U502) (pin 2)) + (node (ref U500) (pin 86)) + (node (ref U502) (pin 13)) + (node (ref U502) (pin 6))) + (net (code 38) (name /HV_PWM) + (node (ref U500) (pin 37)) + (node (ref U502) (pin 1)) + (node (ref U500) (pin 42))) + (net (code 39) (name /FV_PWM) + (node (ref U500) (pin 44)) + (node (ref U500) (pin 40)) + (node (ref U502) (pin 12))) + (net (code 40) (name "Net-(R300-Pad2)") + (node (ref R300) (pin 2)) + (node (ref U300) (pin 1))) + (net (code 41) (name "Net-(U300-Pad2)") + (node (ref U502) (pin 3)) + (node (ref U300) (pin 2))) + (net (code 42) (name "Net-(U350-Pad2)") + (node (ref U350) (pin 2)) + (node (ref U502) (pin 11))) + (net (code 43) (name "Net-(D500-Pad3)") + (node (ref U501) (pin 3)) + (node (ref R501) (pin 1)) + (node (ref D500) (pin 3))) + (net (code 44) (name "Net-(D208-Pad1)") + (node (ref D305) (pin 1)) + (node (ref U501) (pin 2)) + (node (ref D208) (pin 1)) + (node (ref D209) (pin 1)) + (node (ref R500) (pin 2)) + (node (ref D304) (pin 1))) + (net (code 45) (name /FBI_ADC) + (node (ref U500) (pin 13)) + (node (ref R229) (pin 2)) + (node (ref R230) (pin 1))) + (net (code 46) (name "Net-(U501-Pad12)") + (node (ref U502) (pin 4)) + (node (ref U502) (pin 5)) + (node (ref U501) (pin 12)) + (node (ref U501) (pin 8))) + (net (code 47) (name "Net-(C501-Pad2)") + (node (ref U501) (pin 13)) + (node (ref R502) (pin 1)) + (node (ref C501) (pin 2))) + (net (code 48) (name /ERR_RESN) + (node (ref C501) (pin 1)) + (node (ref U500) (pin 5))) + (net (code 49) (name /ANODE_HV) + (node (ref R312) (pin 2)) + (node (ref R313) (pin 1)) + (node (ref C309) (pin 1)) + (node (ref P2) (pin 1))) + (net (code 50) (name "Net-(C410-Pad2)") + (node (ref P3) (pin 2)) + (node (ref J4) (pin 2)) + (node (ref FB401) (pin 1)) + (node (ref C410) (pin 2)) + (node (ref D401) (pin 2))) + (net (code 51) (name "Net-(C410-Pad1)") + (node (ref FB400) (pin 1)) + (node (ref D401) (pin 1)) + (node (ref C410) (pin 1)) + (node (ref J4) (pin 1)) + (node (ref P3) (pin 1))) + (net (code 52) (name "Net-(R208-Pad2)") + (node (ref U200) (pin 13)) + (node (ref R212) (pin 1)) + (node (ref R208) (pin 2))) + (net (code 53) (name "Net-(R201-Pad2)") + (node (ref R201) (pin 2)) + (node (ref R203) (pin 1)) + (node (ref U200) (pin 5))) + (net (code 54) (name "Net-(R200-Pad2)") + (node (ref R200) (pin 2)) + (node (ref R202) (pin 1)) + (node (ref U200) (pin 3))) + (net (code 55) (name "Net-(R205-Pad2)") + (node (ref R238) (pin 1)) + (node (ref R205) (pin 2)) + (node (ref R207) (pin 1)) + (node (ref R208) (pin 1)) + (node (ref U200) (pin 1))) + (net (code 56) (name "Net-(R204-Pad1)") + (node (ref R204) (pin 1)) + (node (ref R205) (pin 1)) + (node (ref U200) (pin 2))) + (net (code 57) (name "Net-(R204-Pad2)") + (node (ref U200) (pin 6)) + (node (ref R206) (pin 1)) + (node (ref R204) (pin 2))) + (net (code 58) (name "Net-(D101-Pad3)") + (node (ref RL101) (pin 2)) + (node (ref Q101) (pin 3)) + (node (ref D101) (pin 3))) + (net (code 59) (name "Net-(Q101-Pad1)") + (node (ref R120) (pin 2)) + (node (ref Q103) (pin 3)) + (node (ref Q101) (pin 1))) + (net (code 60) (name "Net-(C201-Pad1)") + (node (ref U200) (pin 8)) + (node (ref R217) (pin 2)) + (node (ref C201) (pin 1))) + (net (code 61) (name /VEE2V5) + (node (ref C404) (pin 1)) + (node (ref C104) (pin 2)) + (node (ref R109) (pin 2)) + (node (ref U101) (pin 11)) + (node (ref R401) (pin 1)) + (node (ref U402) (pin 1))) + (net (code 62) (name /FBV_PWM) + (node (ref U500) (pin 1)) + (node (ref U500) (pin 49)) + (node (ref R219) (pin 2))) + (net (code 63) (name /IC_GAIN) + (node (ref Q104) (pin 1)) + (node (ref U500) (pin 103))) + (net (code 64) (name "Net-(D202-Pad1)") + (node (ref Q200) (pin 2)) + (node (ref R224) (pin 1)) + (node (ref R226) (pin 1)) + (node (ref R225) (pin 1)) + (node (ref D202) (pin 1)) + (node (ref R223) (pin 1))) + (net (code 65) (name "Net-(R207-Pad2)") + (node (ref R207) (pin 2)) + (node (ref R210) (pin 2)) + (node (ref U200) (pin 10)) + (node (ref U202) (pin 6))) + (net (code 66) (name /FV_ADC) + (node (ref D206) (pin 1)) + (node (ref U500) (pin 14)) + (node (ref R213) (pin 2))) + (net (code 67) (name "Net-(R209-Pad2)") + (node (ref R211) (pin 1)) + (node (ref R209) (pin 2)) + (node (ref U200) (pin 12))) + (net (code 68) (name /VCC5V) + (node (ref Q101) (pin 2)) + (node (ref R120) (pin 1)) + (node (ref R232) (pin 1)) + (node (ref R231) (pin 1)) + (node (ref C405) (pin 1)) + (node (ref R214) (pin 1)) + (node (ref U200) (pin 4)) + (node (ref C204) (pin 1)) + (node (ref U202) (pin 3)) + (node (ref C205) (pin 1)) + (node (ref U400) (pin 6)) + (node (ref C400) (pin 1)) + (node (ref C401) (pin 1)) + (node (ref U403) (pin 3)) + (node (ref C408) (pin 1)) + (node (ref U401) (pin 5)) + (node (ref U401) (pin 4)) + (node (ref C203) (pin 1)) + (node (ref Q100) (pin 2)) + (node (ref R118) (pin 1)) + (node (ref R222) (pin 1)) + (node (ref U201) (pin 5)) + (node (ref U404) (pin 3))) + (net (code 69) (name "Net-(C101-Pad2)") + (node (ref C101) (pin 2)) + (node (ref RL101) (pin 4)) + (node (ref U100) (pin 4)) + (node (ref R110) (pin 1)) + (node (ref RL100) (pin 4)) + (node (ref R106) (pin 2))) + (net (code 70) (name "Net-(R105-Pad2)") + (node (ref R105) (pin 2)) + (node (ref R106) (pin 1))) + (net (code 71) (name /IC_GAIN_RELAY1) + (node (ref U500) (pin 118)) + (node (ref Q103) (pin 1)) + (node (ref R121) (pin 1))) + (net (code 72) (name "Net-(R110-Pad2)") + (node (ref R110) (pin 2)) + (node (ref R112) (pin 1)) + (node (ref U101) (pin 2))) + (net (code 73) (name "Net-(R111-Pad1)") + (node (ref U101) (pin 3)) + (node (ref R111) (pin 1))) + (net (code 74) (name "Net-(GDT100-Pad1)") + (node (ref R100) (pin 1)) + (node (ref P1) (pin 1)) + (node (ref GDT100) (pin 1))) + (net (code 75) (name "Net-(R104-Pad2)") + (node (ref R104) (pin 2)) + (node (ref RL101) (pin 1))) + (net (code 76) (name "Net-(C100-Pad1)") + (node (ref R104) (pin 1)) + (node (ref R103) (pin 1)) + (node (ref R105) (pin 1)) + (node (ref R101) (pin 1)) + (node (ref C100) (pin 1)) + (node (ref R100) (pin 2))) + (net (code 77) (name "Net-(R102-Pad1)") + (node (ref R102) (pin 1)) + (node (ref U100) (pin 1))) + (net (code 78) (name "Net-(C403-Pad1)") + (node (ref U401) (pin 6)) + (node (ref C403) (pin 1))) + (net (code 79) (name "Net-(C403-Pad2)") + (node (ref U401) (pin 3)) + (node (ref C403) (pin 2))) + (net (code 80) (name /VEE5V) + (node (ref C402) (pin 1)) + (node (ref U402) (pin 6)) + (node (ref C203) (pin 2)) + (node (ref U402) (pin 2)) + (node (ref U402) (pin 7)) + (node (ref U402) (pin 3)) + (node (ref U401) (pin 2)) + (node (ref U201) (pin 2))) + (net (code 81) (name "Net-(Q300-Pad1)") + (node (ref R304) (pin 2)) + (node (ref Q300) (pin 1))) + (net (code 82) (name GNDPWR) + (node (ref U301) (pin 2)) + (node (ref U351) (pin 2)) + (node (ref U350) (pin 5)) + (node (ref C353) (pin 2)) + (node (ref C412) (pin 2)) + (node (ref FB401) (pin 2)) + (node (ref C411) (pin 2)) + (node (ref Q350) (pin 2)) + (node (ref U300) (pin 5)) + (node (ref C304) (pin 2)) + (node (ref C350) (pin 2)) + (node (ref C301) (pin 2)) + (node (ref C303) (pin 2)) + (node (ref C302) (pin 2)) + (node (ref C351) (pin 2)) + (node (ref C300) (pin 2)) + (node (ref Q300) (pin 2)) + (node (ref U400) (pin 1)) + (node (ref C352) (pin 2)) + (node (ref C413) (pin 1))) + (net (code 83) (name "Net-(R301-Pad2)") + (node (ref U301) (pin 4)) + (node (ref U300) (pin 4)) + (node (ref R301) (pin 2))) + (net (code 84) (name "Net-(R304-Pad1)") + (node (ref U301) (pin 5)) + (node (ref R304) (pin 1))) + (net (code 85) (name "Net-(D300-Pad2)") + (node (ref TR300) (pin 2)) + (node (ref D300) (pin 2)) + (node (ref Q300) (pin 3))) + (net (code 86) (name "Net-(C305-Pad2)") + (node (ref D300) (pin 1)) + (node (ref R303) (pin 2)) + (node (ref C305) (pin 2))) + (net (code 87) (name /VCC12V) + (node (ref FB400) (pin 2)) + (node (ref C305) (pin 1)) + (node (ref C350) (pin 1)) + (node (ref R302) (pin 1)) + (node (ref R352) (pin 1)) + (node (ref C303) (pin 1)) + (node (ref C301) (pin 1)) + (node (ref C300) (pin 1)) + (node (ref R353) (pin 1)) + (node (ref C302) (pin 1)) + (node (ref C354) (pin 1)) + (node (ref C351) (pin 1)) + (node (ref R303) (pin 1)) + (node (ref U400) (pin 2)) + (node (ref C412) (pin 1)) + (node (ref C352) (pin 1)) + (node (ref TR300) (pin 1)) + (node (ref TR350) (pin 1)) + (node (ref C411) (pin 1))) + (net (code 88) (name "Net-(R354-Pad1)") + (node (ref U351) (pin 5)) + (node (ref R354) (pin 1))) + (net (code 89) (name "Net-(Q350-Pad1)") + (node (ref R354) (pin 2)) + (node (ref Q350) (pin 1))) + (net (code 90) (name "Net-(R351-Pad2)") + (node (ref R351) (pin 2)) + (node (ref U351) (pin 4)) + (node (ref U350) (pin 4))) + (net (code 91) (name /AI_ERRN) + (node (ref U500) (pin 85)) + (node (ref D305) (pin 3)) + (node (ref Q301) (pin 3))) + (net (code 92) (name "Net-(D350-Pad2)") + (node (ref Q350) (pin 3)) + (node (ref TR350) (pin 2)) + (node (ref D350) (pin 2))) + (net (code 93) (name "Net-(C354-Pad2)") + (node (ref C354) (pin 2)) + (node (ref R353) (pin 2)) + (node (ref D350) (pin 1))) + (net (code 94) (name "Net-(D351-Pad2)") + (node (ref TR350) (pin 9)) + (node (ref D351) (pin 2))) + (net (code 95) (name /VCC3V) + (node (ref D206) (pin 3)) + (node (ref D207) (pin 3)) + (node (ref R117) (pin 1)) + (node (ref R402) (pin 1)) + (node (ref R236) (pin 1)) + (node (ref D210) (pin 3)) + (node (ref U403) (pin 2)) + (node (ref C406) (pin 1)) + (node (ref R108) (pin 2)) + (node (ref R220) (pin 1)) + (node (ref R230) (pin 2)) + (node (ref U101) (pin 4)) + (node (ref C104) (pin 1))) + (net (code 96) (name "Net-(C201-Pad2)") + (node (ref R218) (pin 1)) + (node (ref U200) (pin 9)) + (node (ref C201) (pin 2))) + (net (code 97) (name "Net-(R214-Pad2)") + (node (ref U202) (pin 5)) + (node (ref R215) (pin 1)) + (node (ref R214) (pin 2))) + (net (code 98) (name /AV_ADC) + (node (ref R319) (pin 2)) + (node (ref U500) (pin 127))) + (net (code 99) (name "Net-(R313-Pad2)") + (node (ref U101) (pin 12)) + (node (ref R313) (pin 2)) + (node (ref R317) (pin 1))) + (net (code 100) (name "Net-(R318-Pad1)") + (node (ref R318) (pin 1)) + (node (ref U101) (pin 13))) + (net (code 101) (name "Net-(R318-Pad2)") + (node (ref U101) (pin 14)) + (node (ref R319) (pin 1)) + (node (ref R318) (pin 2)) + (node (ref U202) (pin 10))) + (net (code 102) (name "Net-(R310-Pad2)") + (node (ref R311) (pin 1)) + (node (ref R310) (pin 2))) + (net (code 103) (name "Net-(Q301-Pad2)") + (node (ref Q301) (pin 2)) + (node (ref R307) (pin 2))) + (net (code 104) (name "Net-(R308-Pad2)") + (node (ref R308) (pin 2)) + (node (ref R309) (pin 1))) + (net (code 105) (name "Net-(C307-Pad1)") + (node (ref R308) (pin 1)) + (node (ref D301) (pin 1)) + (node (ref R306) (pin 2)) + (node (ref C307) (pin 1)) + (node (ref R312) (pin 1))) + (net (code 106) (name "Net-(C306-Pad2)") + (node (ref R306) (pin 1)) + (node (ref C306) (pin 2))) + (net (code 107) (name "Net-(C306-Pad1)") + (node (ref C306) (pin 1)) + (node (ref D301) (pin 2)) + (node (ref TR300) (pin 9))) + (net (code 108) (name "Net-(C202-Pad1)") + (node (ref C202) (pin 1)) + (node (ref R219) (pin 1)) + (node (ref R218) (pin 2))) + (net (code 109) (name "Net-(C500-Pad1)") + (node (ref D500) (pin 1)) + (node (ref U501) (pin 5)) + (node (ref U501) (pin 4)) + (node (ref C500) (pin 1)) + (node (ref R501) (pin 2))) + (net (code 110) (name "Net-(C503-Pad1)") + (node (ref U500) (pin 68)) + (node (ref R506) (pin 1)) + (node (ref C503) (pin 1))) + (net (code 111) (name /TMS) + (node (ref U500) (pin 99)) + (node (ref R519) (pin 2)) + (node (ref J5) (pin 2))) + (net (code 112) (name /TCK) + (node (ref J5) (pin 4)) + (node (ref R520) (pin 2)) + (node (ref U500) (pin 100))) + (net (code 113) (name /TDO) + (node (ref U500) (pin 97)) + (node (ref J5) (pin 6)) + (node (ref R521) (pin 2))) + (net (code 114) (name /TDI) + (node (ref R522) (pin 2)) + (node (ref U500) (pin 98)) + (node (ref J5) (pin 8))) + (net (code 115) (name "Net-(C509-Pad1)") + (node (ref C510) (pin 1)) + (node (ref C511) (pin 1)) + (node (ref U500) (pin 87)) + (node (ref C509) (pin 1)) + (node (ref U500) (pin 115))) + (net (code 116) (name /OSC0) + (node (ref C513) (pin 1)) + (node (ref Y500) (pin 3)) + (node (ref U500) (pin 88))) + (net (code 117) (name "Net-(C512-Pad1)") + (node (ref C512) (pin 1)) + (node (ref R507) (pin 2)) + (node (ref Y500) (pin 1))) + (net (code 118) (name "Net-(C308-Pad2)") + (node (ref R311) (pin 2)) + (node (ref TR300) (pin 10)) + (node (ref R307) (pin 1)) + (node (ref R305) (pin 1)) + (node (ref C308) (pin 2))) + (net (code 119) (name "Net-(C304-Pad1)") + (node (ref U300) (pin 6)) + (node (ref R301) (pin 1)) + (node (ref R302) (pin 2)) + (node (ref C304) (pin 1)) + (node (ref U301) (pin 1)) + (node (ref U301) (pin 3))) + (net (code 120) (name "Net-(U500-Pad2)") + (node (ref U500) (pin 2))) + (net (code 121) (name "Net-(U500-Pad36)") + (node (ref U500) (pin 36))) + (net (code 122) (name "Net-(U500-Pad35)") + (node (ref U500) (pin 35))) + (net (code 123) (name "Net-(U500-Pad120)") + (node (ref U500) (pin 120))) + (net (code 124) (name "Net-(U500-Pad121)") + (node (ref U500) (pin 121))) + (net (code 125) (name "Net-(U500-Pad92)") + (node (ref U500) (pin 92))) + (net (code 126) (name "Net-(U500-Pad91)") + (node (ref U500) (pin 91))) + (net (code 127) (name "Net-(U500-Pad96)") + (node (ref U500) (pin 96))) + (net (code 128) (name "Net-(U500-Pad95)") + (node (ref U500) (pin 95))) + (net (code 129) (name "Net-(U500-Pad38)") + (node (ref U500) (pin 38))) + (net (code 130) (name "Net-(U500-Pad3)") + (node (ref U500) (pin 3))) + (net (code 131) (name "Net-(U500-Pad4)") + (node (ref U500) (pin 4))) + (net (code 132) (name "Net-(U500-Pad125)") + (node (ref U500) (pin 125))) + (net (code 133) (name "Net-(U500-Pad128)") + (node (ref U500) (pin 128))) + (net (code 134) (name "Net-(U500-Pad46)") + (node (ref U500) (pin 46))) + (net (code 135) (name "Net-(U500-Pad123)") + (node (ref U500) (pin 123))) + (net (code 136) (name "Net-(J5-Pad7)") + (node (ref J5) (pin 7))) + (net (code 137) (name "Net-(J5-Pad3)") + (node (ref J5) (pin 3))) + (net (code 138) (name "Net-(U500-Pad41)") + (node (ref U500) (pin 41))) + (net (code 139) (name "Net-(U500-Pad25)") + (node (ref U500) (pin 25))) + (net (code 140) (name "Net-(U500-Pad24)") + (node (ref U500) (pin 24))) + (net (code 141) (name "Net-(U500-Pad23)") + (node (ref U500) (pin 23))) + (net (code 142) (name "Net-(U500-Pad22)") + (node (ref U500) (pin 22))) + (net (code 143) (name "Net-(U500-Pad50)") + (node (ref U500) (pin 50))) + (net (code 144) (name "Net-(U500-Pad124)") + (node (ref U500) (pin 124))) + (net (code 145) (name "Net-(U500-Pad31)") + (node (ref U500) (pin 31))) + (net (code 146) (name "Net-(U500-Pad32)") + (node (ref U500) (pin 32))) + (net (code 147) (name "Net-(U500-Pad116)") + (node (ref U500) (pin 116))) + (net (code 148) (name "Net-(U500-Pad117)") + (node (ref U500) (pin 117))) + (net (code 149) (name "Net-(U500-Pad18)") + (node (ref U500) (pin 18))) + (net (code 150) (name "Net-(U500-Pad19)") + (node (ref U500) (pin 19))) + (net (code 151) (name "Net-(U500-Pad20)") + (node (ref U500) (pin 20))) + (net (code 152) (name "Net-(U500-Pad21)") + (node (ref U500) (pin 21))) + (net (code 153) (name /LED1_K) + (node (ref R508) (pin 2)) + (node (ref D501) (pin 2)) + (node (ref J7) (pin 2))) + (net (code 154) (name GND) + (node (ref P1) (pin 2)) + (node (ref C405) (pin 2)) + (node (ref U403) (pin 1)) + (node (ref C401) (pin 2)) + (node (ref R305) (pin 2)) + (node (ref U400) (pin 7)) + (node (ref C402) (pin 2)) + (node (ref R221) (pin 2)) + (node (ref Q301) (pin 1)) + (node (ref R317) (pin 2)) + (node (ref U100) (pin 7)) + (node (ref C406) (pin 2)) + (node (ref C408) (pin 2)) + (node (ref C409) (pin 2)) + (node (ref C400) (pin 2)) + (node (ref C413) (pin 2)) + (node (ref C205) (pin 2)) + (node (ref U202) (pin 12)) + (node (ref U100) (pin 2)) + (node (ref Y500) (pin 2)) + (node (ref U502) (pin 9)) + (node (ref U502) (pin 10)) + (node (ref J6) (pin 1)) + (node (ref R505) (pin 1)) + (node (ref S1) (pin 2)) + (node (ref S1) (pin 3)) + (node (ref S1) (pin 4)) + (node (ref U500) (pin 17)) + (node (ref C204) (pin 2)) + (node (ref C103) (pin 2)) + (node (ref R107) (pin 2)) + (node (ref R225) (pin 2)) + (node (ref U500) (pin 55)) + (node (ref R215) (pin 2)) + (node (ref U200) (pin 11)) + (node (ref R211) (pin 2)) + (node (ref U404) (pin 1)) + (node (ref C407) (pin 2)) + (node (ref R403) (pin 2)) + (node (ref C202) (pin 2)) + (node (ref J7) (pin 5)) + (node (ref C309) (pin 2)) + (node (ref U502) (pin 7)) + (node (ref U500) (pin 80)) + (node (ref C511) (pin 2)) + (node (ref C510) (pin 2)) + (node (ref C503) (pin 2)) + (node (ref C502) (pin 2)) + (node (ref C508) (pin 2)) + (node (ref C507) (pin 2)) + (node (ref C506) (pin 2)) + (node (ref C505) (pin 2)) + (node (ref R234) (pin 1)) + (node (ref D203) (pin 1)) + (node (ref J5) (pin 5)) + (node (ref Q201) (pin 2)) + (node (ref U500) (pin 10)) + (node (ref C500) (pin 2)) + (node (ref C514) (pin 2)) + (node (ref R400) (pin 2)) + (node (ref C200) (pin 2)) + (node (ref GDT200) (pin 2)) + (node (ref R119) (pin 2)) + (node (ref R502) (pin 2)) + (node (ref D101) (pin 1)) + (node (ref Q203) (pin 2)) + (node (ref C404) (pin 2)) + (node (ref D100) (pin 1)) + (node (ref RL100) (pin 3)) + (node (ref Q102) (pin 2)) + (node (ref U401) (pin 1)) + (node (ref Y500) (pin 4)) + (node (ref R102) (pin 2)) + (node (ref RL101) (pin 3)) + (node (ref U501) (pin 7)) + (node (ref C509) (pin 2)) + (node (ref R203) (pin 2)) + (node (ref Q104) (pin 2)) + (node (ref C512) (pin 2)) + (node (ref U500) (pin 114)) + (node (ref C504) (pin 2)) + (node (ref C513) (pin 2)) + (node (ref U500) (pin 48)) + (node (ref J5) (pin 9)) + (node (ref U500) (pin 58)) + (node (ref R202) (pin 2)) + (node (ref C102) (pin 2)) + (node (ref R121) (pin 2)) + (node (ref GDT100) (pin 2)) + (node (ref Q103) (pin 2)) + (node (ref R111) (pin 2)) + (node (ref Q202) (pin 2))) + (net (code 155) (name /LED2_K) + (node (ref R509) (pin 2)) + (node (ref D502) (pin 2)) + (node (ref J7) (pin 4))) + (net (code 156) (name "Net-(U500-Pad62)") + (node (ref U500) (pin 62))) + (net (code 157) (name "Net-(U500-Pad60)") + (node (ref U500) (pin 60))) + (net (code 158) (name /LEDP_K) + (node (ref D400) (pin 2)) + (node (ref R403) (pin 1)) + (node (ref J7) (pin 1))) + (net (code 159) (name "Net-(P4-Pad4)") + (node (ref P4) (pin 4)) + (node (ref R517) (pin 1)) + (node (ref P4) (pin 5))) + (net (code 160) (name "Net-(C103-Pad1)") + (node (ref U100) (pin 6)) + (node (ref R108) (pin 1)) + (node (ref C103) (pin 1))) + (net (code 161) (name "Net-(C100-Pad2)") + (node (ref C101) (pin 1)) + (node (ref C100) (pin 2)) + (node (ref R107) (pin 1))) + (net (code 162) (name /EN_TXOP) + (node (ref U500) (pin 57)) + (node (ref T500) (pin 1)) + (node (ref R511) (pin 2)) + (node (ref D504) (pin 3))) + (net (code 163) (name /EN_TXON) + (node (ref R512) (pin 2)) + (node (ref T500) (pin 3)) + (node (ref U500) (pin 56)) + (node (ref D504) (pin 1))) + (net (code 164) (name /EN_RXIP) + (node (ref R513) (pin 2)) + (node (ref T500) (pin 6)) + (node (ref U500) (pin 54)) + (node (ref D504) (pin 6))) + (net (code 165) (name /EN_RXIN) + (node (ref T500) (pin 8)) + (node (ref D504) (pin 4)) + (node (ref R514) (pin 2)) + (node (ref U500) (pin 53))) + (net (code 166) (name "Net-(U500-Pad72)") + (node (ref U500) (pin 72))) + (net (code 167) (name "Net-(U500-Pad6)") + (node (ref U500) (pin 6))) + (net (code 168) (name "Net-(U500-Pad112)") + (node (ref U500) (pin 112))) + (net (code 169) (name "Net-(U500-Pad111)") + (node (ref U500) (pin 111))) + (net (code 170) (name "Net-(U500-Pad110)") + (node (ref U500) (pin 110))) + (net (code 171) (name "Net-(U500-Pad109)") + (node (ref U500) (pin 109))) + (net (code 172) (name "Net-(U500-Pad108)") + (node (ref U500) (pin 108))) + (net (code 173) (name "Net-(U500-Pad107)") + (node (ref U500) (pin 107))) + (net (code 174) (name "Net-(U500-Pad71)") + (node (ref U500) (pin 71))) + (net (code 175) (name "Net-(U500-Pad11)") + (node (ref U500) (pin 11))) + (net (code 176) (name "Net-(U500-Pad73)") + (node (ref U500) (pin 73))) + (net (code 177) (name "Net-(U500-Pad74)") + (node (ref U500) (pin 74))) + (net (code 178) (name "Net-(U500-Pad75)") + (node (ref U500) (pin 75))) + (net (code 179) (name "Net-(U500-Pad76)") + (node (ref U500) (pin 76))) + (net (code 180) (name "Net-(U500-Pad77)") + (node (ref U500) (pin 77))) + (net (code 181) (name "Net-(U500-Pad78)") + (node (ref U500) (pin 78))) + (net (code 182) (name "Net-(U500-Pad94)") + (node (ref U500) (pin 94))) + (net (code 183) (name "Net-(U500-Pad27)") + (node (ref U500) (pin 27))) + (net (code 184) (name "Net-(U500-Pad102)") + (node (ref U500) (pin 102))) + (net (code 185) (name "Net-(U500-Pad65)") + (node (ref U500) (pin 65))) + (net (code 186) (name "Net-(U502-Pad8)") + (node (ref U502) (pin 8))) + (net (code 187) (name "Net-(D504-Pad2)") + (node (ref D504) (pin 2))) + (net (code 188) (name "Net-(D504-Pad5)") + (node (ref D504) (pin 5))) + (net (code 189) (name "Net-(U500-Pad66)") + (node (ref U500) (pin 66))) + (net (code 190) (name "Net-(U500-Pad67)") + (node (ref U500) (pin 67))) + (net (code 191) (name "Net-(P4-Pad2)") + (node (ref P4) (pin 2)) + (node (ref T500) (pin 14))) + (net (code 192) (name /RESETN) + (node (ref R504) (pin 1)) + (node (ref J5) (pin 10))) + (net (code 193) (name "Net-(C515-Pad1)") + (node (ref R517) (pin 2)) + (node (ref C515) (pin 1)) + (node (ref R516) (pin 2)) + (node (ref R515) (pin 2)) + (node (ref R518) (pin 2))) + (net (code 194) (name "Net-(R516-Pad1)") + (node (ref T500) (pin 15)) + (node (ref R516) (pin 1))) + (net (code 195) (name "Net-(R515-Pad1)") + (node (ref T500) (pin 10)) + (node (ref R515) (pin 1))) + (net (code 196) (name "Net-(P4-Pad7)") + (node (ref P4) (pin 7)) + (node (ref R518) (pin 1)) + (node (ref P4) (pin 8))) + (net (code 197) (name "Net-(C515-Pad2)") + (node (ref H400) (pin 1)) + (node (ref C515) (pin 2))) + (net (code 198) (name "Net-(S1-Pad1)") + (node (ref U500) (pin 93)) + (node (ref S1) (pin 1))) + (net (code 199) (name "Net-(P4-Pad6)") + (node (ref T500) (pin 9)) + (node (ref P4) (pin 6))) + (net (code 200) (name "Net-(P4-Pad1)") + (node (ref P4) (pin 1)) + (node (ref T500) (pin 16))) + (net (code 201) (name "Net-(P4-Pad3)") + (node (ref T500) (pin 11)) + (node (ref P4) (pin 3))) + (net (code 202) (name /OSC1) + (node (ref U500) (pin 89)) + (node (ref R507) (pin 1))) + (net (code 203) (name /VREFA) + (node (ref C407) (pin 1)) + (node (ref R402) (pin 2)) + (node (ref U500) (pin 9))) + (net (code 204) (name "Net-(C502-Pad1)") + (node (ref C502) (pin 1)) + (node (ref R504) (pin 2)) + (node (ref R503) (pin 2)) + (node (ref U500) (pin 70))) + (net (code 205) (name "Net-(R228-Pad2)") + (node (ref U202) (pin 8)) + (node (ref R229) (pin 1)) + (node (ref U101) (pin 8)) + (node (ref R228) (pin 2))) + (net (code 206) (name "Net-(R206-Pad2)") + (node (ref U200) (pin 7)) + (node (ref R239) (pin 1)) + (node (ref R209) (pin 1)) + (node (ref R210) (pin 1)) + (node (ref R206) (pin 2))) + (net (code 207) (name "Net-(R212-Pad2)") + (node (ref U200) (pin 14)) + (node (ref R212) (pin 2)) + (node (ref R213) (pin 1)) + (node (ref U202) (pin 4))) + (net (code 208) (name /RTS) + (node (ref U500) (pin 29)) + (node (ref J6) (pin 2))) + (net (code 209) (name /RXD) + (node (ref U500) (pin 33)) + (node (ref J6) (pin 4))) + (net (code 210) (name /TXD) + (node (ref U500) (pin 34)) + (node (ref J6) (pin 5))) + (net (code 211) (name /CTS) + (node (ref U500) (pin 30)) + (node (ref J6) (pin 6))) + (net (code 212) (name /LED2) + (node (ref R509) (pin 1)) + (node (ref U500) (pin 45)) + (node (ref U500) (pin 61))) + (net (code 213) (name /LED1) + (node (ref R508) (pin 1)) + (node (ref U500) (pin 43)) + (node (ref U500) (pin 63))) + (net (code 214) (name "Net-(R101-Pad2)") + (node (ref R101) (pin 2)) + (node (ref U100) (pin 8))) + (net (code 215) (name /FBV_ADC) + (node (ref D210) (pin 1)) + (node (ref U500) (pin 126)) + (node (ref R238) (pin 2)) + (node (ref R239) (pin 2))) + (net (code 216) (name VCC) + (node (ref R512) (pin 1)) + (node (ref R513) (pin 1)) + (node (ref R514) (pin 1)) + (node (ref C505) (pin 1)) + (node (ref C506) (pin 1)) + (node (ref C507) (pin 1)) + (node (ref C508) (pin 1)) + (node (ref R519) (pin 1)) + (node (ref R522) (pin 1)) + (node (ref R503) (pin 1)) + (node (ref C504) (pin 1)) + (node (ref J5) (pin 1)) + (node (ref C514) (pin 1)) + (node (ref R511) (pin 1)) + (node (ref R521) (pin 1)) + (node (ref R520) (pin 1)) + (node (ref T500) (pin 7)) + (node (ref T500) (pin 2)) + (node (ref U500) (pin 79)) + (node (ref U500) (pin 69)) + (node (ref U500) (pin 39)) + (node (ref U501) (pin 14)) + (node (ref R500) (pin 1)) + (node (ref U500) (pin 8)) + (node (ref D502) (pin 1)) + (node (ref U500) (pin 26)) + (node (ref U500) (pin 16)) + (node (ref U500) (pin 64)) + (node (ref U500) (pin 122)) + (node (ref C409) (pin 1)) + (node (ref U500) (pin 101)) + (node (ref U500) (pin 28)) + (node (ref U500) (pin 47)) + (node (ref U500) (pin 7)) + (node (ref U404) (pin 2)) + (node (ref D400) (pin 1)) + (node (ref U500) (pin 113)) + (node (ref D501) (pin 1)) + (node (ref J7) (pin 3)) + (node (ref J6) (pin 3)) + (node (ref U500) (pin 52)) + (node (ref U500) (pin 51)) + (node (ref U500) (pin 90)) + (node (ref R506) (pin 2)) + (node (ref U502) (pin 14)) + (node (ref R350) (pin 1)) + (node (ref R300) (pin 1))) + (net (code 217) (name /IC_ADC) + (node (ref U500) (pin 12)) + (node (ref R116) (pin 2)) + (node (ref R117) (pin 2))) + (net (code 218) (name "Net-(R114-Pad1)") + (node (ref R115) (pin 1)) + (node (ref R114) (pin 1)) + (node (ref U101) (pin 6))) + (net (code 219) (name "Net-(C102-Pad1)") + (node (ref C102) (pin 1)) + (node (ref R109) (pin 1)) + (node (ref U100) (pin 3))) + (net (code 220) (name "Net-(R115-Pad2)") + (node (ref R115) (pin 2)) + (node (ref U101) (pin 7)) + (node (ref R116) (pin 1))) + (net (code 221) (name "Net-(R113-Pad2)") + (node (ref U101) (pin 5)) + (node (ref R113) (pin 2))) + (net (code 222) (name "Net-(R112-Pad2)") + (node (ref U101) (pin 1)) + (node (ref R112) (pin 2)) + (node (ref R113) (pin 1))) + (net (code 223) (name "Net-(Q104-Pad3)") + (node (ref R114) (pin 2)) + (node (ref Q104) (pin 3))) + (net (code 224) (name "Net-(T500-Pad4)") + (node (ref T500) (pin 4))) + (net (code 225) (name "Net-(T500-Pad5)") + (node (ref T500) (pin 5))) + (net (code 226) (name "Net-(T500-Pad12)") + (node (ref T500) (pin 12))) + (net (code 227) (name "Net-(T500-Pad13)") + (node (ref T500) (pin 13))))) \ No newline at end of file diff --git a/hardware/rev1/kicad/IONPAK1.pro b/hardware/rev1/kicad/IONPAK1.pro new file mode 100644 index 0000000..4e0bd72 --- /dev/null +++ b/hardware/rev1/kicad/IONPAK1.pro @@ -0,0 +1,72 @@ +update=4/25/2017 11:39:52 PM +version=1 +last_client=kicad +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[general] +version=1 +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +LibName1=power +LibName2=device +LibName3=transistors +LibName4=conn +LibName5=linear +LibName6=regul +LibName7=74xx +LibName8=cmos4000 +LibName9=adc-dac +LibName10=memory +LibName11=xilinx +LibName12=microcontrollers +LibName13=dsp +LibName14=microchip +LibName15=analog_switches +LibName16=motorola +LibName17=texas +LibName18=intel +LibName19=audio +LibName20=interface +LibName21=digital-audio +LibName22=philips +LibName23=display +LibName24=cypress +LibName25=siliconi +LibName26=opto +LibName27=atmel +LibName28=contrib +LibName29=valves +LibName30=ionpak +LibName31=relays +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName= +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName=Pcbnew +SpiceForceRefPrefix=0 +SpiceUseNetNumbers=0 +LabSize=60 diff --git a/hardware/rev1/kicad/IONPAK1.sch b/hardware/rev1/kicad/IONPAK1.sch new file mode 100644 index 0000000..96f3bb6 --- /dev/null +++ b/hardware/rev1/kicad/IONPAK1.sch @@ -0,0 +1,6913 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:ionpak +LIBS:relays +LIBS:IONPAK1-cache +EELAYER 25 0 +EELAYER END +$Descr A2 23386 16535 +encoding utf-8 +Sheet 1 1 +Title "IONPAK V1" +Date "2017-04-26" +Rev "1A" +Comp "M-Labs Limited" +Comment1 "Designers Sébastien Bourdeauducq and Alexander Shafir" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L LMP7721 U100 +U 1 1 58F5746A +P 3400 5750 +F 0 "U100" H 3350 5950 50 0000 L CNN +F 1 "LMP7721" H 3350 5500 50 0000 L CNN +F 2 "proj_footprints:SOIC-8-N_ns" H 3400 5750 50 0001 C CNN +F 3 "" H 3400 5750 50 0001 C CNN +F 4 "Texas Instruments" H -50 400 50 0001 C CNN "MFR" +F 5 "LMP7721MA/NOPB" H -50 400 50 0001 C CNN "MPN" +F 6 "LMP7721MA/NOPB-ND" H -50 400 50 0001 C CNN "SPN" + 1 3400 5750 + 1 0 0 -1 +$EndComp +$Comp +L BNC P1 +U 1 1 58F574D8 +P 1350 5850 +F 0 "P1" H 1400 6100 50 0000 R CNN +F 1 "BNC-90" H 1400 6000 50 0000 R CNN +F 2 "proj_footprints:bnc_90_1-1337543-0_ns" H 1350 5850 50 0001 C CNN +F 3 "" H 1350 5850 50 0001 C CNN +F 4 "1-1337543-0" H 1350 5850 60 0001 C CNN "MPN" +F 5 "TE Connectivity AMP Connectors" H 1350 5850 60 0001 C CNN "MFG" +F 6 "TE Connectivity AMP Connectors" H -50 600 50 0001 C CNN "MFR" +F 7 "A97553-ND" H -50 600 50 0001 C CNN "SPN" + 1 1350 5850 + -1 0 0 -1 +$EndComp +$Comp +L GDT GDT100 +U 1 1 58F57AA7 +P 1750 6250 +F 0 "GDT100" H 1950 6300 50 0000 L CNN +F 1 "2051-09" H 1950 6200 50 0000 L CNN +F 2 "proj_footprints:BOURNS-GDT-2051_ns" H 1680 6250 50 0001 C CNN +F 3 "" H 1750 6250 50 0001 C CNN +F 4 "Bourns" H 1750 6250 60 0001 C CNN "MFR" +F 5 "2051-09-SM-RPLF" H -50 450 50 0001 C CNN "MPN" +F 6 "2051-09-SM-RPLFCT-ND" H -50 450 50 0001 C CNN "SPN" + 1 1750 6250 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR01 +U 1 1 58F57AEF +P 1350 6800 +F 0 "#PWR01" H 1350 6550 50 0001 C CNN +F 1 "GND" H 1350 6650 50 0000 C CNN +F 2 "" H 1350 6800 50 0000 C CNN +F 3 "" H 1350 6800 50 0000 C CNN + 1 1350 6800 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R101 +U 1 1 58F57BD5 +P 2700 5850 +F 0 "R101" V 2900 5850 50 0000 C CNN +F 1 "100K/1206" V 2800 5850 50 0000 C CNN +F 2 "proj_footprints:R_1206_ns" H 2700 5850 50 0001 C CNN +F 3 "" H 2700 5850 50 0001 C CNN +F 4 "Yageo" H -200 600 50 0001 C CNN "MFR" +F 5 "RC1206JR-07100KL" H -200 600 50 0001 C CNN "MPN" +F 6 "311-100KERCT-ND" H -200 600 50 0001 C CNN "SPN" + 1 2700 5850 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R102 +U 1 1 58F57CD8 +P 2950 6500 +F 0 "R102" H 3000 6550 50 0000 L CNN +F 1 "100K" H 3000 6450 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 2950 6500 50 0001 C CNN +F 3 "" H 2950 6500 50 0001 C CNN +F 4 "Yageo" H 50 450 50 0001 C CNN "MFR" +F 5 "RC0603FR-071KL" H 50 450 50 0001 C CNN "MPN" +F 6 "" H 50 450 50 0001 C CNN "SPR" +F 7 "311-1.00KHRCT-ND" H 50 450 50 0001 C CNN "SPN" +F 8 "" H 50 450 50 0001 C CNN "SPURL" + 1 2950 6500 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R105 +U 1 1 58F57EA5 +P 2850 4250 +F 0 "R105" V 3050 4250 50 0000 C CNN +F 1 "HMC1206JT500M" V 2950 4250 50 0000 C CNN +F 2 "proj_footprints:R_1206_ns" H 2850 4250 50 0001 C CNN +F 3 "" H 2850 4250 50 0001 C CNN +F 4 "Stackpole Electronics Inc." H -50 -200 50 0001 C CNN "MFR" +F 5 "HMC1206JT500M" H -50 -200 50 0001 C CNN "MPN" +F 6 "HMC1206JT500MCT-ND" H -50 -200 50 0001 C CNN "SPN" + 1 2850 4250 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R104 +U 1 1 58F57F3D +P 2850 3650 +F 0 "R104" V 3050 3650 50 0000 C CNN +F 1 "10M/1206" V 2950 3650 50 0000 C CNN +F 2 "proj_footprints:R_1206_ns" H 2850 3650 50 0001 C CNN +F 3 "" H 2850 3650 50 0001 C CNN +F 4 "Yageo" H -50 -200 50 0001 C CNN "MFR" +F 5 "RC1206JR-0710ML" H -50 -200 50 0001 C CNN "MPN" +F 6 "311-10MERCT-ND" H -50 -200 50 0001 C CNN "SPN" + 1 2850 3650 + 0 -1 -1 0 +$EndComp +$Comp +L 9001 RL101 +U 1 1 58F583C7 +P 3450 3450 +F 0 "RL101" V 3000 3250 50 0000 L CNN +F 1 "9001-05-02" V 3900 3450 50 0000 C CNN +F 2 "proj_footprints:COTO-9001_ns" H 3450 3450 50 0001 C CNN +F 3 "" H 3450 3450 50 0001 C CNN +F 4 "COTO" V 3450 3450 60 0001 C CNN "MFR" +F 5 "9001-05-02" V 3450 3450 60 0001 C CNN "MPN" +F 6 "306-1247-ND" H -50 -200 50 0001 C CNN "SPN" + 1 3450 3450 + 0 1 1 0 +$EndComp +$Comp +L LM2664 U401 +U 1 1 58F59D4D +P 5300 7800 +F 0 "U401" H 5600 8100 50 0000 R CNN +F 1 "LM2664" H 5000 8100 50 0000 L CNN +F 2 "proj_footprints:SOT-23-6" H 5300 7800 50 0001 C CNN +F 3 "" H 5300 7800 50 0001 C CNN +F 4 "Texas Instruments" H 0 0 50 0001 C CNN "MFR" +F 5 "LM2664M6/NOPB" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "LM2664M6/NOPBCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 5300 7800 + -1 0 0 -1 +$EndComp +$Comp +L SPB05A-05 U400 +U 1 1 58F5B862 +P 2350 7800 +F 0 "U400" H 2050 8100 50 0000 L CNN +F 1 "SPB05A-05" H 2050 7350 50 0000 L CNN +F 2 "proj_footprints:SPB05A" H 2350 7800 50 0001 C CNN +F 3 "" H 2350 7800 50 0001 C CNN +F 4 "Mean Well" H 0 0 50 0001 C CNN "MFR" +F 5 "SPB05A-05" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "Mouser/Taobao" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 2350 7800 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR02 +U 1 1 58F5BF47 +P 3100 8000 +F 0 "#PWR02" H 3100 7750 50 0001 C CNN +F 1 "GND" H 3100 7850 50 0000 C CNN +F 2 "" H 3100 8000 50 0000 C CNN +F 3 "" H 3100 8000 50 0000 C CNN + 1 3100 8000 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C400 +U 1 1 58F5C2B6 +P 3100 7800 +F 0 "C400" H 3200 7850 50 0000 L CNN +F 1 "10uF" H 3200 7750 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3100 7800 50 0001 C CNN +F 3 "" H 3100 7800 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 3100 7800 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR03 +U 1 1 58F5D8FE +P 4650 8450 +F 0 "#PWR03" H 4650 8200 50 0001 C CNN +F 1 "GND" H 4650 8300 50 0000 C CNN +F 2 "" H 4650 8450 50 0000 C CNN +F 3 "" H 4650 8450 50 0000 C CNN + 1 4650 8450 + -1 0 0 -1 +$EndComp +$Comp +L R_Small R106 +U 1 1 58F5E146 +P 3600 4250 +F 0 "R106" V 3800 4250 50 0000 C CNN +F 1 "HMC1206JT500M" V 3700 4250 50 0000 C CNN +F 2 "proj_footprints:R_1206_ns" H 3600 4250 50 0001 C CNN +F 3 "" H 3600 4250 50 0001 C CNN +F 4 "Stackpole Electronics Inc." H -50 -200 50 0001 C CNN "MFR" +F 5 "HMC1206JT500M" H -50 -200 50 0001 C CNN "MPN" +F 6 "HMC1206JT500MCT-ND" H -50 -200 50 0001 C CNN "SPN" + 1 3600 4250 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C402 +U 1 1 58F6094F +P 4650 8250 +F 0 "C402" H 4750 8300 50 0000 L CNN +F 1 "10uF" H 4750 8200 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 4650 8250 50 0001 C CNN +F 3 "" H 4650 8250 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 4650 8250 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C403 +U 1 1 58F60AB2 +P 6300 7850 +F 0 "C403" H 6400 7900 50 0000 L CNN +F 1 "10uF" H 6400 7800 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 6300 7850 50 0001 C CNN +F 3 "" H 6300 7850 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 6300 7850 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C401 +U 1 1 58F60CE4 +P 3900 7800 +F 0 "C401" H 4000 7850 50 0000 L CNN +F 1 "10uF" H 4000 7750 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3900 7800 50 0001 C CNN +F 3 "" H 3900 7800 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 3900 7800 + 1 0 0 -1 +$EndComp +$Comp +L AMS1117 U403 +U 1 1 58F63D59 +P 4250 8950 +F 0 "U403" H 4050 9300 50 0000 L CNN +F 1 "AMS1117-3.0" H 4050 9200 50 0000 L CNN +F 2 "proj_footprints:SOT-223" H 4250 8950 50 0001 C CNN +F 3 "" H 4250 8950 50 0001 C CNN +F 4 "Texas Instruments" H 0 0 50 0001 C CNN "MFR" +F 5 "TLV1117LV30DCYR" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "296-30591-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 4250 8950 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C405 +U 1 1 58F64229 +P 3600 9150 +F 0 "C405" H 3700 9200 50 0000 L CNN +F 1 "10uF" H 3700 9100 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3600 9150 50 0001 C CNN +F 3 "" H 3600 9150 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 3600 9150 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C406 +U 1 1 58F642A5 +P 4900 9150 +F 0 "C406" H 5000 9200 50 0000 L CNN +F 1 "10uF" H 5000 9100 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 4900 9150 50 0001 C CNN +F 3 "" H 4900 9150 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 4900 9150 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C408 +U 1 1 58F64C96 +P 3600 10200 +F 0 "C408" H 3700 10250 50 0000 L CNN +F 1 "10uF" H 3700 10150 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3600 10200 50 0001 C CNN +F 3 "" H 3600 10200 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 3600 10200 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C409 +U 1 1 58F64C9C +P 4900 10200 +F 0 "C409" H 5000 10250 50 0000 L CNN +F 1 "10uF" H 5000 10150 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 4900 10200 50 0001 C CNN +F 3 "" H 4900 10200 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 4900 10200 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR04 +U 1 1 58F64E15 +P 4900 10450 +F 0 "#PWR04" H 4900 10200 50 0001 C CNN +F 1 "GND" H 4900 10300 50 0000 C CNN +F 2 "" H 4900 10450 50 0000 C CNN +F 3 "" H 4900 10450 50 0000 C CNN + 1 4900 10450 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR05 +U 1 1 58F64EF4 +P 4900 9400 +F 0 "#PWR05" H 4900 9150 50 0001 C CNN +F 1 "GND" H 4900 9250 50 0000 C CNN +F 2 "" H 4900 9400 50 0000 C CNN +F 3 "" H 4900 9400 50 0000 C CNN + 1 4900 9400 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR06 +U 1 1 58F68D67 +P 6250 3650 +F 0 "#PWR06" H 6250 3400 50 0001 C CNN +F 1 "GND" H 6250 3500 50 0000 C CNN +F 2 "" H 6250 3650 50 0000 C CNN +F 3 "" H 6250 3650 50 0000 C CNN + 1 6250 3650 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R121 +U 1 1 58F692FE +P 6250 3450 +F 0 "R121" H 6300 3500 50 0000 L CNN +F 1 "10K" H 6300 3400 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 6250 3450 50 0001 C CNN +F 3 "" H 6250 3450 50 0001 C CNN +F 4 "Yageo" H -50 -200 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H -50 -200 50 0001 C CNN "MPN" +F 6 "" H -50 -200 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H -50 -200 50 0001 C CNN "SPN" +F 8 "" H -50 -200 50 0001 C CNN "SPURL" + 1 6250 3450 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R110 +U 1 1 58F6A4E5 +P 4400 5750 +F 0 "R110" V 4500 5900 50 0000 C CNN +F 1 "4.7K/1%" V 4500 5600 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 4400 5750 50 0001 C CNN +F 3 "" H 4400 5750 50 0001 C CNN +F 4 "Yageo" H -50 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-074K7L" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "311-4.70KHRCT-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 1 4400 5750 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R112 +U 1 1 58F6A813 +P 5250 5200 +F 0 "R112" V 5350 5350 50 0000 C CNN +F 1 "4.7K/1%" V 5350 5050 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 5250 5200 50 0001 C CNN +F 3 "" H 5250 5200 50 0001 C CNN +F 4 "Yageo" H -50 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-074K7L" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "311-4.70KHRCT-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 1 5250 5200 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R111 +U 1 1 58F6A9EE +P 4900 6100 +F 0 "R111" H 4950 6150 50 0000 L CNN +F 1 "1K" H 4950 6050 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 4900 6100 50 0001 C CNN +F 3 "" H 4900 6100 50 0001 C CNN +F 4 "Yageo" H -50 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-071KL" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "311-1.00KHRCT-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 1 4900 6100 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C102 +U 1 1 58F62A9A +P 3300 6500 +F 0 "C102" H 3400 6550 50 0000 L CNN +F 1 "0.1uF" H 3400 6450 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3300 6500 50 0001 C CNN +F 3 "" H 3300 6500 50 0001 C CNN +F 4 "Yageo" H -500 400 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H -500 400 50 0001 C CNN "MPN" +F 6 "" H -500 400 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H -500 400 50 0001 C CNN "SPN" +F 8 "" H -500 400 50 0001 C CNN "SPURL" + 1 3300 6500 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R109 +U 1 1 58F6BD4C +P 3750 6300 +F 0 "R109" V 3850 6450 50 0000 C CNN +F 1 "33R" V 3850 6150 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 3750 6300 50 0001 C CNN +F 3 "" H 3750 6300 50 0001 C CNN +F 4 "Yageo" H -300 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-0733RL" H -300 400 50 0001 C CNN "MPN" +F 6 "" H -300 400 50 0001 C CNN "SPR" +F 7 "311-33.0HRCT-ND" H -300 400 50 0001 C CNN "SPN" +F 8 "" H -300 400 50 0001 C CNN "SPURL" + 1 3750 6300 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R113 +U 1 1 58F6CF71 +P 6000 5650 +F 0 "R113" V 6100 5800 50 0000 C CNN +F 1 "4.7K/1%" V 6100 5500 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 6000 5650 50 0001 C CNN +F 3 "" H 6000 5650 50 0001 C CNN +F 4 "Yageo" H -50 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-074K7L" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "311-4.70KHRCT-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 1 6000 5650 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R115 +U 1 1 58F6D19F +P 6950 5200 +F 0 "R115" V 7050 5350 50 0000 C CNN +F 1 "42.2K/1%" V 7050 5050 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 6950 5200 50 0001 C CNN +F 3 "" H 6950 5200 50 0001 C CNN +F 4 "Yageo" H -50 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-0742K2L" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "311-42.2KHRCT-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 1 6950 5200 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R114 +U 1 1 58F6D23F +P 6400 6000 +F 0 "R114" H 6450 6050 50 0000 L CNN +F 1 "4.7K/1%" H 6450 5950 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 6400 6000 50 0001 C CNN +F 3 "" H 6400 6000 50 0001 C CNN +F 4 "Yageo" H -50 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-074K7L" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "311-4.70KHRCT-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 1 6400 6000 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R116 +U 1 1 58F70D60 +P 7400 5950 +F 0 "R116" H 7450 6000 50 0000 L CNN +F 1 "240R/1%" H 7450 5900 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 7400 5950 50 0001 C CNN +F 3 "" H 7400 5950 50 0001 C CNN +F 4 "Yageo" H -50 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 1 7400 5950 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R117 +U 1 1 58F70E03 +P 7900 5600 +F 0 "R117" H 7950 5650 50 0000 L CNN +F 1 "10K/1%" H 7950 5550 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 7900 5600 50 0001 C CNN +F 3 "" H 7900 5600 50 0001 C CNN +F 4 "Yageo" H -50 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 1 7900 5600 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR07 +U 1 1 58F71E60 +P 2800 2850 +F 0 "#PWR07" H 2800 2600 50 0001 C CNN +F 1 "GND" H 2800 2700 50 0000 C CNN +F 2 "" H 2800 2850 50 0000 C CNN +F 3 "" H 2800 2850 50 0000 C CNN + 1 2800 2850 + 1 0 0 -1 +$EndComp +$Comp +L Q_PMOS_GSD Q101 +U 1 1 58F72545 +P 5200 2850 +F 0 "Q101" H 5100 2650 50 0000 R CNN +F 1 "DMG2305UX" H 5100 2750 50 0000 R CNN +F 2 "proj_footprints:SOT-23" H 5400 2950 50 0001 C CNN +F 3 "" H 5200 2850 50 0001 C CNN +F 4 "Diodes Incorporated" H -50 -200 50 0001 C CNN "MFR" +F 5 "DMG2305UX-13" H -50 -200 50 0001 C CNN "MPN" +F 6 "" H -50 -200 50 0001 C CNN "SPR" +F 7 "DMG2305UX-13DICT-ND" H -50 -200 50 0001 C CNN "SPN" +F 8 "" H -50 -200 50 0001 C CNN "SPURL" + 1 5200 2850 + -1 0 0 1 +$EndComp +$Comp +L R_Small R120 +U 1 1 58F72D4A +P 5900 2750 +F 0 "R120" H 5950 2800 50 0000 L CNN +F 1 "33K" H 5950 2700 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 5900 2750 50 0001 C CNN +F 3 "" H 5900 2750 50 0001 C CNN +F 4 "Yageo" H -50 -200 50 0001 C CNN "MFR" +F 5 "RC0603FR-0733KL" H -50 -200 50 0001 C CNN "MPN" +F 6 "" H -50 -200 50 0001 C CNN "SPR" +F 7 "311-33.0KHRCT-ND" H -50 -200 50 0001 C CNN "SPN" +F 8 "" H -50 -200 50 0001 C CNN "SPURL" + 1 5900 2750 + 1 0 0 -1 +$EndComp +$Comp +L Q_NMOS_GSD Q103 +U 1 1 58F73714 +P 6000 3300 +F 0 "Q103" H 5900 3550 50 0000 R CNN +F 1 "2N7002" H 5900 3450 50 0000 R CNN +F 2 "proj_footprints:SOT-23" H 6200 3400 50 0001 C CNN +F 3 "" H 6000 3300 50 0001 C CNN +F 4 "Nexperia USA Inc." H -50 -200 50 0001 C CNN "MFR" +F 5 "2N7002P,215" H -50 -200 50 0001 C CNN "MPN" +F 6 "" H -50 -200 50 0001 C CNN "SPR" +F 7 "1727-4692-1-ND" H -50 -200 50 0001 C CNN "SPN" +F 8 "" H -50 -200 50 0001 C CNN "SPURL" + 1 6000 3300 + -1 0 0 -1 +$EndComp +$Comp +L Q_NMOS_GSD Q104 +U 1 1 58F7523C +P 6500 6450 +F 0 "Q104" H 6400 6650 50 0000 R CNN +F 1 "2N7002" H 6400 6550 50 0000 R CNN +F 2 "proj_footprints:SOT-23" H 6700 6550 50 0001 C CNN +F 3 "" H 6500 6450 50 0001 C CNN +F 4 "Nexperia USA Inc." H -50 400 50 0001 C CNN "MFR" +F 5 "2N7002P,215" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "1727-4692-1-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 1 6500 6450 + -1 0 0 -1 +$EndComp +$Comp +L C_Small C100 +U 1 1 58F788C6 +P 2850 4700 +F 0 "C100" V 3100 4700 50 0000 C CNN +F 1 "DNP/1206" V 3000 4700 50 0000 C CNN +F 2 "proj_footprints:C_1206_ns" H 2850 4700 50 0001 C CNN +F 3 "" H 2850 4700 50 0001 C CNN + 1 2850 4700 + 0 -1 -1 0 +$EndComp +$Comp +L TSV358A U101 +U 1 1 58F5D1C7 +P 5250 5750 +F 0 "U101" H 5250 5950 50 0000 L CNN +F 1 "TSV324A" H 5250 5550 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 5200 5850 50 0001 C CNN +F 3 "" H 5300 5950 50 0001 C CNN +F 4 "STMicroelectronics" H -50 400 50 0001 C CNN "MFR" +F 5 "TSV324IDT" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "497-8198-1-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 1 5250 5750 + 1 0 0 -1 +$EndComp +$Comp +L TSV358A U101 +U 2 1 58F5D74D +P 7000 5750 +F 0 "U101" H 7000 5950 50 0000 L CNN +F 1 "TSV324A" H 7000 5550 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 6950 5850 50 0001 C CNN +F 3 "" H 7050 5950 50 0001 C CNN +F 4 "STMicroelectronics" H -50 400 50 0001 C CNN "MFR" +F 5 "TSV324IDT" H -50 400 50 0001 C CNN "MPN" +F 6 "" H -50 400 50 0001 C CNN "SPR" +F 7 "497-8198-1-ND" H -50 400 50 0001 C CNN "SPN" +F 8 "" H -50 400 50 0001 C CNN "SPURL" + 2 7000 5750 + 1 0 0 -1 +$EndComp +$Comp +L TSV358A U200 +U 1 1 58F62540 +P 12050 1300 +F 0 "U200" H 12050 1600 50 0000 L CNN +F 1 "TSV324A" H 12050 1500 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 12000 1400 50 0001 C CNN +F 3 "" H 12100 1500 50 0001 C CNN +F 4 "STMicroelectronics" H 0 0 50 0001 C CNN "MFR" +F 5 "TSV324IDT" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "497-8198-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12050 1300 + 1 0 0 -1 +$EndComp +$Comp +L TSV358A U200 +U 2 1 58F62600 +P 12050 2200 +F 0 "U200" H 12050 2400 50 0000 L CNN +F 1 "TSV324A" H 12050 2500 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 12000 2300 50 0001 C CNN +F 3 "" H 12100 2400 50 0001 C CNN +F 4 "STMicroelectronics" H 0 0 50 0001 C CNN "MFR" +F 5 "TSV324IDT" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "497-8198-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 2 12050 2200 + 1 0 0 1 +$EndComp +$Comp +L R_Small R204 +U 1 1 58F631BF +P 11700 1750 +F 0 "R204" H 11750 1800 50 0000 L CNN +F 1 "1M/1%" H 11750 1700 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 11700 1750 50 0001 C CNN +F 3 "" H 11700 1750 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" + 1 11700 1750 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R206 +U 1 1 58F63913 +P 12400 1900 +F 0 "R206" V 12500 2050 50 0000 C CNN +F 1 "2.7M/1%" V 12500 1750 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 12400 1900 50 0001 C CNN +F 3 "" H 12400 1900 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-071KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "RC0603FR-072M7L-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12400 1900 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R205 +U 1 1 58F63ED1 +P 12400 1600 +F 0 "R205" V 12500 1750 50 0000 C CNN +F 1 "2.7M/1%" V 12500 1450 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 12400 1600 50 0001 C CNN +F 3 "" H 12400 1600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-071KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "RC0603FR-072M7L-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12400 1600 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R203 +U 1 1 58F646E6 +P 11500 2650 +F 0 "R203" H 11550 2700 50 0000 L CNN +F 1 "560K/1%" H 11550 2600 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 11500 2650 50 0001 C CNN +F 3 "" H 11500 2650 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07560KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-560KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11500 2650 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R202 +U 1 1 58F64854 +P 11300 2650 +F 0 "R202" H 11250 2700 50 0000 R CNN +F 1 "560K/1%" H 11250 2600 50 0000 R CNN +F 2 "Resistors_SMD:R_0603" H 11300 2650 50 0001 C CNN +F 3 "" H 11300 2650 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07560KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-560KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11300 2650 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R200 +U 1 1 58F64DE7 +P 10850 1200 +F 0 "R200" V 11050 1200 50 0000 C CNN +F 1 "15M/1%/RNV" V 10950 1200 50 0000 C CNN +F 2 "Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" H 10850 1200 50 0001 C CNN +F 3 "" H 10850 1200 50 0001 C CNN +F 4 "RNV14FAL15M0" V 10850 1200 60 0001 C CNN "MPN" +F 5 "Stackpole Electronics Inc." V 10850 1200 60 0001 C CNN "MFG" +F 6 "RNV14FAL15M0CT-ND" V 10850 1200 60 0001 C CNN "SPN" +F 7 "Stackpole Electronics Inc." H 0 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 10850 1200 + 0 -1 -1 0 +$EndComp +$Comp +L TSV358A U200 +U 4 1 58F65B77 +P 14200 1750 +F 0 "U200" H 14200 1950 50 0000 L CNN +F 1 "TSV324A" H 14200 2050 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 14150 1850 50 0001 C CNN +F 3 "" H 14250 1950 50 0001 C CNN +F 4 "STMicroelectronics" H 0 0 50 0001 C CNN "MFR" +F 5 "TSV324IDT" H 0 0 50 0001 C CNN "MPN" +F 6 "497-8198-1-ND" H 0 0 50 0001 C CNN "SPN" + 4 14200 1750 + 1 0 0 1 +$EndComp +$Comp +L R_Small R208 +U 1 1 58F6EBE7 +P 13100 1600 +F 0 "R208" V 13200 1750 50 0000 C CNN +F 1 "4.7K/1%" V 13200 1450 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 13100 1600 50 0001 C CNN +F 3 "" H 13100 1600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-074K7L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-4.70KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13100 1600 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R209 +U 1 1 58F6F253 +P 13100 1900 +F 0 "R209" V 13200 2050 50 0000 C CNN +F 1 "4.7K/1%" V 13200 1750 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 13100 1900 50 0001 C CNN +F 3 "" H 13100 1900 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-074K7L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-4.70KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13100 1900 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R212 +U 1 1 58F6F3E5 +P 14250 1300 +F 0 "R212" V 14350 1450 50 0000 C CNN +F 1 "7.5K/1%" V 14350 1150 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 14250 1300 50 0001 C CNN +F 3 "" H 14250 1300 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-077K5L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-7.50KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14250 1300 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R211 +U 1 1 58F6F999 +P 13800 2250 +F 0 "R211" H 13850 2300 50 0000 L CNN +F 1 "7.5K/1%" H 13850 2200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 13800 2250 50 0001 C CNN +F 3 "" H 13800 2250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-077K5L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-7.50KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13800 2250 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R213 +U 1 1 58F7557D +P 14650 2250 +F 0 "R213" H 14700 2300 50 0000 L CNN +F 1 "240R" H 14700 2200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 14650 2250 50 0001 C CNN +F 3 "" H 14650 2250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14650 2250 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R207 +U 1 1 58F7B554 +P 13100 1300 +F 0 "R207" V 13200 1450 50 0000 C CNN +F 1 "33K" V 13200 1150 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 13100 1300 50 0001 C CNN +F 3 "" H 13100 1300 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0733KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-33.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13100 1300 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R210 +U 1 1 58F7D442 +P 13100 2200 +F 0 "R210" V 13200 2350 50 0000 C CNN +F 1 "33K" V 13200 2050 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 13100 2200 50 0001 C CNN +F 3 "" H 13100 2200 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0733KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-33.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13100 2200 + 0 -1 -1 0 +$EndComp +$Comp +L TSV358A U200 +U 3 1 58F7F324 +P 13150 3600 +F 0 "U200" H 13150 3800 50 0000 L CNN +F 1 "TSV324A" H 13150 3900 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 13100 3700 50 0001 C CNN +F 3 "" H 13200 3800 50 0001 C CNN +F 4 "STMicroelectronics" H 0 0 50 0001 C CNN "MFR" +F 5 "TSV324IDT" H 0 0 50 0001 C CNN "MPN" +F 6 "497-8198-1-ND" H 0 0 50 0001 C CNN "SPN" + 3 13150 3600 + -1 0 0 -1 +$EndComp +$Comp +L TSV358A U101 +U 5 1 58F7F892 +P 2900 11850 +F 0 "U101" H 2900 12050 50 0000 L CNN +F 1 "TSV324A" H 2900 12150 50 0000 L CNN +F 2 "" H 2850 11950 50 0000 C CNN +F 3 "" H 2950 12050 50 0000 C CNN + 5 2900 11850 + 1 0 0 -1 +$EndComp +$Comp +L ZENER D200 +U 1 1 58F80F87 +P 10500 1750 +F 0 "D200" V 10600 1650 50 0000 R CNN +F 1 "SMCJ7.5A" V 10500 1650 50 0000 R CNN +F 2 "proj_footprints:DO-214AB" H 10500 1750 50 0001 C CNN +F 3 "" H 10500 1750 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "SMCJ7.5A-13-F" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "SMCJ7.5A-FDICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10500 1750 + 0 -1 -1 0 +$EndComp +$Comp +L Q_NMOS_GSD Q200 +U 1 1 58F858C2 +P 10200 3600 +F 0 "Q200" H 10100 3800 50 0000 R CNN +F 1 "FQD7N10L" H 10100 3700 50 0000 R CNN +F 2 "proj_footprints:DPAK" H 10400 3700 50 0001 C CNN +F 3 "" H 10200 3600 50 0001 C CNN +F 4 "Fairchild/ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "FQD7N10LTM" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "FQD7N10LTMCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10200 3600 + -1 0 0 -1 +$EndComp +$Comp +L R_Small R217 +U 1 1 58F86620 +P 12300 3600 +F 0 "R217" V 12400 3750 50 0000 C CNN +F 1 "1K" V 12400 3450 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 12300 3600 50 0001 C CNN +F 3 "" H 12300 3600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-071KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1.00KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12300 3600 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R219 +U 1 1 58F88462 +P 14350 3700 +F 0 "R219" V 14450 3850 50 0000 C CNN +F 1 "22K" V 14450 3550 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 14350 3700 50 0001 C CNN +F 3 "" H 14350 3700 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0722KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-22.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14350 3700 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C202 +U 1 1 58F88C9C +P 14100 3950 +F 0 "C202" H 14200 4000 50 0000 L CNN +F 1 "1uF" H 14200 3900 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 14100 3950 50 0001 C CNN +F 3 "" H 14100 3950 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM033R71A103KA01D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-3194-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14100 3950 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR08 +U 1 1 58F89E81 +P 11500 3200 +F 0 "#PWR08" H 11500 2950 50 0001 C CNN +F 1 "GND" H 11500 3050 50 0000 C CNN +F 2 "" H 11500 3200 50 0000 C CNN +F 3 "" H 11500 3200 50 0000 C CNN + 1 11500 3200 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR09 +U 1 1 58F8B17F +P 14100 4100 +F 0 "#PWR09" H 14100 3850 50 0001 C CNN +F 1 "GND" H 14100 3950 50 0000 C CNN +F 2 "" H 14100 4100 50 0000 C CNN +F 3 "" H 14100 4100 50 0000 C CNN + 1 14100 4100 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C104 +U 1 1 58F8DF55 +P 2300 11800 +F 0 "C104" H 2400 11850 50 0000 L CNN +F 1 "0.1uF" H 2400 11750 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 2300 11800 50 0001 C CNN +F 3 "" H 2300 11800 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 2300 11800 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C201 +U 1 1 58F93131 +P 12850 3950 +F 0 "C201" V 13100 4050 50 0000 R CNN +F 1 "TBD" V 13000 4050 50 0000 R CNN +F 2 "Capacitors_SMD:C_0603" H 12850 3950 50 0001 C CNN +F 3 "" H 12850 3950 50 0001 C CNN +F 4 "" H 0 0 50 0001 C CNN "MFR" +F 5 "" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12850 3950 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R225 +U 1 1 58F9C5D7 +P 12350 5100 +F 0 "R225" H 12400 5150 50 0000 L CNN +F 1 "22K/1%" H 12400 5050 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 12350 5100 50 0001 C CNN +F 3 "" H 12350 5100 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0722KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-22.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12350 5100 + 1 0 0 -1 +$EndComp +$Comp +L TSV358A U101 +U 3 1 58FAC55E +P 14050 4950 +F 0 "U101" H 14050 5150 50 0000 L CNN +F 1 "TSV324A" H 14050 4750 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 14000 5050 50 0001 C CNN +F 3 "" H 14100 5150 50 0001 C CNN +F 4 "STMicroelectronics" H 0 0 50 0001 C CNN "MFR" +F 5 "TSV324IDT" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "497-8198-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 3 14050 4950 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R226 +U 1 1 58FADE7F +P 12950 4850 +F 0 "R226" V 13050 5000 50 0000 C CNN +F 1 "22K" V 13050 4700 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 12950 4850 50 0001 C CNN +F 3 "" H 12950 4850 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0722KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-22.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12950 4850 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R228 +U 1 1 58FAF0A1 +P 14100 4600 +F 0 "R228" V 14200 4750 50 0000 C CNN +F 1 "42.2K/1%" V 14200 4450 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 14100 4600 50 0001 C CNN +F 3 "" H 14100 4600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0742K2L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-42.2KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14100 4600 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R229 +U 1 1 58FB5E97 +P 14650 5150 +F 0 "R229" H 14700 5200 50 0000 L CNN +F 1 "240R/1%" H 14700 5100 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 14650 5150 50 0001 C CNN +F 3 "" H 14650 5150 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14650 5150 + 1 0 0 -1 +$EndComp +$Comp +L TSV358A U200 +U 5 1 58F70D82 +P 3950 11850 +F 0 "U200" H 3950 12050 50 0000 L CNN +F 1 "TSV324A" H 3950 12150 50 0000 L CNN +F 2 "" H 3900 11950 50 0000 C CNN +F 3 "" H 4000 12050 50 0000 C CNN + 5 3950 11850 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C204 +U 1 1 58F70D88 +P 3350 11800 +F 0 "C204" H 3450 11850 50 0000 L CNN +F 1 "0.1uF" H 3450 11750 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3350 11800 50 0001 C CNN +F 3 "" H 3350 11800 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 3350 11800 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR010 +U 1 1 58F7113E +P 3850 12350 +F 0 "#PWR010" H 3850 12100 50 0001 C CNN +F 1 "GND" H 3850 12200 50 0000 C CNN +F 2 "" H 3850 12350 50 0000 C CNN +F 3 "" H 3850 12350 50 0000 C CNN + 1 3850 12350 + 1 0 0 -1 +$EndComp +$Comp +L LM339PT U202 +U 1 1 58F6EF0E +P 15900 1650 +F 0 "U202" H 15900 1850 50 0000 L CNN +F 1 "LM339PT" H 15900 1450 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 15850 1750 50 0001 C CNN +F 3 "" H 15950 1850 50 0001 C CNN +F 4 "STMicroelectronics" H 0 0 50 0001 C CNN "MFR" +F 5 "LM339PT" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "497-4048-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15900 1650 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R215 +U 1 1 58F71FC5 +P 15500 2100 +F 0 "R215" H 15550 2150 50 0000 L CNN +F 1 "10K/1%" H 15550 2050 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 15500 2100 50 0001 C CNN +F 3 "" H 15500 2100 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15500 2100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR011 +U 1 1 58F72821 +P 15500 2300 +F 0 "#PWR011" H 15500 2050 50 0001 C CNN +F 1 "GND" H 15500 2150 50 0000 C CNN +F 2 "" H 15500 2300 50 0000 C CNN +F 3 "" H 15500 2300 50 0000 C CNN + 1 15500 2300 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R214 +U 1 1 58F74869 +P 15500 1250 +F 0 "R214" H 15550 1300 50 0000 L CNN +F 1 "4.7/1%" H 15550 1200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 15500 1250 50 0001 C CNN +F 3 "" H 15500 1250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15500 1250 + 1 0 0 -1 +$EndComp +$Comp +L LM339PT U202 +U 2 1 58F770D9 +P 15900 3400 +F 0 "U202" H 15900 3600 50 0000 L CNN +F 1 "LM339PT" H 15900 3200 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 15850 3500 50 0001 C CNN +F 3 "" H 15950 3600 50 0001 C CNN +F 4 "STMicroelectronics" H 0 0 50 0001 C CNN "MFR" +F 5 "LM339PT" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "497-4048-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 2 15900 3400 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R221 +U 1 1 58F770DF +P 15500 3850 +F 0 "R221" H 15550 3900 50 0000 L CNN +F 1 "10K" H 15550 3800 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 15500 3850 50 0001 C CNN +F 3 "" H 15500 3850 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15500 3850 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR012 +U 1 1 58F770E7 +P 15500 4050 +F 0 "#PWR012" H 15500 3800 50 0001 C CNN +F 1 "GND" H 15500 3900 50 0000 C CNN +F 2 "" H 15500 4050 50 0000 C CNN +F 3 "" H 15500 4050 50 0000 C CNN + 1 15500 4050 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R220 +U 1 1 58F770EE +P 15500 3000 +F 0 "R220" H 15550 3050 50 0000 L CNN +F 1 "470R" H 15550 2950 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 15500 3000 50 0001 C CNN +F 3 "" H 15500 3000 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07470RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-470HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15500 3000 + 1 0 0 -1 +$EndComp +$Comp +L LM339PT U202 +U 3 1 58F78798 +P 15900 4850 +F 0 "U202" H 15900 5050 50 0000 L CNN +F 1 "LM339PT" H 15900 4650 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 15850 4950 50 0001 C CNN +F 3 "" H 15950 5050 50 0001 C CNN +F 4 "STMicroelectronics" H 0 0 50 0001 C CNN "MFR" +F 5 "LM339PT" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "497-4048-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 3 15900 4850 + 1 0 0 -1 +$EndComp +$Comp +L LM339PT U202 +U 4 1 58F7AB02 +P 15900 8450 +F 0 "U202" H 15900 8650 50 0000 L CNN +F 1 "LM339PT" H 15900 8250 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 15850 8550 50 0001 C CNN +F 3 "" H 15950 8650 50 0001 C CNN +F 4 "STMicroelectronics" H 0 0 50 0001 C CNN "MFR" +F 5 "LM339PT" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "497-4048-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 4 15900 8450 + 1 0 0 -1 +$EndComp +$Comp +L LM339PT U202 +U 5 1 58F8021D +P 5000 11850 +F 0 "U202" H 5000 12050 50 0000 L CNN +F 1 "LM339PT" H 5000 11650 50 0000 L CNN +F 2 "" H 4950 11950 50 0000 C CNN +F 3 "" H 5050 12050 50 0000 C CNN + 5 5000 11850 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C205 +U 1 1 58F80BFB +P 4400 11800 +F 0 "C205" H 4500 11850 50 0000 L CNN +F 1 "0.1uF" H 4500 11750 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 4400 11800 50 0001 C CNN +F 3 "" H 4400 11800 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 4400 11800 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR013 +U 1 1 58F80C08 +P 4900 12350 +F 0 "#PWR013" H 4900 12100 50 0001 C CNN +F 1 "GND" H 4900 12200 50 0000 C CNN +F 2 "" H 4900 12350 50 0000 C CNN +F 3 "" H 4900 12350 50 0000 C CNN + 1 4900 12350 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R218 +U 1 1 58F85BEA +P 13850 3700 +F 0 "R218" V 13950 3850 50 0000 C CNN +F 1 "1K" V 13950 3550 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 13850 3700 50 0001 C CNN +F 3 "" H 13850 3700 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-071KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1.00KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13850 3700 + 0 -1 -1 0 +$EndComp +$Comp +L TRANS1 TR300 +U 1 1 58F8A734 +P 12250 8750 +F 0 "TR300" H 12250 9450 50 0000 C CNN +F 1 "TDK_B66208X" H 12250 9350 50 0000 C CNN +F 2 "proj_footprints:TDK_B66208X" H 12250 8200 50 0001 C CIN +F 3 "" H 12250 8750 50 0001 C CNN +F 4 "" H 0 0 50 0001 C CNN "MFR" +F 5 "TranformerFl" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "custom" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12250 8750 + 1 0 0 -1 +$EndComp +$Comp +L D_Small D301 +U 1 1 58F8CA35 +P 13000 8250 +F 0 "D301" H 13050 8350 50 0000 L CNN +F 1 "UF4007" H 12950 8350 50 0000 R CNN +F 2 "Diodes_THT:Diode_DO-41_SOD81_Horizontal_RM10" H 13000 8250 50 0001 C CNN +F 3 "" V 13000 8250 50 0001 C CNN +F 4 "SMC Diode Solutions" H 0 0 50 0001 C CNN "MFR" +F 5 "UF4007TA" H 0 0 50 0001 C CNN "MPN" +F 6 "1655-1692-1-ND" H 0 0 50 0001 C CNN "SPN" + 1 13000 8250 + -1 0 0 -1 +$EndComp +$Comp +L C_Small C306 +U 1 1 58F8FC62 +P 12800 7950 +F 0 "C306" V 13050 7950 50 0000 C CNN +F 1 "10pF/1206" V 12950 7950 50 0000 C CNN +F 2 "Capacitors_SMD:C_1206" H 12800 7950 50 0001 C CNN +F 3 "" H 12800 7950 50 0001 C CNN +F 4 "Samsung Electro-Mechanics America, Inc." H 0 0 50 0001 C CNN "MFR" +F 5 "CL31C100JIFNNNE" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "1276-3195-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12800 7950 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R306 +U 1 1 58F927B6 +P 13300 7950 +F 0 "R306" V 13400 8100 50 0000 C CNN +F 1 "10K/RNV" V 13400 7800 50 0000 C CNN +F 2 "Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" H 13300 7950 50 0001 C CNN +F 3 "" H 13300 7950 50 0001 C CNN +F 4 "Stackpole Electronics Inc." H 0 0 50 0001 C CNN "MFR" +F 5 "RNF14FTD10K0" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "RNF14FTD10K0CT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13300 7950 + 0 -1 -1 0 +$EndComp +$Comp +L CP1_Small C307 +U 1 1 58F94869 +P 13700 8500 +F 0 "C307" H 13600 8550 50 0000 R CNN +F 1 "10uF/450V" H 13600 8450 50 0000 R CNN +F 2 "Capacitors_THT:C_Radial_D14_L25_P5" H 13700 8500 50 0001 C CNN +F 3 "" H 13700 8500 50 0001 C CNN +F 4 "UVR2W100MHD1TO" H 13700 8500 60 0001 C CNN "MPN" +F 5 "Nichicon" H 13700 8500 60 0001 C CNN "MFG" +F 6 "493-12876-1-ND" H 13700 8500 60 0001 C CNN "SPN" +F 7 "Nichicon" H 0 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 13700 8500 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R305 +U 1 1 58F98FE3 +P 12650 9600 +F 0 "R305" H 12700 9650 50 0000 L CNN +F 1 "2R/2512" H 12700 9550 50 0000 L CNN +F 2 "Resistors_SMD:R_2512" H 12650 9600 50 0001 C CNN +F 3 "" H 12650 9600 50 0001 C CNN +F 4 "Stackpole Electronics Inc." H 0 0 50 0001 C CNN "MFR" +F 5 "RMCF2512JT2R00" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "RMCF2512JT2R00CT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12650 9600 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR014 +U 1 1 58F9B539 +P 12650 10200 +F 0 "#PWR014" H 12650 9950 50 0001 C CNN +F 1 "GND" H 12650 10050 50 0000 C CNN +F 2 "" H 12650 10200 50 0000 C CNN +F 3 "" H 12650 10200 50 0000 C CNN + 1 12650 10200 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R308 +U 1 1 58FA1668 +P 13950 8400 +F 0 "R308" H 14000 8450 50 0000 L CNN +F 1 "470K/1206" H 14000 8350 50 0000 L CNN +F 2 "Resistors_SMD:R_1206" H 13950 8400 50 0001 C CNN +F 3 "" H 13950 8400 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC1206JR-07470KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-470KERCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13950 8400 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R309 +U 1 1 58FA3042 +P 13950 8650 +F 0 "R309" H 14000 8700 50 0000 L CNN +F 1 "470K/1206" H 14000 8600 50 0000 L CNN +F 2 "Resistors_SMD:R_1206" H 13950 8650 50 0001 C CNN +F 3 "" H 13950 8650 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC1206JR-07470KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-470KERCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13950 8650 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R310 +U 1 1 58FA3197 +P 13950 8950 +F 0 "R310" H 14000 9000 50 0000 L CNN +F 1 "470K/1206" H 14000 8900 50 0000 L CNN +F 2 "Resistors_SMD:R_1206" H 13950 8950 50 0001 C CNN +F 3 "" H 13950 8950 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC1206JR-07470KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-470KERCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13950 8950 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R311 +U 1 1 58FA32EB +P 13950 9200 +F 0 "R311" H 14000 9250 50 0000 L CNN +F 1 "470K/1206" H 14000 9150 50 0000 L CNN +F 2 "Resistors_SMD:R_1206" H 13950 9200 50 0001 C CNN +F 3 "" H 13950 9200 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC1206JR-07470KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-470KERCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13950 9200 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R317 +U 1 1 58FA4C65 +P 14600 9600 +F 0 "R317" H 14650 9650 50 0000 L CNN +F 1 "10K/1%" H 14650 9550 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 14600 9600 50 0001 C CNN +F 3 "" H 14600 9600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14600 9600 + 1 0 0 -1 +$EndComp +$Comp +L TSV358A U101 +U 4 1 58FABCAC +P 15900 9500 +F 0 "U101" H 15900 9700 50 0000 L CNN +F 1 "TSV324A" H 15900 9300 50 0000 L CNN +F 2 "SMD_Packages:SOIC-14_N" H 15850 9600 50 0001 C CNN +F 3 "" H 15950 9700 50 0001 C CNN +F 4 "STMicroelectronics" H 0 0 50 0001 C CNN "MFR" +F 5 "TSV324IDT" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "497-8198-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 4 15900 9500 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R318 +U 1 1 58FAF418 +P 15900 9050 +F 0 "R318" V 16000 9200 50 0000 C CNN +F 1 "10K/1%" V 16000 8900 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 15900 9050 50 0001 C CNN +F 3 "" H 15900 9050 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15900 9050 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R319 +U 1 1 58FB3B28 +P 16400 9700 +F 0 "R319" H 16450 9750 50 0000 L CNN +F 1 "240R" H 16450 9650 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 16400 9700 50 0001 C CNN +F 3 "" H 16400 9700 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 16400 9700 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR015 +U 1 1 58FBC2FB +P 14600 9750 +F 0 "#PWR015" H 14600 9500 50 0001 C CNN +F 1 "GND" H 14600 9600 50 0000 C CNN +F 2 "" H 14600 9750 50 0000 C CNN +F 3 "" H 14600 9750 50 0000 C CNN + 1 14600 9750 + 1 0 0 -1 +$EndComp +$Comp +L BC807-40 Q301 +U 1 1 58FBE4E0 +P 12950 10050 +F 0 "Q301" H 13150 10125 50 0000 L CNN +F 1 "BC807-40" H 13150 10050 50 0000 L CNN +F 2 "proj_footprints:SOT-23" H 13150 9975 50 0001 L CIN +F 3 "" H 12950 10050 50 0001 L CNN +F 4 "ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "BC807-40LT1G" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BC807-40LT1GOSCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12950 10050 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R307 +U 1 1 58FC0B2F +P 13700 9600 +F 0 "R307" H 13750 9650 50 0000 L CNN +F 1 "100R/1206" H 13750 9550 50 0000 L CNN +F 2 "Resistors_SMD:R_1206" H 13700 9600 50 0001 C CNN +F 3 "" H 13700 9600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC1206JR-07100RL" H 0 0 50 0001 C CNN "MPN" +F 6 "311-100ERCT-ND" H 0 0 50 0001 C CNN "SPN" +F 7 "" H 0 0 50 0001 C CNN "SPR" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13700 9600 + 1 0 0 -1 +$EndComp +$Comp +L Q_NMOS_GSD Q300 +U 1 1 58FC57AF +P 11800 9400 +F 0 "Q300" H 11700 9600 50 0000 R CNN +F 1 "IRFR3411" H 11700 9500 50 0000 R CNN +F 2 "proj_footprints:DPAK" H 12000 9500 50 0001 C CNN +F 3 "" H 11800 9400 50 0001 C CNN +F 4 "Infineon Technologies" H 0 0 50 0001 C CNN "MFR" +F 5 "IRFR3411TRPBF" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "IRFR3411TRPBFCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11800 9400 + 1 0 0 -1 +$EndComp +$Comp +L D_Small D300 +U 1 1 58FC9667 +P 11550 8800 +F 0 "D300" H 11400 8900 50 0000 R CNN +F 1 "S1M" H 11650 8900 50 0000 L CNN +F 2 "proj_footprints:DO-214AC" H 11550 8800 50 0001 C CNN +F 3 "" V 11550 8800 50 0001 C CNN +F 4 "SMC Diode Solutions" H 0 0 50 0001 C CNN "MFR" +F 5 "S1MTR" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "1655-1506-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11550 8800 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R303 +U 1 1 58FCA1A2 +P 10550 8450 +F 0 "R303" H 10600 8500 50 0000 L CNN +F 1 "4.7K/2512" H 10600 8400 50 0000 L CNN +F 2 "Resistors_SMD:R_2512" H 10550 8450 50 0001 C CNN +F 3 "" H 10550 8450 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC2512JK-074K7L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "YAG3405CT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10550 8450 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C305 +U 1 1 58FCB9FE +P 11100 8450 +F 0 "C305" H 11200 8500 50 0000 L CNN +F 1 "0.1uF/100V/1206" H 11200 8400 50 0000 L CNN +F 2 "Capacitors_SMD:C_1206" H 11100 8450 50 0001 C CNN +F 3 "" H 11100 8450 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRJ319R72A104KE11L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-10931-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11100 8450 + 1 0 0 -1 +$EndComp +$Comp +L GNDPWR #PWR016 +U 1 1 58FD9003 +P 1600 8100 +F 0 "#PWR016" H 1600 7900 50 0001 C CNN +F 1 "GNDPWR" H 1600 7970 50 0000 C CNN +F 2 "" H 1600 8050 50 0000 C CNN +F 3 "" H 1600 8050 50 0000 C CNN + 1 1600 8100 + 1 0 0 -1 +$EndComp +$Comp +L FAN3100 U301 +U 1 1 58FDE12A +P 10550 9600 +F 0 "U301" H 10200 10100 60 0000 L CNN +F 1 "FAN3100C" H 10200 10000 60 0000 L CNN +F 2 "proj_footprints:SOT-23-5" H 10550 9600 60 0001 C CNN +F 3 "" H 10550 9600 60 0001 C CNN +F 4 "Fairchild/ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "FAN3100CSX" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "FAN3100CSXCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10550 9600 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R304 +U 1 1 58FDF6AF +P 11300 9600 +F 0 "R304" V 11400 9750 50 0000 C CNN +F 1 "0R" V 11400 9450 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 11300 9600 50 0001 C CNN +F 3 "" H 11300 9600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603JR-070RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-0.0GRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11300 9600 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C304 +U 1 1 58FE4855 +P 9950 10000 +F 0 "C304" H 10050 10050 50 0000 L CNN +F 1 "0.1uF" H 10050 9950 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 9950 10000 50 0001 C CNN +F 3 "" H 9950 10000 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9950 10000 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R302 +U 1 1 58FE53FF +P 9950 8450 +F 0 "R302" H 10000 8500 50 0000 L CNN +F 1 "3.3R" H 10000 8400 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 9950 8450 50 0001 C CNN +F 3 "" H 9950 8450 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-073R3L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "RC0603FR-073R3L-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9950 8450 + 1 0 0 -1 +$EndComp +$Comp +L GNDPWR #PWR017 +U 1 1 58FE7C75 +P 11900 10300 +F 0 "#PWR017" H 11900 10100 50 0001 C CNN +F 1 "GNDPWR" H 11900 10170 50 0000 C CNN +F 2 "" H 11900 10250 50 0000 C CNN +F 3 "" H 11900 10250 50 0000 C CNN + 1 11900 10300 + 1 0 0 -1 +$EndComp +$Comp +L H11L U300 +U 1 1 58FE9B4F +P 9000 9800 +F 0 "U300" H 8850 10300 60 0000 L CNN +F 1 "H11L" H 8850 10200 60 0000 L CNN +F 2 "proj_footprints:6-SMD" H 9000 9725 60 0001 C CNN +F 3 "" H 9000 9725 60 0001 C CNN +F 4 "Everlight Electronics Co Ltd" H 0 0 50 0001 C CNN "MFR" +F 5 "H11L1S(TA)" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "1080-1201-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9000 9800 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R301 +U 1 1 58FEDAA7 +P 9650 9050 +F 0 "R301" H 9700 9100 50 0000 L CNN +F 1 "10K" H 9700 9000 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 9650 9050 50 0001 C CNN +F 3 "" H 9650 9050 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9650 9050 + 1 0 0 -1 +$EndComp +$Comp +L TRANS1 TR350 +U 1 1 58FF59CC +P 12200 11450 +F 0 "TR350" H 12200 12150 50 0000 C CNN +F 1 "TDK_B66206X" H 12200 12050 50 0000 C CNN +F 2 "proj_footprints:TDK_B66206X" H 12200 10900 50 0001 C CIN +F 3 "" H 12200 11450 50 0001 C CNN +F 4 "" H 0 0 50 0001 C CNN "MFR" +F 5 "TransformerHV" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "custom" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12200 11450 + 1 0 0 -1 +$EndComp +$Comp +L D_Small D351 +U 1 1 58FF59D2 +P 12950 10950 +F 0 "D351" H 13000 11050 50 0000 L CNN +F 1 "B560C-13-F" H 12900 11050 50 0000 R CNN +F 2 "proj_footprints:DO-214AB" H 12950 10950 50 0001 C CNN +F 3 "" V 12950 10950 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "B560C-13-F" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "B560C-FDICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12950 10950 + -1 0 0 -1 +$EndComp +$Comp +L Q_NMOS_GSD Q350 +U 1 1 58FF5A09 +P 11750 12100 +F 0 "Q350" H 11650 12300 50 0000 R CNN +F 1 "IRFR3411" H 11650 12200 50 0000 R CNN +F 2 "proj_footprints:DPAK" H 11950 12200 50 0001 C CNN +F 3 "" H 11750 12100 50 0001 C CNN +F 4 "Infineon Technologies" H 0 0 50 0001 C CNN "MFR" +F 5 "IRFR3411TRPBF" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "IRFR3411TRPBFCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11750 12100 + 1 0 0 -1 +$EndComp +$Comp +L D_Small D350 +U 1 1 58FF5A0F +P 11500 11500 +F 0 "D350" H 11350 11600 50 0000 R CNN +F 1 "S1M" H 11600 11600 50 0000 L CNN +F 2 "proj_footprints:DO-214AC" H 11500 11500 50 0001 C CNN +F 3 "" V 11500 11500 50 0001 C CNN +F 4 "SMC Diode Solutions" H 0 0 50 0001 C CNN "MFR" +F 5 "S1MTR" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "1655-1506-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11500 11500 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R353 +U 1 1 58FF5A15 +P 10500 11150 +F 0 "R353" H 10550 11200 50 0000 L CNN +F 1 "4.7K/2512" H 10550 11100 50 0000 L CNN +F 2 "Resistors_SMD:R_2512" H 10500 11150 50 0001 C CNN +F 3 "" H 10500 11150 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC2512JK-074K7L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "YAG3405CT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10500 11150 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C354 +U 1 1 58FF5A1B +P 11050 11150 +F 0 "C354" H 11150 11200 50 0000 L CNN +F 1 "0.1uF/100V/1206" H 11150 11100 50 0000 L CNN +F 2 "Capacitors_SMD:C_1206" H 11050 11150 50 0001 C CNN +F 3 "" H 11050 11150 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRJ319R72A104KE11L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-10931-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11050 11150 + 1 0 0 -1 +$EndComp +$Comp +L GNDPWR #PWR018 +U 1 1 58FF5A28 +P 9100 11400 +F 0 "#PWR018" H 9100 11200 50 0001 C CNN +F 1 "GNDPWR" H 9100 11270 50 0000 C CNN +F 2 "" H 9100 11350 50 0000 C CNN +F 3 "" H 9100 11350 50 0000 C CNN + 1 9100 11400 + 1 0 0 -1 +$EndComp +$Comp +L FAN3100 U351 +U 1 1 58FF5A2E +P 10500 12300 +F 0 "U351" H 10150 12800 60 0000 L CNN +F 1 "FAN3100C" H 10150 12700 60 0000 L CNN +F 2 "proj_footprints:SOT-23-5" H 10500 12300 60 0001 C CNN +F 3 "" H 10500 12300 60 0001 C CNN +F 4 "Fairchild/ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "FAN3100CSX" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "FAN3100CSXCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10500 12300 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R354 +U 1 1 58FF5A34 +P 11250 12300 +F 0 "R354" V 11350 12450 50 0000 C CNN +F 1 "0R" V 11350 12150 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 11250 12300 50 0001 C CNN +F 3 "" H 11250 12300 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603JR-070RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-0.0GRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11250 12300 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C353 +U 1 1 58FF5A3A +P 9900 12700 +F 0 "C353" H 10000 12750 50 0000 L CNN +F 1 "0.1uF" H 10000 12650 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 9900 12700 50 0001 C CNN +F 3 "" H 9900 12700 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9900 12700 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R352 +U 1 1 58FF5A40 +P 9900 11150 +F 0 "R352" H 9950 11200 50 0000 L CNN +F 1 "3.3R" H 9950 11100 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 9900 11150 50 0001 C CNN +F 3 "" H 9900 11150 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-073R3L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "RC0603FR-073R3L-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9900 11150 + 1 0 0 -1 +$EndComp +$Comp +L GNDPWR #PWR019 +U 1 1 58FF5A46 +P 11850 13000 +F 0 "#PWR019" H 11850 12800 50 0001 C CNN +F 1 "GNDPWR" H 11850 12870 50 0000 C CNN +F 2 "" H 11850 12950 50 0000 C CNN +F 3 "" H 11850 12950 50 0000 C CNN + 1 11850 13000 + 1 0 0 -1 +$EndComp +$Comp +L H11L U350 +U 1 1 58FF5A4C +P 8950 12500 +F 0 "U350" H 8800 13000 60 0000 L CNN +F 1 "H11L" H 8800 12900 60 0000 L CNN +F 2 "proj_footprints:6-SMD" H 8950 12425 60 0001 C CNN +F 3 "" H 8950 12425 60 0001 C CNN +F 4 "Everlight Electronics Co Ltd" H 0 0 50 0001 C CNN "MFR" +F 5 "H11L1S(TA)" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "1080-1201-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 8950 12500 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R351 +U 1 1 58FF5A92 +P 9600 11750 +F 0 "R351" H 9650 11800 50 0000 L CNN +F 1 "10K" H 9650 11700 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 9600 11750 50 0001 C CNN +F 3 "" H 9600 11750 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9600 11750 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R313 +U 1 1 590186CF +P 14600 8800 +F 0 "R313" H 14650 8850 50 0000 L CNN +F 1 "2M/1%/RNV" H 14650 8750 50 0000 L CNN +F 2 "Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" H 14600 8800 50 0001 C CNN +F 3 "" H 14600 8800 50 0001 C CNN +F 4 "RNV14FAL2M00" H 14600 8800 60 0001 C CNN "MPN" +F 5 "Stackpole Electronics Inc." H 14600 8800 60 0001 C CNN "MFG" +F 6 "RNV14FAL2M00CT-ND" H 14600 8800 60 0001 C CNN "SPN" +F 7 "Stackpole Electronics Inc." H 0 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 14600 8800 + 1 0 0 -1 +$EndComp +$Comp +L D_Small D201 +U 1 1 5903B022 +P 10100 3200 +F 0 "D201" V 10150 3300 50 0000 L CNN +F 1 "S1M" V 10050 3300 50 0000 L CNN +F 2 "proj_footprints:DO-214AC" H 10100 3200 50 0001 C CNN +F 3 "" V 10100 3200 50 0001 C CNN +F 4 "SMC Diode Solutions" H 0 0 50 0001 C CNN "MFR" +F 5 "S1MTR" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "1655-1506-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10100 3200 + 0 1 -1 0 +$EndComp +$Comp +L GDT GDT200 +U 1 1 5903CFE3 +P 9500 1750 +F 0 "GDT200" H 9700 1650 50 0000 L CNN +F 1 "2051-09" H 9700 1550 50 0000 L CNN +F 2 "proj_footprints:BOURNS-GDT-2051" H 9430 1750 50 0001 C CNN +F 3 "" H 9500 1750 50 0001 C CNN +F 4 "Bourns" H 9500 1750 60 0001 C CNN "MFR" +F 5 "2051-09-SM-RPLF" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "2051-09-SM-RPLFCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9500 1750 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C200 +U 1 1 59049CC7 +P 10500 2800 +F 0 "C200" H 10600 2850 50 0000 L CNN +F 1 "10000pF/1206" H 10600 2750 50 0000 L CNN +F 2 "Capacitors_SMD:C_1206" H 10500 2800 50 0001 C CNN +F 3 "" H 10500 2800 50 0001 C CNN +F 4 "GRM31BR72J103KW01L" H 10500 2800 60 0001 C CNN "MPN" +F 5 "Murata" H 10500 2800 60 0001 C CNN "MFR" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-3512-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10500 2800 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR020 +U 1 1 5904D5AE +P 9500 2050 +F 0 "#PWR020" H 9500 1800 50 0001 C CNN +F 1 "GND" H 9500 1900 50 0000 C CNN +F 2 "" H 9500 2050 50 0000 C CNN +F 3 "" H 9500 2050 50 0000 C CNN + 1 9500 2050 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R224 +U 1 1 58FA0BB1 +P 11700 4250 +F 0 "R224" H 11750 4300 50 0000 L CNN +F 1 "39R/1%/1206" H 11750 4200 50 0000 L CNN +F 2 "Resistors_SMD:R_1206" H 11700 4250 50 0001 C CNN +F 3 "" H 11700 4250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC1206FR-0739RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-39.0FRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11700 4250 + 1 0 0 -1 +$EndComp +$Comp +L TLV271 U201 +U 1 1 590634E6 +P 15900 6850 +F 0 "U201" H 15900 7100 50 0000 L CNN +F 1 "TLV271" H 15900 7000 50 0000 L CNN +F 2 "proj_footprints:SOT-23-5" H 15950 7050 50 0001 C CNN +F 3 "" H 15900 7100 50 0001 C CNN +F 4 "Texas Instruments" H 0 0 50 0001 C CNN "MFR" +F 5 "TLV271IDBVR" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "296-13371-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15900 6850 + 1 0 0 -1 +$EndComp +$Comp +L BAS116 D205 +U 1 1 5906626F +P 11700 5100 +F 0 "D205" V 11750 5050 50 0000 R CNN +F 1 "BAS116" V 11650 5050 50 0000 R CNN +F 2 "proj_footprints:SOT-23" H 11700 5100 50 0001 C CNN +F 3 "" V 11700 5100 50 0001 C CNN +F 4 "Infineon Technologies" H 0 0 50 0001 C CNN "MFR" +F 5 "BAS116-7-F" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BAS116-FDICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11700 5100 + 0 -1 -1 0 +$EndComp +$Comp +L BAS116 D206 +U 1 1 5908F260 +P 14450 2600 +F 0 "D206" H 14450 2800 50 0000 C CNN +F 1 "BAS16" H 14450 2700 50 0000 C CNN +F 2 "proj_footprints:SOT-23" H 14450 2600 50 0001 C CNN +F 3 "" V 14450 2600 50 0001 C CNN +F 4 "ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "BAS16LT3G" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BAS16LT3GOSCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14450 2600 + 1 0 0 -1 +$EndComp +$Comp +L BAS116 D101 +U 1 1 59091FA0 +P 3450 2800 +F 0 "D101" H 3450 3000 50 0000 C CNN +F 1 "BAS16" H 3450 2900 50 0000 C CNN +F 2 "proj_footprints:SOT-23" H 3450 2800 50 0001 C CNN +F 3 "" V 3450 2800 50 0001 C CNN +F 4 "ON Semiconductor" H -50 -200 50 0001 C CNN "MFR" +F 5 "BAS16LT3G" H -50 -200 50 0001 C CNN "MPN" +F 6 "" H -50 -200 50 0001 C CNN "SPR" +F 7 "BAS16LT3GOSCT-ND" H -50 -200 50 0001 C CNN "SPN" +F 8 "" H -50 -200 50 0001 C CNN "SPURL" + 1 3450 2800 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR021 +U 1 1 5909BA32 +P 12350 5300 +F 0 "#PWR021" H 12350 5050 50 0001 C CNN +F 1 "GND" H 12350 5150 50 0000 C CNN +F 2 "" H 12350 5300 50 0000 C CNN +F 3 "" H 12350 5300 50 0000 C CNN + 1 12350 5300 + 1 0 0 -1 +$EndComp +$Comp +L LM337L U402 +U 1 1 590E4F71 +P 2000 9250 +F 0 "U402" H 1800 9600 50 0000 L CNN +F 1 "LM337L" H 1800 9500 50 0000 L CNN +F 2 "SMD_Packages:SOIC-8-N" H 2000 9250 50 0001 C CNN +F 3 "" H 2000 9250 50 0001 C CNN +F 4 "Texas Instruments" H 0 0 50 0001 C CNN "MFR" +F 5 "LM337LMX" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "LM337LMXCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 2000 9250 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R401 +U 1 1 590E8134 +P 2400 9400 +F 0 "R401" H 2450 9450 50 0000 L CNN +F 1 "240R/1%" H 2450 9350 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 2400 9400 50 0001 C CNN +F 3 "" H 2400 9400 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 2400 9400 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R400 +U 1 1 590E94DA +P 2000 9850 +F 0 "R400" H 2050 9900 50 0000 L CNN +F 1 "240R/1%" H 2050 9800 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 2000 9850 50 0001 C CNN +F 3 "" H 2000 9850 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 2000 9850 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR022 +U 1 1 590EB4F6 +P 2000 10050 +F 0 "#PWR022" H 2000 9800 50 0001 C CNN +F 1 "GND" H 2000 9900 50 0000 C CNN +F 2 "" H 2000 10050 50 0000 C CNN +F 3 "" H 2000 10050 50 0000 C CNN + 1 2000 10050 + -1 0 0 -1 +$EndComp +$Comp +L C_Small C404 +U 1 1 590F90F7 +P 2850 9850 +F 0 "C404" H 2950 9900 50 0000 L CNN +F 1 "10uF" H 2950 9800 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 2850 9850 50 0001 C CNN +F 3 "" H 2850 9850 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 2850 9850 + 1 0 0 -1 +$EndComp +$Comp +L Q_NMOS_GSD Q203 +U 1 1 59107C0C +P 13700 6150 +F 0 "Q203" H 13600 6000 50 0000 R CNN +F 1 "DMN3404L" H 13600 5900 50 0000 R CNN +F 2 "proj_footprints:SOT-23" H 13900 6250 50 0001 C CNN +F 3 "" H 13700 6150 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "DMN3404L-7" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "DMN3404LDICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13700 6150 + -1 0 0 -1 +$EndComp +$Comp +L R_Small R227 +U 1 1 5910A19B +P 13600 5400 +F 0 "R227" H 13650 5450 50 0000 L CNN +F 1 "4.7K/1%" H 13650 5350 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 13600 5400 50 0001 C CNN +F 3 "" H 13600 5400 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-074K7L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-4.70KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13600 5400 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR023 +U 1 1 59114BF5 +P 13600 6450 +F 0 "#PWR023" H 13600 6200 50 0001 C CNN +F 1 "GND" H 13600 6300 50 0000 C CNN +F 2 "" H 13600 6450 50 0000 C CNN +F 3 "" H 13600 6450 50 0000 C CNN + 1 13600 6450 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R230 +U 1 1 59124F41 +P 14650 5600 +F 0 "R230" H 14700 5650 50 0000 L CNN +F 1 "10K/1%" H 14700 5550 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 14650 5600 50 0001 C CNN +F 3 "" H 14650 5600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14650 5600 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R103 +U 1 1 5913E0E6 +P 2850 2150 +F 0 "R103" V 3050 2150 50 0000 C CNN +F 1 "100K/1206" V 2950 2150 50 0000 C CNN +F 2 "proj_footprints:R_1206_ns" H 2850 2150 50 0001 C CNN +F 3 "" H 2850 2150 50 0001 C CNN +F 4 "Yageo" H -50 -200 50 0001 C CNN "MFR" +F 5 "RC1206JR-07100KL" H -50 -200 50 0001 C CNN "MPN" +F 6 "311-100KERCT-ND" H -50 -200 50 0001 C CNN "SPN" + 1 2850 2150 + 0 -1 -1 0 +$EndComp +$Comp +L 9001 RL100 +U 1 1 5913E0ED +P 3450 1950 +F 0 "RL100" V 3000 1750 50 0000 L CNN +F 1 "9001-05-02" V 3900 1950 50 0000 C CNN +F 2 "proj_footprints:COTO-9001_ns" H 3450 1950 50 0001 C CNN +F 3 "" H 3450 1950 50 0001 C CNN +F 4 "COTO" V 3450 1950 60 0001 C CNN "MFR" +F 5 "9001-05-02" V 3450 1950 60 0001 C CNN "MPN" +F 6 "306-1247-ND" H -50 -200 50 0001 C CNN "SPN" + 1 3450 1950 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR024 +U 1 1 5913E0F3 +P 2800 1350 +F 0 "#PWR024" H 2800 1100 50 0001 C CNN +F 1 "GND" H 2800 1200 50 0000 C CNN +F 2 "" H 2800 1350 50 0000 C CNN +F 3 "" H 2800 1350 50 0000 C CNN + 1 2800 1350 + 1 0 0 -1 +$EndComp +$Comp +L BAS116 D100 +U 1 1 5913E0F9 +P 3450 1300 +F 0 "D100" H 3450 1500 50 0000 C CNN +F 1 "BAS16" H 3450 1400 50 0000 C CNN +F 2 "proj_footprints:SOT-23" H 3450 1300 50 0001 C CNN +F 3 "" V 3450 1300 50 0001 C CNN +F 4 "ON Semiconductor" H -50 -200 50 0001 C CNN "MFR" +F 5 "BAS16LT3G" H -50 -200 50 0001 C CNN "MPN" +F 6 "" H -50 -200 50 0001 C CNN "SPR" +F 7 "BAS16LT3GOSCT-ND" H -50 -200 50 0001 C CNN "SPN" +F 8 "" H -50 -200 50 0001 C CNN "SPURL" + 1 3450 1300 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR025 +U 1 1 5913ED1B +P 6250 2150 +F 0 "#PWR025" H 6250 1900 50 0001 C CNN +F 1 "GND" H 6250 2000 50 0000 C CNN +F 2 "" H 6250 2150 50 0000 C CNN +F 3 "" H 6250 2150 50 0000 C CNN + 1 6250 2150 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R119 +U 1 1 5913ED21 +P 6250 1950 +F 0 "R119" H 6300 2000 50 0000 L CNN +F 1 "10K" H 6300 1900 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 6250 1950 50 0001 C CNN +F 3 "" H 6250 1950 50 0001 C CNN +F 4 "Yageo" H -50 -200 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H -50 -200 50 0001 C CNN "MPN" +F 6 "" H -50 -200 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H -50 -200 50 0001 C CNN "SPN" +F 8 "" H -50 -200 50 0001 C CNN "SPURL" + 1 6250 1950 + 1 0 0 -1 +$EndComp +$Comp +L Q_PMOS_GSD Q100 +U 1 1 5913ED28 +P 5200 1350 +F 0 "Q100" H 5100 1150 50 0000 R CNN +F 1 "DMG2305UX" H 5100 1250 50 0000 R CNN +F 2 "proj_footprints:SOT-23" H 5400 1450 50 0001 C CNN +F 3 "" H 5200 1350 50 0001 C CNN +F 4 "Diodes Incorporated" H -50 -200 50 0001 C CNN "MFR" +F 5 "DMG2305UX-13" H -50 -200 50 0001 C CNN "MPN" +F 6 "" H -50 -200 50 0001 C CNN "SPR" +F 7 "DMG2305UX-13DICT-ND" H -50 -200 50 0001 C CNN "SPN" +F 8 "" H -50 -200 50 0001 C CNN "SPURL" + 1 5200 1350 + -1 0 0 1 +$EndComp +$Comp +L R_Small R118 +U 1 1 5913ED2E +P 5900 1250 +F 0 "R118" H 5950 1300 50 0000 L CNN +F 1 "33K" H 5950 1200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 5900 1250 50 0001 C CNN +F 3 "" H 5900 1250 50 0001 C CNN +F 4 "Yageo" H -50 -200 50 0001 C CNN "MFR" +F 5 "RC0603FR-0733KL" H -50 -200 50 0001 C CNN "MPN" +F 6 "" H -50 -200 50 0001 C CNN "SPR" +F 7 "311-33.0KHRCT-ND" H -50 -200 50 0001 C CNN "SPN" +F 8 "" H -50 -200 50 0001 C CNN "SPURL" + 1 5900 1250 + 1 0 0 -1 +$EndComp +$Comp +L Q_NMOS_GSD Q102 +U 1 1 5913ED35 +P 6000 1800 +F 0 "Q102" H 5900 2050 50 0000 R CNN +F 1 "2N7002" H 5900 1950 50 0000 R CNN +F 2 "proj_footprints:SOT-23" H 6200 1900 50 0001 C CNN +F 3 "" H 6000 1800 50 0001 C CNN +F 4 "Nexperia USA Inc." H -50 -200 50 0001 C CNN "MFR" +F 5 "2N7002P,215" H -50 -200 50 0001 C CNN "MPN" +F 6 "" H -50 -200 50 0001 C CNN "SPR" +F 7 "1727-4692-1-ND" H -50 -200 50 0001 C CNN "SPN" +F 8 "" H -50 -200 50 0001 C CNN "SPURL" + 1 6000 1800 + -1 0 0 -1 +$EndComp +$Comp +L C_Small C355 +U 1 1 59149B79 +P 13200 11150 +F 0 "C355" H 13300 11200 50 0000 L CNN +F 1 "0.1uF" H 13300 11100 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 13200 11150 50 0001 C CNN +F 3 "" H 13200 11150 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13200 11150 + 1 0 0 -1 +$EndComp +$Comp +L Q_NMOS_GSD Q202 +U 1 1 5916049B +P 11800 6150 +F 0 "Q202" H 11700 6400 50 0000 R CNN +F 1 "DMN3404L" H 11700 6300 50 0000 R CNN +F 2 "proj_footprints:SOT-23" H 12000 6250 50 0001 C CNN +F 3 "" H 11800 6150 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "DMN3404L-7" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "DMN3404LDICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11800 6150 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR026 +U 1 1 59168EC4 +P 11700 6450 +F 0 "#PWR026" H 11700 6200 50 0001 C CNN +F 1 "GND" H 11700 6300 50 0000 C CNN +F 2 "" H 11700 6450 50 0000 C CNN +F 3 "" H 11700 6450 50 0000 C CNN + 1 11700 6450 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R216 +U 1 1 59170DA2 +P 10100 2800 +F 0 "R216" H 10150 2850 50 0000 L CNN +F 1 "180R/1W" H 10150 2750 50 0000 L CNN +F 2 "Resistors_ThroughHole:R_Axial_DIN0414_L11.9mm_D4.5mm_P15.24mm_Horizontal" H 10100 2800 50 0001 C CNN +F 3 "" H 10100 2800 50 0001 C CNN +F 4 "KNP100JR-73-180R" H 10100 2800 60 0001 C CNN "MPN" +F 5 "YAGEO" H 10100 2800 60 0001 C CNN "MFR" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "180ACCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10100 2800 + -1 0 0 -1 +$EndComp +$Comp +L R_Small R232 +U 1 1 591A2D95 +P 11150 5650 +F 0 "R232" H 11200 5700 50 0000 L CNN +F 1 "10K" H 11200 5600 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 11150 5650 50 0001 C CNN +F 3 "" H 11150 5650 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 11150 5650 + 1 0 0 -1 +$EndComp +$Comp +L Q_NMOS_GSD Q201 +U 1 1 591A3499 +P 10200 6150 +F 0 "Q201" H 10100 6400 50 0000 R CNN +F 1 "DMN3404L" H 10100 6300 50 0000 R CNN +F 2 "proj_footprints:SOT-23" H 10400 6250 50 0001 C CNN +F 3 "" H 10200 6150 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "DMN3404L-7" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "DMN3404LDICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10200 6150 + -1 0 0 -1 +$EndComp +$Comp +L BAS116 D204 +U 1 1 591A398B +P 10100 5100 +F 0 "D204" V 10150 5050 50 0000 R CNN +F 1 "BAS116" V 10050 5050 50 0000 R CNN +F 2 "proj_footprints:SOT-23" H 10100 5100 50 0001 C CNN +F 3 "" V 10100 5100 50 0001 C CNN +F 4 "Infineon Technologies" H 0 0 50 0001 C CNN "MFR" +F 5 "BAS116-7-F" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BAS116-FDICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10100 5100 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R223 +U 1 1 591A487F +P 10100 4250 +F 0 "R223" H 10150 4300 50 0000 L CNN +F 1 "200R/1%" H 10150 4200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 10100 4250 50 0001 C CNN +F 3 "" H 10100 4250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07200RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-200HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10100 4250 + 1 0 0 -1 +$EndComp +$Comp +L ZENER D203 +U 1 1 591B0A28 +P 9500 4250 +F 0 "D203" V 9600 4150 50 0000 R CNN +F 1 "SMAJ5.0A" V 9500 4150 50 0000 R CNN +F 2 "proj_footprints:DO-214AC" H 9500 4250 50 0001 C CNN +F 3 "" H 9500 4250 50 0001 C CNN +F 4 "Littelfuse Inc." H 0 0 50 0001 C CNN "MFR" +F 5 "SMAJ5.0A" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "SMAJ5.0ALFCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9500 4250 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R231 +U 1 1 591B2219 +P 10600 5650 +F 0 "R231" H 10650 5700 50 0000 L CNN +F 1 "10K" H 10650 5600 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 10600 5650 50 0001 C CNN +F 3 "" H 10600 5650 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10600 5650 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C203 +U 1 1 591BA371 +P 16300 7050 +F 0 "C203" H 16400 7100 50 0000 L CNN +F 1 "0.1uF" H 16400 7000 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 16300 7050 50 0001 C CNN +F 3 "" H 16300 7050 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 16300 7050 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R235 +U 1 1 591BC3F5 +P 15950 6300 +F 0 "R235" V 16050 6450 50 0000 C CNN +F 1 "10K/1%" V 16050 6150 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 15950 6300 50 0001 C CNN +F 3 "" H 15950 6300 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15950 6300 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R234 +U 1 1 591C7B22 +P 15100 6950 +F 0 "R234" V 15200 7100 50 0000 C CNN +F 1 "4.7K/1%" V 15200 6800 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 15100 6950 50 0001 C CNN +F 3 "" H 15100 6950 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-074K7L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-4.70KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15100 6950 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR027 +U 1 1 591C8368 +P 14700 7000 +F 0 "#PWR027" H 14700 6750 50 0001 C CNN +F 1 "GND" H 14700 6850 50 0000 C CNN +F 2 "" H 14700 7000 50 0000 C CNN +F 3 "" H 14700 7000 50 0000 C CNN + 1 14700 7000 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R237 +U 1 1 591C861D +P 16400 6050 +F 0 "R237" H 16450 6100 50 0000 L CNN +F 1 "240R/1%" H 16450 6000 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 16400 6050 50 0001 C CNN +F 3 "" H 16400 6050 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 16400 6050 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R236 +U 1 1 591C8626 +P 16400 5600 +F 0 "R236" H 16450 5650 50 0000 L CNN +F 1 "10K/1%" H 16450 5550 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 16400 5600 50 0001 C CNN +F 3 "" H 16400 5600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 16400 5600 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R233 +U 1 1 591D8B50 +P 12950 6750 +F 0 "R233" V 13050 6900 50 0000 C CNN +F 1 "22K" V 13050 6600 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 12950 6750 50 0001 C CNN +F 3 "" H 12950 6750 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0722KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-22.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 12950 6750 + 0 -1 -1 0 +$EndComp +$Comp +L BAS116 D207 +U 1 1 591DD557 +P 16200 5850 +F 0 "D207" H 16200 6050 50 0000 C CNN +F 1 "BAS16" H 16200 5950 50 0000 C CNN +F 2 "proj_footprints:SOT-23" H 16200 5850 50 0001 C CNN +F 3 "" V 16200 5850 50 0001 C CNN +F 4 "ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "BAS16LT3G" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BAS16LT3GOSCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 16200 5850 + 1 0 0 -1 +$EndComp +$Comp +L 74HC00 U501 +U 3 1 591F5961 +P 21400 1750 +F 0 "U501" H 21400 1800 50 0000 C CNN +F 1 "74HC00" H 21400 1650 50 0000 C CNN +F 2 "SMD_Packages:SOIC-14_N" H 21400 1750 50 0001 C CNN +F 3 "" H 21400 1750 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "74HC00S14-13" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "74HC00S14-13DICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 3 21400 1750 + 1 0 0 -1 +$EndComp +$Comp +L 74HC00 U501 +U 4 1 591F684E +P 21400 2450 +F 0 "U501" H 21400 2500 50 0000 C CNN +F 1 "74HC00" H 21400 2350 50 0000 C CNN +F 2 "SMD_Packages:SOIC-14_N" H 21400 2450 50 0001 C CNN +F 3 "" H 21400 2450 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "74HC00S14-13" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "74HC00S14-13DICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 4 21400 2450 + 1 0 0 -1 +$EndComp +$Comp +L BAS116 D208 +U 1 1 5921AC31 +P 17000 3300 +F 0 "D208" H 17000 3500 50 0000 C CNN +F 1 "BAS16" H 17000 3400 50 0000 C CNN +F 2 "proj_footprints:SOT-23" H 17000 3300 50 0001 C CNN +F 3 "" V 17000 3300 50 0001 C CNN +F 4 "ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "BAS16LT3G" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BAS16LT3GOSCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 17000 3300 + 1 0 0 -1 +$EndComp +$Comp +L BAS116 D209 +U 1 1 5921B77B +P 17000 4750 +F 0 "D209" H 17000 4950 50 0000 C CNN +F 1 "BAS16" H 17000 4850 50 0000 C CNN +F 2 "proj_footprints:SOT-23" H 17000 4750 50 0001 C CNN +F 3 "" V 17000 4750 50 0001 C CNN +F 4 "ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "BAS16LT3G" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BAS16LT3GOSCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 17000 4750 + 1 0 0 -1 +$EndComp +$Comp +L BAS116 D304 +U 1 1 5922DEB4 +P 17000 8350 +F 0 "D304" H 17000 8550 50 0000 C CNN +F 1 "BAS16" H 17000 8450 50 0000 C CNN +F 2 "proj_footprints:SOT-23" H 17000 8350 50 0001 C CNN +F 3 "" V 17000 8350 50 0001 C CNN +F 4 "ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "BAS16LT3G" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BAS16LT3GOSCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 17000 8350 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R500 +U 1 1 59240809 +P 17200 1250 +F 0 "R500" H 17250 1300 50 0000 L CNN +F 1 "10K" H 17250 1200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 17200 1250 50 0001 C CNN +F 3 "" H 17200 1250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 17200 1250 + 1 0 0 -1 +$EndComp +$Comp +L 74HC00 U501 +U 1 1 59243CDA +P 17900 1650 +F 0 "U501" H 17900 1700 50 0000 C CNN +F 1 "74HC00" H 17900 1550 50 0000 C CNN +F 2 "SMD_Packages:SOIC-14_N" H 17900 1650 50 0001 C CNN +F 3 "" H 17900 1650 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "74HC00S14-13" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "74HC00S14-13DICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 17900 1650 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R501 +U 1 1 5924A84E +P 18800 1650 +F 0 "R501" V 18900 1800 50 0000 C CNN +F 1 "10K" V 18900 1500 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 18800 1650 50 0001 C CNN +F 3 "" H 18800 1650 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 18800 1650 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C500 +U 1 1 5924D38E +P 19050 1800 +F 0 "C500" H 19150 1850 50 0000 L CNN +F 1 "TBD" H 19150 1750 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 19050 1800 50 0001 C CNN +F 3 "" H 19050 1800 50 0001 C CNN +F 4 "" H 0 0 50 0001 C CNN "MFR" +F 5 "" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 19050 1800 + 1 0 0 -1 +$EndComp +$Comp +L 74HC00 U501 +U 2 1 59252022 +P 20000 1650 +F 0 "U501" H 20000 1700 50 0000 C CNN +F 1 "74HC00" H 20000 1550 50 0000 C CNN +F 2 "SMD_Packages:SOIC-14_N" H 20000 1650 50 0001 C CNN +F 3 "" H 20000 1650 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "74HC00S14-13" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "74HC00S14-13DICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 2 20000 1650 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR028 +U 1 1 59255AFB +P 19050 1950 +F 0 "#PWR028" H 19050 1700 50 0001 C CNN +F 1 "GND" H 19050 1800 50 0000 C CNN +F 2 "" H 19050 1950 50 0000 C CNN +F 3 "" H 19050 1950 50 0000 C CNN + 1 19050 1950 + 1 0 0 -1 +$EndComp +$Comp +L BAS116 D500 +U 1 1 5925F403 +P 18800 1400 +F 0 "D500" H 18800 1600 50 0000 C CNN +F 1 "BAS16" H 18800 1500 50 0000 C CNN +F 2 "proj_footprints:SOT-23" H 18800 1400 50 0001 C CNN +F 3 "" V 18800 1400 50 0001 C CNN +F 4 "ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "BAS16LT3G" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BAS16LT3GOSCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 18800 1400 + 1 0 0 -1 +$EndComp +$Comp +L 74HC00 U502 +U 1 1 592685D6 +P 7950 9850 +F 0 "U502" H 7950 9900 50 0000 C CNN +F 1 "74HC00" H 7950 9750 50 0000 C CNN +F 2 "SMD_Packages:SOIC-14_N" H 7950 9850 50 0001 C CNN +F 3 "" H 7950 9850 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "74HC00S14-13" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "74HC00S14-13DICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 7950 9850 + 1 0 0 -1 +$EndComp +$Comp +L 74HC00 U502 +U 4 1 592821ED +P 7950 12550 +F 0 "U502" H 7950 12600 50 0000 C CNN +F 1 "74HC00" H 7950 12450 50 0000 C CNN +F 2 "SMD_Packages:SOIC-14_N" H 7950 12550 50 0001 C CNN +F 3 "" H 7950 12550 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "74HC00S14-13" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "74HC00S14-13DICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 4 7950 12550 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R300 +U 1 1 592924F9 +P 8400 9350 +F 0 "R300" H 8450 9400 50 0000 L CNN +F 1 "240R" H 8450 9300 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 8400 9350 50 0001 C CNN +F 3 "" H 8400 9350 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 8400 9350 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R350 +U 1 1 59292757 +P 8400 12050 +F 0 "R350" H 8450 12100 50 0000 L CNN +F 1 "240R" H 8450 12000 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 8400 12050 50 0001 C CNN +F 3 "" H 8400 12050 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 8400 12050 + 1 0 0 -1 +$EndComp +$Comp +L BAS116 D305 +U 1 1 592C714C +P 17000 10800 +F 0 "D305" H 17000 11000 50 0000 C CNN +F 1 "BAS16" H 17000 10900 50 0000 C CNN +F 2 "proj_footprints:SOT-23" V 17000 10800 50 0001 C CNN +F 3 "" V 17000 10800 50 0001 C CNN +F 4 "ON Semiconductor" H 0 0 50 0001 C CNN "MFR" +F 5 "BAS16LT3G" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BAS16LT3GOSCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 17000 10800 + 1 0 0 -1 +$EndComp +$Comp +L CONN_01X02 P3 +U 1 1 592ED973 +P 1650 13500 +F 0 "P3" H 1650 13650 50 0000 C CNN +F 1 "EXT_PWR" V 1750 13500 50 0000 C CNN +F 2 "Connectors_Phoenix:PhoenixContact_MSTBA-G_02x5.08mm_Angled" H 1650 13500 50 0001 C CNN +F 3 "" H 1650 13500 50 0001 C CNN +F 4 "1746978" H 1650 13500 60 0001 C CNN "MPN" +F 5 "Phoenix Contact" H 1650 13500 60 0001 C CNN "MFG" +F 6 "277-6765-ND" H 1650 13500 60 0001 C CNN "SPN" +F 7 "Phoenix Contact" H 0 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 1650 13500 + -1 0 0 -1 +$EndComp +$Comp +L CONN_01X02 J4 +U 1 1 592F2185 +P 1650 14050 +F 0 "J4" H 1650 14200 50 0000 C CNN +F 1 "INT_PWR" V 1750 14050 50 0000 C CNN +F 2 "Connectors_Phoenix:PhoenixContact_MCV-G_02x5.08mm_Vertical" H 1650 14050 50 0001 C CNN +F 3 "" H 1650 14050 50 0001 C CNN +F 4 "" H 0 0 50 0001 C CNN "MFR" +F 5 "DNP" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 1650 14050 + -1 0 0 -1 +$EndComp +$Comp +L CP1_Small C412 +U 1 1 592F8857 +P 4200 13750 +F 0 "C412" H 4300 13900 50 0000 L CNN +F 1 "470uF/16V" H 4300 13800 50 0000 L CNN +F 2 "Capacitors_THT:C_Radial_D13_L21_P5" H 4200 13750 50 0001 C CNN +F 3 "" H 4200 13750 50 0001 C CNN +F 4 "ECA-1CM471" H 4200 13750 60 0001 C CNN "MPN" +F 5 "Panasonic Electronic Components" H 4200 13750 60 0001 C CNN "MFG" +F 6 "P5141-ND" H 4200 13750 60 0001 C CNN "SPN" +F 7 "Panasonic Electronic Components" H 0 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 4200 13750 + 1 0 0 -1 +$EndComp +$Comp +L INDUCTOR_SMALL FB401 +U 1 1 592FF5F2 +P 3300 14100 +F 0 "FB401" H 3300 14200 50 0000 C CNN +F 1 "FB_10A" H 3300 14050 50 0000 C CNN +F 2 "proj_footprints:28F0121-0SR-10" H 3300 14100 50 0001 C CNN +F 3 "" H 3300 14100 50 0001 C CNN +F 4 "28F0121-0SR-10" H 3300 14100 60 0001 C CNN "MPN" +F 5 "Laird-Signal Integrity Products " H 3300 14100 60 0001 C CNN "MFR" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "240-2435-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 3300 14100 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C410 +U 1 1 593010E8 +P 2900 13750 +F 0 "C410" H 3000 13800 50 0000 L CNN +F 1 "0.1uF" H 3000 13700 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 2900 13750 50 0001 C CNN +F 3 "" H 2900 13750 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 2900 13750 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C411 +U 1 1 59301FE3 +P 3700 13750 +F 0 "C411" H 3800 13800 50 0000 L CNN +F 1 "0.1uF" H 3800 13700 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3700 13750 50 0001 C CNN +F 3 "" H 3700 13750 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 3700 13750 + 1 0 0 -1 +$EndComp +$Comp +L GNDPWR #PWR029 +U 1 1 593043D9 +P 4200 14200 +F 0 "#PWR029" H 4200 14000 50 0001 C CNN +F 1 "GNDPWR" H 4200 14070 50 0000 C CNN +F 2 "" H 4200 14150 50 0000 C CNN +F 3 "" H 4200 14150 50 0000 C CNN + 1 4200 14200 + 1 0 0 -1 +$EndComp +$Comp +L INDUCTOR_SMALL FB400 +U 1 1 59307926 +P 3300 13450 +F 0 "FB400" H 3300 13550 50 0000 C CNN +F 1 "FB_10A" H 3300 13400 50 0000 C CNN +F 2 "proj_footprints:28F0121-0SR-10" H 3300 13450 50 0001 C CNN +F 3 "" H 3300 13450 50 0001 C CNN +F 4 "28F0121-0SR-10" H 3300 13450 60 0001 C CNN "MPN" +F 5 "Laird-Signal Integrity Products " H 3300 13450 60 0001 C CNN "MFR" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "240-2435-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 3300 13450 + 1 0 0 -1 +$EndComp +$Comp +L ZENER D401 +U 1 1 5930DACF +P 2300 13800 +F 0 "D401" V 2200 13700 50 0000 R CNN +F 1 "SMCJ12A" V 2300 13700 50 0000 R CNN +F 2 "proj_footprints:DO-214AB" H 2300 13800 50 0001 C CNN +F 3 "" H 2300 13800 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "SMCJ12A-13-F" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "SMCJ12A-FDICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 2300 13800 + 0 -1 1 0 +$EndComp +$Comp +L CONN_01X03 P2 +U 1 1 593236BC +P 1650 14850 +F 0 "P2" H 1650 15050 50 0000 C CNN +F 1 "CONN_01X03" V 1750 14850 50 0000 C CNN +F 2 "proj_footprints:PhoenixContact_1786417_03" H 1650 14850 50 0001 C CNN +F 3 "" H 1650 14850 50 0001 C CNN +F 4 "1786417" H 1650 14850 60 0001 C CNN "MPN" +F 5 "Phoenix Contact" H 1650 14850 60 0001 C CNN "MFG" +F 6 "277-5985-ND" H 1650 14850 60 0001 C CNN "SPN" +F 7 "Phoenix Contact" H 0 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 1650 14850 + -1 0 0 -1 +$EndComp +$Comp +L 74HC00 U502 +U 2 1 59352CB7 +P 21400 3050 +F 0 "U502" H 21400 3100 50 0000 C CNN +F 1 "74HC00" H 21400 2950 50 0000 C CNN +F 2 "SMD_Packages:SOIC-14_N" H 21400 3050 50 0001 C CNN +F 3 "" H 21400 3050 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "74HC00S14-13" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "74HC00S14-13DICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 2 21400 3050 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C501 +U 1 1 5935E565 +P 20300 2550 +F 0 "C501" V 20550 2650 50 0000 R CNN +F 1 "1000pF" V 20450 2650 50 0000 R CNN +F 2 "Capacitors_SMD:C_0603" H 20300 2550 50 0001 C CNN +F 3 "" H 20300 2550 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM033R71E102KA01D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-3184-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 20300 2550 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R502 +U 1 1 59360A18 +P 20500 2750 +F 0 "R502" H 20550 2800 50 0000 L CNN +F 1 "10K" H 20550 2700 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 20500 2750 50 0001 C CNN +F 3 "" H 20500 2750 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 20500 2750 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR030 +U 1 1 59362B6E +P 20500 2950 +F 0 "#PWR030" H 20500 2700 50 0001 C CNN +F 1 "GND" H 20500 2800 50 0000 C CNN +F 2 "" H 20500 2950 50 0000 C CNN +F 3 "" H 20500 2950 50 0000 C CNN + 1 20500 2950 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R312 +U 1 1 5936901A +P 14250 8250 +F 0 "R312" V 14350 8400 50 0000 C CNN +F 1 "22R/1W" V 14350 8100 50 0000 C CNN +F 2 "Resistors_ThroughHole:R_Axial_DIN0414_L11.9mm_D4.5mm_P15.24mm_Horizontal" H 14250 8250 50 0001 C CNN +F 3 "" H 14250 8250 50 0001 C CNN +F 4 "KNP100JR-73-22R" V 14250 8250 60 0001 C CNN "MPN" +F 5 "YAGEO" V 14250 8250 60 0001 C CNN "MFR" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "22ACCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14250 8250 + 0 -1 -1 0 +$EndComp +$Comp +L BAS116 D202 +U 1 1 5937BAFC +P 9800 3950 +F 0 "D202" H 9800 4150 50 0000 C CNN +F 1 "BAS116" H 9800 4050 50 0000 C CNN +F 2 "proj_footprints:SOT-23" H 9800 3950 50 0001 C CNN +F 3 "" V 9800 3950 50 0001 C CNN +F 4 "Infineon Technologies" H 0 0 50 0001 C CNN "MFR" +F 5 "BAS116-7-F" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "BAS116-FDICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9800 3950 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR031 +U 1 1 59380064 +P 9500 4550 +F 0 "#PWR031" H 9500 4300 50 0001 C CNN +F 1 "GND" H 9500 4400 50 0000 C CNN +F 2 "" H 9500 4550 50 0000 C CNN +F 3 "" H 9500 4550 50 0000 C CNN + 1 9500 4550 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R222 +U 1 1 59381B1C +P 9500 3600 +F 0 "R222" H 9550 3650 50 0000 L CNN +F 1 "100R" H 9550 3550 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 9500 3600 50 0001 C CNN +F 3 "" H 9500 3600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603JR-07100RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-100GRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9500 3600 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C309 +U 1 1 59399FDF +P 14850 7950 +F 0 "C309" H 14950 8000 50 0000 L CNN +F 1 "2200pF/2kV/1812" H 14950 7900 50 0000 L CNN +F 2 "Capacitors_SMD:C_1812" H 14850 7950 50 0001 C CNN +F 3 "" H 14850 7950 50 0001 C CNN +F 4 "C1812C222KGRACTU" H 14850 7950 60 0001 C CNN "MPN" +F 5 "Murata" H 14850 7950 60 0001 C CNN "Kemet" +F 6 "Kemet" H 0 0 50 0001 C CNN "MFR" +F 7 "399-7120-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 14850 7950 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR032 +U 1 1 5939CAA3 +P 14850 8100 +F 0 "#PWR032" H 14850 7850 50 0001 C CNN +F 1 "GND" H 14850 7950 50 0000 C CNN +F 2 "" H 14850 8100 50 0000 C CNN +F 3 "" H 14850 8100 50 0000 C CNN + 1 14850 8100 + 1 0 0 -1 +$EndComp +$Comp +L TM4C1294KCPDT U500 +U 1 1 593CBD05 +P 21250 6600 +F 0 "U500" H 19350 8850 60 0000 L CNN +F 1 "TM4C1294KCPDT" H 20875 8850 60 0000 R CNN +F 2 "proj_footprints:TQFP-128" H 21225 6500 60 0001 C CNN +F 3 "" H 21225 6500 60 0001 C CNN +F 4 "Texas Instruments" H 0 0 50 0001 C CNN "MFR" +F 5 "TM4C1294KCPDTI3R" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "296-43659-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 21250 6600 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R505 +U 1 1 594892A3 +P 17950 11300 +F 0 "R505" V 18050 11450 50 0000 C CNN +F 1 "4.87K/1%" V 18050 11150 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 17950 11300 50 0001 C CNN +F 3 "" H 17950 11300 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-074K87L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-4.87KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 17950 11300 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR033 +U 1 1 5948BCE3 +P 19100 12850 +F 0 "#PWR033" H 19100 12600 50 0001 C CNN +F 1 "GND" H 19100 12700 50 0000 C CNN +F 2 "" H 19100 12850 50 0000 C CNN +F 3 "" H 19100 12850 50 0000 C CNN + 1 19100 12850 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR034 +U 1 1 5948E786 +P 17600 11450 +F 0 "#PWR034" H 17600 11200 50 0001 C CNN +F 1 "GND" H 17600 11300 50 0000 C CNN +F 2 "" H 17600 11450 50 0000 C CNN +F 3 "" H 17600 11450 50 0000 C CNN + 1 17600 11450 + 1 0 0 -1 +$EndComp +$Comp +L HX1198FNL T500 +U 1 1 594A443F +P 15200 13350 +F 0 "T500" H 14700 14300 50 0000 L CNN +F 1 "HX1198FNL" H 15700 14300 50 0000 R CNN +F 2 "proj_footprints:H2019FNL" H 14922 13151 50 0001 C CIN +F 3 "" H 14923 13801 50 0001 C CNN +F 4 "Pulse Electronics Corporation" H 0 0 50 0001 C CNN "MFR" +F 5 "HX1198FNL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "553-2201-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15200 13350 + 1 0 0 -1 +$EndComp +$Comp +L RJ45_NO_SHIELD P4 +U 1 1 594A5C40 +P 17050 13500 +F 0 "P4" V 16600 13200 50 0000 L CNN +F 1 "RJ45_NO_SHIELD" V 17500 13200 50 0000 L CNN +F 2 "proj_footprints:FCI_54601-908WPLF" H 17050 13500 50 0001 C CNN +F 3 "" H 17050 13500 50 0001 C CNN +F 4 "Amphenol FCI" H 0 0 50 0001 C CNN "MFR" +F 5 "54601-908WPLF" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "609-5081-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 17050 13500 + 0 1 1 0 +$EndComp +$Comp +L Crystal_4_Pin_1_3_Active Y500 +U 1 1 594A96CC +P 20150 13700 +F 0 "Y500" H 20150 13850 50 0000 C CNN +F 1 "25MHz" H 20150 13500 50 0000 C CNN +F 2 "proj_footprints:XTAL3_2X2_5" H 20150 13700 50 0001 C CNN +F 3 "" H 20150 13700 50 0001 C CNN +F 4 "Abracon LLC" H 20150 13700 60 0001 C CNN "MFR" +F 5 "ABM8G-25.000MHZ-18-D2Y-T" H 20150 13700 60 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "535-10275-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 20150 13700 + 1 0 0 -1 +$EndComp +$Comp +L CONN_02X05 J5 +U 1 1 594A9E7A +P 6150 14100 +F 0 "J5" H 6150 14400 50 0000 C CNN +F 1 "JTAG_ARM_10P" H 6150 13800 50 0000 C CNN +F 2 "proj_footprints:Pin_Header_Straight_2x05_Pitch1.27mm" H 6150 12900 50 0001 C CNN +F 3 "" H 6150 12900 50 0001 C CNN +F 4 "Sullins Connector Solutions" H 6150 14100 60 0001 C CNN "MFR" +F 5 "GRPB052VWVN-RC" H 6150 14100 60 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "S9015E-05-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 6150 14100 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R507 +U 1 1 594B7375 +P 19550 13700 +F 0 "R507" V 19650 13850 50 0000 C CNN +F 1 "2K" V 19650 13550 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 19550 13700 50 0001 C CNN +F 3 "" H 19550 13700 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-072KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-2.00KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 19550 13700 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C512 +U 1 1 594B8F3A +P 19800 14100 +F 0 "C512" H 19900 14150 50 0000 L CNN +F 1 "12pF" H 19900 14050 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 19800 14100 50 0001 C CNN +F 3 "" H 19800 14100 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM0335C1E120JA01D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-3144-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 19800 14100 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C513 +U 1 1 594BAF9F +P 20500 14100 +F 0 "C513" H 20600 14150 50 0000 L CNN +F 1 "12pF" H 20600 14050 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 20500 14100 50 0001 C CNN +F 3 "" H 20500 14100 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM0335C1E120JA01D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-3144-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 20500 14100 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C504 +U 1 1 594BD856 +P 21350 11200 +F 0 "C504" H 21450 11250 50 0000 L CNN +F 1 "0.1uF" H 21450 11150 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 21350 11200 50 0001 C CNN +F 3 "" H 21350 11200 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 21350 11200 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C509 +U 1 1 594C0D92 +P 21350 12600 +F 0 "C509" H 21450 12650 50 0000 L CNN +F 1 "0.1uF" H 21450 12550 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 21350 12600 50 0001 C CNN +F 3 "" H 21350 12600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 21350 12600 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C510 +U 1 1 594C2E03 +P 21850 12600 +F 0 "C510" H 21950 12650 50 0000 L CNN +F 1 "0.1uF" H 21950 12550 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 21850 12600 50 0001 C CNN +F 3 "" H 21850 12600 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 21850 12600 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C511 +U 1 1 594CA7C6 +P 22350 12600 +F 0 "C511" H 22450 12650 50 0000 L CNN +F 1 "10uF" H 22450 12550 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 22350 12600 50 0001 C CNN +F 3 "" H 22350 12600 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 22350 12600 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR035 +U 1 1 594CC227 +P 21350 12850 +F 0 "#PWR035" H 21350 12600 50 0001 C CNN +F 1 "GND" H 21350 12700 50 0000 C CNN +F 2 "" H 21350 12850 50 0000 C CNN +F 3 "" H 21350 12850 50 0000 C CNN + 1 21350 12850 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C505 +U 1 1 594CE190 +P 21850 11200 +F 0 "C505" H 21950 11250 50 0000 L CNN +F 1 "0.1uF" H 21950 11150 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 21850 11200 50 0001 C CNN +F 3 "" H 21850 11200 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 21850 11200 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C506 +U 1 1 594CE458 +P 22350 11200 +F 0 "C506" H 22450 11250 50 0000 L CNN +F 1 "0.1uF" H 22450 11150 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 22350 11200 50 0001 C CNN +F 3 "" H 22350 11200 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 22350 11200 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C507 +U 1 1 594CE7D4 +P 21350 11900 +F 0 "C507" H 21450 11950 50 0000 L CNN +F 1 "0.1uF" H 21450 11850 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 21350 11900 50 0001 C CNN +F 3 "" H 21350 11900 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 21350 11900 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C508 +U 1 1 594CEA88 +P 21850 11900 +F 0 "C508" H 21950 11950 50 0000 L CNN +F 1 "0.1uF" H 21950 11850 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 21850 11900 50 0001 C CNN +F 3 "" H 21850 11900 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 21850 11900 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR036 +U 1 1 594D2DF0 +P 21350 12150 +F 0 "#PWR036" H 21350 11900 50 0001 C CNN +F 1 "GND" H 21350 12000 50 0000 C CNN +F 2 "" H 21350 12150 50 0000 C CNN +F 3 "" H 21350 12150 50 0000 C CNN + 1 21350 12150 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR037 +U 1 1 594D4C4D +P 20150 14350 +F 0 "#PWR037" H 20150 14100 50 0001 C CNN +F 1 "GND" H 20150 14200 50 0000 C CNN +F 2 "" H 20150 14350 50 0000 C CNN +F 3 "" H 20150 14350 50 0000 C CNN + 1 20150 14350 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C503 +U 1 1 594D5D15 +P 22350 10550 +F 0 "C503" H 22450 10600 50 0000 L CNN +F 1 "0.1uF" H 22450 10500 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 22350 10550 50 0001 C CNN +F 3 "" H 22350 10550 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 22350 10550 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR038 +U 1 1 594D5FC5 +P 22350 10700 +F 0 "#PWR038" H 22350 10450 50 0001 C CNN +F 1 "GND" H 22350 10550 50 0000 C CNN +F 2 "" H 22350 10700 50 0000 C CNN +F 3 "" H 22350 10700 50 0000 C CNN + 1 22350 10700 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R506 +U 1 1 594D9273 +P 21850 10550 +F 0 "R506" H 21900 10600 50 0000 L CNN +F 1 "51R" H 21900 10500 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 21850 10550 50 0001 C CNN +F 3 "" H 21850 10550 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0751RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-51.0HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 21850 10550 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C502 +U 1 1 594DDB1F +P 17600 10550 +F 0 "C502" H 17700 10600 50 0000 L CNN +F 1 "0.1uF" H 17700 10500 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 17600 10550 50 0001 C CNN +F 3 "" H 17600 10550 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 17600 10550 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR039 +U 1 1 594DFF3B +P 17600 10700 +F 0 "#PWR039" H 17600 10450 50 0001 C CNN +F 1 "GND" H 17600 10550 50 0000 C CNN +F 2 "" H 17600 10700 50 0000 C CNN +F 3 "" H 17600 10700 50 0000 C CNN + 1 17600 10700 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R503 +U 1 1 594E1103 +P 17600 10250 +F 0 "R503" H 17650 10300 50 0000 L CNN +F 1 "10K" H 17650 10200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 17600 10250 50 0001 C CNN +F 3 "" H 17600 10250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 17600 10250 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R522 +U 1 1 594E6FD4 +P 7300 13650 +F 0 "R522" H 7350 13750 50 0000 L CNN +F 1 "10K" H 7350 13650 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 7300 13650 50 0001 C CNN +F 3 "" H 7300 13650 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 7300 13650 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R519 +U 1 1 594E8436 +P 6550 13650 +F 0 "R519" H 6600 13750 50 0000 L CNN +F 1 "10K" H 6600 13650 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 6550 13650 50 0001 C CNN +F 3 "" H 6550 13650 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 6550 13650 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R520 +U 1 1 594E8A5B +P 6800 13650 +F 0 "R520" H 6850 13750 50 0000 L CNN +F 1 "10K" H 6850 13650 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 6800 13650 50 0001 C CNN +F 3 "" H 6800 13650 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 6800 13650 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R521 +U 1 1 594E8D13 +P 7050 13650 +F 0 "R521" H 7100 13750 50 0000 L CNN +F 1 "10K" H 7100 13650 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 7050 13650 50 0001 C CNN +F 3 "" H 7050 13650 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0710KL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10.0KHRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 7050 13650 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R504 +U 1 1 594EBC7E +P 18600 10250 +F 0 "R504" V 18700 10400 50 0000 C CNN +F 1 "100R" V 18700 10100 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 18600 10250 50 0001 C CNN +F 3 "" H 18600 10250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603JR-07100RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-100GRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 18600 10250 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR040 +U 1 1 594F4C01 +P 5800 14650 +F 0 "#PWR040" H 5800 14400 50 0001 C CNN +F 1 "GND" H 5800 14500 50 0000 C CNN +F 2 "" H 5800 14650 50 0000 C CNN +F 3 "" H 5800 14650 50 0000 C CNN + 1 5800 14650 + 1 0 0 -1 +$EndComp +$Comp +L SRV05-4 D504 +U 1 1 5950A59C +P 13500 13700 +F 0 "D504" H 13900 13800 60 0000 R CNN +F 1 "SRV05-4" H 13100 13800 60 0000 L CNN +F 2 "proj_footprints:SOT-23-6" H 13500 13700 60 0001 C CNN +F 3 "" H 13500 13700 60 0001 C CNN +F 4 "SMC Diode Solutions" H 0 0 50 0001 C CNN "MFR" +F 5 "SRV05-4ATR" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "1655-1653-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13500 13700 + -1 0 0 -1 +$EndComp +$Comp +L C_Small C514 +U 1 1 5950CEC7 +P 14500 14400 +F 0 "C514" H 14600 14450 50 0000 L CNN +F 1 "0.1uF" H 14600 14350 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 14500 14400 50 0001 C CNN +F 3 "" H 14500 14400 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14500 14400 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R514 +U 1 1 5950F7A1 +P 14400 12250 +F 0 "R514" H 14450 12300 50 0000 L CNN +F 1 "49.9R" H 14450 12200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 14400 12250 50 0001 C CNN +F 3 "" H 14400 12250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0749R9L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-49.9HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14400 12250 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R513 +U 1 1 59511CB3 +P 14000 12250 +F 0 "R513" H 14050 12300 50 0000 L CNN +F 1 "49.9R" H 14050 12200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 14000 12250 50 0001 C CNN +F 3 "" H 14000 12250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0749R9L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-49.9HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14000 12250 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R512 +U 1 1 59511F7B +P 13650 12250 +F 0 "R512" H 13700 12300 50 0000 L CNN +F 1 "49.9R" H 13700 12200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 13650 12250 50 0001 C CNN +F 3 "" H 13650 12250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0749R9L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-49.9HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13650 12250 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R511 +U 1 1 59512248 +P 13300 12250 +F 0 "R511" H 13350 12300 50 0000 L CNN +F 1 "49.9R" H 13350 12200 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 13300 12250 50 0001 C CNN +F 3 "" H 13300 12250 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-0749R9L" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-49.9HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13300 12250 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR041 +U 1 1 59525873 +P 14500 14550 +F 0 "#PWR041" H 14500 14300 50 0001 C CNN +F 1 "GND" H 14500 14400 50 0000 C CNN +F 2 "" H 14500 14550 50 0000 C CNN +F 3 "" H 14500 14550 50 0000 C CNN + 1 14500 14550 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R518 +U 1 1 5952D85A +P 16650 14400 +F 0 "R518" H 16700 14500 50 0000 L CNN +F 1 "75R" H 16700 14400 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 16650 14400 50 0001 C CNN +F 3 "" H 16650 14400 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "311-75.0HRCT-ND" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "RC0603FR-0775RL" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 16650 14400 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R517 +U 1 1 595300E1 +P 16400 14400 +F 0 "R517" H 16450 14500 50 0000 L CNN +F 1 "75R" H 16450 14400 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 16400 14400 50 0001 C CNN +F 3 "" H 16400 14400 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "311-75.0HRCT-ND" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "RC0603FR-0775RL" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 16400 14400 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R516 +U 1 1 59531346 +P 16150 14400 +F 0 "R516" H 16200 14500 50 0000 L CNN +F 1 "75R" H 16200 14400 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 16150 14400 50 0001 C CNN +F 3 "" H 16150 14400 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "311-75.0HRCT-ND" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "RC0603FR-0775RL" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 16150 14400 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R515 +U 1 1 59531B91 +P 15900 14400 +F 0 "R515" H 15950 14500 50 0000 L CNN +F 1 "75R" H 15950 14400 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 15900 14400 50 0001 C CNN +F 3 "" H 15900 14400 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "311-75.0HRCT-ND" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "RC0603FR-0775RL" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15900 14400 + 1 0 0 -1 +$EndComp +$Comp +L 3mm_Mounting_Hole_SH H400 +U 1 1 595424FF +P 18150 14550 +F 0 "H400" H 18000 14650 60 0000 C CNN +F 1 "3mm_Mounting_Hole_EARTH" H 18150 14400 60 0000 C CNN +F 2 "proj_footprints:3mm_EARTH" H 18050 14550 60 0001 C CNN +F 3 "" H 18050 14550 60 0001 C CNN +F 4 "" H 0 0 50 0001 C CNN "MFR" +F 5 "" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 18150 14550 + 1 0 0 -1 +$EndComp +$Comp +L 3mm_Mounting_Hole H401 +U 1 1 59545BD2 +P 13350 15100 +F 0 "H401" H 13150 15200 60 0000 C CNN +F 1 "3mm_Mounting_Hole" H 13350 14950 60 0000 C CNN +F 2 "proj_footprints:NPTH_3mm_ID" H 13250 15100 60 0001 C CNN +F 3 "" H 13250 15100 60 0001 C CNN +F 4 "" H 0 0 50 0001 C CNN "MFR" +F 5 "" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 13350 15100 + 1 0 0 -1 +$EndComp +$Comp +L 3mm_Mounting_Hole H402 +U 1 1 59545EE0 +P 14450 15100 +F 0 "H402" H 14250 15200 60 0000 C CNN +F 1 "3mm_Mounting_Hole" H 14450 14950 60 0000 C CNN +F 2 "proj_footprints:NPTH_3mm_ID" H 14350 15100 60 0001 C CNN +F 3 "" H 14350 15100 60 0001 C CNN +F 4 "" H 0 0 50 0001 C CNN "MFR" +F 5 "" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 14450 15100 + 1 0 0 -1 +$EndComp +$Comp +L 3mm_Mounting_Hole H403 +U 1 1 595461E6 +P 15550 15100 +F 0 "H403" H 15350 15200 60 0000 C CNN +F 1 "3mm_Mounting_Hole" H 15550 14950 60 0000 C CNN +F 2 "proj_footprints:NPTH_3mm_ID" H 15450 15100 60 0001 C CNN +F 3 "" H 15450 15100 60 0001 C CNN +F 4 "" H 0 0 50 0001 C CNN "MFR" +F 5 "" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 15550 15100 + 1 0 0 -1 +$EndComp +$Comp +L SW_PBTN_2PIN_V S1 +U 1 1 5954E4BA +P 22000 8350 +F 0 "S1" H 22000 8550 50 0000 C CNN +F 1 "SW_PBTN_2PIN_V" H 22000 8450 50 0000 C CNN +F 2 "proj_footprints:SW_Tactile_SKHH_Angled_v" H 22000 8550 50 0001 C CNN +F 3 "" H 22000 8550 50 0001 C CNN +F 4 "1825027-8" H 22000 8350 60 0001 C CNN "MPN" +F 5 "TE Connectivity " H 22000 8350 60 0001 C CNN "MFG" +F 6 "450-1657-ND" H 22000 8350 60 0001 C CNN "SPN" +F 7 "TE Connectivity ALCOSWITCH Switches" H 0 0 50 0001 C CNN "MFR" + 1 22000 8350 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR042 +U 1 1 5955356C +P 22350 8600 +F 0 "#PWR042" H 22350 8350 50 0001 C CNN +F 1 "GND" H 22350 8450 50 0000 C CNN +F 2 "" H 22350 8600 50 0000 C CNN +F 3 "" H 22350 8600 50 0000 C CNN + 1 22350 8600 + 1 0 0 -1 +$EndComp +$Comp +L Led_Small_th1 D501 +U 1 1 5955AA6D +P 22050 13700 +F 0 "D501" H 22000 13825 50 0000 L CNN +F 1 "LTL-533-11" H 21875 13600 50 0000 L CNN +F 2 "LEDs:LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm" H 22050 13700 50 0001 C CNN +F 3 "" V 22050 13700 50 0001 C CNN +F 4 "Lite-On Inc." H 0 0 50 0001 C CNN "MFR" +F 5 "LTL-533-11" H 0 0 50 0001 C CNN "MPN" +F 6 "160-1977-ND" H 0 0 50 0001 C CNN "SPN" + 1 22050 13700 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R508 +U 1 1 59560FEE +P 21650 13700 +F 0 "R508" V 21850 13700 50 0000 C CNN +F 1 "240R" V 21750 13700 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 21650 13700 50 0001 C CNN +F 3 "" H 21650 13700 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 21650 13700 + 0 -1 -1 0 +$EndComp +$Comp +L Led_Small_th1 D400 +U 1 1 59565125 +P 5300 10200 +F 0 "D400" V 5350 10100 50 0000 R CNN +F 1 "LTL-533-11" V 5250 10100 50 0000 R CNN +F 2 "LEDs:LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm" H 5300 10200 50 0001 C CNN +F 3 "" V 5300 10200 50 0001 C CNN +F 4 "Lite-On Inc." V 5450 10200 50 0001 C CNN "MFR" +F 5 "LTL-533-11" V 5550 10300 50 0001 C CNN "MPN" +F 6 "160-1977-ND" V 5750 10500 50 0001 C CNN "SPN" + 1 5300 10200 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R403 +U 1 1 59569728 +P 5300 10500 +F 0 "R403" H 5350 10550 50 0000 L CNN +F 1 "240R" H 5350 10450 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 5300 10500 50 0001 C CNN +F 3 "" H 5300 10500 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 5300 10500 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR043 +U 1 1 5956B7C6 +P 5300 10650 +F 0 "#PWR043" H 5300 10400 50 0001 C CNN +F 1 "GND" H 5300 10500 50 0000 C CNN +F 2 "" H 5300 10650 50 0000 C CNN +F 3 "" H 5300 10650 50 0000 C CNN + 1 5300 10650 + -1 0 0 -1 +$EndComp +$Comp +L R_Small R402 +U 1 1 59582703 +P 5300 9150 +F 0 "R402" H 5350 9200 50 0000 L CNN +F 1 "10R" H 5350 9100 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 5300 9150 50 0001 C CNN +F 3 "" H 5300 9150 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603JR-0710RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-10GRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 5300 9150 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C407 +U 1 1 595830A8 +P 5300 9450 +F 0 "C407" H 5400 9500 50 0000 L CNN +F 1 "10uF" H 5400 9400 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 5300 9450 50 0001 C CNN +F 3 "" H 5300 9450 50 0001 C CNN +F 4 "Murata" H 0 0 50 0001 C CNN "MFR" +F 5 "GRM188R60J106KE47D" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "490-12538-1-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 5300 9450 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR044 +U 1 1 595833B6 +P 5300 9600 +F 0 "#PWR044" H 5300 9350 50 0001 C CNN +F 1 "GND" H 5300 9450 50 0000 C CNN +F 2 "" H 5300 9600 50 0000 C CNN +F 3 "" H 5300 9600 50 0000 C CNN + 1 5300 9600 + -1 0 0 -1 +$EndComp +$Comp +L Led_Small_th1 D502 +U 1 1 59597D9F +P 22050 14050 +F 0 "D502" H 22000 14175 50 0000 L CNN +F 1 "LTL-523-11" H 21875 13950 50 0000 L CNN +F 2 "LEDs:LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm" H 22050 14050 50 0001 C CNN +F 3 "" V 22050 14050 50 0001 C CNN +F 4 "Lite-On Inc." H 22100 14275 50 0001 C CNN "MFR" +F 5 "LTL-523-11" H 22200 14375 50 0001 C CNN "MPN" +F 6 "160-1976-ND" H 22400 14575 50 0001 C CNN "SPN" + 1 22050 14050 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R509 +U 1 1 59597DA5 +P 21650 14050 +F 0 "R509" V 21850 14050 50 0000 C CNN +F 1 "240R" V 21750 14050 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 21650 14050 50 0001 C CNN +F 3 "" H 21650 14050 50 0001 C CNN +F 4 "Yageo" H 0 0 50 0001 C CNN "MFR" +F 5 "RC0603FR-07240RL" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "311-240HRCT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 21650 14050 + 0 -1 -1 0 +$EndComp +$Comp +L AMS1117 U404 +U 1 1 59667234 +P 4250 10000 +F 0 "U404" H 4050 10350 50 0000 L CNN +F 1 "AMS1117-3.3" H 4050 10250 50 0000 L CNN +F 2 "proj_footprints:SOT-223" H 4250 10000 50 0001 C CNN +F 3 "" H 4250 10000 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "AZ1117IH-3.3TRG1" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "AZ1117IH-3.3TRG1DICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 4250 10000 + 1 0 0 -1 +$EndComp +$Comp +L 74HC00 U502 +U 3 1 59697D67 +P 21400 3650 +F 0 "U502" H 21400 3700 50 0000 C CNN +F 1 "74HC00" H 21400 3550 50 0000 C CNN +F 2 "SMD_Packages:SOIC-14_N" H 21400 3650 50 0001 C CNN +F 3 "" H 21400 3650 50 0001 C CNN +F 4 "Diodes Incorporated" H 0 0 50 0001 C CNN "MFR" +F 5 "74HC00S14-13" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "74HC00S14-13DICT-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 3 21400 3650 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR045 +U 1 1 5969A3D1 +P 20750 3850 +F 0 "#PWR045" H 20750 3600 50 0001 C CNN +F 1 "GND" H 20750 3700 50 0000 C CNN +F 2 "" H 20750 3850 50 0000 C CNN +F 3 "" H 20750 3850 50 0000 C CNN + 1 20750 3850 + 1 0 0 -1 +$EndComp +$Comp +L CONN_01X06 J6 +U 1 1 58FEC002 +P 9100 14200 +F 0 "J6" H 9100 14550 50 0000 C CNN +F 1 "TTL-232R-3V3" V 9200 14200 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x06_Pitch2.54mm" H 9100 14200 50 0001 C CNN +F 3 "" H 9100 14200 50 0001 C CNN +F 4 "Sullins Connector Solutions" H 0 0 50 0001 C CNN "MFR" +F 5 "SWR25X-NRTC-S06-ST-BA" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "S9414-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9100 14200 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR046 +U 1 1 58FF10DF +P 9400 14600 +F 0 "#PWR046" H 9400 14350 50 0001 C CNN +F 1 "GND" H 9400 14450 50 0000 C CNN +F 2 "" H 9400 14600 50 0000 C CNN +F 3 "" H 9400 14600 50 0000 C CNN + 1 9400 14600 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R201 +U 1 1 590C53B6 +P 10850 2300 +F 0 "R201" V 11050 2300 50 0000 C CNN +F 1 "15M/1%/RNV" V 10950 2300 50 0000 C CNN +F 2 "Resistors_ThroughHole:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" H 10850 2300 50 0001 C CNN +F 3 "" H 10850 2300 50 0001 C CNN +F 4 "RNV14FAL15M0" V 10850 2300 60 0001 C CNN "MPN" +F 5 "Stackpole Electronics Inc." V 10850 2300 60 0001 C CNN "MFG" +F 6 "RNV14FAL15M0CT-ND" V 10850 2300 60 0001 C CNN "SPN" +F 7 "Stackpole Electronics Inc." H 0 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 10850 2300 + 0 -1 -1 0 +$EndComp +$Comp +L CP1_Small C308 +U 1 1 590D5DD5 +P 13700 9050 +F 0 "C308" H 13600 9100 50 0000 R CNN +F 1 "10uF/450V" H 13600 9000 50 0000 R CNN +F 2 "Capacitors_THT:C_Radial_D14_L25_P5" H 13700 9050 50 0001 C CNN +F 3 "" H 13700 9050 50 0001 C CNN +F 4 "UVR2W100MHD1TO" H 13700 9050 60 0001 C CNN "MPN" +F 5 "Nichicon" H 13700 9050 60 0001 C CNN "MFG" +F 6 "493-12876-1-ND" H 13700 9050 60 0001 C CNN "SPN" +F 7 "Nichicon" H 0 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 13700 9050 + 1 0 0 -1 +$EndComp +$Comp +L CP1_Small C350 +U 1 1 590DD1FE +P 7100 11150 +F 0 "C350" H 7200 11200 50 0000 L CNN +F 1 "470uF/16V" H 7200 11100 50 0000 L CNN +F 2 "Capacitors_THT:C_Radial_D13_L21_P5" H 7100 11150 50 0001 C CNN +F 3 "" H 7100 11150 50 0001 C CNN +F 4 "ECA-1CM471" H 7100 11150 60 0001 C CNN "MPN" +F 5 "Panasonic Electronic Components" H 7100 11150 60 0001 C CNN "MFG" +F 6 "P5141-ND" H 7100 11150 60 0001 C CNN "SPN" +F 7 "Panasonic Electronic Components" H -2100 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 7100 11150 + 1 0 0 -1 +$EndComp +$Comp +L CP1_Small C301 +U 1 1 590E24D3 +P 7650 8450 +F 0 "C301" H 7750 8500 50 0000 L CNN +F 1 "470uF/16V" H 7750 8400 50 0000 L CNN +F 2 "Capacitors_THT:C_Radial_D13_L21_P5" H 7650 8450 50 0001 C CNN +F 3 "" H 7650 8450 50 0001 C CNN +F 4 "ECA-1CM471" H 7650 8450 60 0001 C CNN "MPN" +F 5 "Panasonic Electronic Components" H 7650 8450 60 0001 C CNN "MFG" +F 6 "P5141-ND" H 7650 8450 60 0001 C CNN "SPN" +F 7 "Panasonic Electronic Components" H -1600 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 7650 8450 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C515 +U 1 1 5953CA9A +P 17100 14550 +F 0 "C515" V 17350 14650 50 0000 R CNN +F 1 "2200pF/2kV/1812" V 17250 14650 50 0000 R CNN +F 2 "Capacitors_SMD:C_1812" H 17100 14550 50 0001 C CNN +F 3 "" H 17100 14550 50 0001 C CNN +F 4 "C1812C222KGRACTU" V 17100 14550 60 0001 C CNN "MPN" +F 5 "Kemet" V 17100 14550 60 0001 C CNN "MFG" +F 6 "Kemet" H 0 0 50 0001 C CNN "MFR" +F 7 "" H 0 0 50 0001 C CNN "SPR" +F 8 "399-7120-1-ND" H 0 0 50 0001 C CNN "SPN" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 17100 14550 + 0 -1 -1 0 +$EndComp +$Comp +L CP1_Small C356 +U 1 1 58FB7511 +P 13650 11150 +F 0 "C356" H 13750 11200 50 0000 L CNN +F 1 "470uF/16V" H 13750 11100 50 0000 L CNN +F 2 "Capacitors_THT:C_Radial_D13_L21_P5" H 13650 11150 50 0001 C CNN +F 3 "" H 13650 11150 50 0001 C CNN +F 4 "ECA-1CM471" H 13650 11150 60 0001 C CNN "MPN" +F 5 "Panasonic Electronic Components" H 13650 11150 60 0001 C CNN "MFG" +F 6 "P5141-ND" H 13650 11150 60 0001 C CNN "SPN" +F 7 "Panasonic Electronic Components" H 0 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 13650 11150 + 1 0 0 -1 +$EndComp +$Comp +L CONN_01X05 J7 +U 1 1 58FF089B +P 10700 14250 +F 0 "J7" H 10700 14550 50 0000 C CNN +F 1 "CONN_01X05" V 10800 14250 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x05_Pitch2.54mm" H 10700 14250 50 0001 C CNN +F 3 "" H 10700 14250 50 0001 C CNN +F 4 "Sullins Connector Solutions" H 0 0 50 0001 C CNN "MFR" +F 5 "SWR25X-NRTC-S05-ST-BA" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "S9413-ND" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 10700 14250 + -1 0 0 -1 +$EndComp +$Comp +L R_Small R238 +U 1 1 58FA6505 +P 12750 2650 +F 0 "R238" H 12800 2700 50 0000 L CNN +F 1 "7.5K/1%" H 12800 2600 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 12750 2650 50 0001 C CNN +F 3 "" H 12750 2650 50 0001 C CNN +F 4 "Yageo" H -1050 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-077K5L" H -1050 400 50 0001 C CNN "MPN" +F 6 "" H -1050 400 50 0001 C CNN "SPR" +F 7 "311-7.50KHRCT-ND" H -1050 400 50 0001 C CNN "SPN" +F 8 "" H -1050 400 50 0001 C CNN "SPURL" + 1 12750 2650 + -1 0 0 -1 +$EndComp +$Comp +L R_Small R239 +U 1 1 58FA6838 +P 12850 2650 +F 0 "R239" H 12900 2700 50 0000 L CNN +F 1 "7.5K/1%" H 12900 2600 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 12850 2650 50 0001 C CNN +F 3 "" H 12850 2650 50 0001 C CNN +F 4 "Yageo" H -950 400 50 0001 C CNN "MFR" +F 5 "RC0603FR-077K5L" H -950 400 50 0001 C CNN "MPN" +F 6 "" H -950 400 50 0001 C CNN "SPR" +F 7 "311-7.50KHRCT-ND" H -950 400 50 0001 C CNN "SPN" +F 8 "" H -950 400 50 0001 C CNN "SPURL" + 1 12850 2650 + 1 0 0 -1 +$EndComp +$Comp +L BAS116 D210 +U 1 1 58FA7E23 +P 12200 2950 +F 0 "D210" H 12200 3150 50 0000 C CNN +F 1 "BAS16" H 12200 3050 50 0000 C CNN +F 2 "proj_footprints:SOT-23" H 12200 2950 50 0001 C CNN +F 3 "" V 12200 2950 50 0001 C CNN +F 4 "ON Semiconductor" H -2250 350 50 0001 C CNN "MFR" +F 5 "BAS16LT3G" H -2250 350 50 0001 C CNN "MPN" +F 6 "" H -2250 350 50 0001 C CNN "SPR" +F 7 "BAS16LT3GOSCT-ND" H -2250 350 50 0001 C CNN "SPN" +F 8 "" H -2250 350 50 0001 C CNN "SPURL" + 1 12200 2950 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R100 +U 1 1 58FB0A9B +P 2100 5850 +F 0 "R100" V 2300 5850 50 0000 C CNN +F 1 "100K/1206" V 2200 5850 50 0000 C CNN +F 2 "proj_footprints:R_1206_ns" H 2100 5850 50 0001 C CNN +F 3 "" H 2100 5850 50 0001 C CNN +F 4 "Yageo" H -800 600 50 0001 C CNN "MFR" +F 5 "RC1206JR-07100KL" H -800 600 50 0001 C CNN "MPN" +F 6 "311-100KERCT-ND" H -800 600 50 0001 C CNN "SPN" + 1 2100 5850 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C103 +U 1 1 58FC640C +P 3600 5150 +F 0 "C103" H 3700 5200 50 0000 L CNN +F 1 "0.1uF" H 3700 5100 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3600 5150 50 0001 C CNN +F 3 "" H 3600 5150 50 0001 C CNN +F 4 "Yageo" H -200 -950 50 0001 C CNN "MFR" +F 5 "CC0603KRX7R7BB104" H -200 -950 50 0001 C CNN "MPN" +F 6 "" H -200 -950 50 0001 C CNN "SPR" +F 7 "311-1088-1-ND" H -200 -950 50 0001 C CNN "SPN" +F 8 "" H -200 -950 50 0001 C CNN "SPURL" + 1 3600 5150 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR047 +U 1 1 58FC7513 +P 3600 5300 +F 0 "#PWR047" H 3600 5050 50 0001 C CNN +F 1 "GND" H 3600 5150 50 0000 C CNN +F 2 "" H 3600 5300 50 0000 C CNN +F 3 "" H 3600 5300 50 0000 C CNN + 1 3600 5300 + 1 0 0 -1 +$EndComp +$Comp +L R_Small R108 +U 1 1 58FC80F2 +P 3750 5000 +F 0 "R108" V 3850 5150 50 0000 C CNN +F 1 "33R" V 3850 4850 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" H 3750 5000 50 0001 C CNN +F 3 "" H 3750 5000 50 0001 C CNN +F 4 "Yageo" H -300 -900 50 0001 C CNN "MFR" +F 5 "RC0603FR-0733RL" H -300 -900 50 0001 C CNN "MPN" +F 6 "" H -300 -900 50 0001 C CNN "SPR" +F 7 "311-33.0HRCT-ND" H -300 -900 50 0001 C CNN "SPN" +F 8 "" H -300 -900 50 0001 C CNN "SPURL" + 1 3750 5000 + 0 -1 -1 0 +$EndComp +$Comp +L C_Small C101 +U 1 1 58FCE288 +P 3600 4700 +F 0 "C101" V 3850 4700 50 0000 C CNN +F 1 "DNP" V 3750 4700 50 0000 C CNN +F 2 "Capacitors_SMD:C_0603" H 3600 4700 50 0001 C CNN +F 3 "" H 3600 4700 50 0001 C CNN +F 4 "" H 0 0 50 0001 C CNN "MFR" +F 5 "" H 0 0 50 0001 C CNN "MPN" +F 6 "" H 0 0 50 0001 C CNN "SPR" +F 7 "" H 0 0 50 0001 C CNN "SPN" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 3600 4700 + 0 -1 -1 0 +$EndComp +$Comp +L R_Small R107 +U 1 1 58FCEDCD +P 3150 5000 +F 0 "R107" H 3200 5050 50 0000 L CNN +F 1 "DNP" H 3200 4950 50 0000 L CNN +F 2 "Resistors_SMD:R_0603" H 3150 5000 50 0001 C CNN +F 3 "" H 3150 5000 50 0001 C CNN +F 4 "Yageo" H 250 -1050 50 0001 C CNN "MFR" +F 5 "RC0603FR-071KL" H 250 -1050 50 0001 C CNN "MPN" +F 6 "" H 250 -1050 50 0001 C CNN "SPR" +F 7 "311-1.00KHRCT-ND" H 250 -1050 50 0001 C CNN "SPN" +F 8 "" H 250 -1050 50 0001 C CNN "SPURL" + 1 3150 5000 + -1 0 0 -1 +$EndComp +$Comp +L GND #PWR048 +U 1 1 58FD0D3C +P 3150 5300 +F 0 "#PWR048" H 3150 5050 50 0001 C CNN +F 1 "GND" H 3150 5150 50 0000 C CNN +F 2 "" H 3150 5300 50 0000 C CNN +F 3 "" H 3150 5300 50 0000 C CNN + 1 3150 5300 + 1 0 0 -1 +$EndComp +$Comp +L CP1_Small C300 +U 1 1 58FECAC7 +P 7000 8450 +F 0 "C300" H 7100 8500 50 0000 L CNN +F 1 "470uF/16V" H 7100 8400 50 0000 L CNN +F 2 "Capacitors_THT:C_Radial_D13_L21_P5" H 7000 8450 50 0001 C CNN +F 3 "" H 7000 8450 50 0001 C CNN +F 4 "ECA-1CM471" H 7000 8450 60 0001 C CNN "MPN" +F 5 "Panasonic Electronic Components" H 7000 8450 60 0001 C CNN "MFG" +F 6 "P5141-ND" H 7000 8450 60 0001 C CNN "SPN" +F 7 "Panasonic Electronic Components" H -2250 0 50 0001 C CNN "MFR" +F 8 "" H 0 0 50 0001 C CNN "SPR" +F 9 "" H 0 0 50 0001 C CNN "SPURL" + 1 7000 8450 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C302 +U 1 1 58FF4412 +P 8250 8450 +F 0 "C302" H 8350 8500 50 0000 L CNN +F 1 "10uF/25V/1206" H 8350 8400 50 0000 L CNN +F 2 "Capacitors_SMD:C_1206" H 8250 8450 50 0001 C CNN +F 3 "" H 8250 8450 50 0001 C CNN +F 4 "Samsung Electro-Mechanics America, Inc." H -2850 0 50 0001 C CNN "MFR" +F 5 "CL31A106KAHNNNE" H -2850 0 50 0001 C CNN "MPN" +F 6 "1276-1075-1-ND" H -2850 0 50 0001 C CNN "SPN" +F 7 "" H 0 0 50 0001 C CNN "SPR" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 8250 8450 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C303 +U 1 1 58FF9FED +P 9100 8450 +F 0 "C303" H 9200 8500 50 0000 L CNN +F 1 "10uF/25V/1206" H 9200 8400 50 0000 L CNN +F 2 "Capacitors_SMD:C_1206" H 9100 8450 50 0001 C CNN +F 3 "" H 9100 8450 50 0001 C CNN +F 4 "Samsung Electro-Mechanics America, Inc." H -2000 0 50 0001 C CNN "MFR" +F 5 "CL31A106KAHNNNE" H -2000 0 50 0001 C CNN "MPN" +F 6 "1276-1075-1-ND" H -2000 0 50 0001 C CNN "SPN" +F 7 "" H 0 0 50 0001 C CNN "SPR" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9100 8450 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C352 +U 1 1 58FFD4CF +P 9100 11150 +F 0 "C352" H 9200 11200 50 0000 L CNN +F 1 "10uF/25V/1206" H 9200 11100 50 0000 L CNN +F 2 "Capacitors_SMD:C_1206" H 9100 11150 50 0001 C CNN +F 3 "" H 9100 11150 50 0001 C CNN +F 4 "Samsung Electro-Mechanics America, Inc." H -2000 2700 50 0001 C CNN "MFR" +F 5 "CL31A106KAHNNNE" H -2000 2700 50 0001 C CNN "MPN" +F 6 "1276-1075-1-ND" H -2000 2700 50 0001 C CNN "SPN" +F 7 "" H 0 0 50 0001 C CNN "SPR" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 9100 11150 + 1 0 0 -1 +$EndComp +$Comp +L C_Small C351 +U 1 1 58FFD842 +P 8250 11150 +F 0 "C351" H 8350 11200 50 0000 L CNN +F 1 "10uF/25V/1206" H 8350 11100 50 0000 L CNN +F 2 "Capacitors_SMD:C_1206" H 8250 11150 50 0001 C CNN +F 3 "" H 8250 11150 50 0001 C CNN +F 4 "Samsung Electro-Mechanics America, Inc." H -2850 2700 50 0001 C CNN "MFR" +F 5 "CL31A106KAHNNNE" H -2850 2700 50 0001 C CNN "MPN" +F 6 "1276-1075-1-ND" H -2850 2700 50 0001 C CNN "SPN" +F 7 "" H 0 0 50 0001 C CNN "SPR" +F 8 "" H 0 0 50 0001 C CNN "SPURL" + 1 8250 11150 + 1 0 0 -1 +$EndComp +$Comp +L GNDPWR #PWR049 +U 1 1 59004BC8 +P 9100 8700 +F 0 "#PWR049" H 9100 8500 50 0001 C CNN +F 1 "GNDPWR" H 9100 8570 50 0000 C CNN +F 2 "" H 9100 8650 50 0000 C CNN +F 3 "" H 9100 8650 50 0000 C CNN + 1 9100 8700 + 1 0 0 -1 +$EndComp +Text Label 3450 7450 2 60 ~ 0 +VCC5V +Text Label 5300 10000 2 60 ~ 0 +VCC +Text Label 5300 8950 2 60 ~ 0 +VCC3V +Text Label 7050 3300 2 60 ~ 0 +IC_GAIN_RELAY1 +Text Label 4750 2550 0 60 ~ 0 +VCC5V +Text Label 8300 6100 2 60 ~ 0 +IC_ADC +Text Label 7450 6450 2 60 ~ 0 +IC_GAIN +Text Label 8300 5400 2 60 ~ 0 +VCC3V +Text Label 4650 6300 2 60 ~ 0 +VEE2V5 +Text Label 9150 1200 0 60 ~ 0 +FIL- +Text Label 9150 2300 0 60 ~ 0 +FIL+ +Text Label 14000 2600 0 60 ~ 0 +VCC3V +Text Label 15050 2600 2 60 ~ 0 +FV_ADC +Text Label 2800 11400 2 60 ~ 0 +VCC3V +Text Label 15050 3700 2 60 ~ 0 +FBV_PWM +Text Label 15150 5400 2 60 ~ 0 +FBI_ADC +Text Label 2800 12300 2 60 ~ 0 +VEE2V5 +Text Label 3850 11400 2 60 ~ 0 +VCC5V +Text Label 15100 1100 0 60 ~ 0 +VCC5V +Text Label 16800 1650 2 60 ~ 0 +FV_ERRN +Text Label 15100 2850 0 60 ~ 0 +VCC3V +Text Label 16800 3400 2 60 ~ 0 +FBV_ERRN +Text Label 16800 4850 2 60 ~ 0 +FBI_ERRN +Text Label 16800 8450 2 60 ~ 0 +AV_ERRN +Text Label 4900 11400 2 60 ~ 0 +VCC5V +Text Label 16800 9950 2 60 ~ 0 +AV_ADC +Text Label 13550 9800 2 60 ~ 0 +AI_ERRN +Text Label 6650 8250 0 60 ~ 0 +VCC12V +Text Label 1300 7650 0 60 ~ 0 +VCC12V +Text Label 6650 10950 0 60 ~ 0 +VCC12V +Text Label 14450 10950 2 60 ~ 0 +FIL+ +Text Label 14450 11350 2 60 ~ 0 +FIL- +Text Label 4250 8100 0 60 ~ 0 +VEE5V +Text Label 1300 9150 0 60 ~ 0 +VEE5V +Text Label 3200 9150 2 60 ~ 0 +VEE2V5 +Text Label 14500 6150 2 60 ~ 0 +FBI_GAIN +Text Label 15150 5800 2 60 ~ 0 +VCC3V +Text Label 14750 3300 0 60 ~ 0 +VREF_ERR +Text Label 15100 8350 0 60 ~ 0 +VREF_ERR +Text Label 7050 1800 2 60 ~ 0 +IC_GAIN_RELAY2 +Text Label 4750 1050 0 60 ~ 0 +VCC5V +Text Label 12550 6150 2 60 ~ 0 +FBI_HIGH +Text Label 10900 6150 2 60 ~ 0 +FBI_MED +Text Label 10600 5450 0 60 ~ 0 +VCC5V +Text Label 15800 6500 0 60 ~ 0 +VCC5V +Text Label 15800 7200 0 60 ~ 0 +VEE5V +Text Label 15600 5450 0 60 ~ 0 +VCC3V +Text Label 16800 5850 2 60 ~ 0 +FD_ADC +Text Label 16850 1100 0 60 ~ 0 +VCC +Text Label 19600 2550 0 60 ~ 0 +ERR_RESN +Text Label 22500 3050 2 60 ~ 0 +ERR_LATCHN +Text Label 6650 12450 0 60 ~ 0 +FV_PWM +Text Label 6650 9750 0 60 ~ 0 +HV_PWM +Text Label 8000 9150 0 60 ~ 0 +VCC +Text Label 8000 11850 0 60 ~ 0 +VCC +Text Label 6650 12650 0 60 ~ 0 +ERR_LATCHN +Text Label 16200 10900 0 60 ~ 0 +AI_ERRN +Text Label 4200 13450 2 60 ~ 0 +VCC12V +Text Label 14150 7800 0 60 ~ 0 +ANODE_HV +Text Label 2400 14750 2 60 ~ 0 +ANODE_HV +Text Label 2400 14850 2 60 ~ 0 +FIL+ +Text Label 2400 14950 2 60 ~ 0 +FIL- +Text Label 9150 3350 0 60 ~ 0 +VCC5V +Text Label 18400 6600 0 60 ~ 0 +IC_ADC +Text Label 18400 6500 0 60 ~ 0 +FBI_ADC +Text Label 18400 6400 0 60 ~ 0 +FV_ADC +Text Label 18400 6300 0 60 ~ 0 +FD_ADC +Text Label 21850 6000 2 60 ~ 0 +AV_ADC +Text Label 21850 7600 2 60 ~ 0 +FV_ERRN +Text Label 21850 7700 2 60 ~ 0 +FBV_ERRN +Text Label 21850 7800 2 60 ~ 0 +FBI_ERRN +Text Label 21850 7900 2 60 ~ 0 +AV_ERRN +Text Label 21850 8000 2 60 ~ 0 +AI_ERRN +Text Label 21850 8100 2 60 ~ 0 +ERR_LATCHN +Text Label 21850 6300 2 60 ~ 0 +HV_PWM +Text Label 21850 6500 2 60 ~ 0 +FV_PWM +Text Label 18400 7000 0 60 ~ 0 +FBV_PWM +Text Label 18400 8000 0 60 ~ 0 +LED1 +Text Label 18400 8200 0 60 ~ 0 +LED2 +Text Label 21850 6400 2 60 ~ 0 +LED1 +Text Label 21850 6600 2 60 ~ 0 +LED2 +Text Label 18400 5400 0 60 ~ 0 +TCK +Text Label 18400 5500 0 60 ~ 0 +TMS +Text Label 18400 5600 0 60 ~ 0 +TDI +Text Label 18400 5700 0 60 ~ 0 +TDO +Text Label 18400 4600 0 60 ~ 0 +TXD +Text Label 18400 4500 0 60 ~ 0 +RXD +Text Label 18400 9400 0 60 ~ 0 +IC_GAIN_RELAY1 +Text Label 18400 9500 0 60 ~ 0 +IC_GAIN_RELAY2 +Text Label 18400 9600 0 60 ~ 0 +IC_GAIN +Text Label 18400 9700 0 60 ~ 0 +FBI_MED +Text Label 18400 9800 0 60 ~ 0 +FBI_HIGH +Text Label 18400 9900 0 60 ~ 0 +FBI_GAIN +Text Label 21850 9400 2 60 ~ 0 +ERR_RESN +Text Label 18400 4900 0 60 ~ 0 +HV_PWM +Text Label 18400 5100 0 60 ~ 0 +FV_PWM +Text Label 21850 5400 2 60 ~ 0 +FBV_PWM +Text Label 18400 10900 0 60 ~ 0 +EN_RXIN +Text Label 18400 11000 0 60 ~ 0 +EN_RXIP +Text Label 18400 11100 0 60 ~ 0 +EN_TXON +Text Label 18400 11200 0 60 ~ 0 +EN_TXOP +Text Label 18400 10600 0 60 ~ 0 +OSC0 +Text Label 18400 10700 0 60 ~ 0 +OSC1 +Text Label 21850 10200 2 60 ~ 0 +VCC +Text Label 22350 11000 2 60 ~ 0 +VCC +Text Label 20950 13700 2 60 ~ 0 +OSC0 +Text Label 19050 13700 0 60 ~ 0 +OSC1 +Text Label 17850 10100 2 60 ~ 0 +VCC +Text Label 18000 10250 0 60 ~ 0 +RESETN +Text Label 7700 14300 2 60 ~ 0 +RESETN +Text Label 7700 13900 2 60 ~ 0 +TMS +Text Label 7700 14000 2 60 ~ 0 +TCK +Text Label 7700 14100 2 60 ~ 0 +TDO +Text Label 7700 14200 2 60 ~ 0 +TDI +Text Label 5400 13900 0 60 ~ 0 +VCC +Text Label 12800 12550 0 60 ~ 0 +EN_TXOP +Text Label 12800 13250 0 60 ~ 0 +EN_TXON +Text Label 12800 13450 0 60 ~ 0 +EN_RXIP +Text Label 12800 14150 0 60 ~ 0 +EN_RXIN +Text Label 13300 12050 0 60 ~ 0 +VCC +Text Label 21650 10700 2 60 ~ 0 +VREFA +Text Label 5800 9300 2 60 ~ 0 +VREFA +Text Label 21150 13700 0 60 ~ 0 +LED1 +Text Label 21150 14050 0 60 ~ 0 +LED2 +Text Label 22350 13700 2 60 ~ 0 +VCC +Text Label 9900 14050 2 60 ~ 0 +RTS +Text Label 9900 14150 2 60 ~ 0 +VCC +Text Label 9900 14250 2 60 ~ 0 +RXD +Text Label 9900 14350 2 60 ~ 0 +TXD +Text Label 9900 14450 2 60 ~ 0 +CTS +Text Label 21850 7100 2 60 ~ 0 +CTS +Text Label 21850 7000 2 60 ~ 0 +RTS +Text Label 6150 10350 2 60 ~ 0 +LEDP_K +Text Label 11350 14250 2 60 ~ 0 +VCC +Text Label 11350 14150 2 60 ~ 0 +LED1_K +Text Label 11350 14050 2 60 ~ 0 +LEDP_K +Text Label 11350 14350 2 60 ~ 0 +LED2_K +Text Label 13300 2950 2 60 ~ 0 +FBV_ADC +Text Label 11750 2950 0 60 ~ 0 +VCC3V +Text Label 21850 5900 2 60 ~ 0 +FBV_ADC +Text Label 4600 5000 2 60 ~ 0 +VCC3V +Text Label 6650 9950 0 60 ~ 0 +ERR_LATCHN +Wire Wire Line + 2800 2800 3350 2800 +Wire Wire Line + 2800 2850 2800 2800 +Wire Wire Line + 7900 6100 7900 5700 +Wire Wire Line + 7400 6100 8300 6100 +Wire Wire Line + 7400 6050 7400 6100 +Wire Wire Line + 4650 6300 3850 6300 +Wire Wire Line + 7400 5200 7050 5200 +Wire Wire Line + 7400 5200 7400 5850 +Wire Wire Line + 7300 5750 7400 5750 +Wire Wire Line + 6400 5200 6850 5200 +Wire Wire Line + 6400 5850 6700 5850 +Wire Wire Line + 6400 5200 6400 5900 +Wire Wire Line + 6400 6250 6400 6100 +Wire Wire Line + 6400 6750 6400 6650 +Wire Wire Line + 6100 5650 6700 5650 +Wire Wire Line + 5900 5650 5750 5650 +Wire Wire Line + 3300 6050 3300 6400 +Wire Wire Line + 3300 6300 3650 6300 +Wire Wire Line + 3300 6750 3300 6600 +Wire Wire Line + 4900 6750 4900 6200 +Wire Wire Line + 5750 5750 5550 5750 +Wire Wire Line + 5750 5200 5750 5750 +Wire Wire Line + 5350 5200 5750 5200 +Wire Wire Line + 4800 5200 5150 5200 +Wire Wire Line + 4900 5650 4950 5650 +Wire Wire Line + 4900 6000 4900 5650 +Wire Wire Line + 4800 5750 4500 5750 +Wire Wire Line + 4800 5200 4800 5850 +Wire Wire Line + 4800 5850 4950 5850 +Wire Wire Line + 6250 3550 6250 3650 +Wire Wire Line + 6250 3350 6250 3300 +Wire Wire Line + 6200 3300 7050 3300 +Wire Wire Line + 3750 3250 5100 3250 +Wire Wire Line + 3000 3250 3150 3250 +Wire Wire Line + 3000 2800 3000 3250 +Wire Wire Line + 4900 10300 4900 10450 +Wire Wire Line + 3600 10400 3600 10300 +Wire Wire Line + 3600 10400 4900 10400 +Wire Wire Line + 4250 10300 4250 10400 +Wire Wire Line + 3600 10000 3600 10100 +Wire Wire Line + 3450 10000 3950 10000 +Wire Wire Line + 4550 10000 5300 10000 +Wire Wire Line + 4900 10100 4900 10000 +Wire Wire Line + 4900 9250 4900 9400 +Wire Wire Line + 3600 9350 3600 9250 +Wire Wire Line + 3600 9350 4900 9350 +Wire Wire Line + 4250 9250 4250 9350 +Wire Wire Line + 3600 8950 3600 9050 +Wire Wire Line + 3450 8950 3950 8950 +Wire Wire Line + 4550 8950 5300 8950 +Wire Wire Line + 4900 9050 4900 8950 +Wire Wire Line + 3100 7450 3450 7450 +Wire Wire Line + 3450 7650 3450 10000 +Wire Wire Line + 4100 3750 3750 3750 +Wire Wire Line + 4100 4250 3700 4250 +Wire Wire Line + 2950 4250 3500 4250 +Wire Wire Line + 4650 8350 4650 8450 +Wire Wire Line + 5950 8400 5950 7950 +Wire Wire Line + 5950 7950 5900 7950 +Wire Wire Line + 3100 7450 3100 7700 +Wire Wire Line + 2950 7650 4700 7650 +Wire Wire Line + 3100 7900 3100 8000 +Wire Wire Line + 2950 7950 3100 7950 +Wire Wire Line + 4650 8100 4650 8150 +Wire Wire Line + 4650 7650 4650 7950 +Wire Wire Line + 4650 7950 4700 7950 +Wire Wire Line + 6300 8100 6300 7950 +Wire Wire Line + 5900 8100 6300 8100 +Wire Wire Line + 6300 7650 6300 7750 +Wire Wire Line + 5900 7650 6300 7650 +Wire Wire Line + 1350 6750 6400 6750 +Wire Wire Line + 1500 5850 2000 5850 +Wire Wire Line + 2950 6750 2950 6600 +Wire Wire Line + 1750 6500 1750 6750 +Wire Wire Line + 1350 6050 1350 6800 +Wire Wire Line + 1750 5850 1750 6000 +Wire Wire Line + 3700 5750 4300 5750 +Wire Wire Line + 4100 2250 4100 5750 +Wire Wire Line + 3150 3650 2950 3650 +Wire Wire Line + 2450 3650 2750 3650 +Wire Wire Line + 2450 4250 2750 4250 +Wire Wire Line + 2450 2150 2450 5850 +Wire Wire Line + 3300 5000 3300 5450 +Wire Wire Line + 2950 5650 2950 6400 +Wire Wire Line + 5100 2650 5100 2550 +Wire Wire Line + 4750 2550 5900 2550 +Wire Wire Line + 5900 2550 5900 2650 +Wire Wire Line + 5900 2850 5900 3100 +Wire Wire Line + 5900 3000 5450 3000 +Wire Wire Line + 5450 3000 5450 2850 +Wire Wire Line + 5450 2850 5400 2850 +Wire Wire Line + 3550 2800 3900 2800 +Wire Wire Line + 3900 2800 3900 3250 +Wire Wire Line + 5100 3250 5100 3050 +Wire Wire Line + 6250 3600 5900 3600 +Wire Wire Line + 5900 3600 5900 3500 +Wire Wire Line + 7900 5500 7900 5400 +Wire Wire Line + 7900 5400 8300 5400 +Wire Wire Line + 12300 1900 11700 1900 +Wire Wire Line + 11700 1850 11700 2100 +Wire Wire Line + 11700 2100 11750 2100 +Wire Wire Line + 11700 1400 11700 1650 +Wire Wire Line + 11700 1400 11750 1400 +Wire Wire Line + 12300 1600 11700 1600 +Wire Wire Line + 12350 1300 13000 1300 +Wire Wire Line + 12850 1600 12850 1300 +Wire Wire Line + 12500 1600 13000 1600 +Wire Wire Line + 12500 1900 13000 1900 +Wire Wire Line + 12850 1900 12850 2550 +Wire Wire Line + 12350 2200 13000 2200 +Wire Wire Line + 10950 2300 11750 2300 +Wire Wire Line + 11500 2550 11500 2300 +Wire Wire Line + 11300 2550 11300 1200 +Wire Wire Line + 10950 1200 11750 1200 +Wire Wire Line + 13200 1600 13800 1600 +Wire Wire Line + 13800 1300 13800 1650 +Wire Wire Line + 13800 1650 13900 1650 +Wire Wire Line + 13800 1300 14150 1300 +Wire Wire Line + 14350 1300 14650 1300 +Wire Wire Line + 14650 1300 14650 2150 +Wire Wire Line + 14500 1750 15600 1750 +Wire Wire Line + 13900 1850 13800 1850 +Wire Wire Line + 13800 1850 13800 2150 +Wire Wire Line + 13200 1900 13800 1900 +Wire Wire Line + 9150 1200 10750 1200 +Wire Wire Line + 9150 2300 10750 2300 +Wire Wire Line + 11300 2750 11300 3100 +Wire Wire Line + 10500 3100 13800 3100 +Wire Wire Line + 13800 3100 13800 2350 +Wire Wire Line + 11500 2750 11500 3200 +Wire Wire Line + 14650 2350 14650 2600 +Wire Wire Line + 14550 2600 15050 2600 +Wire Wire Line + 14350 2600 14000 2600 +Wire Wire Line + 13200 1300 13600 1300 +Wire Wire Line + 13600 1300 13600 3500 +Wire Wire Line + 13200 2200 13600 2200 +Wire Wire Line + 10500 1550 10500 1200 +Wire Wire Line + 10500 1950 10500 2300 +Wire Wire Line + 12400 3600 12850 3600 +Wire Wire Line + 13450 3500 15600 3500 +Wire Wire Line + 14100 4100 14100 4050 +Wire Wire Line + 2300 11900 2300 12300 +Wire Wire Line + 2300 12300 2800 12300 +Wire Wire Line + 2300 11700 2300 11400 +Wire Wire Line + 2300 11400 2800 11400 +Wire Wire Line + 2800 11400 2800 11550 +Wire Wire Line + 14450 3700 15050 3700 +Wire Wire Line + 12750 3950 12600 3950 +Wire Wire Line + 12600 3950 12600 3600 +Wire Wire Line + 9900 3950 12350 3950 +Wire Wire Line + 6700 6450 7450 6450 +Wire Wire Line + 12350 4850 12850 4850 +Wire Wire Line + 14000 4600 13600 4600 +Wire Wire Line + 14200 4600 14650 4600 +Wire Wire Line + 14650 4600 14650 5050 +Wire Wire Line + 14350 4950 15600 4950 +Wire Wire Line + 14650 5250 14650 5500 +Wire Wire Line + 2800 12300 2800 12150 +Wire Wire Line + 3350 11900 3350 12300 +Wire Wire Line + 3350 12300 3850 12300 +Wire Wire Line + 3350 11700 3350 11400 +Wire Wire Line + 3350 11400 3850 11400 +Wire Wire Line + 3850 11400 3850 11550 +Wire Wire Line + 3850 12150 3850 12350 +Wire Wire Line + 15600 1550 15500 1550 +Wire Wire Line + 15500 1350 15500 2000 +Wire Wire Line + 15500 2300 15500 2200 +Wire Wire Line + 15500 1150 15500 1100 +Wire Wire Line + 15500 1100 15100 1100 +Wire Wire Line + 16200 1650 16800 1650 +Wire Wire Line + 14750 3300 15600 3300 +Wire Wire Line + 15500 3100 15500 3750 +Wire Wire Line + 15500 4050 15500 3950 +Wire Wire Line + 15500 2900 15500 2850 +Wire Wire Line + 15500 2850 15100 2850 +Wire Wire Line + 16200 3400 16800 3400 +Wire Wire Line + 15600 4750 15250 4750 +Wire Wire Line + 15250 4750 15250 3300 +Wire Wire Line + 16200 4850 16800 4850 +Wire Wire Line + 16200 8450 16800 8450 +Wire Wire Line + 4400 11900 4400 12300 +Wire Wire Line + 4400 12300 4900 12300 +Wire Wire Line + 4400 11700 4400 11400 +Wire Wire Line + 4400 11400 4900 11400 +Wire Wire Line + 4900 11400 4900 11550 +Wire Wire Line + 4900 12150 4900 12350 +Wire Wire Line + 13450 3700 13750 3700 +Wire Wire Line + 12950 3950 13600 3950 +Wire Wire Line + 13600 3950 13600 3700 +Wire Wire Line + 13950 3700 14250 3700 +Wire Wire Line + 14100 3850 14100 3700 +Wire Wire Line + 12700 7950 12650 7950 +Wire Wire Line + 12650 7950 12650 8250 +Wire Wire Line + 12550 8250 12900 8250 +Wire Wire Line + 12900 7950 13200 7950 +Wire Wire Line + 13400 7950 13450 7950 +Wire Wire Line + 13450 7950 13450 8250 +Wire Wire Line + 13100 8250 14150 8250 +Wire Wire Line + 13700 8600 13700 8950 +Wire Wire Line + 13700 8250 13700 8400 +Wire Wire Line + 12650 8650 12550 8650 +Wire Wire Line + 12650 9700 12650 10200 +Wire Wire Line + 13950 8500 13950 8550 +Wire Wire Line + 13950 8750 13950 8850 +Wire Wire Line + 13950 9050 13950 9100 +Wire Wire Line + 15600 9400 14600 9400 +Wire Wire Line + 14600 8900 14600 9500 +Wire Wire Line + 15600 9600 15500 9600 +Wire Wire Line + 15500 9600 15500 9050 +Wire Wire Line + 15500 9050 15800 9050 +Wire Wire Line + 16000 9050 16400 9050 +Wire Wire Line + 16400 8800 16400 9600 +Wire Wire Line + 16400 9500 16200 9500 +Wire Wire Line + 16400 9800 16400 9950 +Wire Wire Line + 16400 9950 16800 9950 +Wire Wire Line + 14600 9750 14600 9700 +Wire Wire Line + 13050 10350 13700 10350 +Wire Wire Line + 13700 10350 13700 9700 +Wire Wire Line + 13050 10350 13050 10250 +Wire Wire Line + 12750 10050 12650 10050 +Wire Wire Line + 13050 9850 13050 9800 +Wire Wire Line + 13050 9800 13550 9800 +Wire Wire Line + 6650 8250 12000 8250 +Wire Wire Line + 10550 8350 10550 8250 +Wire Wire Line + 11100 8350 11100 8250 +Wire Wire Line + 11100 8550 11100 8800 +Wire Wire Line + 10550 8800 11450 8800 +Wire Wire Line + 11650 8800 11900 8800 +Wire Wire Line + 11900 8650 11900 9200 +Wire Wire Line + 11900 8650 12000 8650 +Wire Wire Line + 10550 8800 10550 8550 +Wire Wire Line + 7650 8350 7650 8250 +Wire Wire Line + 7650 8550 7650 8650 +Wire Wire Line + 1750 7650 1300 7650 +Wire Wire Line + 1600 8100 1600 7950 +Wire Wire Line + 1600 7950 1750 7950 +Wire Wire Line + 11200 9600 11050 9600 +Wire Wire Line + 11400 9600 11600 9600 +Wire Wire Line + 11600 9600 11600 9400 +Wire Wire Line + 9950 8250 9950 8350 +Wire Wire Line + 9550 9350 10050 9350 +Wire Wire Line + 9950 8550 9950 9900 +Wire Wire Line + 9950 10100 9950 10250 +Wire Wire Line + 9550 10250 11900 10250 +Wire Wire Line + 11900 9600 11900 10300 +Wire Wire Line + 10550 10000 10550 10250 +Wire Wire Line + 9550 9350 9550 9550 +Wire Wire Line + 9550 9550 9400 9550 +Wire Wire Line + 9400 9850 9550 9850 +Wire Wire Line + 9550 9850 9550 10250 +Wire Wire Line + 10050 9650 9650 9650 +Wire Wire Line + 9650 9150 9650 9700 +Wire Wire Line + 9650 9700 9400 9700 +Wire Wire Line + 9650 8950 9650 8800 +Wire Wire Line + 9650 8800 9950 8800 +Wire Wire Line + 10050 9550 9950 9550 +Wire Wire Line + 12500 10950 12850 10950 +Wire Wire Line + 13050 10950 14450 10950 +Wire Wire Line + 13650 11350 13650 11250 +Wire Wire Line + 13650 10950 13650 11050 +Wire Wire Line + 12500 11350 14450 11350 +Wire Wire Line + 6650 10950 11950 10950 +Wire Wire Line + 10500 11050 10500 10950 +Wire Wire Line + 11050 11050 11050 10950 +Wire Wire Line + 11050 11250 11050 11500 +Wire Wire Line + 10500 11500 11400 11500 +Wire Wire Line + 11600 11500 11850 11500 +Wire Wire Line + 11850 11350 11850 11900 +Wire Wire Line + 11850 11350 11950 11350 +Wire Wire Line + 10500 11500 10500 11250 +Wire Wire Line + 7100 10950 7100 11050 +Wire Wire Line + 7100 11350 7100 11250 +Wire Wire Line + 11150 12300 11000 12300 +Wire Wire Line + 11350 12300 11550 12300 +Wire Wire Line + 11550 12300 11550 12100 +Wire Wire Line + 9900 10950 9900 11050 +Wire Wire Line + 9500 12050 10000 12050 +Wire Wire Line + 9900 11250 9900 12600 +Wire Wire Line + 9900 12800 9900 12950 +Wire Wire Line + 9500 12950 11850 12950 +Wire Wire Line + 11850 12300 11850 13000 +Wire Wire Line + 10500 12700 10500 12950 +Wire Wire Line + 9500 12050 9500 12250 +Wire Wire Line + 9500 12250 9350 12250 +Wire Wire Line + 9350 12550 9500 12550 +Wire Wire Line + 9500 12550 9500 12950 +Wire Wire Line + 10000 12350 9600 12350 +Wire Wire Line + 9600 11850 9600 12400 +Wire Wire Line + 9600 12400 9350 12400 +Wire Wire Line + 9600 11650 9600 11500 +Wire Wire Line + 9600 11500 9900 11500 +Wire Wire Line + 10000 12250 9900 12250 +Wire Wire Line + 13950 8250 13950 8300 +Wire Wire Line + 13950 8800 13700 8800 +Wire Wire Line + 13950 9350 13950 9300 +Wire Wire Line + 12650 9350 13950 9350 +Wire Wire Line + 13700 9150 13700 9500 +Wire Wire Line + 12650 8650 12650 9500 +Wire Wire Line + 14600 7800 14600 8700 +Wire Wire Line + 10500 2900 10500 3100 +Wire Wire Line + 10500 2450 10500 2700 +Wire Wire Line + 10100 2450 10500 2450 +Wire Wire Line + 10100 3300 10100 3400 +Wire Wire Line + 10100 1200 10100 2700 +Wire Wire Line + 10100 3800 10100 4150 +Wire Wire Line + 9500 2050 9500 2000 +Wire Wire Line + 9500 1500 9500 1200 +Wire Wire Line + 13600 4600 13600 5300 +Wire Wire Line + 13600 5050 13750 5050 +Wire Wire Line + 12350 3950 12350 5000 +Wire Wire Line + 12350 5200 12350 5300 +Wire Wire Line + 12200 3600 10400 3600 +Wire Wire Line + 4250 8100 4700 8100 +Wire Wire Line + 3900 7700 3900 7650 +Wire Wire Line + 3900 8400 5950 8400 +Wire Wire Line + 3900 8400 3900 7900 +Wire Wire Line + 2000 9650 2000 9750 +Wire Wire Line + 2000 9700 2400 9700 +Wire Wire Line + 2400 9700 2400 9500 +Wire Wire Line + 2400 9300 2400 9150 +Wire Wire Line + 2300 9150 3200 9150 +Wire Wire Line + 1300 9150 1700 9150 +Wire Wire Line + 1600 9150 1600 9450 +Wire Wire Line + 1600 9450 1700 9450 +Wire Wire Line + 1700 9350 1600 9350 +Wire Wire Line + 1700 9250 1600 9250 +Wire Wire Line + 2000 9950 2000 10050 +Wire Wire Line + 2850 9750 2850 9150 +Wire Wire Line + 2850 9950 2850 10000 +Wire Wire Line + 2850 10000 2000 10000 +Wire Wire Line + 13600 5500 13600 5950 +Wire Wire Line + 13600 6450 13600 6350 +Wire Wire Line + 13900 6150 14500 6150 +Wire Wire Line + 14650 5700 14650 5800 +Wire Wire Line + 15600 8550 15500 8550 +Wire Wire Line + 15500 8550 15500 8800 +Wire Wire Line + 15500 8800 16400 8800 +Wire Wire Line + 15600 8350 15100 8350 +Wire Wire Line + 2800 1300 3350 1300 +Wire Wire Line + 2800 1350 2800 1300 +Wire Wire Line + 3750 1750 5100 1750 +Wire Wire Line + 3000 1750 3150 1750 +Wire Wire Line + 3000 1300 3000 1750 +Wire Wire Line + 4100 2250 3750 2250 +Wire Wire Line + 3150 2150 2950 2150 +Wire Wire Line + 2450 2150 2750 2150 +Wire Wire Line + 3550 1300 3900 1300 +Wire Wire Line + 3900 1300 3900 1750 +Wire Wire Line + 6250 2050 6250 2150 +Wire Wire Line + 6250 1850 6250 1800 +Wire Wire Line + 6200 1800 7050 1800 +Wire Wire Line + 5100 1150 5100 1050 +Wire Wire Line + 4750 1050 5900 1050 +Wire Wire Line + 5900 1050 5900 1150 +Wire Wire Line + 5900 1350 5900 1600 +Wire Wire Line + 5900 1500 5450 1500 +Wire Wire Line + 5450 1500 5450 1350 +Wire Wire Line + 5450 1350 5400 1350 +Wire Wire Line + 5100 1750 5100 1550 +Wire Wire Line + 6250 2100 5900 2100 +Wire Wire Line + 5900 2100 5900 2000 +Wire Wire Line + 13200 11050 13200 10950 +Wire Wire Line + 13200 11250 13200 11350 +Wire Wire Line + 11700 5200 11700 5950 +Wire Wire Line + 11700 6350 11700 6450 +Wire Wire Line + 10100 6400 11700 6400 +Wire Wire Line + 11150 5750 11150 5850 +Wire Wire Line + 11150 5850 11700 5850 +Wire Wire Line + 12000 6150 12550 6150 +Wire Wire Line + 10100 2900 10100 3100 +Wire Wire Line + 11700 4350 11700 5000 +Wire Wire Line + 11700 4150 11700 3950 +Wire Wire Line + 10100 4350 10100 5000 +Wire Wire Line + 10400 6150 10900 6150 +Wire Wire Line + 10100 6400 10100 6350 +Wire Wire Line + 10100 5200 10100 5950 +Wire Wire Line + 10600 5450 11150 5450 +Wire Wire Line + 11150 5450 11150 5550 +Wire Wire Line + 15800 6550 15800 6500 +Wire Wire Line + 15800 6500 16300 6500 +Wire Wire Line + 16300 6500 16300 6950 +Wire Wire Line + 16300 7150 16300 7200 +Wire Wire Line + 16300 7200 15800 7200 +Wire Wire Line + 15800 7200 15800 7150 +Wire Wire Line + 16400 6850 16200 6850 +Wire Wire Line + 16400 6150 16400 6850 +Wire Wire Line + 16400 6300 16050 6300 +Wire Wire Line + 15850 6300 15500 6300 +Wire Wire Line + 15500 6300 15500 6950 +Wire Wire Line + 15200 6950 15600 6950 +Wire Wire Line + 9500 6750 9500 4900 +Wire Wire Line + 9500 4900 10100 4900 +Wire Wire Line + 15000 6950 14700 6950 +Wire Wire Line + 14700 6950 14700 7000 +Wire Wire Line + 16400 5700 16400 5950 +Wire Wire Line + 16400 5450 16400 5500 +Wire Wire Line + 15600 5450 16400 5450 +Wire Wire Line + 16300 5850 16800 5850 +Wire Wire Line + 13750 4850 13050 4850 +Wire Wire Line + 9500 6750 12850 6750 +Wire Wire Line + 13050 6750 15600 6750 +Wire Wire Line + 16100 5850 16000 5850 +Wire Wire Line + 16000 5850 16000 5450 +Wire Wire Line + 20750 2050 22050 2050 +Wire Wire Line + 20750 2050 20750 3150 +Wire Wire Line + 20750 2350 20800 2350 +Wire Wire Line + 22050 2050 22050 1750 +Wire Wire Line + 22050 1750 22000 1750 +Wire Wire Line + 22000 2450 22050 2450 +Wire Wire Line + 22050 2450 22050 2150 +Wire Wire Line + 22050 2150 20650 2150 +Wire Wire Line + 20650 2150 20650 1850 +Wire Wire Line + 20650 1850 20800 1850 +Wire Wire Line + 16850 1100 17200 1100 +Wire Wire Line + 16800 1650 16800 1550 +Wire Wire Line + 16800 1550 17300 1550 +Wire Wire Line + 16800 8450 16800 8350 +Wire Wire Line + 16800 8350 16900 8350 +Wire Wire Line + 16800 4850 16800 4750 +Wire Wire Line + 16800 4750 16900 4750 +Wire Wire Line + 16800 3400 16800 3300 +Wire Wire Line + 16800 3300 16900 3300 +Wire Wire Line + 17200 1350 17200 10800 +Wire Wire Line + 17200 8350 17100 8350 +Wire Wire Line + 17200 4750 17100 4750 +Wire Wire Line + 17200 3300 17100 3300 +Wire Wire Line + 17200 1100 17200 1150 +Wire Wire Line + 17300 1750 17200 1750 +Wire Wire Line + 18500 1650 18700 1650 +Wire Wire Line + 18900 1650 19350 1650 +Wire Wire Line + 19050 1400 19050 1700 +Wire Wire Line + 18700 1400 18550 1400 +Wire Wire Line + 18550 1400 18550 1650 +Wire Wire Line + 18900 1400 19050 1400 +Wire Wire Line + 19400 1550 19350 1550 +Wire Wire Line + 19350 1550 19350 1750 +Wire Wire Line + 19350 1750 19400 1750 +Wire Wire Line + 19050 1950 19050 1900 +Wire Wire Line + 20800 1650 20600 1650 +Wire Wire Line + 6650 9950 7350 9950 +Wire Wire Line + 6650 12650 7350 12650 +Wire Wire Line + 6650 9750 7350 9750 +Wire Wire Line + 7350 12450 6650 12450 +Wire Wire Line + 8750 9550 8400 9550 +Wire Wire Line + 8400 9550 8400 9450 +Wire Wire Line + 8700 12250 8400 12250 +Wire Wire Line + 8400 12250 8400 12150 +Wire Wire Line + 8550 9850 8750 9850 +Wire Wire Line + 8400 9250 8400 9150 +Wire Wire Line + 8400 9150 8000 9150 +Wire Wire Line + 8400 11950 8400 11850 +Wire Wire Line + 8400 11850 8000 11850 +Wire Wire Line + 8700 12550 8550 12550 +Wire Wire Line + 16200 10900 16800 10900 +Wire Wire Line + 16800 10900 16800 10800 +Wire Wire Line + 16800 10800 16900 10800 +Wire Wire Line + 17200 10800 17100 10800 +Wire Wire Line + 1850 13450 3050 13450 +Wire Wire Line + 1950 13450 1950 14000 +Wire Wire Line + 1950 14000 1850 14000 +Wire Wire Line + 1850 14100 3050 14100 +Wire Wire Line + 2050 14100 2050 13550 +Wire Wire Line + 2050 13550 1850 13550 +Wire Wire Line + 2900 13450 2900 13650 +Wire Wire Line + 2900 14100 2900 13850 +Wire Wire Line + 3700 13650 3700 13450 +Wire Wire Line + 3550 13450 4200 13450 +Wire Wire Line + 3700 13850 3700 14100 +Wire Wire Line + 3550 14100 4500 14100 +Wire Wire Line + 4200 13450 4200 13650 +Wire Wire Line + 4200 13850 4200 14200 +Wire Wire Line + 2300 13600 2300 13450 +Wire Wire Line + 2300 14100 2300 14000 +Wire Wire Line + 14650 5400 15150 5400 +Wire Wire Line + 14650 5800 15150 5800 +Wire Wire Line + 1850 14750 2400 14750 +Wire Wire Line + 1850 14850 2400 14850 +Wire Wire Line + 1850 14950 2400 14950 +Wire Wire Line + 22000 3050 22500 3050 +Wire Wire Line + 20750 3150 20800 3150 +Wire Wire Line + 20800 2950 20750 2950 +Wire Wire Line + 20400 2550 20800 2550 +Wire Wire Line + 20500 2650 20500 2550 +Wire Wire Line + 20200 2550 19600 2550 +Wire Wire Line + 20500 2950 20500 2850 +Wire Wire Line + 14600 8250 14350 8250 +Wire Wire Line + 14150 7800 14850 7800 +Wire Wire Line + 9700 3950 9500 3950 +Wire Wire Line + 9500 3700 9500 4050 +Wire Wire Line + 9500 4550 9500 4450 +Wire Wire Line + 9500 3500 9500 3350 +Wire Wire Line + 9500 3350 9150 3350 +Wire Wire Line + 10600 5550 10600 5450 +Wire Wire Line + 10600 5750 10600 5850 +Wire Wire Line + 10600 5850 10100 5850 +Wire Wire Line + 14850 7800 14850 7850 +Wire Wire Line + 14850 8100 14850 8050 +Wire Wire Line + 19150 6500 18400 6500 +Wire Wire Line + 19150 6600 18400 6600 +Wire Wire Line + 19150 6400 18400 6400 +Wire Wire Line + 19150 6300 18400 6300 +Wire Wire Line + 21100 6000 21850 6000 +Wire Wire Line + 21100 7600 21850 7600 +Wire Wire Line + 21100 7700 21850 7700 +Wire Wire Line + 21100 7800 21850 7800 +Wire Wire Line + 21100 7900 21850 7900 +Wire Wire Line + 21100 8000 21850 8000 +Wire Wire Line + 21100 8100 21850 8100 +Wire Wire Line + 21100 6300 21850 6300 +Wire Wire Line + 21100 6500 21850 6500 +Wire Wire Line + 19150 7000 18400 7000 +Wire Wire Line + 19150 8000 18400 8000 +Wire Wire Line + 21100 6400 21850 6400 +Wire Wire Line + 21100 6600 21850 6600 +Wire Wire Line + 19150 8200 18400 8200 +Wire Wire Line + 19150 5400 18400 5400 +Wire Wire Line + 18400 5500 19150 5500 +Wire Wire Line + 19150 5600 18400 5600 +Wire Wire Line + 19150 5700 18400 5700 +Wire Wire Line + 19150 4500 18400 4500 +Wire Wire Line + 18400 4600 19150 4600 +Wire Wire Line + 19150 9400 18400 9400 +Wire Wire Line + 19150 9500 18400 9500 +Wire Wire Line + 19150 9600 18400 9600 +Wire Wire Line + 19150 9700 18400 9700 +Wire Wire Line + 19150 9800 18400 9800 +Wire Wire Line + 19150 9900 18400 9900 +Wire Wire Line + 21100 9400 21850 9400 +Wire Wire Line + 19150 4900 18400 4900 +Wire Wire Line + 19150 5100 18400 5100 +Wire Wire Line + 21100 5400 21850 5400 +Wire Wire Line + 19150 10900 18400 10900 +Wire Wire Line + 19150 11000 18400 11000 +Wire Wire Line + 19150 11100 18400 11100 +Wire Wire Line + 19150 11200 18400 11200 +Wire Wire Line + 19150 11300 18050 11300 +Wire Wire Line + 17850 11300 17600 11300 +Wire Wire Line + 17600 11300 17600 11450 +Wire Wire Line + 19100 11500 19100 12850 +Wire Wire Line + 19100 11500 19150 11500 +Wire Wire Line + 19150 11700 19100 11700 +Wire Wire Line + 19150 11800 19100 11800 +Wire Wire Line + 19150 11900 19100 11900 +Wire Wire Line + 19150 12000 19100 12000 +Wire Wire Line + 19150 12100 19100 12100 +Wire Wire Line + 19150 12200 19100 12200 +Wire Wire Line + 19150 10600 18400 10600 +Wire Wire Line + 19150 10700 18400 10700 +Wire Wire Line + 17600 10400 19150 10400 +Wire Wire Line + 21100 10400 22350 10400 +Wire Wire Line + 21100 10900 21150 10900 +Wire Wire Line + 21150 10600 21150 12200 +Wire Wire Line + 21150 12200 21100 12200 +Wire Wire Line + 21100 11000 22350 11000 +Wire Wire Line + 21150 11100 21100 11100 +Wire Wire Line + 21100 11200 21150 11200 +Wire Wire Line + 21150 11300 21100 11300 +Wire Wire Line + 21100 11400 21150 11400 +Wire Wire Line + 21150 11500 21100 11500 +Wire Wire Line + 21100 11600 21150 11600 +Wire Wire Line + 21100 11700 21850 11700 +Wire Wire Line + 21100 11800 21150 11800 +Wire Wire Line + 21150 11900 21100 11900 +Wire Wire Line + 21100 12000 21150 12000 +Wire Wire Line + 21150 12100 21100 12100 +Wire Wire Line + 21150 10600 21100 10600 +Wire Wire Line + 21100 10700 21650 10700 +Wire Wire Line + 21100 10200 21850 10200 +Wire Wire Line + 21100 12400 22350 12400 +Wire Wire Line + 21150 12400 21150 12500 +Wire Wire Line + 21150 12500 21100 12500 +Wire Wire Line + 19650 13700 20000 13700 +Wire Wire Line + 19800 14000 19800 13700 +Wire Wire Line + 20300 13700 20950 13700 +Wire Wire Line + 20500 13700 20500 14000 +Wire Wire Line + 19450 13700 19050 13700 +Wire Wire Line + 20000 13800 20000 14000 +Wire Wire Line + 20000 14000 20300 14000 +Wire Wire Line + 20300 14000 20300 13800 +Wire Wire Line + 20150 14000 20150 14350 +Wire Wire Line + 19800 14300 20500 14300 +Wire Wire Line + 19800 14300 19800 14200 +Wire Wire Line + 20500 14300 20500 14200 +Wire Wire Line + 22350 12400 22350 12500 +Wire Wire Line + 21850 12500 21850 12400 +Wire Wire Line + 21350 12500 21350 12400 +Wire Wire Line + 21350 12700 21350 12850 +Wire Wire Line + 21350 12800 22350 12800 +Wire Wire Line + 22350 12800 22350 12700 +Wire Wire Line + 21850 12800 21850 12700 +Wire Wire Line + 22350 11000 22350 11100 +Wire Wire Line + 21850 10650 21850 11100 +Wire Wire Line + 21350 11000 21350 11100 +Wire Wire Line + 21350 11300 21350 11400 +Wire Wire Line + 21350 11400 22350 11400 +Wire Wire Line + 22350 12100 22350 11300 +Wire Wire Line + 21850 11400 21850 11300 +Wire Wire Line + 21850 11700 21850 11800 +Wire Wire Line + 21350 11800 21350 11700 +Wire Wire Line + 21350 12000 21350 12150 +Wire Wire Line + 21350 12100 22350 12100 +Wire Wire Line + 21850 12100 21850 12000 +Wire Wire Line + 22350 10700 22350 10650 +Wire Wire Line + 22350 10400 22350 10450 +Wire Wire Line + 21850 10450 21850 10400 +Wire Wire Line + 17600 10350 17600 10450 +Wire Wire Line + 17600 10700 17600 10650 +Wire Wire Line + 17600 10150 17600 10100 +Wire Wire Line + 17600 10100 17850 10100 +Wire Wire Line + 6550 13750 6550 13900 +Wire Wire Line + 6400 13900 7700 13900 +Wire Wire Line + 18700 10250 18850 10250 +Wire Wire Line + 18850 10250 18850 10400 +Wire Wire Line + 18500 10250 18000 10250 +Wire Wire Line + 6800 13750 6800 14000 +Wire Wire Line + 6400 14000 7700 14000 +Wire Wire Line + 7050 13750 7050 14100 +Wire Wire Line + 6400 14100 7700 14100 +Wire Wire Line + 7300 13750 7300 14200 +Wire Wire Line + 6400 14200 7700 14200 +Wire Wire Line + 6400 14300 7700 14300 +Wire Wire Line + 7300 13450 7300 13550 +Wire Wire Line + 5800 13450 7300 13450 +Wire Wire Line + 6550 13450 6550 13550 +Wire Wire Line + 6800 13550 6800 13450 +Wire Wire Line + 7050 13550 7050 13450 +Wire Wire Line + 5900 14100 5800 14100 +Wire Wire Line + 5800 14100 5800 14650 +Wire Wire Line + 5900 14300 5800 14300 +Wire Wire Line + 5400 13900 5900 13900 +Wire Wire Line + 5800 13450 5800 13900 +Wire Wire Line + 14550 13800 14500 13800 +Wire Wire Line + 14500 12900 14500 14300 +Wire Wire Line + 14300 12900 14550 12900 +Wire Wire Line + 12800 13250 14550 13250 +Wire Wire Line + 12800 13450 14550 13450 +Wire Wire Line + 12800 14150 14550 14150 +Wire Wire Line + 12800 12550 14550 12550 +Wire Wire Line + 14400 12350 14400 14150 +Wire Wire Line + 13300 12350 13300 12550 +Wire Wire Line + 14300 13450 14300 14000 +Wire Wire Line + 14100 13800 14200 13800 +Wire Wire Line + 13650 12350 13650 13250 +Wire Wire Line + 14000 12350 14000 13450 +Wire Wire Line + 14300 12050 14300 12900 +Wire Wire Line + 13300 12050 14400 12050 +Wire Wire Line + 14400 12050 14400 12150 +Wire Wire Line + 14000 12050 14000 12150 +Wire Wire Line + 13650 12050 13650 12150 +Wire Wire Line + 13300 12050 13300 12150 +Wire Wire Line + 14500 14550 14500 14500 +Wire Wire Line + 15900 13250 16600 13250 +Wire Wire Line + 15900 13450 16050 13450 +Wire Wire Line + 16050 13450 16050 13350 +Wire Wire Line + 16050 13350 16600 13350 +Wire Wire Line + 16600 13450 16400 13450 +Wire Wire Line + 16400 13450 16400 14300 +Wire Wire Line + 16400 13550 16600 13550 +Wire Wire Line + 16600 13650 16050 13650 +Wire Wire Line + 16050 13650 16050 14150 +Wire Wire Line + 16600 13150 16350 13150 +Wire Wire Line + 16350 13150 16350 12550 +Wire Wire Line + 16350 12550 15900 12550 +Wire Wire Line + 16600 13750 16550 13750 +Wire Wire Line + 16550 13750 16550 14150 +Wire Wire Line + 16550 13850 16600 13850 +Wire Wire Line + 16050 14150 15900 14150 +Wire Wire Line + 16150 14300 16150 12900 +Wire Wire Line + 16150 12900 15900 12900 +Wire Wire Line + 15900 13800 15950 13800 +Wire Wire Line + 15950 13800 15950 14250 +Wire Wire Line + 15950 14250 15900 14250 +Wire Wire Line + 15900 14250 15900 14300 +Wire Wire Line + 16550 14150 16650 14150 +Wire Wire Line + 16650 14150 16650 14300 +Wire Wire Line + 15900 14550 17000 14550 +Wire Wire Line + 15900 14550 15900 14500 +Wire Wire Line + 16150 14500 16150 14550 +Wire Wire Line + 16400 14500 16400 14550 +Wire Wire Line + 16650 14500 16650 14550 +Wire Wire Line + 17200 14550 17850 14550 +Wire Wire Line + 22150 8350 22350 8350 +Wire Wire Line + 22350 8350 22350 8600 +Wire Wire Line + 21850 8350 21600 8350 +Wire Wire Line + 21600 8350 21600 8300 +Wire Wire Line + 21600 8300 21100 8300 +Wire Wire Line + 5300 10000 5300 10100 +Wire Wire Line + 5300 10300 5300 10400 +Wire Wire Line + 5300 10650 5300 10600 +Wire Wire Line + 5300 8950 5300 9050 +Wire Wire Line + 5300 9600 5300 9550 +Wire Wire Line + 5300 9250 5300 9350 +Wire Wire Line + 5300 9300 5800 9300 +Wire Wire Line + 21750 13700 21950 13700 +Wire Wire Line + 21750 14050 21950 14050 +Wire Wire Line + 22150 13700 22350 13700 +Wire Wire Line + 22150 14050 22350 14050 +Wire Wire Line + 21550 13700 21150 13700 +Wire Wire Line + 21550 14050 21150 14050 +Wire Wire Line + 20800 3550 20750 3550 +Wire Wire Line + 20750 3550 20750 3850 +Wire Wire Line + 20800 3750 20750 3750 +Wire Wire Line + 9300 13950 9400 13950 +Wire Wire Line + 9400 13950 9400 14600 +Wire Wire Line + 9300 14050 9900 14050 +Wire Wire Line + 9300 14150 9900 14150 +Wire Wire Line + 9300 14250 9900 14250 +Wire Wire Line + 9300 14350 9900 14350 +Wire Wire Line + 9300 14450 9900 14450 +Wire Wire Line + 21100 7100 21850 7100 +Wire Wire Line + 21100 7000 21850 7000 +Wire Wire Line + 11350 14050 10900 14050 +Wire Wire Line + 10900 14150 11350 14150 +Wire Wire Line + 5300 10350 6150 10350 +Wire Wire Line + 10900 14250 11350 14250 +Wire Wire Line + 10900 14350 11350 14350 +Wire Wire Line + 12750 2550 12750 1600 +Wire Wire Line + 12750 2750 12750 2950 +Wire Wire Line + 12300 2950 13300 2950 +Wire Wire Line + 12850 2950 12850 2750 +Wire Wire Line + 12100 2950 11750 2950 +Wire Wire Line + 21100 5900 21850 5900 +Wire Wire Line + 2950 5650 3100 5650 +Wire Wire Line + 3300 5000 3650 5000 +Wire Wire Line + 3600 5000 3600 5050 +Wire Wire Line + 3600 5300 3600 5250 +Wire Wire Line + 3850 5000 4600 5000 +Wire Wire Line + 2950 4700 3500 4700 +Wire Wire Line + 3150 4900 3150 4700 +Wire Wire Line + 3150 5300 3150 5100 +Wire Wire Line + 4100 4700 3700 4700 +Wire Wire Line + 2450 4700 2750 4700 +Wire Wire Line + 2200 5850 2600 5850 +Wire Wire Line + 2800 5850 3100 5850 +Wire Wire Line + 7000 8350 7000 8250 +Wire Wire Line + 8250 8250 8250 8350 +Wire Wire Line + 7000 8650 9100 8650 +Wire Wire Line + 8250 8650 8250 8550 +Wire Wire Line + 7000 8650 7000 8550 +Wire Wire Line + 9100 8250 9100 8350 +Wire Wire Line + 9100 8550 9100 8700 +Wire Wire Line + 7100 11350 9100 11350 +Wire Wire Line + 9100 11250 9100 11400 +Wire Wire Line + 9100 11050 9100 10950 +Wire Wire Line + 8250 11250 8250 11350 +Wire Wire Line + 8250 11050 8250 10950 +Connection ~ 7900 6100 +Connection ~ 7400 5750 +Connection ~ 6400 5850 +Connection ~ 5750 5650 +Connection ~ 3300 6300 +Connection ~ 3300 6750 +Connection ~ 2950 6750 +Connection ~ 4800 5750 +Connection ~ 4100 5750 +Connection ~ 6250 3600 +Connection ~ 6250 3300 +Connection ~ 3000 2800 +Connection ~ 4900 8950 +Connection ~ 4900 10000 +Connection ~ 4900 9350 +Connection ~ 4900 10400 +Connection ~ 3600 8950 +Connection ~ 3450 8950 +Connection ~ 3600 10000 +Connection ~ 4250 10400 +Connection ~ 4250 9350 +Connection ~ 3450 7650 +Connection ~ 4650 8100 +Connection ~ 4650 8400 +Connection ~ 4650 7650 +Connection ~ 3100 7650 +Connection ~ 3100 7950 +Connection ~ 1750 6750 +Connection ~ 1350 6750 +Connection ~ 1750 5850 +Connection ~ 4100 4250 +Connection ~ 2450 4250 +Connection ~ 5100 2550 +Connection ~ 3900 3250 +Connection ~ 5900 3000 +Connection ~ 4900 6750 +Connection ~ 11700 1900 +Connection ~ 11700 1600 +Connection ~ 11500 2300 +Connection ~ 11300 1200 +Connection ~ 12850 1600 +Connection ~ 13800 1600 +Connection ~ 13800 1900 +Connection ~ 12850 1900 +Connection ~ 11500 3100 +Connection ~ 14650 1750 +Connection ~ 14650 2600 +Connection ~ 12850 2200 +Connection ~ 12850 1300 +Connection ~ 13600 2200 +Connection ~ 10500 1200 +Connection ~ 10500 2300 +Connection ~ 10100 1200 +Connection ~ 11300 3100 +Connection ~ 12600 3600 +Connection ~ 14650 4950 +Connection ~ 3850 12300 +Connection ~ 15500 1550 +Connection ~ 15500 3300 +Connection ~ 13600 3500 +Connection ~ 4900 12300 +Connection ~ 13600 3700 +Connection ~ 14100 3700 +Connection ~ 12650 8250 +Connection ~ 13450 8250 +Connection ~ 13700 8250 +Connection ~ 14600 9400 +Connection ~ 16400 9500 +Connection ~ 12650 10050 +Connection ~ 10550 8250 +Connection ~ 11100 8250 +Connection ~ 11900 8800 +Connection ~ 11100 8800 +Connection ~ 7650 8250 +Connection ~ 9950 8250 +Connection ~ 9950 9350 +Connection ~ 10550 10250 +Connection ~ 11900 10250 +Connection ~ 9950 10250 +Connection ~ 9950 8800 +Connection ~ 9650 9650 +Connection ~ 9950 9550 +Connection ~ 13650 10950 +Connection ~ 10500 10950 +Connection ~ 11050 10950 +Connection ~ 11850 11500 +Connection ~ 11050 11500 +Connection ~ 7100 10950 +Connection ~ 9900 10950 +Connection ~ 9900 12050 +Connection ~ 10500 12950 +Connection ~ 11850 12950 +Connection ~ 9900 12950 +Connection ~ 9900 11500 +Connection ~ 9600 12350 +Connection ~ 9900 12250 +Connection ~ 13650 11350 +Connection ~ 13700 8800 +Connection ~ 13950 8800 +Connection ~ 13700 9350 +Connection ~ 12650 9350 +Connection ~ 13950 8250 +Connection ~ 10100 2450 +Connection ~ 9500 1200 +Connection ~ 12350 4850 +Connection ~ 13600 5050 +Connection ~ 3900 7650 +Connection ~ 2000 9700 +Connection ~ 2400 9150 +Connection ~ 1600 9350 +Connection ~ 1600 9250 +Connection ~ 1600 9150 +Connection ~ 2850 9150 +Connection ~ 2000 10000 +Connection ~ 14650 5400 +Connection ~ 15250 3300 +Connection ~ 16400 9050 +Connection ~ 3000 1300 +Connection ~ 3900 1750 +Connection ~ 2450 3650 +Connection ~ 4100 3750 +Connection ~ 6250 2100 +Connection ~ 6250 1800 +Connection ~ 5100 1050 +Connection ~ 5900 1500 +Connection ~ 13200 10950 +Connection ~ 13200 11350 +Connection ~ 11700 5850 +Connection ~ 11700 6400 +Connection ~ 11700 3950 +Connection ~ 10100 3950 +Connection ~ 10100 5850 +Connection ~ 10100 4900 +Connection ~ 15500 6950 +Connection ~ 16400 6300 +Connection ~ 16400 5850 +Connection ~ 16000 5450 +Connection ~ 17200 4750 +Connection ~ 17200 3300 +Connection ~ 17200 1750 +Connection ~ 18550 1650 +Connection ~ 19050 1650 +Connection ~ 19350 1650 +Connection ~ 17200 8350 +Connection ~ 1950 13450 +Connection ~ 2050 14100 +Connection ~ 2900 13450 +Connection ~ 2900 14100 +Connection ~ 3700 13450 +Connection ~ 3700 14100 +Connection ~ 4200 14100 +Connection ~ 2300 14100 +Connection ~ 2300 13450 +Connection ~ 20750 2350 +Connection ~ 20750 2950 +Connection ~ 20500 2550 +Connection ~ 14600 8250 +Connection ~ 9500 3950 +Connection ~ 14600 7800 +Connection ~ 19100 11700 +Connection ~ 19100 11800 +Connection ~ 19100 11900 +Connection ~ 19100 12000 +Connection ~ 19100 12100 +Connection ~ 19100 12200 +Connection ~ 21150 11000 +Connection ~ 21150 11100 +Connection ~ 21150 11200 +Connection ~ 21150 11300 +Connection ~ 21150 11400 +Connection ~ 21150 11500 +Connection ~ 21150 11600 +Connection ~ 21150 11700 +Connection ~ 21150 11800 +Connection ~ 21150 11900 +Connection ~ 21150 12000 +Connection ~ 21150 12100 +Connection ~ 21150 10900 +Connection ~ 19800 13700 +Connection ~ 20500 13700 +Connection ~ 20150 14000 +Connection ~ 20150 14300 +Connection ~ 21150 12400 +Connection ~ 21850 12400 +Connection ~ 21350 12400 +Connection ~ 21850 12800 +Connection ~ 21350 12800 +Connection ~ 21850 11000 +Connection ~ 21350 11000 +Connection ~ 21850 11400 +Connection ~ 21350 11700 +Connection ~ 22350 11400 +Connection ~ 21850 12100 +Connection ~ 21350 12100 +Connection ~ 21850 10400 +Connection ~ 17600 10400 +Connection ~ 18850 10400 +Connection ~ 6550 13900 +Connection ~ 6800 14000 +Connection ~ 7050 14100 +Connection ~ 7300 14200 +Connection ~ 6800 13450 +Connection ~ 7050 13450 +Connection ~ 5800 14300 +Connection ~ 5800 13900 +Connection ~ 6550 13450 +Connection ~ 14500 13800 +Connection ~ 14400 14150 +Connection ~ 14000 13450 +Connection ~ 13650 13250 +Connection ~ 13300 12550 +Connection ~ 14150 14150 +Connection ~ 14300 13450 +Connection ~ 14500 12900 +Connection ~ 14300 12050 +Connection ~ 14000 12050 +Connection ~ 13650 12050 +Connection ~ 16550 13850 +Connection ~ 16400 13550 +Connection ~ 16150 14550 +Connection ~ 16400 14550 +Connection ~ 16650 14550 +Connection ~ 5300 9300 +Connection ~ 20750 3750 +Connection ~ 5300 10350 +Connection ~ 12750 1600 +Connection ~ 12750 2950 +Connection ~ 12850 2950 +Connection ~ 3600 5000 +Connection ~ 3150 4700 +Connection ~ 4100 4700 +Connection ~ 2450 4700 +Connection ~ 2450 5850 +Connection ~ 7000 8250 +Connection ~ 8250 8250 +Connection ~ 7650 8650 +Connection ~ 9100 8250 +Connection ~ 8250 8650 +Connection ~ 9100 8650 +Connection ~ 9100 11350 +Connection ~ 9100 10950 +Connection ~ 8250 11350 +Connection ~ 8250 10950 +NoConn ~ 19150 10200 +NoConn ~ 19150 10100 +NoConn ~ 12900 13700 +NoConn ~ 12900 14000 +NoConn ~ 22000 3650 +NoConn ~ 21100 10100 +NoConn ~ 21100 9800 +NoConn ~ 21100 9700 +NoConn ~ 21100 9600 +NoConn ~ 21100 9500 +NoConn ~ 21100 9000 +NoConn ~ 21100 8900 +NoConn ~ 21100 8800 +NoConn ~ 21100 8700 +NoConn ~ 21100 8600 +NoConn ~ 21100 8500 +NoConn ~ 19150 9200 +NoConn ~ 19150 9100 +NoConn ~ 19150 9000 +NoConn ~ 19150 8900 +NoConn ~ 19150 8800 +NoConn ~ 19150 8700 +NoConn ~ 19150 8600 +NoConn ~ 19150 8500 +NoConn ~ 21100 8200 +NoConn ~ 19150 8100 +NoConn ~ 19150 7900 +NoConn ~ 19150 7800 +NoConn ~ 19150 7700 +NoConn ~ 19150 7600 +NoConn ~ 19150 7400 +NoConn ~ 19150 7300 +NoConn ~ 21100 7300 +NoConn ~ 21100 7200 +NoConn ~ 19150 6800 +NoConn ~ 19150 7100 +NoConn ~ 19150 6100 +NoConn ~ 19150 6000 +NoConn ~ 19150 5900 +NoConn ~ 19150 5800 +NoConn ~ 19150 5200 +NoConn ~ 19150 5000 +NoConn ~ 19150 4800 +NoConn ~ 19150 4700 +NoConn ~ 21100 5000 +NoConn ~ 21100 4900 +NoConn ~ 21100 4800 +NoConn ~ 21100 4700 +NoConn ~ 21100 4600 +NoConn ~ 21100 4500 +NoConn ~ 21100 5500 +NoConn ~ 21100 5600 +NoConn ~ 21100 5700 +NoConn ~ 21100 5800 +NoConn ~ 21100 6100 +NoConn ~ 21100 6700 +NoConn ~ 19150 6700 +NoConn ~ 5900 14200 +NoConn ~ 5900 14000 +$Comp +L C_Small C413 +U 1 1 590D5BAD +P 4600 14100 +F 0 "C413" V 4850 14200 50 0000 R CNN +F 1 "2200pF/2kV/1812" V 4750 14200 50 0000 R CNN +F 2 "Capacitors_SMD:C_1812" H 4600 14100 50 0001 C CNN +F 3 "" H 4600 14100 50 0001 C CNN +F 4 "C1812C222KGRACTU" V 4600 14100 60 0001 C CNN "MPN" +F 5 "Kemet" V 4600 14100 60 0001 C CNN "MFG" +F 6 "Kemet" H -12500 -450 50 0001 C CNN "MFR" +F 7 "" H -12500 -450 50 0001 C CNN "SPR" +F 8 "399-7120-1-ND" H -12500 -450 50 0001 C CNN "SPN" +F 9 "" H -12500 -450 50 0001 C CNN "SPURL" + 1 4600 14100 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR050 +U 1 1 590DACB9 +P 5000 14200 +F 0 "#PWR050" H 5000 13950 50 0001 C CNN +F 1 "GND" H 5000 14050 50 0000 C CNN +F 2 "" H 5000 14200 50 0000 C CNN +F 3 "" H 5000 14200 50 0000 C CNN + 1 5000 14200 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5000 14200 5000 14100 +Wire Wire Line + 5000 14100 4700 14100 +Wire Wire Line + 14100 13900 14150 13900 +Wire Wire Line + 14150 13900 14150 14150 +Wire Wire Line + 14300 14000 14100 14000 +Wire Wire Line + 14100 13700 14100 13250 +Connection ~ 14100 13250 +Wire Wire Line + 14200 13800 14200 12550 +Connection ~ 14200 12550 +Wire Wire Line + 22350 14050 22350 13700 +NoConn ~ 19150 8300 +$Comp +L GND #PWR051 +U 1 1 5915E5DF +P 11000 14550 +F 0 "#PWR051" H 11000 14300 50 0001 C CNN +F 1 "GND" H 11000 14400 50 0000 C CNN +F 2 "" H 11000 14550 50 0000 C CNN +F 3 "" H 11000 14550 50 0000 C CNN + 1 11000 14550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 10900 14450 11000 14450 +Wire Wire Line + 11000 14450 11000 14550 +Wire Wire Line + 21800 14050 21800 14400 +Wire Wire Line + 21800 14400 22200 14400 +Connection ~ 21800 14050 +Wire Wire Line + 21800 13700 21800 13400 +Wire Wire Line + 21800 13400 22200 13400 +Connection ~ 21800 13700 +Text Label 22200 13400 2 60 ~ 0 +LED1_K +Text Label 22200 14400 2 60 ~ 0 +LED2_K +Wire Wire Line + 21850 8450 21850 8550 +Wire Wire Line + 21850 8550 22350 8550 +Wire Wire Line + 22150 8550 22150 8450 +Connection ~ 22350 8550 +Connection ~ 22150 8550 +$Comp +L 3mm_Mounting_Hole H404 +U 1 1 591FA5A5 +P 16700 15100 +F 0 "H404" H 16500 15200 60 0000 C CNN +F 1 "3mm_Mounting_Hole" H 16700 14950 60 0000 C CNN +F 2 "proj_footprints:NPTH_3mm_ID" H 16600 15100 60 0001 C CNN +F 3 "" H 16600 15100 60 0001 C CNN +F 4 "" H 1150 0 50 0001 C CNN "MFR" +F 5 "" H 1150 0 50 0001 C CNN "MPN" +F 6 "" H 1150 0 50 0001 C CNN "SPR" +F 7 "" H 1150 0 50 0001 C CNN "SPN" +F 8 "" H 1150 0 50 0001 C CNN "SPURL" + 1 16700 15100 + 1 0 0 -1 +$EndComp +$EndSCHEMATC diff --git a/hardware/rev1/kicad/ionpak.lib b/hardware/rev1/kicad/ionpak.lib new file mode 100644 index 0000000..c6359ad --- /dev/null +++ b/hardware/rev1/kicad/ionpak.lib @@ -0,0 +1,5572 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# 2N7002 +# +DEF 2N7002 Q 0 0 Y Y 1 F N +F0 "Q" 200 75 50 H V L CNN +F1 "2N7002" 200 0 50 H V L CNN +F2 "TO_SOT_Packages_SMD:SOT-23" 200 -75 50 H I L CIN +F3 "" 0 0 50 H V L CNN +$FPLIST + SOT-23* +$ENDFPLIST +DRAW +C 50 0 111 0 1 10 N +C 100 -50 5 0 1 0 N +C 100 50 5 0 1 0 N +P 2 0 1 20 25 -40 25 -65 N +P 2 0 1 20 25 15 25 -15 N +P 2 0 1 20 25 65 25 40 N +P 2 0 1 0 120 15 115 10 N +P 2 0 1 0 120 15 140 15 N +P 2 0 1 0 140 15 145 20 N +P 3 0 1 10 0 60 0 -60 0 -60 N +P 4 0 1 0 30 -50 100 -50 100 -100 100 -100 N +P 4 0 1 0 30 50 100 50 100 100 100 100 N +P 4 0 1 0 100 50 130 50 130 -50 100 -50 N +P 4 0 1 0 130 15 120 -10 140 -10 130 15 F +P 5 0 1 0 30 0 50 0 100 0 100 -50 100 -50 N +P 6 0 1 0 35 0 75 15 75 -15 35 0 40 0 40 0 F +X G 1 -200 -50 200 R 50 50 1 1 P +X S 2 100 -200 100 U 50 50 1 1 P +X D 3 100 200 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# 2N7002E +# +DEF 2N7002E Q 0 0 Y N 1 F N +F0 "Q" 300 50 50 H V R CNN +F1 "2N7002E" 40 -230 50 H V R CNN +F2 "" 200 100 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +C -40 0 6 0 1 0 F +C 100 -150 6 0 1 0 F +C 101 -100 6 0 1 0 F +C 101 100 7 0 1 0 F +S -60 130 190 -180 0 1 6 N +P 2 0 1 10 30 -90 30 90 F +P 2 0 1 0 30 -70 100 -70 N +P 2 0 1 0 30 0 100 0 N +P 2 0 1 0 30 70 100 70 N +P 2 0 1 6 100 -200 100 -150 N +P 2 0 1 0 100 -100 100 -170 N +P 2 0 1 0 100 -70 100 -100 N +P 2 0 1 0 100 -70 100 0 N +P 2 0 1 0 100 100 100 70 N +P 2 0 1 6 100 150 100 100 N +P 2 0 1 0 135 21 166 20 N +P 3 0 1 10 10 90 10 -90 10 -75 N +P 3 0 1 0 100 -150 -40 -150 -40 0 N +P 4 0 1 0 4 -138 4 -164 28 -150 4 -138 F +P 4 0 1 0 22 -132 30 -136 30 -162 38 -166 N +P 4 0 1 0 32 -150 56 -138 56 -164 34 -150 F +P 4 0 1 0 40 0 80 15 80 -15 40 0 F +P 4 0 1 0 150 18 139 -6 160 -6 149 18 F +P 5 0 1 0 100 -100 150 -100 150 0 150 100 100 100 N +X G 1 -200 0 210 R 50 50 1 1 I +X S 2 100 -300 100 U 50 50 1 1 P +X D 3 100 250 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# 3mm_Mounting_Hole +# +DEF 3mm_Mounting_Hole H 0 40 N N 1 F N +F0 "H" -150 100 60 H V C CNN +F1 "3mm_Mounting_Hole" 0 -150 60 H V C CNN +F2 "" -100 0 60 H I C CNN +F3 "" -100 0 60 H I C CNN +DRAW +C 0 0 100 0 1 0 N +ENDDRAW +ENDDEF +# +# 3mm_Mounting_Hole_SH +# +DEF 3mm_Mounting_Hole_SH H 0 40 N N 1 F N +F0 "H" -150 100 60 H V C CNN +F1 "3mm_Mounting_Hole_SH" 0 -150 60 H V C CNN +F2 "" -100 0 60 H I C CNN +F3 "" -100 0 60 H I C CNN +DRAW +C 0 0 100 0 1 0 N +X SH 1 -300 0 200 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# 74HC138 +# +DEF 74HC138 U 0 40 Y Y 1 F N +F0 "U" 150 300 50 H V C CNN +F1 "74HC138" 350 -1050 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -300 250 300 -1000 0 1 0 N +X GND 8 -600 150 300 R 50 50 0 1 W +X VCC 16 -600 -900 300 R 50 50 0 1 W +X A0 1 -600 0 300 R 50 50 1 1 I +X A1 2 -600 -150 300 R 50 50 1 1 I +X A2 3 -600 -300 300 R 50 50 1 1 I +X CS2 4 -600 -600 300 R 50 50 1 1 I I +X CS3 5 -600 -750 300 R 50 50 1 1 I I +X CS1 6 -600 -450 300 R 50 50 1 1 I +X Y7 7 600 -900 300 L 50 50 1 1 O +X Y6 9 600 -750 300 L 50 50 1 1 O +X Y5 10 600 -600 300 L 50 50 1 1 O +X Y4 11 600 -450 300 L 50 50 1 1 O +X Y3 12 600 -300 300 L 50 50 1 1 O +X Y2 13 600 -150 300 L 50 50 1 1 O +X Y1 14 600 0 300 L 50 50 1 1 O +X Y0 15 600 150 300 L 50 50 1 1 O +ENDDRAW +ENDDEF +# +# 74HC139 +# +DEF 74HC139 U 0 40 Y Y 2 F N +F0 "U" 150 300 50 H V C CNN +F1 "74HC139" 300 -350 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -300 250 300 -300 0 1 0 N +X GND 8 -600 200 300 R 50 50 0 1 W +X VCC 16 -600 -250 300 R 50 50 0 1 W +X Select 1 0 -600 300 U 50 50 1 1 I I +X A0 2 -600 50 300 R 50 50 1 1 I +X A1 3 -600 -100 300 R 50 50 1 1 I +X Y0 4 600 200 300 L 50 50 1 1 O +X Y1 5 600 50 300 L 50 50 1 1 O +X Y2 6 600 -100 300 L 50 50 1 1 O +X Y3 7 600 -250 300 L 50 50 1 1 O +X Y3 9 600 -250 300 L 50 50 2 1 O +X Y2 10 600 -100 300 L 50 50 2 1 O +X Y1 11 600 50 300 L 50 50 2 1 O +X Y0 12 600 200 300 L 50 50 2 1 O +X A1 13 -600 -100 300 R 50 50 2 1 I +X A0 14 -600 50 300 R 50 50 2 1 I +X Select 15 0 -600 300 U 50 50 2 1 I I +ENDDRAW +ENDDEF +# +# 74HC595 +# +DEF 74HC595 U 0 40 Y Y 1 F N +F0 "U" 150 600 50 H V C CNN +F1 "74HC595" 0 -600 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +ALIAS 74LS596 74LS595 +DRAW +S -400 -550 400 550 0 1 0 N +X QB 1 700 350 300 L 50 50 1 1 T +X QC 2 700 250 300 L 50 50 1 1 T +X QD 3 700 150 300 L 50 50 1 1 T +X QE 4 700 50 300 L 50 50 1 1 T +X QF 5 700 -50 300 L 50 50 1 1 T +X QG 6 700 -150 300 L 50 50 1 1 T +X QH 7 700 -250 300 L 50 50 1 1 T +X GND 8 -700 -450 300 R 50 50 1 1 W +X SQH 9 700 -450 300 L 50 50 1 1 O +X RESET 10 -700 150 300 R 50 50 1 1 I I +X SHIFT_CLK 11 -700 250 300 R 50 50 1 1 I C +X LATCH_CLK 12 -700 -50 300 R 50 50 1 1 I C +X OEN 13 -700 -150 300 R 50 50 1 1 I I +X A 14 -700 450 300 R 50 50 1 1 I +X QA 15 700 450 300 L 50 50 1 1 T +X VCC 16 -700 -350 300 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# 74HC595A +# +DEF 74HC595A U 0 40 Y Y 1 F N +F0 "U" 150 600 50 H V C CNN +F1 "74HC595A" 0 -600 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -400 -550 400 550 0 1 0 N +X QB 1 700 350 300 L 50 50 1 1 T +X QC 2 700 250 300 L 50 50 1 1 T +X QD 3 700 150 300 L 50 50 1 1 T +X QE 4 700 50 300 L 50 50 1 1 T +X QF 5 700 -50 300 L 50 50 1 1 T +X QG 6 700 -150 300 L 50 50 1 1 T +X QH 7 700 -250 300 L 50 50 1 1 T +X GND 8 -700 -450 300 R 50 50 1 1 W +X SQH 9 700 -450 300 L 50 50 1 1 O +X RESET 10 -700 150 300 R 50 50 1 1 I I +X SHIFT_CLK 11 -700 250 300 R 50 50 1 1 I C +X LATCH_CLK 12 -700 -50 300 R 50 50 1 1 I C +X OEN 13 -700 -150 300 R 50 50 1 1 I I +X A 14 -700 450 300 R 50 50 1 1 I +X QA 15 700 450 300 L 50 50 1 1 T +X VCC 16 -700 -350 300 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# 74LVC244 +# +DEF 74LVC244 U 0 40 Y Y 1 F N +F0 "U" -450 550 50 H V C CNN +F1 "74LVC244" 300 550 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +ALIAS 74LVC244A +$FPLIST + SO20 + TSSOP20 +$ENDFPLIST +DRAW +S -500 -550 500 500 0 1 0 N +X ~1OE 1 -800 400 300 R 50 50 1 1 I +X 1A0 2 -800 250 300 R 50 50 1 1 B +X 2Y0 3 -800 150 300 R 50 50 1 1 B +X 1A1 4 -800 50 300 R 50 50 1 1 B +X 2Y1 5 -800 -50 300 R 50 50 1 1 B +X 1A2 6 -800 -150 300 R 50 50 1 1 B +X 2Y2 7 -800 -250 300 R 50 50 1 1 B +X 1A3 8 -800 -350 300 R 50 50 1 1 B +X 2Y3 9 -800 -450 300 R 50 50 1 1 B +X GND 10 0 -850 300 U 50 50 1 1 P +X VCC 20 0 800 300 D 50 50 1 1 P +X 2A3 11 800 -450 300 L 50 50 1 1 B +X 1Y3 12 800 -350 300 L 50 50 1 1 B +X 2A2 13 800 -250 300 L 50 50 1 1 B +X 1Y2 14 800 -150 300 L 50 50 1 1 B +X 2A1 15 800 -50 300 L 50 50 1 1 B +X 1Y1 16 800 50 300 L 50 50 1 1 B +X 2A0 17 800 150 300 L 50 50 1 1 B +X 1Y0 18 800 250 300 L 50 50 1 1 B +X ~2OE 19 800 400 300 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# 74LVC245 +# +DEF 74LVC245 U 0 40 Y Y 1 F N +F0 "U" -450 550 50 H V C CNN +F1 "74LVC245" 300 550 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +ALIAS 74LVC245A +$FPLIST + SO20 + TSSOP20 +$ENDFPLIST +DRAW +S -500 -550 500 500 0 1 0 N +X DIR 1 -800 400 300 R 50 50 1 1 I +X A0 2 -800 250 300 R 50 50 1 1 B +X A1 3 -800 150 300 R 50 50 1 1 B +X A2 4 -800 50 300 R 50 50 1 1 B +X A3 5 -800 -50 300 R 50 50 1 1 B +X A4 6 -800 -150 300 R 50 50 1 1 B +X A5 7 -800 -250 300 R 50 50 1 1 B +X A6 8 -800 -350 300 R 50 50 1 1 B +X A7 9 -800 -450 300 R 50 50 1 1 B +X GND 10 0 -850 300 U 50 50 1 1 P +X VCC 20 0 800 300 D 50 50 1 1 P +X B7 11 800 -450 300 L 50 50 1 1 B +X B6 12 800 -350 300 L 50 50 1 1 B +X B5 13 800 -250 300 L 50 50 1 1 B +X B4 14 800 -150 300 L 50 50 1 1 B +X B3 15 800 -50 300 L 50 50 1 1 B +X B2 16 800 50 300 L 50 50 1 1 B +X B1 17 800 150 300 L 50 50 1 1 B +X B0 18 800 250 300 L 50 50 1 1 B +X ~OE 19 800 400 300 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# 8205 +# +DEF 8205 Q 0 0 Y N 1 F N +F0 "Q" 300 50 50 H V R CNN +F1 "8205" 650 -50 50 H V R CNN +F2 "" 200 100 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +C 50 0 111 0 1 10 N +C 50 400 111 0 1 10 N +P 2 0 1 0 30 -70 100 -70 N +P 2 0 1 10 30 -50 30 -90 N +P 2 0 1 0 30 0 100 0 N +P 2 0 1 10 30 20 30 -20 N +P 2 0 1 0 30 70 100 70 N +P 2 0 1 10 30 90 30 50 N +P 2 0 1 10 30 310 30 350 N +P 2 0 1 0 30 330 100 330 N +P 2 0 1 10 30 380 30 420 N +P 2 0 1 0 30 400 100 400 N +P 2 0 1 10 30 450 30 490 N +P 2 0 1 0 30 470 100 470 N +P 2 0 1 0 100 -70 100 -100 N +P 2 0 1 0 100 100 100 70 N +P 2 0 1 0 100 300 100 100 N +P 2 0 1 0 100 300 100 330 N +P 2 0 1 0 100 470 100 500 N +P 2 0 1 0 150 150 100 150 N +P 2 0 1 0 150 250 100 250 N +P 3 0 1 10 10 75 10 -75 10 -75 N +P 3 0 1 10 10 325 10 475 10 475 N +P 4 0 1 0 90 0 50 -15 50 15 90 0 F +P 4 0 1 0 90 400 50 415 50 385 90 400 F +P 2 1 1 0 100 -70 100 0 N +P 2 1 1 0 100 470 100 400 N +X S 1 100 600 100 D 50 50 1 1 P +X D 2 250 150 100 L 50 50 1 1 P +X S 3 100 -200 100 U 50 50 1 1 P +X G 4 -200 0 210 R 50 50 1 1 I +X D2 5 250 250 100 L 50 50 1 1 I +X G 6 -200 400 210 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# 8_PIN_EPS +# +DEF 8_PIN_EPS J 0 40 N Y 1 F N +F0 "J" -250 300 60 H V C CNN +F1 "8_PIN_EPS" 100 300 60 H V C CNN +F2 "" 100 350 60 H V C CNN +F3 "" 100 350 60 H V C CNN +DRAW +S -300 250 300 -250 0 1 0 N +X 1 1 -500 150 200 R 50 50 1 1 P +X 2 2 -500 50 200 R 50 50 1 1 P +X 3 3 -500 -50 200 R 50 50 1 1 P +X 4 4 -500 -150 200 R 50 50 1 1 P +X 5 5 500 150 200 L 50 50 1 1 P +X 6 6 500 50 200 L 50 50 1 1 P +X 7 7 500 -50 200 L 50 50 1 1 P +X 8 8 500 -150 200 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# 8_PIN_EPS12V +# +DEF 8_PIN_EPS12V J 0 40 N Y 1 F N +F0 "J" 0 0 60 H V C CNN +F1 "8_PIN_EPS12V" 0 -450 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -450 -100 200 -800 0 1 0 N +X GND 1 -650 -300 200 R 50 50 1 1 W +X GND 2 -650 -300 200 R 50 50 1 1 W N +X GND 3 -650 -300 200 R 50 50 1 1 W N +X GND 4 -650 -300 200 R 50 50 1 1 W N +X 12V 5 -650 -600 200 R 50 50 1 1 W +X 12V 6 -650 -600 200 R 50 50 1 1 W N +X 12V 7 -650 -600 200 R 50 50 1 1 W N +X 12V 8 -650 -600 200 R 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# 8_PIN_EPS12V_VIN +# +DEF 8_PIN_EPS12V_VIN J 0 40 N Y 1 F N +F0 "J" -400 -50 60 H V C CNN +F1 "8_PIN_EPS12V_VIN" 150 -50 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -450 -100 200 -800 0 1 0 N +X GND 1 -650 -300 200 R 50 50 1 1 W +X GND 2 -650 -300 200 R 50 50 1 1 W N +X GND 3 -650 -300 200 R 50 50 1 1 W N +X GND 4 -650 -300 200 R 50 50 1 1 W N +X VIN 5 -650 -600 200 R 50 50 1 1 W +X VIN 6 -650 -600 200 R 50 50 1 1 W N +X VIN 7 -650 -600 200 R 50 50 1 1 W N +X VIN 8 -650 -600 200 R 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# 9001 +# +DEF 9001 RL 0 40 Y Y 1 F N +F0 "RL" 450 150 50 H V L CNN +F1 "9001" 450 50 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -400 200 400 -200 0 1 10 N +S -325 75 -75 -75 0 1 10 N +P 2 0 1 10 -300 -75 -100 75 N +P 2 0 1 0 -200 -200 -200 -75 N +P 2 0 1 0 -200 200 -200 75 N +P 2 0 1 10 -75 0 -50 0 N +P 2 0 1 10 -25 0 0 0 N +P 2 0 1 10 25 0 50 0 N +P 2 0 1 10 75 0 100 0 N +P 2 0 1 10 125 0 150 0 N +P 2 0 1 20 200 -100 125 150 N +P 2 0 1 0 200 -100 200 -200 N +P 2 0 1 0 300 100 300 200 N +P 3 0 1 0 300 100 275 125 300 150 N +X ~ 1 200 -300 100 U 50 50 1 1 P +X ~ 2 -200 300 100 D 50 50 1 1 P +X ~ 3 -200 -300 100 U 50 50 1 1 P +X ~ 4 300 300 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# AD849x +# +DEF AD849x U 0 40 Y Y 1 F N +F0 "U" 0 250 60 H V C CNN +F1 "AD849x" -50 0 60 V V C CNN +F2 "" 0 250 60 H V C CNN +F3 "" 0 250 60 H V C CNN +ALIAS AD8494 AD8495 AD8496 AD8497 +DRAW +S -300 200 300 -200 0 1 0 N +X -IN 1 -500 150 200 R 50 50 1 1 I +X REF 2 -500 50 200 R 50 50 1 1 I +X -Vs 3 -500 -50 200 R 50 50 1 1 W +X NC 4 -500 -150 200 R 50 50 1 1 N N +X SENSE 5 500 150 200 L 50 50 1 1 I +X OUT 6 500 50 200 L 50 50 1 1 O +X +Vs 7 500 -50 200 L 50 50 1 1 W +X +IN 8 500 -150 200 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# ADS1015 +# +DEF ADS1015 U 0 40 Y Y 1 F N +F0 "U" 0 -300 60 H V C CNN +F1 "ADS1015" 0 300 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +X ADDR 1 -250 200 200 L 50 50 1 0 I +X ALERT/RDY 2 -250 100 200 L 50 50 1 0 O +X GND 3 -250 0 200 L 50 50 1 0 I +X AIN0 4 -250 -100 200 L 50 50 1 0 I +X AIN1 5 -250 -200 200 L 50 50 1 0 I +X AIN2 6 250 -200 200 R 50 50 1 0 I +X AIN3 7 250 -100 200 R 50 50 1 0 I +X VDD 8 250 0 200 R 50 50 1 0 W +X SDA 9 250 100 200 R 50 50 1 0 B +X SCL 10 250 200 200 R 50 50 1 0 I +S -250 250 250 -250 0 1 0 N +ENDDRAW +ENDDEF +# +# AMS1117 +# +DEF AMS1117 U 0 30 Y Y 1 F N +F0 "U" 100 -250 50 H V C CNN +F1 "AMS1117" 0 250 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + SOT223 + SOT89-3 + TO220-3 + TO252 + TO263 +$ENDFPLIST +DRAW +S -200 -200 200 200 0 1 10 N +X GND/ADJ 1 0 -300 100 U 50 50 1 1 W +X VO 2 300 0 100 L 50 50 1 1 w +X VI 3 -300 0 100 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# AN44067A +# +DEF AN44067A U 0 40 Y Y 1 F N +F0 "U" 0 1000 60 H V C CNN +F1 "AN44067A" 0 -550 60 H V C CNN +F2 "" 0 1000 60 H V C CNN +F3 "" 0 1000 60 H V C CNN +$FPLIST + SOP34 + SOP-34 +$ENDFPLIST +DRAW +X DECAY2 20 550 -300 200 L 50 50 1 0 I +X ST2 30 550 600 200 L 50 50 1 0 I +X DECAY1 21 550 -200 200 L 50 50 1 0 I +X ST1 31 550 700 200 L 50 50 1 0 I +X STBY 22 550 -100 200 L 50 50 1 0 I +X DIR 32 550 800 200 L 50 50 1 0 I +X VREF 23 550 0 200 L 50 50 1 0 I +X PWMSW 33 550 900 200 L 50 50 1 0 I +X S5VOUT 24 550 100 200 L 50 50 1 0 O +X NC 34 -150 -400 200 L 50 50 1 0 N N +X TEST 25 550 200 200 L 50 50 1 0 I +X GND 26 -550 900 200 R 50 50 1 0 I N +X GND 27 -550 900 200 R 50 50 1 0 I N +X PHA 28 550 400 200 L 50 50 1 0 I +X ENABLE 19 550 -400 200 L 50 50 1 0 I +X ST3 29 550 500 200 L 50 50 1 0 I +S -350 -500 350 950 0 1 0 N +S 250 -900 250 -900 0 1 0 N +X VM2 1 -550 500 200 R 50 50 1 1 W +X NC 2 -150 -400 200 L 50 50 1 1 N N +X TJMON 3 550 300 200 L 50 50 1 1 O +X GND 4 -550 900 200 R 50 50 1 1 I +X NC 5 -150 -400 200 L 50 50 1 1 N N +X BOUT2 6 -550 800 200 R 50 50 1 1 O +X RCSB 7 -550 700 200 R 50 50 1 1 B +X BOUT1 8 -550 600 200 R 50 50 1 1 O +X GND 9 -550 900 200 R 50 50 1 1 I N +X AOUT2 10 -550 300 200 R 50 50 1 1 O +X RCSA 11 -550 200 200 R 50 50 1 1 B +X AOUT1 12 -550 100 200 R 50 50 1 1 O +X BC1 13 -550 -200 200 R 50 50 1 1 O +X BC2 14 -550 -300 200 R 50 50 1 1 O +X VPUMP 15 -550 -100 200 R 50 50 1 1 O +X NC 16 -150 -400 200 L 50 50 1 1 N N +X VM1 17 -550 400 200 R 50 50 1 1 W +X NC 18 -150 -400 200 L 50 50 1 1 N N +X EP EP -550 -400 200 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# AP1117 +# +DEF AP1117 U 0 30 Y Y 1 F N +F0 "U" 100 -250 50 H V C CNN +F1 "AP1117" 0 250 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + SOT223 + SOT89-3 + TO220-3 + TO252 + TO263 +$ENDFPLIST +DRAW +S -200 -200 200 200 0 1 10 N +X GND/ADJ 1 0 -300 100 U 50 50 1 1 W +X VO 2 300 0 100 L 50 50 1 1 w +X VI 3 -300 0 100 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# AP2171 +# +DEF AP2171 U 0 40 Y Y 1 F N +F0 "U" -300 350 60 H V C CNN +F1 "AP2171" 200 350 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +ALIAS AP2161 +$FPLIST + SOT25 + SOT223 +$ENDFPLIST +DRAW +S 350 -100 -350 300 0 1 0 N +X OUT 1 -550 250 200 R 50 50 1 1 w +X GND 2 -550 100 200 R 50 50 1 1 W +X FLG 3 -550 -50 200 R 50 50 1 1 O +X EN 4 550 -50 200 L 50 50 1 1 I +X IN 5 550 250 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# AP7365 +# +DEF AP7365 U 0 40 Y Y 1 F N +F0 "U" 0 350 60 H V C CNN +F1 "AP7365" 0 0 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +$FPLIST + SOT25 + SOT223 +$ENDFPLIST +DRAW +S 350 -100 -350 300 0 1 0 N +X IN 1 -550 250 200 R 50 50 1 1 P +X GND 2 -550 100 200 R 50 50 1 1 W +X EN 3 -550 -50 200 R 50 50 1 1 I +X ADJ 4 550 -50 200 L 50 50 1 1 P +X OUT 5 550 250 200 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# AT42QT1011 +# +DEF AT42QT1011 U 0 40 N Y 1 F N +F0 "U" -250 300 60 H V C CNN +F1 "AT42QT1011" 350 300 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +S -300 -600 300 250 0 1 0 N +X OUT 1 -500 0 200 R 50 50 1 1 P +X VSS 2 0 -800 200 U 50 50 1 1 W +X SNSK 3 500 0 200 L 50 50 1 1 P +X SNS 4 500 -150 200 L 50 50 1 1 P +X VCC 5 0 450 200 D 50 50 1 1 w +X SYNC/MODE 6 500 -300 200 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# ATX_24_Pin +# +DEF ATX_24_Pin J 0 40 Y Y 1 F N +F0 "J" 0 0 60 H V C CNN +F1 "ATX_24_Pin" 0 -750 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -1400 0 1 0 N +X 3V3 1 -900 -200 200 R 50 50 1 1 W +X 3V3 2 -900 -300 200 R 50 50 1 1 W +X GND 3 -900 -400 200 R 50 50 1 1 W +X 5V 4 -900 -500 200 R 50 50 1 1 W +X GND 5 -900 -600 200 R 50 50 1 1 W +X 5V 6 -900 -700 200 R 50 50 1 1 W +X GND 7 -900 -800 200 R 50 50 1 1 W +X PWR_GOOD 8 -900 -900 200 R 50 50 1 1 O +X 5V_STANDBY 9 -900 -1000 200 R 50 50 1 1 W +X 12V 10 -900 -1100 200 R 50 50 1 1 W +X NC 20 900 -900 200 L 50 50 1 1 N +X 12V 11 -900 -1200 200 R 50 50 1 1 W +X 5V 21 900 -1000 200 L 50 50 1 1 W +X 3V3 12 -900 -1300 200 R 50 50 1 1 W +X 5V 22 900 -1100 200 L 50 50 1 1 W +X 3V3 13 900 -200 200 L 50 50 1 1 W +X 5V 23 900 -1200 200 L 50 50 1 1 W +X -12V 14 900 -300 200 L 50 50 1 1 W +X GND 24 900 -1300 200 L 50 50 1 1 W +X GND 15 900 -400 200 L 50 50 1 1 W +X PWR_ON 16 900 -500 200 L 50 50 1 1 I +X GND 17 900 -600 200 L 50 50 1 1 W +X GND 18 900 -700 200 L 50 50 1 1 W +X GND 19 900 -800 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# BARREL_JACK +# +DEF BARREL_JACK J 0 40 Y Y 1 F N +F0 "J" 0 300 50 H V C CNN +F1 "BARREL_JACK" 0 -200 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +A -300 99 49 -900 1788 0 1 0 N -300 50 -350 100 +A -300 101 49 900 -1788 0 1 0 N -300 150 -350 100 +S 100 150 0 50 0 1 0 N +S 100 250 -400 -150 0 1 0 N +P 2 0 1 0 -300 50 0 50 N +P 2 0 1 0 0 150 -300 150 N +P 5 0 1 0 100 -100 -150 -100 -200 -50 -250 -100 -350 -100 N +X ~ 1 300 100 200 L 50 50 1 1 P +X ~ 2 300 -100 200 L 50 50 1 1 P +X Shield SH 300 200 200 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# BARREL_JACK_2_PIN +# +DEF BARREL_JACK_2_PIN CON 0 40 Y Y 1 F N +F0 "CON" 0 250 50 H V C CNN +F1 "BARREL_JACK_2_PIN" 0 -200 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +A -300 99 49 -900 1788 0 1 0 N -300 50 -350 100 +A -300 101 49 900 -1788 0 1 0 N -300 150 -350 100 +S 100 150 0 50 0 1 0 N +P 2 0 1 0 -300 50 0 50 N +P 2 0 1 0 0 150 -300 150 N +P 5 0 1 0 100 -100 -150 -100 -200 -50 -250 -100 -350 -100 N +X ~ 1 300 100 200 L 50 50 1 1 P +X ~ 2 300 -100 200 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# BAS116 +# +DEF BAS116 D 0 10 Y N 1 F N +F0 "D" -50 80 50 H V L CNN +F1 "BAS116" -150 -80 50 H V L CNN +F2 "" 0 0 50 V V C CNN +F3 "" 0 0 50 V V C CNN +DRAW +P 2 0 1 0 -30 -40 -30 40 N +P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F +X A 1 100 0 70 L 50 50 1 1 P +X K 3 -100 0 70 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# BAS16 +# +DEF BAS16 D 0 0 N Y 1 F N +F0 "D" -175 135 60 H V C CNN +F1 "BAS16" 155 145 60 H V C CNN +F2 "" 0 250 60 H V C CNN +F3 "" 0 250 60 H V C CNN +$FPLIST + SOT-23 +$ENDFPLIST +DRAW +P 2 0 0 0 0 0 -70 0 N +C 0 0 10 0 1 8 F +P 2 0 1 0 -300 0 -250 0 N +P 2 0 1 0 -200 0 -150 0 N +P 2 0 1 8 -140 0 -170 0 N +P 2 0 1 0 -70 40 -70 -40 N +P 2 0 1 0 0 100 0 50 N +P 2 0 1 8 110 0 170 0 N +P 2 0 1 0 150 0 200 0 N +P 4 0 1 8 -140 40 -140 -40 -70 0 -140 40 N +P 7 0 1 8 0 0 0 80 -170 80 -170 -80 170 -80 170 80 0 80 N +X 1 1 -300 0 138 R 50 50 1 1 I +X 2 2 300 0 138 L 50 50 1 1 I +X 3 3 0 200 138 D 50 50 1 1 I +ENDDRAW +ENDDEF +# +# BAT54 +# +DEF BAT54 D 0 10 Y N 1 F N +F0 "D" -50 80 50 H V L CNN +F1 "BAT54" -280 -80 50 H V L CNN +F2 "" 0 0 50 V V C CNN +F3 "" 0 0 50 V V C CNN +$FPLIST + Diode_* + D-Pak_TO252AA + *SingleDiode + *SingleDiode* + *_Diode_* +$ENDFPLIST +DRAW +P 2 0 1 0 -30 -40 -30 40 N +P 3 0 1 0 -30 -40 -20 -40 -20 -30 N +P 3 0 1 0 -30 40 -40 40 -40 30 N +P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F +X A 1 100 0 70 L 50 50 1 1 P +X K 3 -100 0 70 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# BAT54S +# +DEF BAT54S D 0 0 N Y 1 F N +F0 "D" -160 180 60 H V C CNN +F1 "BAT54S" 0 -160 60 H V C CNN +F2 "" 0 250 60 H V C CNN +F3 "" 0 250 60 H V C CNN +$FPLIST + SO-8 +$ENDFPLIST +DRAW +C 0 0 10 0 1 8 F +P 2 0 1 0 -300 0 -250 0 N +P 2 0 1 0 -200 0 -150 0 N +P 2 0 1 8 -140 0 -170 0 N +P 2 0 1 8 -70 0 40 0 N +P 2 0 1 0 0 100 0 50 N +P 2 0 1 8 110 0 170 0 N +P 2 0 1 0 150 0 200 0 N +P 4 0 1 8 -140 40 -140 -40 -70 0 -140 40 N +P 4 0 1 8 40 40 40 -40 110 0 40 40 N +P 6 0 1 8 -40 20 -40 40 -70 40 -70 -40 -90 -40 -90 -30 N +P 6 0 1 8 140 20 140 40 110 40 110 -40 90 -40 90 -30 N +P 7 0 1 8 0 0 0 80 -170 80 -170 -80 170 -80 170 80 0 80 N +X 1 1 -300 0 138 R 50 50 1 1 I +X 2 2 300 0 138 L 50 50 1 1 I +X 3 3 0 200 138 D 50 50 1 1 I +ENDDRAW +ENDDEF +# +# BAV99 +# +DEF BAV99 D 0 0 N Y 1 F N +F0 "D" -175 135 60 H V C CNN +F1 "BAV99" 155 145 60 H V C CNN +F2 "" 0 250 60 H V C CNN +F3 "" 0 250 60 H V C CNN +$FPLIST + SOT-23 +$ENDFPLIST +DRAW +C 0 0 10 0 1 8 F +P 2 0 1 0 -300 0 -250 0 N +P 2 0 1 0 -200 0 -150 0 N +P 2 0 1 8 -140 0 -170 0 N +P 2 0 1 8 -70 0 40 0 N +P 2 0 1 0 -70 40 -70 -40 N +P 2 0 1 0 0 100 0 50 N +P 2 0 1 0 110 -40 110 40 N +P 2 0 1 8 110 0 170 0 N +P 2 0 1 0 150 0 200 0 N +P 4 0 1 8 -140 40 -140 -40 -70 0 -140 40 N +P 4 0 1 8 40 40 40 -40 110 0 40 40 N +P 7 0 1 8 0 0 0 80 -170 80 -170 -80 170 -80 170 80 0 80 N +X 1 1 -300 0 138 R 50 50 1 1 I +X 2 2 300 0 138 L 50 50 1 1 I +X 3 3 0 200 138 D 50 50 1 1 I +ENDDRAW +ENDDEF +# +# BAW56 +# +DEF BAW56 D 0 0 N Y 1 F N +F0 "D" -160 180 60 H V C CNN +F1 "BAW56" 0 -160 60 H V C CNN +F2 "" 0 250 60 H V C CNN +F3 "" 0 250 60 H V C CNN +$FPLIST + SO-8 +$ENDFPLIST +DRAW +C 0 0 10 0 1 8 F +P 2 0 1 0 -300 0 -250 0 N +P 2 0 1 0 -200 0 -150 0 N +P 2 0 1 8 -110 0 -170 0 N +P 2 0 1 8 -110 40 -110 -40 N +P 2 0 1 8 -40 0 40 0 N +P 2 0 1 0 0 100 0 50 N +P 2 0 1 8 110 0 170 0 N +P 2 0 1 8 110 40 110 -40 N +P 2 0 1 0 150 0 200 0 N +P 4 0 1 8 -40 40 -40 -40 -110 0 -40 40 N +P 4 0 1 8 40 40 40 -40 110 0 40 40 N +P 7 0 1 8 0 0 0 80 -170 80 -170 -80 170 -80 170 80 0 80 N +X 1 1 -300 0 138 R 50 50 1 1 I +X 2 2 300 0 138 L 50 50 1 1 I +X 3 3 0 200 138 D 50 50 1 1 I +ENDDRAW +ENDDEF +# +# CONN_01X04 +# +DEF CONN_01X04 P 0 40 Y N 1 F N +F0 "P" 0 250 50 H V C CNN +F1 "CONN_01X04" 100 0 50 V V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Pin_Header_Straight_1X04 + Pin_Header_Angled_1X04 + Socket_Strip_Straight_1X04 + Socket_Strip_Angled_1X04 +$ENDFPLIST +DRAW +S -50 -145 10 -155 0 1 0 N +S -50 -45 10 -55 0 1 0 N +S -50 55 10 45 0 1 0 N +S -50 155 10 145 0 1 0 N +S -50 200 50 -200 0 1 0 N +X P1 1 -200 150 150 R 50 50 1 1 P +X P2 2 -200 50 150 R 50 50 1 1 P +X P3 3 -200 -50 150 R 50 50 1 1 P +X P4 4 -200 -150 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# CONN_01X05 +# +DEF CONN_01X05 P 0 40 Y N 1 F N +F0 "P" 0 300 50 H V C CNN +F1 "CONN_01X05" 100 0 50 V V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Pin_Header_Straight_1X05 + Pin_Header_Angled_1X05 + Socket_Strip_Straight_1X05 + Socket_Strip_Angled_1X05 +$ENDFPLIST +DRAW +S -50 -195 10 -205 0 1 0 N +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 205 10 195 0 1 0 N +S -50 250 50 -250 0 1 0 N +X P1 1 -200 200 150 R 50 50 1 1 P +X P2 2 -200 100 150 R 50 50 1 1 P +X P3 3 -200 0 150 R 50 50 1 1 P +X P4 4 -200 -100 150 R 50 50 1 1 P +X P5 5 -200 -200 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# CR2032_CLIP +# +DEF CR2032_CLIP XBT 0 0 N Y 1 F N +F0 "XBT" 100 50 50 H V L CNN +F1 "CR2032_CLIP" 100 -50 50 H V L CNN +F2 "" 0 40 50 V V C CNN +F3 "" 0 40 50 V V C CNN +DRAW +S -90 -7 90 -17 0 1 0 F +S -62 27 58 7 0 1 0 F +X - 1 0 150 100 D 50 50 1 1 W +X + 2 0 -150 100 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Crystal +# +DEF Crystal Y 0 40 N N 1 F N +F0 "Y" 0 150 50 H V C CNN +F1 "Crystal" 0 -150 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Crystal_* +$ENDFPLIST +DRAW +S -50 100 50 -100 0 1 12 N +P 2 0 1 12 -100 -50 -100 50 N +P 2 0 1 12 100 -50 100 50 N +X 1 1 -150 0 50 R 40 40 1 1 P +X 2 2 150 0 50 L 40 40 1 1 P +ENDDRAW +ENDDEF +# +# Crystal_4_Pin +# +DEF Crystal_4_Pin Y 0 40 N N 1 F N +F0 "Y" 0 100 50 H V C CNN +F1 "Crystal_4_Pin" 0 -100 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Crystal_ +$ENDFPLIST +DRAW +S -30 -60 30 60 0 1 0 N +P 2 0 1 0 -50 -30 -50 30 N +P 2 0 1 0 50 -30 50 30 N +X 1 1 -100 0 50 R 40 40 1 1 P +X NC1 2 -100 -200 100 R 50 50 1 1 N N +X NC2 3 100 -200 100 L 50 50 1 1 N N +X 4 4 100 0 50 L 40 40 1 1 P +ENDDRAW +ENDDEF +# +# Crystal_4_Pin_1_3_Active +# +DEF Crystal_4_Pin_1_3_Active Y 0 40 N N 1 F N +F0 "Y" 0 150 50 H V C CNN +F1 "Crystal_4_Pin_1_3_Active" 0 -200 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + Crystal_ +$ENDFPLIST +DRAW +S -100 100 100 -150 0 1 0 N +S -30 -60 30 60 0 1 0 N +P 2 0 1 0 -50 -30 -50 30 N +P 2 0 1 0 50 -30 50 30 N +X 1 1 -150 0 98 R 40 40 1 1 P +X GND 2 -150 -100 100 R 50 50 1 1 W +X 3 3 150 0 98 L 40 40 1 1 P +X GND 4 150 -100 100 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# DMP1046UFDB +# +DEF DMP1046UFDB Q 0 0 N Y 2 F N +F0 "Q" 5 170 50 H V R CNN +F1 "DMP1046UFDB" 700 200 50 H V R CNN +F2 "" 200 100 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +C 90 0 136 0 1 0 N +C 101 -100 6 0 1 0 F +C 101 100 7 0 1 0 F +P 2 0 1 0 30 -70 100 -70 N +P 2 0 1 0 30 -50 30 -90 N +P 2 0 1 0 30 0 100 0 N +P 2 0 1 0 30 20 30 -20 N +P 2 0 1 0 30 70 100 70 N +P 2 0 1 0 30 90 30 50 N +P 2 0 1 6 100 -150 100 -150 N +P 2 0 1 0 100 -100 100 -170 N +P 2 0 1 0 100 -70 100 -100 N +P 2 0 1 0 100 -70 100 0 N +P 2 0 1 0 100 100 100 70 N +P 2 0 1 6 100 150 100 100 N +P 2 0 1 0 137 -21 168 -20 N +P 3 0 1 10 10 90 10 -90 10 -75 N +P 4 0 1 0 86 0 46 15 46 -15 86 0 F +P 4 0 1 0 152 -18 141 6 162 6 151 -18 F +P 5 0 1 0 102 100 152 100 152 0 152 -100 102 -100 N +X S 1 100 -250 100 U 50 50 1 1 P +X S 2 100 -250 100 U 50 50 1 1 P +X S 3 100 -250 100 U 50 50 1 1 P +X G 4 -200 0 210 R 50 50 1 1 I +X D 5 100 250 100 D 50 50 1 1 P +X D 6 100 250 100 D 50 50 1 1 P +X D 7 100 250 100 D 50 50 1 1 P +X D 8 100 250 100 D 50 50 1 1 P +X S 1 100 -250 100 U 50 50 2 1 P +X S 2 100 -250 100 U 50 50 2 1 P +X S 3 100 -250 100 U 50 50 2 1 P +X G 4 -200 0 210 R 50 50 2 1 I +X D 5 100 250 100 D 50 50 2 1 P +X D 6 100 250 100 D 50 50 2 1 P +X D 7 100 250 100 D 50 50 2 1 P +X D 8 100 250 100 D 50 50 2 1 P +ENDDRAW +ENDDEF +# +# DW01 +# +DEF DW01 U 0 40 Y Y 1 F N +F0 "U" -350 400 60 H V L CNN +F1 "DW01" 350 400 60 H V R CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -350 350 350 -250 0 1 0 N +X OD 1 -100 -400 150 U 30 50 1 1 O +X CS 2 500 -150 150 L 30 50 1 1 P +X OC 3 150 -400 150 U 30 50 1 1 O +X TD 4 -500 -150 150 R 30 50 1 1 P +X VCC 5 -500 250 150 R 30 50 1 1 P +X GND 6 -500 -50 150 R 30 50 1 1 P +ENDDRAW +ENDDEF +# +# Diode_Bridge +# +DEF Diode_Bridge D 0 50 Y Y 1 F N +F0 "D" -250 300 50 H V C CNN +F1 "Diode_Bridge" 350 -350 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +P 2 0 0 0 -300 0 -200 -100 N +P 2 0 0 0 -300 0 -200 100 N +P 2 0 0 8 -150 -250 -50 -150 N +P 2 0 0 8 -150 250 -50 150 N +P 2 0 0 0 0 -300 -100 -200 N +P 2 0 0 0 0 -300 100 -200 N +P 2 0 0 0 0 300 -100 200 N +P 2 0 0 0 0 300 100 200 N +P 2 0 0 8 150 -50 250 -150 N +P 2 0 0 8 150 50 250 150 N +P 2 0 0 0 300 0 200 -100 N +P 2 0 0 0 300 0 200 100 N +P 4 0 0 0 -250 -150 -150 -50 -100 -200 -250 -150 F +P 4 0 0 0 -150 50 -250 150 -100 200 -150 50 F +P 4 0 0 0 50 -150 150 -250 200 -100 50 -150 F +P 4 0 0 0 50 150 150 250 200 100 50 150 F +X + 1 -400 0 100 R 50 50 1 1 I +X - 2 400 0 100 L 50 50 1 1 I +X ~~ 3 0 -400 100 U 50 50 1 1 I +X ~~ 4 0 400 100 D 50 50 1 1 I +ENDDRAW +ENDDEF +# +# ESP8266 +# +DEF ESP8266 U 0 40 Y Y 1 F N +F0 "U" 0 -200 60 H V C CNN +F1 "ESP8266" 0 0 60 H V C CNN +F2 "" 1100 1200 60 H V C CNN +F3 "" 1100 1200 60 H V C CNN +DRAW +X VDDA 1 -550 350 200 L 50 50 1 0 W +X LNA 2 -550 250 200 L 50 50 1 0 B +X VDD3P3 3 -550 150 200 L 50 50 1 0 W +X VDD3P3 4 -550 50 200 L 50 50 1 0 W +X VDD_RTC 5 -550 -50 200 L 50 50 1 0 W +X TOUT 6 -550 -150 200 L 50 50 1 0 I +X CHIP_EN 7 -550 -250 200 L 50 50 1 0 I +X XPD_DCDC 8 -550 -350 200 L 50 50 1 0 B +X MTMS 9 -350 -550 200 D 50 50 1 0 B +X MTDI 10 -250 -550 200 D 50 50 1 0 B +X SDIO_CMD 20 550 -50 200 R 50 50 1 0 B +X VDDA 30 -150 550 200 U 50 50 1 0 W +X VDDPST 11 -150 -550 200 D 50 50 1 0 W +X SDIO_CLK 21 550 50 200 R 50 50 1 0 B +X RES12K 31 -250 550 200 U 50 50 1 0 I +X MTCK 12 -50 -550 200 D 50 50 1 0 B +X SDIO_DATA_0 22 550 150 200 R 50 50 1 0 B +X EXT_RSTB 32 -350 550 200 U 50 50 1 0 W +X MTDO 13 50 -550 200 D 50 50 1 0 B +X SDIO_DATA_1 23 550 250 200 R 50 50 1 0 B +X GPIO2 14 150 -550 200 D 50 50 1 0 B +X GPIO5 24 550 350 200 R 50 50 1 0 B +X GPIO0 15 250 -550 200 D 50 50 1 0 B +X U0RXD 25 350 550 200 U 50 50 1 0 B +X GPIO4 16 350 -550 200 D 50 50 1 0 B +X U0TXD 26 250 550 200 U 50 50 1 0 B +X VDDPST 17 550 -350 200 R 50 50 1 0 W +X XTAL_OUT 27 150 550 200 U 50 50 1 0 B +X SDIO_DATA2 18 550 -250 200 R 50 50 1 0 B +X XTAL_IN 28 50 550 200 U 50 50 1 0 B +X SDIO_DATA_3 19 550 -150 200 R 50 50 1 0 B +X VDDD 29 -50 550 200 U 50 50 1 0 W +S 550 -550 -550 550 0 1 0 N +ENDDRAW +ENDDEF +# +# FAN3100 +# +DEF FAN3100 U 0 40 Y Y 1 F N +F0 "U" -350 400 60 H V L CNN +F1 "FAN3100" 350 400 60 H V R CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -350 350 350 -250 0 1 0 N +X VDD 1 -500 250 150 R 30 50 1 1 P +X GND 2 0 -400 150 U 30 50 1 1 P +X IN+ 3 -500 50 150 R 30 50 1 1 P +X IN- 4 -500 -50 150 R 30 50 1 1 P +X OUT 5 500 0 150 L 30 50 1 1 P +ENDDRAW +ENDDEF +# +# FDS2582 +# +DEF FDS2582 Q 0 0 N Y 1 F N +F0 "Q" 5 170 50 H V R CNN +F1 "FDS2582" 495 160 50 H V R CNN +F2 "" 200 100 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + SO-8 +$ENDFPLIST +DRAW +C 90 0 136 0 1 0 N +C 101 -100 6 0 1 0 F +C 101 100 7 0 1 0 F +P 2 0 1 0 30 -70 100 -70 N +P 2 0 1 0 30 -50 30 -90 N +P 2 0 1 0 30 0 100 0 N +P 2 0 1 0 30 20 30 -20 N +P 2 0 1 0 30 70 100 70 N +P 2 0 1 0 30 90 30 50 N +P 2 0 1 6 100 -150 100 -150 N +P 2 0 1 0 100 -100 100 -170 N +P 2 0 1 0 100 -70 100 -100 N +P 2 0 1 0 100 -70 100 0 N +P 2 0 1 0 100 100 100 70 N +P 2 0 1 6 100 150 100 100 N +P 2 0 1 0 135 21 166 20 N +P 3 0 1 10 10 90 10 -90 10 -75 N +P 4 0 1 0 40 0 80 15 80 -15 40 0 F +P 4 0 1 0 150 18 139 -6 160 -6 149 18 F +P 5 0 1 0 100 -100 150 -100 150 0 150 100 100 100 N +X S 1 100 -250 100 U 50 50 1 1 P +X S 2 100 -250 100 U 50 50 1 1 P +X S 3 100 -250 100 U 50 50 1 1 P +X G 4 -200 0 210 R 50 50 1 1 I +X D 5 100 250 100 D 50 50 1 1 P +X D 6 100 250 100 D 50 50 1 1 P +X D 7 100 250 100 D 50 50 1 1 P +X D 8 100 250 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# GDT +# +DEF GDT GDT 0 0 N Y 1 F N +F0 "GDT" 200 50 50 H V L CNN +F1 "GDT" 200 -50 50 H V L CNN +F2 "" -70 0 50 V V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +C 0 0 180 0 1 0 N +P 4 0 1 0 -50 150 0 100 50 150 -50 150 F +P 4 0 1 0 50 -150 -50 -150 0 -100 50 -150 F +X ~ 1 0 250 100 D 50 50 1 1 P +X ~ 2 0 -250 100 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# H11L +# +DEF H11L Q 0 1 Y Y 1 F N +F0 "Q" -300 600 60 H V C CNN +F1 "H11L" 50 600 60 H V C CNN +F2 "" 0 -75 60 H I C CNN +F3 "" 0 -75 60 H I C CNN +DRAW +S 50 300 300 -100 0 0 0 N +S 300 350 -150 -150 0 1 0 N +P 2 0 1 0 -90 90 -60 90 N +P 4 0 1 0 -90 120 -75 95 -60 120 -90 120 F +P 5 0 1 0 -150 250 -75 250 -75 -50 -100 -50 -150 -50 N +P 5 0 1 0 -40 85 -5 85 -25 95 -25 75 -5 85 F +P 5 0 1 0 -40 125 -5 125 -25 135 -25 115 -5 125 F +X ~ 1 -250 250 100 R 50 50 1 1 P +X ~ 2 -250 -50 100 R 50 50 1 1 P +X OUT 4 400 100 100 L 50 50 1 1 P +X GND 5 400 -50 100 L 50 50 1 1 W +X VCC 6 400 250 100 L 50 50 1 1 w +ENDDRAW +ENDDEF +# +# H2019FNL +# +DEF H2019FNL T 0 0 Y Y 1 F N +F0 "T" -475 925 50 H V C CNN +F1 "H2019FNL" 325 925 50 H V C CNN +F2 "" -278 -199 50 H V C CIN +F3 "" -277 451 50 H V C CNN +DRAW +A -363 -714 30 -899 899 0 1 8 N -363 -744 -363 -684 +A -363 -654 30 -899 899 0 1 8 N -363 -684 -363 -624 +A -363 -654 30 -899 899 0 1 8 N -363 -684 -363 -624 +A -363 -594 30 -899 899 0 1 8 N -363 -624 -363 -564 +A -363 -594 30 -899 899 0 1 8 N -363 -624 -363 -564 +A -363 -314 30 -899 899 0 1 8 N -363 -344 -363 -284 +A -363 -254 30 -899 899 0 1 8 N -363 -284 -363 -224 +A -363 -254 30 -899 899 0 1 8 N -363 -284 -363 -224 +A -363 -194 30 -899 899 0 1 8 N -363 -224 -363 -164 +A -363 -194 30 -899 899 0 1 8 N -363 -224 -363 -164 +A -362 186 30 -899 899 0 1 8 N -362 156 -362 216 +A -362 246 30 -899 899 0 1 8 N -362 216 -362 276 +A -362 246 30 -899 899 0 1 8 N -362 216 -362 276 +A -362 306 30 -899 899 0 1 8 N -362 276 -362 336 +A -362 306 30 -899 899 0 1 8 N -362 276 -362 336 +A -362 586 30 -899 899 0 1 8 N -362 556 -362 616 +A -362 646 30 -899 899 0 1 8 N -362 616 -362 676 +A -362 646 30 -899 899 0 1 8 N -362 616 -362 676 +A -362 706 30 -899 899 0 1 8 N -362 676 -362 736 +A -362 706 30 -899 899 0 1 8 N -362 676 -362 736 +A -193 -714 30 901 -901 0 1 8 N -193 -684 -193 -744 +A -193 -654 30 901 -901 0 1 8 N -193 -624 -193 -684 +A -193 -654 30 901 -901 0 1 8 N -193 -624 -193 -684 +A -193 -594 30 901 -901 0 1 8 N -193 -564 -193 -624 +A -193 -594 30 901 -901 0 1 8 N -193 -564 -193 -624 +A -193 -314 30 901 -901 0 1 8 N -193 -284 -193 -344 +A -193 -254 30 901 -901 0 1 8 N -193 -224 -193 -284 +A -193 -254 30 901 -901 0 1 8 N -193 -224 -193 -284 +A -193 -194 30 901 -901 0 1 8 N -193 -164 -193 -224 +A -193 -194 30 901 -901 0 1 8 N -193 -164 -193 -224 +A -192 186 30 901 -901 0 1 8 N -192 216 -192 156 +A -192 246 30 901 -901 0 1 8 N -192 276 -192 216 +A -192 246 30 901 -901 0 1 8 N -192 276 -192 216 +A -192 306 30 901 -901 0 1 8 N -192 336 -192 276 +A -192 306 30 901 -901 0 1 8 N -192 336 -192 276 +A -192 586 30 901 -901 0 1 8 N -192 616 -192 556 +A -192 646 30 901 -901 0 1 8 N -192 676 -192 616 +A -192 646 30 901 -901 0 1 8 N -192 676 -192 616 +A -192 706 30 901 -901 0 1 8 N -192 736 -192 676 +A -192 706 30 901 -901 0 1 8 N -192 736 -192 676 +A 257 -269 30 1 1799 0 1 8 N 287 -269 227 -269 +A 257 -269 30 1 1799 0 1 8 N 287 -269 227 -269 +A 257 -99 30 -1799 -1 0 1 8 N 227 -99 287 -99 +A 257 -99 30 -1799 -1 0 1 8 N 227 -99 287 -99 +A 258 101 30 1 1799 0 1 8 N 288 101 228 101 +A 258 101 30 1 1799 0 1 8 N 288 101 228 101 +A 258 271 30 -1799 -1 0 1 8 N 228 271 288 271 +A 258 271 30 -1799 -1 0 1 8 N 228 271 288 271 +A 317 -269 30 1 1799 0 1 8 N 347 -269 287 -269 +A 317 -269 30 1 1799 0 1 8 N 347 -269 287 -269 +A 317 -99 30 -1799 -1 0 1 8 N 287 -99 347 -99 +A 317 -99 30 -1799 -1 0 1 8 N 287 -99 347 -99 +A 318 101 30 1 1799 0 1 8 N 348 101 288 101 +A 318 101 30 1 1799 0 1 8 N 348 101 288 101 +A 318 271 30 -1799 -1 0 1 8 N 288 271 348 271 +A 318 271 30 -1799 -1 0 1 8 N 288 271 348 271 +A 377 -269 30 1 1799 0 1 8 N 407 -269 347 -269 +A 377 -99 30 -1799 -1 0 1 8 N 347 -99 407 -99 +A 378 101 30 1 1799 0 1 8 N 408 101 348 101 +A 378 271 30 -1799 -1 0 1 8 N 348 271 408 271 +C -363 -594 5 0 1 0 N +C -363 -449 11 0 1 0 F +C -363 -194 5 0 1 0 N +C -362 306 5 0 1 0 N +C -362 451 11 0 1 0 F +C -362 706 5 0 1 0 N +C -193 -594 5 0 1 0 N +C -193 -449 11 0 1 0 F +C -193 -194 5 0 1 0 N +C -192 306 5 0 1 0 N +C -192 451 11 0 1 0 F +C -192 706 5 0 1 0 N +C 257 -269 5 0 1 0 N +C 257 -99 5 0 1 0 N +C 258 101 5 0 1 0 N +C 258 271 5 0 1 0 N +S -500 875 525 -850 0 1 0 N +P 2 0 1 0 -363 -449 -553 -449 N +P 2 0 1 0 -363 -344 -363 -564 N +P 2 0 1 0 -362 451 -552 451 N +P 2 0 1 0 -362 556 -362 336 N +P 2 0 1 0 -303 -164 -303 -744 N +P 2 0 1 0 -302 736 -302 156 N +P 2 0 1 0 -258 -744 -258 -164 N +P 2 0 1 0 -257 156 -257 736 N +P 2 0 1 0 -193 -564 -193 -344 N +P 2 0 1 0 -193 -449 598 -449 N +P 2 0 1 0 -192 336 -192 556 N +P 2 0 1 0 -192 451 598 450 N +P 2 0 1 0 158 161 408 161 N +P 2 0 1 0 222 -159 482 -159 N +P 2 0 1 0 407 -99 597 -99 N +P 2 0 1 0 408 101 598 101 N +P 2 0 1 0 408 206 158 206 N +P 2 0 1 0 482 -204 222 -204 N +P 3 0 1 0 -553 -99 -363 -99 -363 -164 N +P 3 0 1 0 -552 801 -362 801 -362 736 N +P 3 0 1 0 -363 -744 -363 -799 -553 -799 N +P 3 0 1 0 -362 156 -362 101 -552 101 N +P 3 0 1 0 -193 -164 -193 -99 227 -99 N +P 3 0 1 0 -192 156 -192 101 228 101 N +P 4 0 1 2 155 137 155 37 480 -28 480 -133 N +P 4 0 1 0 407 -269 447 -269 447 -799 598 -799 N +P 4 0 1 0 408 271 448 271 448 801 598 801 N +P 5 0 1 0 227 -269 182 -269 182 -799 -193 -799 -193 -744 N +P 5 0 1 0 228 271 183 271 183 801 -192 801 -192 736 N +X TD+ 1 -650 800 100 R 50 50 1 1 P +X TCT 2 -650 450 100 R 50 50 1 1 P +X TD- 3 -650 100 100 R 50 50 1 1 P +X ~ 4 -450 50 100 R 50 50 1 1 N N +X ~ 5 -450 -50 100 R 50 50 1 1 N N +X RD+ 6 -650 -100 100 R 50 50 1 1 P +X RCT 7 -650 -450 100 R 50 50 1 1 P +X RD- 8 -650 -800 100 R 50 50 1 1 P +X RX- 9 700 -800 100 L 50 50 1 1 P +X RXCT 10 700 -450 100 L 50 50 1 1 P +X RX+ 11 700 -100 100 L 50 50 1 1 P +X ~ 12 0 50 100 R 50 50 1 1 N N +X ~ 13 0 -50 100 R 50 50 1 1 N N +X TX- 14 700 100 100 L 50 50 1 1 P +X TXCT 15 700 450 100 L 50 50 1 1 P +X TX+ 16 700 800 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# HX1198FNL +# +DEF HX1198FNL T 0 0 Y Y 1 F N +F0 "T" -475 925 50 H V C CNN +F1 "HX1198FNL" 325 925 50 H V C CNN +F2 "" -278 -199 50 H V C CIN +F3 "" -277 451 50 H V C CNN +DRAW +A -363 -714 30 -899 899 0 1 8 N -363 -744 -363 -684 +A -363 -654 30 -899 899 0 1 8 N -363 -684 -363 -624 +A -363 -654 30 -899 899 0 1 8 N -363 -684 -363 -624 +A -363 -594 30 -899 899 0 1 8 N -363 -624 -363 -564 +A -363 -594 30 -899 899 0 1 8 N -363 -624 -363 -564 +A -363 -314 30 -899 899 0 1 8 N -363 -344 -363 -284 +A -363 -254 30 -899 899 0 1 8 N -363 -284 -363 -224 +A -363 -254 30 -899 899 0 1 8 N -363 -284 -363 -224 +A -363 -194 30 -899 899 0 1 8 N -363 -224 -363 -164 +A -363 -194 30 -899 899 0 1 8 N -363 -224 -363 -164 +A -362 186 30 -899 899 0 1 8 N -362 156 -362 216 +A -362 246 30 -899 899 0 1 8 N -362 216 -362 276 +A -362 246 30 -899 899 0 1 8 N -362 216 -362 276 +A -362 306 30 -899 899 0 1 8 N -362 276 -362 336 +A -362 306 30 -899 899 0 1 8 N -362 276 -362 336 +A -362 586 30 -899 899 0 1 8 N -362 556 -362 616 +A -362 646 30 -899 899 0 1 8 N -362 616 -362 676 +A -362 646 30 -899 899 0 1 8 N -362 616 -362 676 +A -362 706 30 -899 899 0 1 8 N -362 676 -362 736 +A -362 706 30 -899 899 0 1 8 N -362 676 -362 736 +A -193 -714 30 901 -901 0 1 8 N -193 -684 -193 -744 +A -193 -654 30 901 -901 0 1 8 N -193 -624 -193 -684 +A -193 -654 30 901 -901 0 1 8 N -193 -624 -193 -684 +A -193 -594 30 901 -901 0 1 8 N -193 -564 -193 -624 +A -193 -594 30 901 -901 0 1 8 N -193 -564 -193 -624 +A -193 -314 30 901 -901 0 1 8 N -193 -284 -193 -344 +A -193 -254 30 901 -901 0 1 8 N -193 -224 -193 -284 +A -193 -254 30 901 -901 0 1 8 N -193 -224 -193 -284 +A -193 -194 30 901 -901 0 1 8 N -193 -164 -193 -224 +A -193 -194 30 901 -901 0 1 8 N -193 -164 -193 -224 +A -192 186 30 901 -901 0 1 8 N -192 216 -192 156 +A -192 246 30 901 -901 0 1 8 N -192 276 -192 216 +A -192 246 30 901 -901 0 1 8 N -192 276 -192 216 +A -192 306 30 901 -901 0 1 8 N -192 336 -192 276 +A -192 306 30 901 -901 0 1 8 N -192 336 -192 276 +A -192 586 30 901 -901 0 1 8 N -192 616 -192 556 +A -192 646 30 901 -901 0 1 8 N -192 676 -192 616 +A -192 646 30 901 -901 0 1 8 N -192 676 -192 616 +A -192 706 30 901 -901 0 1 8 N -192 736 -192 676 +A -192 706 30 901 -901 0 1 8 N -192 736 -192 676 +A 257 -269 30 1 1799 0 1 8 N 287 -269 227 -269 +A 257 -269 30 1 1799 0 1 8 N 287 -269 227 -269 +A 257 -99 30 -1799 -1 0 1 8 N 227 -99 287 -99 +A 257 -99 30 -1799 -1 0 1 8 N 227 -99 287 -99 +A 258 101 30 1 1799 0 1 8 N 288 101 228 101 +A 258 101 30 1 1799 0 1 8 N 288 101 228 101 +A 258 271 30 -1799 -1 0 1 8 N 228 271 288 271 +A 258 271 30 -1799 -1 0 1 8 N 228 271 288 271 +A 317 -269 30 1 1799 0 1 8 N 347 -269 287 -269 +A 317 -269 30 1 1799 0 1 8 N 347 -269 287 -269 +A 317 -99 30 -1799 -1 0 1 8 N 287 -99 347 -99 +A 317 -99 30 -1799 -1 0 1 8 N 287 -99 347 -99 +A 318 101 30 1 1799 0 1 8 N 348 101 288 101 +A 318 101 30 1 1799 0 1 8 N 348 101 288 101 +A 318 271 30 -1799 -1 0 1 8 N 288 271 348 271 +A 318 271 30 -1799 -1 0 1 8 N 288 271 348 271 +A 377 -269 30 1 1799 0 1 8 N 407 -269 347 -269 +A 377 -99 30 -1799 -1 0 1 8 N 347 -99 407 -99 +A 378 101 30 1 1799 0 1 8 N 408 101 348 101 +A 378 271 30 -1799 -1 0 1 8 N 348 271 408 271 +C -363 -594 5 0 1 0 N +C -363 -449 11 0 1 0 F +C -363 -194 5 0 1 0 N +C -362 306 5 0 1 0 N +C -362 451 11 0 1 0 F +C -362 706 5 0 1 0 N +C -193 -594 5 0 1 0 N +C -193 -449 11 0 1 0 F +C -193 -194 5 0 1 0 N +C -192 306 5 0 1 0 N +C -192 451 11 0 1 0 F +C -192 706 5 0 1 0 N +C 257 -269 5 0 1 0 N +C 257 -99 5 0 1 0 N +C 258 101 5 0 1 0 N +C 258 271 5 0 1 0 N +S -500 875 525 -850 0 1 0 N +P 2 0 1 0 -363 -449 -553 -449 N +P 2 0 1 0 -363 -344 -363 -564 N +P 2 0 1 0 -362 451 -552 451 N +P 2 0 1 0 -362 556 -362 336 N +P 2 0 1 0 -303 -164 -303 -744 N +P 2 0 1 0 -302 736 -302 156 N +P 2 0 1 0 -258 -744 -258 -164 N +P 2 0 1 0 -257 156 -257 736 N +P 2 0 1 0 -193 -564 -193 -344 N +P 2 0 1 0 -193 -449 598 -449 N +P 2 0 1 0 -192 336 -192 556 N +P 2 0 1 0 -192 451 598 450 N +P 2 0 1 0 158 161 408 161 N +P 2 0 1 0 222 -159 482 -159 N +P 2 0 1 0 407 -99 597 -99 N +P 2 0 1 0 408 101 598 101 N +P 2 0 1 0 408 206 158 206 N +P 2 0 1 0 482 -204 222 -204 N +P 3 0 1 0 -553 -99 -363 -99 -363 -164 N +P 3 0 1 0 -552 801 -362 801 -362 736 N +P 3 0 1 0 -363 -744 -363 -799 -553 -799 N +P 3 0 1 0 -362 156 -362 101 -552 101 N +P 3 0 1 0 -193 -164 -193 -99 227 -99 N +P 3 0 1 0 -192 156 -192 101 228 101 N +P 4 0 1 2 155 137 155 37 480 -28 480 -133 N +P 4 0 1 0 407 -269 447 -269 447 -799 598 -799 N +P 4 0 1 0 408 271 448 271 448 801 598 801 N +P 5 0 1 0 227 -269 182 -269 182 -799 -193 -799 -193 -744 N +P 5 0 1 0 228 271 183 271 183 801 -192 801 -192 736 N +X TD+ 1 -650 800 100 R 50 50 1 1 P +X TCT 2 -650 450 100 R 50 50 1 1 P +X TD- 3 -650 100 100 R 50 50 1 1 P +X ~ 4 -450 50 100 R 50 50 1 1 N N +X ~ 5 -450 -50 100 R 50 50 1 1 N N +X RD+ 6 -650 -100 100 R 50 50 1 1 P +X RCT 7 -650 -450 100 R 50 50 1 1 P +X RD- 8 -650 -800 100 R 50 50 1 1 P +X RX- 9 700 -800 100 L 50 50 1 1 P +X RXCT 10 700 -450 100 L 50 50 1 1 P +X RX+ 11 700 -100 100 L 50 50 1 1 P +X ~ 12 0 50 100 R 50 50 1 1 N N +X ~ 13 0 -50 100 R 50 50 1 1 N N +X TX- 14 700 100 100 L 50 50 1 1 P +X TXCT 15 700 450 100 L 50 50 1 1 P +X TX+ 16 700 800 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# K02F_32_QFN +# +DEF K02F_32_QFN U 0 40 Y Y 1 F N +F0 "U" 0 950 60 H V C CNN +F1 "K02F_32_QFN" 50 750 60 H V C CNN +F2 "" -700 -250 60 H V C CNN +F3 "" -700 -250 60 H V C CNN +$FPLIST + QFN +$ENDFPLIST +DRAW +S -1050 850 1050 -850 0 1 0 N +S -900 900 -900 900 0 1 0 N +X VDD 1 -1250 750 200 R 50 50 1 1 W +X VSS 2 -1250 650 200 R 50 50 1 1 W +X PTE16 3 -1250 550 200 R 50 50 1 1 B +X PTE17 4 -1250 450 200 R 50 50 1 1 B +X PTE18 5 -1250 350 200 R 50 50 1 1 B +X PTE19 6 -1250 250 200 R 50 50 1 1 B +X VDDA_VREFH 7 -1250 150 200 R 50 50 1 1 W +X VREFL_VSSA 8 -1250 50 200 R 50 50 1 1 W +X DAC0_OUT/CMP1_IN3/ADC0_SE23 9 -1250 -50 200 R 50 50 1 1 B +X PTE24 10 -1250 -150 200 R 50 50 1 1 B +X PTB0/LLWU_P5 20 1250 -450 200 L 50 50 1 1 B +X PTD5 30 1250 550 200 L 50 50 1 1 B +X PTE25 11 -1250 -250 200 R 50 50 1 1 B +X PTB1 21 1250 -350 200 L 50 50 1 1 B +X PTD6/LLWU_P15 31 1250 650 200 L 50 50 1 1 B +X PTA0 12 -1250 -350 200 R 50 50 1 1 B +X PTC1/LLWU_P6 22 1250 -250 200 L 50 50 1 1 B +X PTD7 32 1250 750 200 L 50 50 1 1 B +X PTA1 13 -1250 -450 200 R 50 50 1 1 B +X PTC2 23 1250 -150 200 L 50 50 1 1 B +X HE 33 -1250 650 200 R 50 50 1 1 W N +X PTA2 14 -1250 -550 200 R 50 50 1 1 B +X PTC3/LLWU_P7 24 1250 -50 200 L 50 50 1 1 B +X PTA3 15 -1250 -650 200 R 50 50 1 1 B +X PTC4/LLWU_P8 25 1250 50 200 L 50 50 1 1 B +X PTA4/LLWU_P3 16 -1250 -750 200 R 50 50 1 1 B +X PTC5/LLWU_P9 26 1250 150 200 L 50 50 1 1 B +X PTA18 17 1250 -750 200 L 50 50 1 1 B +X PTC6/LLWU_P10 27 1250 250 200 L 50 50 1 1 B +X PTA19 18 1250 -650 200 L 50 50 1 1 B +X PTC7 28 1250 350 200 L 50 50 1 1 B +X RESET_b 19 1250 -550 200 L 50 50 1 1 I +X PTD4/LLWU_P14 29 1250 450 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# K02F_64_LQFP +# +DEF K02F_64_LQFP U 0 40 Y Y 1 F N +F0 "U" 0 850 60 H V C CNN +F1 "K02F_64_LQFP" 0 -1350 60 H V C CNN +F2 "" -700 -350 60 H V C CNN +F3 "" -700 -350 60 H V C CNN +$FPLIST + QFN +$ENDFPLIST +DRAW +S -1050 1500 1050 -1500 0 1 0 N +S -900 800 -900 800 0 1 0 N +X PTE0 1 -1250 1450 200 R 50 50 1 1 B +X PTE1 2 -1250 1350 200 R 50 50 1 1 B +X VDD 3 -1250 1250 200 R 50 50 1 1 W +X VSS 4 -1250 1150 200 R 50 50 1 1 W +X PTE16 5 -1250 1050 200 R 50 50 1 1 B +X PTE17 6 -1250 950 200 R 50 50 1 1 B +X PTE18 7 -1250 850 200 R 50 50 1 1 B +X PTE19 8 -1250 750 200 R 50 50 1 1 B +X ADC0_DP0 9 -1250 650 200 R 50 50 1 1 B +X ADC0_DM0 10 -1250 550 200 R 50 50 1 1 B +X PTE24 20 -1250 -450 200 R 50 50 1 1 B +X VDD 30 -1250 1250 200 R 50 50 1 1 W N +X PTB17 40 1250 -750 200 L 50 50 1 1 B +X PTC5/LLWU_P9 50 1250 50 200 L 50 50 1 1 B +X PTD3 60 1250 1050 200 L 50 50 1 1 B +X ADC0_DP3 11 -1250 450 200 R 50 50 1 1 B +X PTE25 21 -1250 -550 200 R 50 50 1 1 B +X VSS 31 -1250 1150 200 R 50 50 1 1 W N +X PTB18 41 1250 -650 200 L 50 50 1 1 B +X PTC6/LLWU_P10 51 1250 150 200 L 50 50 1 1 B +X PTD4/LLWU_P14 61 1250 1150 200 L 50 50 1 1 B +X ADC0_DM3 12 -1250 350 200 R 50 50 1 1 B +X PTA0 22 -1250 -650 200 R 50 50 1 1 B +X PTA18 32 -1250 -1450 200 R 50 50 1 1 B +X PTB19 42 1250 -550 200 L 50 50 1 1 B +X PTC7 52 1250 250 200 L 50 50 1 1 B +X PTD5 62 1250 1250 200 L 50 50 1 1 B +X VDDA 13 -1250 250 200 R 50 50 1 1 B +X PTA1 23 -1250 -750 200 R 50 50 1 1 B +X PTA19 33 1250 -1450 200 L 50 50 1 1 B +X PTC0 43 1250 -450 200 L 50 50 1 1 B +X PTC8 53 1250 350 200 L 50 50 1 1 B +X PTD6/LLWU_P15 63 1250 1350 200 L 50 50 1 1 B +X VREFH 14 -1250 150 200 R 50 50 1 1 W +X PTA2 24 -1250 -850 200 R 50 50 1 1 B +X RESET_b 34 1250 -1350 200 L 50 50 1 1 I +X PTC1/LLWU_P6 44 1250 -350 200 L 50 50 1 1 B +X PTC9 54 1250 450 200 L 50 50 1 1 B +X PTD7 64 1250 1450 200 L 50 50 1 1 B +X VREFL 15 -1250 50 200 R 50 50 1 1 W +X PTA3 25 -1250 -950 200 R 50 50 1 1 B +X PTB0/LLWU_P5 35 1250 -1250 200 L 50 50 1 1 B +X PTC2 45 1250 -250 200 L 50 50 1 1 B +X PTC10 55 1250 550 200 L 50 50 1 1 B +X VSSA 16 -1250 -50 200 R 50 50 1 1 W +X PTA4/LLWU_P3 26 -1250 -1050 200 R 50 50 1 1 B +X PTB1 36 1250 -1150 200 L 50 50 1 1 B +X PTC3/LLWU_P7 46 1250 -150 200 L 50 50 1 1 B +X PTC11/LLWU_P11 56 1250 650 200 L 50 50 1 1 B +X VREF_OUT 17 -1250 -150 200 R 50 50 1 1 O +X PTA5 27 -1250 -1150 200 R 50 50 1 1 B +X PTB2 37 1250 -1050 200 L 50 50 1 1 B +X VSS 47 -1250 1150 200 R 50 50 1 1 W N +X PTD0/LLWU_P12 57 1250 750 200 L 50 50 1 1 B +X DAC0_OUT/CMP1_IN3/ADC0_SE23 18 -1250 -250 200 R 50 50 1 1 B +X PTA12 28 -1250 -1250 200 R 50 50 1 1 B +X PTB3 38 1250 -950 200 L 50 50 1 1 B +X VDD 48 -1250 1250 200 R 50 50 1 1 W N +X PTD1 58 1250 850 200 L 50 50 1 1 B +X CMP0_IN4 19 -1250 -350 200 R 50 50 1 1 B +X PTA13 29 -1250 -1350 200 R 50 50 1 1 B +X PTB16 39 1250 -850 200 L 50 50 1 1 B +X PTC4/LLWU_P8 49 1250 -50 200 L 50 50 1 1 B +X PTD2/LLWU_P13 59 1250 950 200 L 50 50 1 1 B +X HE HE -1250 1150 200 R 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# KSZ8081RNA +# +DEF KSZ8081RNA U 0 40 Y Y 1 F N +F0 "U" -500 700 60 H V C CNN +F1 "KSZ8081RNA" 400 700 60 H V C CNN +F2 "" -700 0 60 H I C CNN +F3 "" -700 0 60 H I C CNN +ALIAS KSZ8081RND +$FPLIST + 24-QFN +$ENDFPLIST +DRAW +S 550 -750 -550 650 0 1 0 N +X VDD1.2 1 -100 850 200 D 50 50 1 1 w +X VDDA_3.3 2 0 850 200 D 50 50 1 1 P +X RXM 3 750 550 200 L 50 50 1 1 P +X RXP 4 750 450 200 L 50 50 1 1 P +X TXM 5 750 300 200 L 50 50 1 1 P +X TXP 6 750 200 200 L 50 50 1 1 P +X XO 7 -750 550 200 R 50 50 1 1 O +X XI 8 -750 450 200 R 50 50 1 1 I +X REXT 9 -750 300 200 R 50 50 1 1 P +X MDIO 10 -750 150 200 R 50 50 1 1 B +X TXD0 20 750 -500 200 L 50 50 1 1 I +X MDC 11 -750 50 200 R 50 50 1 1 B +X TXD1 21 750 -600 200 L 50 50 1 1 I +X RXD1 12 750 50 200 L 50 50 1 1 O +X GND 22 -50 -950 200 U 50 50 1 1 W +X RXD0 13 750 -50 200 L 50 50 1 1 O +X LED0/ANEN_SPEED 23 -750 -400 200 R 50 50 1 1 B +X VDDIO 14 100 850 200 D 50 50 1 1 W +X RST# 24 -750 -550 200 R 50 50 1 1 I +X CRS_DV/PHYAD[1:0] 15 -750 -50 200 R 50 50 1 1 B +X EP 25 50 -950 200 U 50 50 1 1 W +X REF_CLK 16 -750 -150 200 R 50 50 1 1 O +X RXER 17 750 -200 200 L 50 50 1 1 O +X INTRP 18 -750 -250 200 R 50 50 1 1 C +X TXEN 19 750 -350 200 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# L6470 +# +DEF L6470 U 0 40 Y Y 1 F N +F0 "U" 0 750 60 H V C CNN +F1 "L6470" 0 0 60 V V C CNN +F2 "" 0 700 60 H V C CNN +F3 "" 0 700 60 H V C CNN +DRAW +X OUT1A 1 -650 600 200 R 50 50 1 0 w +X VSA 2 -650 -300 200 R 50 50 1 0 W +X STBY\RST 3 650 600 200 L 50 50 1 0 I +X SW 4 650 -300 200 L 50 50 1 0 I +X ADCIN 5 -650 -400 200 R 50 50 1 0 I +X VREG 6 -650 0 200 R 50 50 1 0 W +X OSCIN 7 -650 200 200 R 50 50 1 0 I +X OSCOUT 8 -650 100 200 R 50 50 1 0 O +X AGND 9 650 -400 200 L 50 50 1 0 I N +X CP 10 -650 -200 200 R 50 50 1 0 O +X SDI 20 650 100 200 L 50 50 1 0 I +X VBOOT 11 -650 -100 200 R 50 50 1 0 W +X DGND 21 650 -400 200 L 50 50 1 0 I N +X VSB 12 -650 -300 200 R 50 50 1 0 W N +X BUSY\SYNC 22 650 300 200 L 50 50 1 0 O +X PGND 13 650 -400 200 L 50 50 1 0 I +X CS 23 650 200 200 L 50 50 1 0 I +X OUT1B 14 -650 500 200 R 50 50 1 0 w +X FLAG 24 650 400 200 L 50 50 1 0 O +X OUT2B 15 -650 300 200 R 50 50 1 0 w +X STCK 25 650 500 200 L 50 50 1 0 I +X VSB 16 -650 -300 200 R 50 50 1 0 W N +X VSA 26 -650 -300 200 R 50 50 1 0 W N +X VDD 17 650 -200 200 L 50 50 1 0 W +X PGND 27 650 -400 200 L 50 50 1 0 I N +X SDP 18 650 -100 200 L 50 50 1 0 O +X OUT2A 28 -650 400 200 R 50 50 1 0 w +X CK 19 650 0 200 L 50 50 1 0 I +S -450 650 450 -450 0 1 0 N +ENDDRAW +ENDDEF +# +# LM2664 +# +DEF LM2664 U 0 40 Y Y 1 F N +F0 "U" -300 300 50 H V L CNN +F1 "LM2664" -300 -450 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -300 250 300 -400 0 1 0 N +X CAP+ 6 -600 150 300 R 50 50 0 1 P +X GND 1 -600 -150 300 R 50 50 1 1 W +X OUT 2 600 -300 300 L 50 50 1 1 P +X CAP1 3 -600 -300 300 R 50 50 1 1 P +X SDn 4 600 -150 300 L 50 50 1 1 I +X V+ 5 600 150 300 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317 +# +DEF LM317 U 0 40 Y Y 1 F N +F0 "U" -50 250 60 H V C CNN +F1 "LM317" -100 0 60 V V C CNN +F2 "" -100 0 60 H V C CNN +F3 "" -100 0 60 H V C CNN +DRAW +S 150 200 -200 -200 0 1 0 N +X ADJ 1 350 -100 200 L 50 50 1 1 I +X OUT 2 350 0 200 L 50 50 1 1 O +X IN 3 350 100 200 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# LM337L +# +DEF LM337L U 0 30 Y Y 1 F N +F0 "U" 150 -350 50 H V C CNN +F1 "LM337L" 0 250 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + SOT223 + SOT89-3 + TO220-3 + TO252 + TO263 +$ENDFPLIST +DRAW +S -200 -300 200 200 0 1 10 N +X VO 1 300 100 100 L 50 50 1 1 w +X VI 2 -300 100 100 R 50 50 1 1 P +X VI 3 -300 0 100 R 50 50 1 1 P +X ADJ 4 0 -400 100 U 50 50 1 1 P +X VI 6 -300 -100 100 R 50 50 1 1 P +X VI 7 -300 -200 100 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM339 +# +DEF LM339 U 0 20 Y Y 5 L N +F0 "U" 0 200 50 H V L CNN +F1 "LM339" 0 -200 50 H V L CNN +F2 "" -50 100 50 H V C CNN +F3 "" 50 200 50 H V C CNN +DRAW +P 4 0 1 6 -200 200 200 0 -200 -200 -200 200 N +X ~ 2 300 0 100 L 50 50 1 1 O +X - 4 -300 -100 100 R 50 50 1 1 I +X + 5 -300 100 100 R 50 50 1 1 I +X ~ 1 300 0 100 L 50 50 2 1 O +X - 6 -300 -100 100 R 50 50 2 1 I +X + 7 -300 100 100 R 50 50 2 1 I +X - 8 -300 -100 100 R 50 50 3 1 I +X + 9 -300 100 100 R 50 50 3 1 I +X ~ 14 300 0 100 L 50 50 3 1 O +X - 10 -300 -100 100 R 50 50 4 1 I +X + 11 -300 100 100 R 50 50 4 1 I +X ~ 13 300 0 100 L 50 50 4 1 O +X V+ 3 -100 300 150 D 50 50 5 1 W +X V- 12 -100 -300 150 U 50 50 5 1 W +ENDDRAW +ENDDEF +# +# LM339PT +# +DEF LM339PT U 0 20 Y Y 5 L N +F0 "U" 0 200 50 H V L CNN +F1 "LM339PT" 0 -200 50 H V L CNN +F2 "" -50 100 50 H V C CNN +F3 "" 50 200 50 H V C CNN +DRAW +P 4 0 1 6 -200 200 200 0 -200 -200 -200 200 N +X ~ 2 300 0 100 L 50 50 1 1 O +X - 4 -300 -100 100 R 50 50 1 1 I +X + 5 -300 100 100 R 50 50 1 1 I +X ~ 1 300 0 100 L 50 50 2 1 O +X - 6 -300 -100 100 R 50 50 2 1 I +X + 7 -300 100 100 R 50 50 2 1 I +X - 8 -300 -100 100 R 50 50 3 1 I +X + 9 -300 100 100 R 50 50 3 1 I +X ~ 14 300 0 100 L 50 50 3 1 O +X - 10 -300 -100 100 R 50 50 4 1 I +X + 11 -300 100 100 R 50 50 4 1 I +X ~ 13 300 0 100 L 50 50 4 1 O +X V+ 3 -100 300 150 D 50 50 5 1 W +X V- 12 -100 -300 150 U 50 50 5 1 W +ENDDRAW +ENDDEF +# +# LMP7721 +# +DEF LMP7721 U 0 20 Y Y 1 F N +F0 "U" -50 200 50 H V L CNN +F1 "LMP7721" -50 -250 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +P 4 0 1 6 -200 200 200 0 -200 -200 -200 200 N +X V- 3 -100 -300 150 U 50 50 0 1 W +X V+ 6 -100 300 150 D 50 50 0 1 W +X + 1 -300 100 100 R 50 50 1 1 P +X GND 2 550 250 200 L 50 50 1 1 W N +X ~ 4 300 0 100 L 50 50 1 1 O +X GND 7 550 150 200 L 50 50 1 1 W N +X - 8 -300 -100 100 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# LP2980 +# +DEF LP2980 U 0 40 Y Y 1 F N +F0 "U" -300 300 50 H V L CNN +F1 "LP2980" -300 -450 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -300 250 300 -400 0 1 0 N +X IN 1 -600 150 300 R 50 50 0 1 P +X GND 2 -600 -150 300 R 50 50 1 1 W +X ON 3 -600 -300 300 R 50 50 1 1 P +X OUT 5 600 150 300 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LP2980-ADJ +# +DEF LP2980-ADJ U 0 40 Y Y 1 F N +F0 "U" -300 300 50 H V L CNN +F1 "LP2980-ADJ" -300 -450 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -300 250 300 -400 0 1 0 N +X IN 1 -600 150 300 R 50 50 0 1 P +X GND 2 600 -150 300 L 50 50 1 1 W +X ON 3 -600 -300 300 R 50 50 1 1 P +X ADJ 4 600 -300 300 L 50 50 1 1 P +X OUT 5 600 150 300 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Led_3_CLR_4-pin +# +DEF Led_3_CLR_4-pin D 0 10 Y N 3 F N +F0 "D" -50 125 50 H V L CNN +F1 "Led_3_CLR_4-pin" -175 -100 50 H V L CNN +F2 "" 200 50 50 V V C CNN +F3 "" 0 0 50 V V C CNN +ALIAS LTST-C19HE1WT +DRAW +P 2 0 1 0 -30 -40 -30 40 N +P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F +P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N +P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N +X C 1 -100 0 70 R 40 40 1 1 P +X A 4 100 0 70 L 40 40 1 1 P +X C 2 -100 0 70 R 40 40 2 1 P +X A 4 100 0 70 L 40 40 2 1 P +X C 3 -100 0 70 R 40 40 3 1 P +X A 4 100 0 70 L 40 40 3 1 P +ENDDRAW +ENDDEF +# +# Led_RGB_CA_3528 +# +DEF Led_RGB_CA_3528 D 0 50 Y N 1 F N +F0 "D" 0 350 50 H V C CNN +F1 "Led_RGB_CA_3528" 0 -350 50 H V C CNN +F2 "" -45 -50 50 H V C CNN +F3 "" -45 -50 50 H V C CNN +DRAW +T 0 0 -120 25 0 0 0 B Normal 0 C C +T 0 0 80 25 0 0 0 G Normal 0 C C +T 0 0 280 25 0 0 0 R Normal 0 C C +S 30 -50 30 50 0 1 0 N +S 30 50 30 50 0 1 0 N +S 30 150 30 250 0 1 0 N +S 30 250 30 250 0 1 0 N +S 100 300 -100 -300 0 1 0 N +P 2 0 1 0 -70 -200 -100 -200 N +P 2 0 1 0 -70 -150 -70 -250 N +P 2 0 1 0 -70 0 -100 0 N +P 2 0 1 0 -70 200 -100 200 N +P 2 0 1 0 100 0 30 0 N +P 3 0 1 0 -70 50 -70 -50 -70 -50 N +P 3 0 1 0 -70 250 -70 150 -70 150 N +P 4 0 1 0 -73 -116 -93 -116 -73 -126 -73 -126 N +P 4 0 1 0 -60 80 -80 80 -60 70 -60 70 N +P 4 0 1 0 -60 280 -80 280 -60 270 -60 270 N +P 4 0 1 0 30 200 70 200 70 -200 30 -200 N +P 5 0 1 0 0 -150 -50 -140 -40 -130 -80 -120 -80 -120 N +P 5 0 1 0 30 -150 30 -250 -70 -200 30 -150 30 -150 F +P 6 0 1 0 0 50 -50 60 -40 70 -80 80 -80 80 -80 80 N +P 6 0 1 0 0 250 -50 260 -40 270 -80 280 -80 280 -80 280 N +P 6 0 1 0 30 50 30 -50 -70 0 30 50 30 50 30 50 F +P 6 0 1 0 30 250 30 150 -70 200 30 250 30 250 30 250 F +X R 1 -200 200 100 R 50 50 1 1 P +X ~ 2 200 0 100 L 50 50 1 1 P +X B 3 -200 -200 100 R 50 50 1 1 P +X G 4 -200 0 100 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Led_Small +# +DEF Led_Small D 0 10 N N 1 F N +F0 "D" -50 125 50 H V L CNN +F1 "Led_Small" -175 -100 50 H V L CNN +F2 "" 0 0 50 V V C CNN +F3 "" 0 0 50 V V C CNN +$FPLIST + CP* + SM* +$ENDFPLIST +DRAW +P 2 0 1 0 -30 -40 -30 40 N +P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F +P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N +P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N +X A 1 100 0 70 L 40 40 1 1 P +X K 2 -100 0 70 R 40 40 1 1 P +ENDDRAW +ENDDEF +# +# Led_Small_th1 +# +DEF Led_Small_th1 D 0 10 Y N 1 F N +F0 "D" -50 125 50 H V L CNN +F1 "Led_Small_th1" -175 -100 50 H V L CNN +F2 "" 0 0 50 V V C CNN +F3 "" 0 0 50 V V C CNN +DRAW +P 2 0 1 0 -30 -40 -30 40 N +P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F +P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N +P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N +X A 1 100 0 70 L 40 40 1 1 P +X K 2 -100 0 70 R 40 40 1 1 P +ENDDRAW +ENDDEF +# +# MAX31855 +# +DEF MAX31855 U 0 40 Y Y 1 F N +F0 "U" 0 0 60 H V C CNN +F1 "MAX31855" 0 -300 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +$FPLIST + S08 + 8_SO +$ENDFPLIST +DRAW +S -300 -50 300 -600 0 1 0 N +X GND 1 -500 -100 200 R 50 50 1 1 I +X T- 2 -500 -200 200 R 50 50 1 1 I +X T+ 3 -500 -300 200 R 50 50 1 1 I +X VCC 4 -500 -400 200 R 50 50 1 1 I +X SCK 5 500 -100 200 L 50 50 1 1 I +X CS 6 500 -200 200 L 50 50 1 1 I +X SO 7 500 -300 200 L 50 50 1 1 I +X DNC 8 500 -400 200 L 50 50 1 1 N N +ENDDRAW +ENDDEF +# +# MC34932 +# +DEF MC34932 U 0 40 Y Y 1 F N +F0 "U" 0 950 60 H V C CNN +F1 "MC34932" 0 -500 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +X VPWRA 1 -550 250 200 R 50 50 1 0 W +X NC 2 -550 -400 200 R 50 50 1 0 N N +X D1 3 -550 850 200 R 50 50 1 0 I +X FBA 4 -550 750 200 R 50 50 1 0 O +X EN/D2 5 -550 650 200 R 50 50 1 0 I +X NC 6 -550 -400 200 R 50 50 1 0 N N +X NC 7 -550 -400 200 R 50 50 1 0 N N +X NC 8 -550 -400 200 R 50 50 1 0 N N +X VPWRA 9 -550 250 200 R 50 50 1 0 W N +X OUT1 10 -550 550 200 R 50 50 1 0 w +X CCPB 20 550 350 200 L 50 50 1 0 O +X D3 30 550 850 200 L 50 50 1 0 I +X PGNDB 40 550 150 200 L 50 50 1 0 W +X IN2 50 -550 -150 200 R 50 50 1 0 I +X OUT1 11 -550 550 200 R 50 50 1 0 w N +X NC 21 -550 -400 200 R 50 50 1 0 N N +X FBB 31 550 750 200 L 50 50 1 0 I +X NC 41 -550 -400 200 R 50 50 1 0 N N +X IN1 51 -550 -50 200 R 50 50 1 0 I +X PGNDA 12 -550 150 200 R 50 50 1 0 W +X NC 22 -550 -400 200 R 50 50 1 0 N N +X EN/D4 32 550 650 200 L 50 50 1 0 I +X PGNDA 42 -550 150 200 R 50 50 1 0 W N +X SFA 52 -550 -300 200 R 50 50 1 0 O +X PGNDA 13 -550 150 200 R 50 50 1 0 W N +X IN4 23 550 -150 200 L 50 50 1 0 I +X NC 33 -550 -400 200 R 50 50 1 0 N N +X PGNDA 43 -550 150 200 R 50 50 1 0 W N +X VPWRA 53 -550 250 200 R 50 50 1 0 W N +X NC 14 -550 -400 200 R 50 50 1 0 N N +X IN3 24 550 -50 200 L 50 50 1 0 I +X NC 34 -550 -400 200 R 50 50 1 0 N N +X OUT2 44 -550 450 200 R 50 50 1 0 w +X AGNDA 54 -550 50 200 R 50 50 1 0 I +X PGNDB 15 550 150 200 L 50 50 1 0 W N +X SFB 25 550 -300 200 L 50 50 1 0 O +X NC 35 -550 -400 200 R 50 50 1 0 N N +X OUT2 45 -550 450 200 R 50 50 1 0 w N +X PGNDB 16 550 150 200 L 50 50 1 0 W N +X VPWRB 26 550 250 200 L 50 50 1 0 W N +X VPWRB 36 550 250 200 L 50 50 1 0 W N +X VPWRA 46 -550 250 200 R 50 50 1 0 W N +X OUT4 17 550 550 200 L 50 50 1 0 w +X AGNDB 27 550 50 200 L 50 50 1 0 I +X OUT3 37 550 450 200 L 50 50 1 0 w +X CCPA 47 -550 350 200 R 50 50 1 0 O +X OUT4 18 550 550 200 L 50 50 1 0 w N +X VPWRB 28 550 250 200 L 50 50 1 0 W N +X OUT3 38 550 450 200 L 50 50 1 0 w N +X NC 48 -550 -400 200 R 50 50 1 0 N N +X VPWRB 19 550 250 200 L 50 50 1 0 W +X NC 29 -550 -400 200 R 50 50 1 0 N N +X PGNDB 39 550 150 200 L 50 50 1 0 W N +X NC 49 -550 -400 200 R 50 50 1 0 N N +X EP EP 550 -400 200 L 50 50 1 0 W +S -350 900 350 -550 0 1 0 N +ENDDRAW +ENDDEF +# +# MC74AC11NG_AND +# +DEF MC74AC11NG_AND U 0 40 Y Y 1 F N +F0 "U" 0 500 60 H V C CNN +F1 "MC74AC11NG_AND" 0 50 60 V V C CNN +F2 "" 0 50 60 V V C CNN +F3 "" 0 50 60 V V C CNN +DRAW +S -350 450 350 -400 0 1 0 N +X IN1 1 -550 300 200 R 50 50 1 1 I +X IN1 2 -550 200 200 R 50 50 1 1 I +X IN2 3 -550 100 200 R 50 50 1 1 I +X IN2 4 -550 0 200 R 50 50 1 1 I +X IN2 5 -550 -100 200 R 50 50 1 1 I +X OUT2 6 -550 -200 200 R 50 50 1 1 O +X GND 7 -550 -300 200 R 50 50 1 1 I +X OUT3 8 550 -300 200 L 50 50 1 1 O +X IN3 9 550 -200 200 L 50 50 1 1 I +X IN3 10 550 -100 200 L 50 50 1 1 I +X IN3 11 550 0 200 L 50 50 1 1 I +X OUT1 12 550 100 200 L 50 50 1 1 O +X IN1 13 550 200 200 L 50 50 1 1 I +X VCC 14 550 300 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# MC74AC11NG_NAND +# +DEF MC74AC11NG_NAND U 0 40 Y Y 1 F N +F0 "U" 0 500 60 H V C CNN +F1 "MC74AC11NG_NAND" 0 50 60 V V C CNN +F2 "" 0 50 60 V V C CNN +F3 "" 0 50 60 V V C CNN +DRAW +S -350 450 350 -400 0 1 0 N +X IN1 1 -550 300 200 R 50 50 1 1 I +X IN1 2 -550 200 200 R 50 50 1 1 I +X IN2 3 -550 100 200 R 50 50 1 1 I +X IN2 4 -550 0 200 R 50 50 1 1 I +X IN2 5 -550 -100 200 R 50 50 1 1 I +X OUT2 6 -550 -200 200 R 50 50 1 1 O +X GND 7 -550 -300 200 R 50 50 1 1 I +X OUT3 8 550 -300 200 L 50 50 1 1 O +X IN3 9 550 -200 200 L 50 50 1 1 I +X IN3 10 550 -100 200 L 50 50 1 1 I +X IN3 11 550 0 200 L 50 50 1 1 I +X OUT1 12 550 100 200 L 50 50 1 1 O +X IN1 13 550 200 200 L 50 50 1 1 I +X VCC 14 550 300 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# MK22FN128VLH10 +# +DEF MK22FN128VLH10 U 0 40 Y Y 1 F N +F0 "U" 0 0 60 H V C CNN +F1 "MK22FN128VLH10" 0 200 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -1900 2150 1900 -2600 0 1 0 N +X PTE0/CLKOUT32K 1 -2100 2100 200 R 50 50 1 1 B +X PTE1/LLWU_P0 2 -2100 1950 200 R 50 50 1 1 B +X VDD 3 -2100 1800 200 R 50 50 1 1 W +X VSS 4 -2100 1650 200 R 50 50 1 1 W +X USB0_DP 5 -2100 1500 200 R 50 50 1 1 B +X USB0_DM 6 -2100 1350 200 R 50 50 1 1 B +X USBVDD 7 -2100 1200 200 R 50 50 1 1 B +X NC 8 -2100 1050 200 R 50 50 1 1 N N +X ADC0_DP0/ADC1_DP3 9 -2100 900 200 R 50 50 1 1 B +X ADC0_DM0/ADC1_DM3 10 -2100 750 200 R 50 50 1 1 B +X EXTAL32 20 -2100 -750 200 R 50 50 1 1 B +X VDD 30 -2100 -2250 200 R 50 50 1 1 W +X PTB17 40 2100 1050 200 L 50 50 1 1 B +X PTC5/LLWU_P9 50 2100 -450 200 L 50 50 1 1 B +X PTD3 60 2100 -1950 200 L 50 50 1 1 B +X ADC1_DP0/ADC0_DP3 11 -2100 600 200 R 50 50 1 1 B +X VBAT 21 -2100 -900 200 R 50 50 1 1 W +X VSS 31 -2100 -2400 200 R 50 50 1 1 W +X PTB18 41 2100 900 200 L 50 50 1 1 B +X PTC6/LLWU_P10 51 2100 -600 200 L 50 50 1 1 B +X PTD4/LLWU_P14 61 2100 -2100 200 L 50 50 1 1 B +X ADC1_DM0/ADC0_DM3 12 -2100 450 200 R 50 50 1 1 B +X PTA0 22 -2100 -1050 200 R 50 50 1 1 B +X PTA18 32 -2100 -2550 200 R 50 50 1 1 B +X PTB19 42 2100 750 200 L 50 50 1 1 B +X PTC7 52 2100 -750 200 L 50 50 1 1 B +X PTD5 62 2100 -2250 200 L 50 50 1 1 B +X VDDA 13 -2100 300 200 R 50 50 1 1 W +X PTA1 23 -2100 -1200 200 R 50 50 1 1 B +X PTA19 33 2100 2100 200 L 50 50 1 1 B +X PTC0 43 2100 600 200 L 50 50 1 1 B +X PTC8 53 2100 -900 200 L 50 50 1 1 B +X PTD6/LLWU_P15 63 2100 -2400 200 L 50 50 1 1 B +X VREFH 14 -2100 150 200 R 50 50 1 1 W +X PTA2 24 -2100 -1350 200 R 50 50 1 1 B +X RESET_b 34 2100 1950 200 L 50 50 1 1 B +X PTC1/LLWU_P6 44 2100 450 200 L 50 50 1 1 B +X PTC9 54 2100 -1050 200 L 50 50 1 1 B +X PTD7 64 2100 -2550 200 L 50 50 1 1 B +X VREFL 15 -2100 0 200 R 50 50 1 1 W +X PTA3 25 -2100 -1500 200 R 50 50 1 1 B +X PTB0/LLWU_P5 35 2100 1800 200 L 50 50 1 1 B +X PTC2 45 2100 300 200 L 50 50 1 1 B +X PTC10 55 2100 -1200 200 L 50 50 1 1 B +X VSSA 16 -2100 -150 200 R 50 50 1 1 W +X PTA4/LLWU_P3 26 -2100 -1650 200 R 50 50 1 1 B +X PTB1 36 2100 1650 200 L 50 50 1 1 B +X PTC3/LLWU_P7 46 2100 150 200 L 50 50 1 1 B +X PTC11/LLWU_P11 56 2100 -1350 200 L 50 50 1 1 B +X VREF_OUT/CMP1_IN5/CMP0_IN5/ADC1_SE18 17 -2100 -300 200 R 50 50 1 1 W +X PTA5 27 -2100 -1800 200 R 50 50 1 1 B +X PTB2 37 2100 1500 200 L 50 50 1 1 B +X VSS 47 2100 0 200 L 50 50 1 1 W +X PTD0/LLWU_P12 57 2100 -1500 200 L 50 50 1 1 B +X DAC0_OUT/CMP1_IN3/ADC0_SE23 18 -2100 -450 200 R 50 50 1 1 B +X PTA12 28 -2100 -1950 200 R 50 50 1 1 B +X PTB3 38 2100 1350 200 L 50 50 1 1 B +X VDD 48 2100 -150 200 L 50 50 1 1 W +X PTD1 58 2100 -1650 200 L 50 50 1 1 B +X XTAL32 19 -2100 -600 200 R 50 50 1 1 B +X PTA13/LLWU_P4 29 -2100 -2100 200 R 50 50 1 1 B +X PTB16 39 2100 1200 200 L 50 50 1 1 B +X PTC4/LLWU_P8 49 2100 -300 200 L 50 50 1 1 B +X PTD2/LLWU_P13 59 2100 -1800 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# MK22FN1M0AVLQ12 +# +DEF MK22FN1M0AVLQ12 U 0 40 Y Y 1 F N +F0 "U" 0 0 60 H V C CNN +F1 "MK22FN1M0AVLQ12" 0 200 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -1900 2150 1900 -8600 0 1 0 N +X PTE0 1 -2100 2100 200 R 50 50 1 1 B +X PTE1/LLWU_P0 2 -2100 1950 200 R 50 50 1 1 B +X PTE2/LLWU_P1 3 -2100 1800 200 R 50 50 1 1 B +X PTE3 4 -2100 1650 200 R 50 50 1 1 B +X VDD 5 -2100 1500 200 R 50 50 1 1 W +X VSS 6 -2100 1350 200 R 50 50 1 1 W +X PTE4/LLWU_P2 7 -2100 1200 200 R 50 50 1 1 B +X PTE5 8 -2100 1050 200 R 50 50 1 1 B +X PTE6 9 -2100 900 200 R 50 50 1 1 B +X PTE7 10 -2100 750 200 R 50 50 1 1 B +X USB0_DM 20 -2100 -750 200 R 50 50 1 1 B +X ADC1_DM0/ADC0_DM3 30 -2100 -2250 200 R 50 50 1 1 B +X XTAL32 40 -2100 -3750 200 R 50 50 1 1 B +X PTA0 50 -2100 -5250 200 R 50 50 1 1 B +X PTA8 60 -2100 -6750 200 R 50 50 1 1 B +X VDD 70 -2100 -8250 200 R 50 50 1 1 W +X PTA29 80 2100 1050 200 L 50 50 1 1 B +X PTB9 90 2100 -450 200 L 50 50 1 1 B +X PTE8 11 -2100 600 200 R 50 50 1 1 B +X VOUT33 21 -2100 -900 200 R 50 50 1 1 W +X VDDA 31 -2100 -2400 200 R 50 50 1 1 W +X EXTAL32 41 -2100 -3900 200 R 50 50 1 1 B +X PTA1 51 -2100 -5400 200 R 50 50 1 1 B +X PTA9 61 -2100 -6900 200 R 50 50 1 1 B +X VSS 71 -2100 -8400 200 R 50 50 1 1 W +X PTB0/LLWU_P5 81 2100 900 200 L 50 50 1 1 B +X PTB10 91 2100 -600 200 L 50 50 1 1 B +X PTE9 12 -2100 450 200 R 50 50 1 1 B +X VREGIN 22 -2100 -1050 200 R 50 50 1 1 W +X VREFH 32 -2100 -2550 200 R 50 50 1 1 W +X VBAT 42 -2100 -4050 200 R 50 50 1 1 W +X PTA2 52 -2100 -5550 200 R 50 50 1 1 B +X PTA10 62 -2100 -7050 200 R 50 50 1 1 B +X PTA18 72 -2100 -8550 200 R 50 50 1 1 B +X PTB1 82 2100 750 200 L 50 50 1 1 B +X PTB11 92 2100 -750 200 L 50 50 1 1 B +X PTE10 13 -2100 300 200 R 50 50 1 1 B +X ADC0_DP1 23 -2100 -1200 200 R 50 50 1 1 B +X VREFL 33 -2100 -2700 200 R 50 50 1 1 W +X VDD 43 -2100 -4200 200 R 50 50 1 1 W +X PTA3 53 -2100 -5700 200 R 50 50 1 1 B +X PTA11 63 -2100 -7200 200 R 50 50 1 1 B +X PTA19 73 2100 2100 200 L 50 50 1 1 B +X PTB2 83 2100 600 200 L 50 50 1 1 B +X VSS 93 2100 -900 200 L 50 50 1 1 W +X PTE11 14 -2100 150 200 R 50 50 1 1 B +X ADC0_DM1 24 -2100 -1350 200 R 50 50 1 1 B +X VSSA 34 -2100 -2850 200 R 50 50 1 1 W +X VSS 44 -2100 -4350 200 R 50 50 1 1 W +X PTA4/LLWU_P3 54 -2100 -5850 200 R 50 50 1 1 B +X PTA12 64 -2100 -7350 200 R 50 50 1 1 B +X RESET_b 74 2100 1950 200 L 50 50 1 1 B +X PTB3 84 2100 450 200 L 50 50 1 1 B +X VDD 94 2100 -1050 200 L 50 50 1 1 W +X PTE12 15 -2100 0 200 R 50 50 1 1 B +X ADC1_DP1 25 -2100 -1500 200 R 50 50 1 1 B +X ADC1_SE16/CMP2_IN2/ADC0_SE22 35 -2100 -3000 200 R 50 50 1 1 B +X PTE24 45 -2100 -4500 200 R 50 50 1 1 B +X PTA5 55 -2100 -6000 200 R 50 50 1 1 B +X PTA13/LLWU_P4 65 -2100 -7500 200 R 50 50 1 1 B +X PTA24 75 2100 1800 200 L 50 50 1 1 B +X PTB4 85 2100 300 200 L 50 50 1 1 B +X PTB16 95 2100 -1200 200 L 50 50 1 1 B +X VDD 16 -2100 -150 200 R 50 50 1 1 W +X ADC1_DM1 26 -2100 -1650 200 R 50 50 1 1 B +X ADC0_SE16/CMP1_IN2/ADC0_SE21 36 -2100 -3150 200 R 50 50 1 1 B +X PTE25 46 -2100 -4650 200 R 50 50 1 1 B +X VDD 56 -2100 -6150 200 R 50 50 1 1 W +X PTA14 66 -2100 -7650 200 R 50 50 1 1 B +X PTA25 76 2100 1650 200 L 50 50 1 1 B +X PTB5 86 2100 150 200 L 50 50 1 1 B +X PTB17 96 2100 -1350 200 L 50 50 1 1 B +X VSS 17 -2100 -300 200 R 50 50 1 1 W +X ADC0_DP0/ADC1_DP3 27 -2100 -1800 200 R 50 50 1 1 B +X VREF_OUT/CMP1_IN5/CMP0_IN5/ADC1_SE18 37 -2100 -3300 200 R 50 50 1 1 W +X PTE26 47 -2100 -4800 200 R 50 50 1 1 B +X VSS 57 -2100 -6300 200 R 50 50 1 1 W +X PTA15 67 -2100 -7800 200 R 50 50 1 1 B +X PTA26 77 2100 1500 200 L 50 50 1 1 B +X PTB6 87 2100 0 200 L 50 50 1 1 B +X PTB18 97 2100 -1500 200 L 50 50 1 1 B +X VSS 18 -2100 -450 200 R 50 50 1 1 W +X ADC0_DM0/ADC1_DM3 28 -2100 -1950 200 R 50 50 1 1 B +X DAC0_OUT/CMP1_IN3/ADC0_SE23 38 -2100 -3450 200 R 50 50 1 1 B +X PTE27 48 -2100 -4950 200 R 50 50 1 1 B +X PTA6 58 -2100 -6450 200 R 50 50 1 1 B +X PTA16 68 -2100 -7950 200 R 50 50 1 1 B +X PTA27 78 2100 1350 200 L 50 50 1 1 B +X PTB7 88 2100 -150 200 L 50 50 1 1 B +X PTB19 98 2100 -1650 200 L 50 50 1 1 B +X USB0_DP 19 -2100 -600 200 R 50 50 1 1 B +X ADC1_DP0/ADC0_DP3 29 -2100 -2100 200 R 50 50 1 1 B +X DAC1_OUT/CMP0_IN4/CMP2_IN3/ADC1_SE23 39 -2100 -3600 200 R 50 50 1 1 B +X PTE28 49 -2100 -5100 200 R 50 50 1 1 B +X PTA7 59 -2100 -6600 200 R 50 50 1 1 B +X PTA17 69 -2100 -8100 200 R 50 50 1 1 B +X PTA28 79 2100 1200 200 L 50 50 1 1 B +X PTB8 89 2100 -300 200 L 50 50 1 1 B +X PTB20 99 2100 -1800 200 L 50 50 1 1 B +X PTB21 100 2100 -1950 200 L 50 50 1 1 B +X PTC5/LLWU_P9 110 2100 -3450 200 L 50 50 1 1 B +X PTC15 120 2100 -4950 200 L 50 50 1 1 B +X PTD3 130 2100 -6450 200 L 50 50 1 1 B +X PTD11 140 2100 -7950 200 L 50 50 1 1 B +X PTB22 101 2100 -2100 200 L 50 50 1 1 B +X PTC6/LLWU_P10 111 2100 -3600 200 L 50 50 1 1 B +X VSS 121 2100 -5100 200 L 50 50 1 1 W +X PTD4/LLWU_P14 131 2100 -6600 200 L 50 50 1 1 B +X PTD12 141 2100 -8100 200 L 50 50 1 1 B +X PTB23 102 2100 -2250 200 L 50 50 1 1 B +X PTC7 112 2100 -3750 200 L 50 50 1 1 B +X VDD 122 2100 -5250 200 L 50 50 1 1 W +X PTD5 132 2100 -6750 200 L 50 50 1 1 B +X PTD13 142 2100 -8250 200 L 50 50 1 1 B +X PTC0 103 2100 -2400 200 L 50 50 1 1 B +X PTC8 113 2100 -3900 200 L 50 50 1 1 B +X PTC16 123 2100 -5400 200 L 50 50 1 1 B +X PTD6/LLWU_P15 133 2100 -6900 200 L 50 50 1 1 B +X PTD14 143 2100 -8400 200 L 50 50 1 1 B +X PTC1/LLWU_P6 104 2100 -2550 200 L 50 50 1 1 B +X PTC9 114 2100 -4050 200 L 50 50 1 1 B +X PTC17 124 2100 -5550 200 L 50 50 1 1 B +X VSS 134 2100 -7050 200 L 50 50 1 1 W +X PTD15 144 2100 -8550 200 L 50 50 1 1 B +X PTC2 105 2100 -2700 200 L 50 50 1 1 B +X PTC10 115 2100 -4200 200 L 50 50 1 1 B +X PTC18 125 2100 -5700 200 L 50 50 1 1 B +X VDD 135 2100 -7200 200 L 50 50 1 1 W +X PTC3/LLWU_P7 106 2100 -2850 200 L 50 50 1 1 B +X PTC11/LLWU_P11 116 2100 -4350 200 L 50 50 1 1 B +X PTC19 126 2100 -5850 200 L 50 50 1 1 B +X PTD7 136 2100 -7350 200 L 50 50 1 1 B +X VSS 107 2100 -3000 200 L 50 50 1 1 W +X PTC12 117 2100 -4500 200 L 50 50 1 1 B +X PTD0/LLWU_P12 127 2100 -6000 200 L 50 50 1 1 B +X PTD8 137 2100 -7500 200 L 50 50 1 1 B +X VDD 108 2100 -3150 200 L 50 50 1 1 W +X PTC13 118 2100 -4650 200 L 50 50 1 1 B +X PTD1 128 2100 -6150 200 L 50 50 1 1 B +X PTD9 138 2100 -7650 200 L 50 50 1 1 B +X PTC4/LLWU_P8 109 2100 -3300 200 L 50 50 1 1 B +X PTC14 119 2100 -4800 200 L 50 50 1 1 B +X PTD2/LLWU_P13 129 2100 -6300 200 L 50 50 1 1 B +X PTD10 139 2100 -7800 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# MK22FN1M0AVMD12 +# +DEF MK22FN1M0AVMD12 U 0 40 Y Y 1 F N +F0 "U" 0 0 60 H V C CNN +F1 "MK22FN1M0AVMD12" 0 200 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -1900 2150 1900 -8600 0 1 0 N +X PTD7 A1 -2100 2100 200 R 50 50 1 1 B +X PTD12 B1 -2100 300 200 R 50 50 1 1 B +X PTD15 C1 -2100 -1500 200 R 50 50 1 1 B +X PTE2/LLWU_P1 D1 -2100 -3300 200 R 50 50 1 1 B +X PTE6 E1 -2100 -5100 200 R 50 50 1 1 B +X PTE10 F1 -2100 -6900 200 R 50 50 1 1 B +X VOUT33 G1 2100 2100 200 L 50 50 1 1 W +X USB0_DP H1 2100 300 200 L 50 50 1 1 B +X ADC0_DP1 J1 2100 -1500 200 L 50 50 1 1 B +X ADC1_DP1 K1 2100 -3300 200 L 50 50 1 1 B +X ADC0_DP0/ADC1_DP3 L1 2100 -5100 200 L 50 50 1 1 B +X ADC1_DP0/ADC0_DP3 M1 2100 -6900 200 L 50 50 1 1 B +X PTD6/LLWU_P15 A2 -2100 1950 200 R 50 50 1 1 B +X PTD11 B2 -2100 150 200 R 50 50 1 1 B +X PTD14 C2 -2100 -1650 200 R 50 50 1 1 B +X PTE1/LLWU_P0 D2 -2100 -3450 200 R 50 50 1 1 B +X PTE5 E2 -2100 -5250 200 R 50 50 1 1 B +X PTE9 F2 -2100 -7050 200 R 50 50 1 1 B +X VREGIN G2 2100 1950 200 L 50 50 1 1 W +X USB0_DM H2 2100 150 200 L 50 50 1 1 B +X ADC0_DM1 J2 2100 -1650 200 L 50 50 1 1 B +X ADC1_DM1 K2 2100 -3450 200 L 50 50 1 1 B +X ADC0_DM0/ADC1_DM3 L2 2100 -5250 200 L 50 50 1 1 B +X ADC1_DM0/ADC0_DM3 M2 2100 -7050 200 L 50 50 1 1 B +X PTD5 A3 -2100 1800 200 R 50 50 1 1 B +X PTD10 B3 -2100 0 200 R 50 50 1 1 B +X PTD13 C3 -2100 -1800 200 R 50 50 1 1 B +X PTE0 D3 -2100 -3600 200 R 50 50 1 1 B +X PTE4/LLWU_P2 E3 -2100 -5400 200 R 50 50 1 1 B +X PTE8 F3 -2100 -7200 200 R 50 50 1 1 B +X PTE12 G3 2100 1800 200 L 50 50 1 1 B +X VSS H3 2100 0 200 L 50 50 1 1 W +X ADC0_SE16/CMP1_IN2/ADC0_SE21 J3 2100 -1800 200 L 50 50 1 1 B +X ADC1_SE16/CMP2_IN2/ADC0_SE22 K3 2100 -3600 200 L 50 50 1 1 B +X DAC0_OUT/CMP1_IN3/ADC0_SE23 L3 2100 -5400 200 L 50 50 1 1 B +X VREF_OUT/CMP1_IN5/CMP0_IN5/ADC1_SE18 M3 2100 -7200 200 L 50 50 1 1 W +X PTD4/LLWU_P14 A4 -2100 1650 200 R 50 50 1 1 B +X PTD3 B4 -2100 -150 200 R 50 50 1 1 B +X PTD2/LLWU_P13 C4 -2100 -1950 200 R 50 50 1 1 B +X PTD1 D4 -2100 -3750 200 R 50 50 1 1 B +X PTE3 E4 -2100 -5550 200 R 50 50 1 1 B +X PTE7 F4 -2100 -7350 200 R 50 50 1 1 B +X PTE11 G4 2100 1650 200 L 50 50 1 1 B +X PTE28 H4 2100 -150 200 L 50 50 1 1 B +X PTE27 J4 2100 -1950 200 L 50 50 1 1 B +X PTE26 K4 2100 -3750 200 L 50 50 1 1 B +X DAC1_OUT/CMP0_IN4/CMP2_IN3/ADC1_SE23 L4 2100 -5550 200 L 50 50 1 1 B +X PTE24 M4 2100 -7350 200 L 50 50 1 1 B +X PTD0/LLWU_P12 A5 -2100 1500 200 R 50 50 1 1 B +X PTC19 B5 -2100 -300 200 R 50 50 1 1 B +X PTC18 C5 -2100 -2100 200 R 50 50 1 1 B +X PTC17 D5 -2100 -3900 200 R 50 50 1 1 B +X VDD E5 -2100 -5700 200 R 50 50 1 1 W +X VDD F5 -2100 -7500 200 R 50 50 1 1 W +X VREFH G5 2100 1500 200 L 50 50 1 1 W +X VDDA H5 2100 -300 200 L 50 50 1 1 W +X PTA0 J5 2100 -2100 200 L 50 50 1 1 B +X PTE25 K5 2100 -3900 200 L 50 50 1 1 B +X RTC_WAKEUP_B L5 2100 -5700 200 L 50 50 1 1 B +X PTC16 A6 -2100 1350 200 R 50 50 1 1 B +X PTC15 B6 -2100 -450 200 R 50 50 1 1 B +X PTC14 C6 -2100 -2250 200 R 50 50 1 1 B +X PTC13 D6 -2100 -4050 200 R 50 50 1 1 B +X VDD E6 -2100 -5850 200 R 50 50 1 1 W +X VSS F6 -2100 -7650 200 R 50 50 1 1 W +X VREFL G6 2100 1350 200 L 50 50 1 1 W +X VSSA H6 2100 -450 200 L 50 50 1 1 W +X PTA1 J6 2100 -2250 200 L 50 50 1 1 B +X PTA2 K6 2100 -4050 200 L 50 50 1 1 B +X VBAT L6 2100 -5850 200 L 50 50 1 1 W +X EXTAL32 M6 2100 -7650 200 L 50 50 1 1 B +X PTC12 A7 -2100 1200 200 R 50 50 1 1 B +X PTC11/LLWU_P11 B7 -2100 -600 200 R 50 50 1 1 B +X PTC10 C7 -2100 -2400 200 R 50 50 1 1 B +X PTC9 D7 -2100 -4200 200 R 50 50 1 1 B +X VDD E7 -2100 -6000 200 R 50 50 1 1 W +X VSS F7 -2100 -7800 200 R 50 50 1 1 W +X VSS G7 2100 1200 200 L 50 50 1 1 W +X VSS H7 2100 -600 200 L 50 50 1 1 W +X PTA6 J7 2100 -2400 200 L 50 50 1 1 B +X PTA3 K7 2100 -4200 200 L 50 50 1 1 B +X PTA4/LLWU_P3 L7 2100 -6000 200 L 50 50 1 1 B +X XTAL32 M7 2100 -7800 200 L 50 50 1 1 B +X PTC8 A8 -2100 1050 200 R 50 50 1 1 B +X PTC7 B8 -2100 -750 200 R 50 50 1 1 B +X PTC6/LLWU_P10 C8 -2100 -2550 200 R 50 50 1 1 B +X PTC5/LLWU_P9 D8 -2100 -4350 200 R 50 50 1 1 B +X VDD E8 -2100 -6150 200 R 50 50 1 1 W +X VDD F8 -2100 -7950 200 R 50 50 1 1 W +X VSS G8 2100 1050 200 L 50 50 1 1 W +X VSS H8 2100 -750 200 L 50 50 1 1 W +X PTA7 J8 2100 -2550 200 L 50 50 1 1 B +X PTA8 K8 2100 -4350 200 L 50 50 1 1 B +X PTA9 L8 2100 -6150 200 L 50 50 1 1 B +X PTA5 M8 2100 -7950 200 L 50 50 1 1 B +X PTC4/LLWU_P8 A9 -2100 900 200 R 50 50 1 1 B +X PTD9 B9 -2100 -900 200 R 50 50 1 1 B +X PTD8 C9 -2100 -2700 200 R 50 50 1 1 B +X PTB21 D9 -2100 -4500 200 R 50 50 1 1 B +X PTB17 E9 -2100 -6300 200 R 50 50 1 1 B +X PTB9 F9 -2100 -8100 200 R 50 50 1 1 B +X PTB5 G9 2100 900 200 L 50 50 1 1 B +X PTB1 H9 2100 -900 200 L 50 50 1 1 B +X PTA13/LLWU_P4 J9 2100 -2700 200 L 50 50 1 1 B +X PTA12 K9 2100 -4500 200 L 50 50 1 1 B +X PTA11 L9 2100 -6300 200 L 50 50 1 1 B +X PTA10 M9 2100 -8100 200 L 50 50 1 1 B +X NC A10 -2100 750 200 R 50 50 1 1 N N +X PTB20 D10 -2100 -4650 200 R 50 50 1 1 B +X PTB16 E10 -2100 -6450 200 R 50 50 1 1 B +X PTB8 F10 -2100 -8250 200 R 50 50 1 1 B +X PTB4 G10 2100 750 200 L 50 50 1 1 B +X PTB0/LLWU_P5 H10 2100 -1050 200 L 50 50 1 1 B +X PTA27 J10 2100 -2850 200 L 50 50 1 1 B +X PTA16 K10 2100 -4650 200 L 50 50 1 1 B +X PTA14 L10 2100 -6450 200 L 50 50 1 1 B +X VSS M10 2100 -8250 200 L 50 50 1 1 W +X PTC3/LLWU_P7 A11 -2100 600 200 R 50 50 1 1 B +X PTC1/LLWU_P6 B11 -2100 -1200 200 R 50 50 1 1 B +X PTB23 C11 -2100 -3000 200 R 50 50 1 1 B +X PTB19 D11 -2100 -4800 200 R 50 50 1 1 B +X PTB11 E11 -2100 -6600 200 R 50 50 1 1 B +X PTB7 F11 -2100 -8400 200 R 50 50 1 1 B +X PTB3 G11 2100 600 200 L 50 50 1 1 B +X PTA29 H11 2100 -1200 200 L 50 50 1 1 B +X PTA26 J11 2100 -3000 200 L 50 50 1 1 B +X PTA17 K11 2100 -4800 200 L 50 50 1 1 B +X PTA15 L11 2100 -6600 200 L 50 50 1 1 B +X PTA19 M11 2100 -8400 200 L 50 50 1 1 B +X PTC2 A12 -2100 450 200 R 50 50 1 1 B +X PTC0 B12 -2100 -1350 200 R 50 50 1 1 B +X PTB22 C12 -2100 -3150 200 R 50 50 1 1 B +X PTB18 D12 -2100 -4950 200 R 50 50 1 1 B +X PTB10 E12 -2100 -6750 200 R 50 50 1 1 B +X PTB6 F12 -2100 -8550 200 R 50 50 1 1 B +X PTB2 G12 2100 450 200 L 50 50 1 1 B +X PTA28 H12 2100 -1350 200 L 50 50 1 1 B +X PTA25 J12 2100 -3150 200 L 50 50 1 1 B +X PTA24 K12 2100 -4950 200 L 50 50 1 1 B +X RESET_b L12 2100 -6750 200 L 50 50 1 1 B +X PTA18 M12 2100 -8550 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# MK22FN512VLH12 +# +DEF MK22FN512VLH12 U 0 40 Y Y 1 F N +F0 "U" -1150 2300 60 H V C CNN +F1 "MK22FN512VLH12" -1750 2300 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -1900 2150 1900 -2650 0 1 0 N +X PTE0/CLKOUT32K 1 -2100 2100 200 R 50 50 1 1 B +X PTE1/LLWU_P0 2 -2100 1950 200 R 50 50 1 1 B +X VDD 3 -2100 1800 200 R 50 50 1 1 W +X VSS 4 -2100 1650 200 R 50 50 1 1 W +X USB0_DP 5 -2100 1500 200 R 50 50 1 1 B +X USB0_DM 6 -2100 1350 200 R 50 50 1 1 B +X VOUT33 7 -2100 1200 200 R 50 50 1 1 w +X VREGIN 8 -2100 1050 200 R 50 50 1 1 P +X ADC0_DP0/ADC1_DP3 9 -2100 900 200 R 50 50 1 1 P +X ADC0_DM0/ADC1_DM3 10 -2100 750 200 R 50 50 1 1 P +X EXTAL32 20 -2100 -750 200 R 50 50 1 1 I +X VDD 30 -2100 -2250 200 R 50 50 1 1 W +X PTB17 40 2100 1050 200 L 50 50 1 1 B +X PTC5/LLWU_P9 50 2100 -450 200 L 50 50 1 1 B +X PTD3 60 2100 -1950 200 L 50 50 1 1 B +X ADC1_DP0/ADC0_DP3 11 -2100 600 200 R 50 50 1 1 P +X VBAT 21 -2100 -900 200 R 50 50 1 1 W +X VSS 31 -2100 -2400 200 R 50 50 1 1 W +X PTB18 41 2100 900 200 L 50 50 1 1 B +X PTC6/LLWU_P10 51 2100 -600 200 L 50 50 1 1 B +X PTD4/LLWU_P14 61 2100 -2100 200 L 50 50 1 1 B +X ADC1_DM0/ADC0_DM3 12 -2100 450 200 R 50 50 1 1 P +X PTA0 22 -2100 -1050 200 R 50 50 1 1 B +X PTA18 32 -2100 -2550 200 R 50 50 1 1 B +X PTB19 42 2100 750 200 L 50 50 1 1 B +X PTC7 52 2100 -750 200 L 50 50 1 1 B +X PTD5 62 2100 -2250 200 L 50 50 1 1 B +X VDDA 13 -2100 300 200 R 50 50 1 1 W +X PTA1 23 -2100 -1200 200 R 50 50 1 1 B +X PTA19 33 2100 2100 200 L 50 50 1 1 B +X PTC0 43 2100 600 200 L 50 50 1 1 B +X PTC8 53 2100 -900 200 L 50 50 1 1 B +X PTD6/LLWU_P15 63 2100 -2400 200 L 50 50 1 1 B +X VREFH 14 -2100 150 200 R 50 50 1 1 W +X PTA2 24 -2100 -1350 200 R 50 50 1 1 B +X RESET_b 34 2100 1950 200 L 50 50 1 1 I +X PTC1/LLWU_P6 44 2100 450 200 L 50 50 1 1 B +X PTC9 54 2100 -1050 200 L 50 50 1 1 B +X PTD7 64 2100 -2550 200 L 50 50 1 1 B +X VREFL 15 -2100 0 200 R 50 50 1 1 W +X PTA3 25 -2100 -1500 200 R 50 50 1 1 B +X PTB0/LLWU_P5 35 2100 1800 200 L 50 50 1 1 B +X PTC2 45 2100 300 200 L 50 50 1 1 B +X PTC10 55 2100 -1200 200 L 50 50 1 1 B +X VSSA 16 -2100 -150 200 R 50 50 1 1 W +X PTA4/LLWU_P3 26 -2100 -1650 200 R 50 50 1 1 B +X PTB1 36 2100 1650 200 L 50 50 1 1 B +X PTC3/LLWU_P7 46 2100 150 200 L 50 50 1 1 B +X PTC11/LLWU_P11 56 2100 -1350 200 L 50 50 1 1 B +X VREF_OUT/CMP1_IN5/CMP0_IN5/ADC1_SE18 17 -2100 -300 200 R 50 50 1 1 P +X PTA5 27 -2100 -1800 200 R 50 50 1 1 B +X PTB2 37 2100 1500 200 L 50 50 1 1 B +X VSS 47 2100 0 200 L 50 50 1 1 W +X PTD0/LLWU_P12 57 2100 -1500 200 L 50 50 1 1 B +X DAC0_OUT/CMP1_IN3/ADC0_SE23 18 -2100 -450 200 R 50 50 1 1 P +X PTA12 28 -2100 -1950 200 R 50 50 1 1 B +X PTB3 38 2100 1350 200 L 50 50 1 1 B +X VDD 48 2100 -150 200 L 50 50 1 1 W +X PTD1 58 2100 -1650 200 L 50 50 1 1 B +X XTAL32 19 -2100 -600 200 R 50 50 1 1 O +X PTA13/LLWU_P4 29 -2100 -2100 200 R 50 50 1 1 B +X PTB16 39 2100 1200 200 L 50 50 1 1 B +X PTC4/LLWU_P8 49 2100 -300 200 L 50 50 1 1 B +X PTD2/LLWU_P13 59 2100 -1800 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# MK64FN1M0VLQ12 +# +DEF MK64FN1M0VLQ12 U 0 40 Y Y 1 F N +F0 "U" -1850 2250 60 H V C CNN +F1 "MK64FN1M0VLQ12" -1250 2250 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +ALIAS MK64FX512VLQ12 +DRAW +S -1900 2150 1900 -9350 0 1 0 N +X PTE0 1 -2100 2100 200 R 50 50 1 1 B +X PTE1/LLWU_P0 2 -2100 1950 200 R 50 50 1 1 B +X PTE2/LLWU_P1 3 -2100 1800 200 R 50 50 1 1 B +X PTE3 4 -2100 1650 200 R 50 50 1 1 B +X VDD 5 -2100 1500 200 R 50 50 1 1 W +X VSS 6 -2100 1350 200 R 50 50 1 1 W +X PTE4/LLWU_P2 7 -2100 1200 200 R 50 50 1 1 B +X PTE5 8 -2100 1050 200 R 50 50 1 1 B +X PTE6 9 -2100 900 200 R 50 50 1 1 B +X PTE7 10 -2100 750 200 R 50 50 1 1 B +X USB0_DM 20 -2100 -750 200 R 50 50 1 1 B +X ADC1_DM0/ADC0_DM3 30 -2100 -2250 200 R 50 50 1 1 P +X XTAL32 40 -2100 -3750 200 R 50 50 1 1 O +X PTA0 50 -2100 -5250 200 R 50 50 1 1 B +X PTA8 60 -2100 -6750 200 R 50 50 1 1 B +X VDD 70 -2100 -8250 200 R 50 50 1 1 W +X PTA29 80 2100 1050 200 L 50 50 1 1 B +X PTB9 90 2100 -450 200 L 50 50 1 1 B +X PTE8 11 -2100 600 200 R 50 50 1 1 B +X VOUT33 21 -2100 -900 200 R 50 50 1 1 w +X VDDA 31 -2100 -2400 200 R 50 50 1 1 W +X EXTAL32 41 -2100 -3900 200 R 50 50 1 1 I +X PTA1 51 -2100 -5400 200 R 50 50 1 1 B +X PTA9 61 -2100 -6900 200 R 50 50 1 1 B +X VSS 71 -2100 -8400 200 R 50 50 1 1 W +X PTB0/LLWU_P5 81 2100 900 200 L 50 50 1 1 B +X PTB10 91 2100 -600 200 L 50 50 1 1 B +X PTE9 12 -2100 450 200 R 50 50 1 1 B +X VREGIN 22 -2100 -1050 200 R 50 50 1 1 P +X VREFH 32 -2100 -2550 200 R 50 50 1 1 W +X VBAT 42 -2100 -4050 200 R 50 50 1 1 P +X PTA2 52 -2100 -5550 200 R 50 50 1 1 B +X PTA10 62 -2100 -7050 200 R 50 50 1 1 B +X PTA18 72 -2100 -8550 200 R 50 50 1 1 B +X PTB1 82 2100 750 200 L 50 50 1 1 B +X PTB11 92 2100 -750 200 L 50 50 1 1 B +X PTE10 13 -2100 300 200 R 50 50 1 1 B +X ADC0_DP1 23 -2100 -1200 200 R 50 50 1 1 P +X VREFL 33 -2100 -2700 200 R 50 50 1 1 W +X VDD 43 -2100 -4200 200 R 50 50 1 1 W +X PTA3 53 -2100 -5700 200 R 50 50 1 1 B +X PTA11 63 -2100 -7200 200 R 50 50 1 1 B +X PTA19 73 2100 2100 200 L 50 50 1 1 B +X PTB2 83 2100 600 200 L 50 50 1 1 B +X VSS 93 2100 -900 200 L 50 50 1 1 W +X PTE11 14 -2100 150 200 R 50 50 1 1 B +X ADC0_DM1 24 -2100 -1350 200 R 50 50 1 1 P +X VSSA 34 -2100 -2850 200 R 50 50 1 1 W +X VSS 44 -2100 -4350 200 R 50 50 1 1 W +X PTA4/LLWU_P3 54 -2100 -5850 200 R 50 50 1 1 B +X PTA12 64 -2100 -7350 200 R 50 50 1 1 B +X RESET_b 74 2100 1950 200 L 50 50 1 1 I +X PTB3 84 2100 450 200 L 50 50 1 1 B +X VDD 94 2100 -1050 200 L 50 50 1 1 W +X PTE12 15 -2100 0 200 R 50 50 1 1 B +X ADC1_DP1 25 -2100 -1500 200 R 50 50 1 1 P +X ADC1_SE16/CMP2_IN2/ADC0_SE22 35 -2100 -3000 200 R 50 50 1 1 P +X PTE24 45 -2100 -4500 200 R 50 50 1 1 B +X PTA5 55 -2100 -6000 200 R 50 50 1 1 B +X PTA13/LLWU_P4 65 -2100 -7500 200 R 50 50 1 1 B +X PTA24 75 2100 1800 200 L 50 50 1 1 B +X PTB4 85 2100 300 200 L 50 50 1 1 B +X PTB16 95 2100 -1200 200 L 50 50 1 1 B +X VDD 16 -2100 -150 200 R 50 50 1 1 W +X ADC1_DM1 26 -2100 -1650 200 R 50 50 1 1 P +X ADC0_SE16/CMP1_IN2/ADC0_SE21 36 -2100 -3150 200 R 50 50 1 1 P +X PTE25 46 -2100 -4650 200 R 50 50 1 1 B +X VDD 56 -2100 -6150 200 R 50 50 1 1 W +X PTA14 66 -2100 -7650 200 R 50 50 1 1 B +X PTA25 76 2100 1650 200 L 50 50 1 1 B +X PTB5 86 2100 150 200 L 50 50 1 1 B +X PTB17 96 2100 -1350 200 L 50 50 1 1 B +X VSS 17 -2100 -300 200 R 50 50 1 1 W +X ADC0_DP0/ADC1_DP3 27 -2100 -1800 200 R 50 50 1 1 P +X VREF_OUT/CMP1_IN5/CMP0_IN5/ADC1_SE18 37 -2100 -3300 200 R 50 50 1 1 B +X PTE26 47 -2100 -4800 200 R 50 50 1 1 B +X VSS 57 -2100 -6300 200 R 50 50 1 1 W +X PTA15 67 -2100 -7800 200 R 50 50 1 1 B +X PTA26 77 2100 1500 200 L 50 50 1 1 B +X PTB6 87 2100 0 200 L 50 50 1 1 B +X PTB18 97 2100 -1500 200 L 50 50 1 1 B +X VSS 18 -2100 -450 200 R 50 50 1 1 W +X ADC0_DM0/ADC1_DM3 28 -2100 -1950 200 R 50 50 1 1 P +X DAC0_OUT/CMP1_IN3/ADC0_SE23 38 -2100 -3450 200 R 50 50 1 1 P +X PTE27 48 -2100 -4950 200 R 50 50 1 1 B +X PTA6 58 -2100 -6450 200 R 50 50 1 1 B +X PTA16 68 -2100 -7950 200 R 50 50 1 1 B +X PTA27 78 2100 1350 200 L 50 50 1 1 B +X PTB7 88 2100 -150 200 L 50 50 1 1 B +X PTB19 98 2100 -1650 200 L 50 50 1 1 B +X USB0_DP 19 -2100 -600 200 R 50 50 1 1 B +X ADC1_DP0/ADC0_DP3 29 -2100 -2100 200 R 50 50 1 1 P +X DAC1_OUT/CMP0_IN4/CMP2_IN3/ADC1_SE23 39 -2100 -3600 200 R 50 50 1 1 P +X PTE28 49 -2100 -5100 200 R 50 50 1 1 B +X PTA7 59 -2100 -6600 200 R 50 50 1 1 B +X PTA17 69 -2100 -8100 200 R 50 50 1 1 B +X PTA28 79 2100 1200 200 L 50 50 1 1 B +X PTB8 89 2100 -300 200 L 50 50 1 1 B +X PTB20 99 2100 -1800 200 L 50 50 1 1 B +X PTB21 100 2100 -1950 200 L 50 50 1 1 B +X PTC5/LLWU_P9 110 2100 -3450 200 L 50 50 1 1 B +X PTC15 120 2100 -4950 200 L 50 50 1 1 B +X PTD3 130 2100 -6450 200 L 50 50 1 1 B +X PTD11 140 2100 -7950 200 L 50 50 1 1 B +X PTB22 101 2100 -2100 200 L 50 50 1 1 B +X PTC6/LLWU_P10 111 2100 -3600 200 L 50 50 1 1 B +X VSS 121 2100 -5100 200 L 50 50 1 1 W +X PTD4/LLWU_P14 131 2100 -6600 200 L 50 50 1 1 B +X PTD12 141 2100 -8100 200 L 50 50 1 1 B +X PTB23 102 2100 -2250 200 L 50 50 1 1 B +X PTC7 112 2100 -3750 200 L 50 50 1 1 B +X VDD 122 2100 -5250 200 L 50 50 1 1 W +X PTD5 132 2100 -6750 200 L 50 50 1 1 B +X PTD13 142 2100 -8250 200 L 50 50 1 1 B +X PTC0 103 2100 -2400 200 L 50 50 1 1 B +X PTC8 113 2100 -3900 200 L 50 50 1 1 B +X PTC16 123 2100 -5400 200 L 50 50 1 1 B +X PTD6/LLWU_P15 133 2100 -6900 200 L 50 50 1 1 B +X PTD14 143 2100 -8400 200 L 50 50 1 1 B +X PTC1/LLWU_P6 104 2100 -2550 200 L 50 50 1 1 B +X PTC9 114 2100 -4050 200 L 50 50 1 1 B +X PTC17 124 2100 -5550 200 L 50 50 1 1 B +X VSS 134 2100 -7050 200 L 50 50 1 1 W +X PTD15 144 2100 -8550 200 L 50 50 1 1 B +X PTC2 105 2100 -2700 200 L 50 50 1 1 B +X PTC10 115 2100 -4200 200 L 50 50 1 1 B +X PTC18 125 2100 -5700 200 L 50 50 1 1 B +X VDD 135 2100 -7200 200 L 50 50 1 1 W +X PTC3/LLWU_P7 106 2100 -2850 200 L 50 50 1 1 B +X PTC11/LLWU_P11 116 2100 -4350 200 L 50 50 1 1 B +X PTC19 126 2100 -5850 200 L 50 50 1 1 B +X PTD7 136 2100 -7350 200 L 50 50 1 1 B +X VSS 107 2100 -3000 200 L 50 50 1 1 W +X PTC12 117 2100 -4500 200 L 50 50 1 1 B +X PTD0/LLWU_P12 127 2100 -6000 200 L 50 50 1 1 B +X PTD8 137 2100 -7500 200 L 50 50 1 1 B +X VDD 108 2100 -3150 200 L 50 50 1 1 W +X PTC13 118 2100 -4650 200 L 50 50 1 1 B +X PTD1 128 2100 -6150 200 L 50 50 1 1 B +X PTD9 138 2100 -7650 200 L 50 50 1 1 B +X PTC4/LLWU_P8 109 2100 -3300 200 L 50 50 1 1 B +X PTC14 119 2100 -4800 200 L 50 50 1 1 B +X PTD2/LLWU_P13 129 2100 -6300 200 L 50 50 1 1 B +X PTD10 139 2100 -7800 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# MK64FX512VLL12 +# +DEF MK64FX512VLL12 U 0 40 Y Y 1 F N +F0 "U" -1850 2250 60 H V C CNN +F1 "MK64FX512VLL12" -1250 2250 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +ALIAS MK64FN1M0VLL12 +DRAW +S -1900 2150 1900 -5350 0 1 0 N +X PTE0 1 -2100 2100 200 R 50 50 1 1 B +X PTE1/LLWU_P0 2 -2100 1950 200 R 50 50 1 1 B +X PTE2/LLWU_P1 3 -2100 1800 200 R 50 50 1 1 B +X PTE3 4 -2100 1650 200 R 50 50 1 1 B +X PTE4/LLWU_P2 5 -2100 1500 200 R 50 50 1 1 B +X PTE5 6 -2100 1350 200 R 50 50 1 1 B +X PTE6 7 -2100 1200 200 R 50 50 1 1 B +X VDD 8 -2100 1050 200 R 50 50 1 1 W +X VSS 9 -2100 900 200 R 50 50 1 1 W +X USB0_DP 10 -2100 750 200 R 50 50 1 1 B +X ADC1_DP0/ADC0_DP3 20 -2100 -750 200 R 50 50 1 1 P +X VBAT 30 -2100 -2250 200 R 50 50 1 1 P +X VDD 40 -2100 -3750 200 R 50 50 1 1 W +X PTA18 50 -2100 -5250 200 R 50 50 1 1 B +X VSS 60 2100 750 200 L 50 50 1 1 W +X PTC0 70 2100 -750 200 L 50 50 1 1 B +X PTC8 80 2100 -2250 200 L 50 50 1 1 B +X PTC16 90 2100 -3750 200 L 50 50 1 1 B +X USB0_DM 11 -2100 600 200 R 50 50 1 1 B +X ADC1_DM0/ADC0_DM3 21 -2100 -900 200 R 50 50 1 1 P +X PTE24 31 -2100 -2400 200 R 50 50 1 1 B +X VSS 41 -2100 -3900 200 R 50 50 1 1 W +X PTA19 51 2100 2100 200 L 50 50 1 1 B +X VDD 61 2100 600 200 L 50 50 1 1 W +X PTC1/LLWU_P6 71 2100 -900 200 L 50 50 1 1 B +X PTC9 81 2100 -2400 200 L 50 50 1 1 B +X PTC17 91 2100 -3900 200 L 50 50 1 1 B +X VOUT33 12 -2100 450 200 R 50 50 1 1 W +X VDDA 22 -2100 -1050 200 R 50 50 1 1 W +X PTE25 32 -2100 -2550 200 R 50 50 1 1 B +X PTA12 42 -2100 -4050 200 R 50 50 1 1 B +X RESET_b 52 2100 1950 200 L 50 50 1 1 I +X PTB16 62 2100 450 200 L 50 50 1 1 B +X PTC2 72 2100 -1050 200 L 50 50 1 1 B +X PTC10 82 2100 -2550 200 L 50 50 1 1 B +X PTC18 92 2100 -4050 200 L 50 50 1 1 B +X VREGIN 13 -2100 300 200 R 50 50 1 1 P +X VREFH 23 -2100 -1200 200 R 50 50 1 1 W +X PTE26 33 -2100 -2700 200 R 50 50 1 1 B +X PTA13/LLWU_P4 43 -2100 -4200 200 R 50 50 1 1 B +X PTB0/LLWU_P5 53 2100 1800 200 L 50 50 1 1 B +X PTB17 63 2100 300 200 L 50 50 1 1 B +X PTC3/LLWU_P7 73 2100 -1200 200 L 50 50 1 1 B +X PTC11/LLWU_P11 83 2100 -2700 200 L 50 50 1 1 B +X PTD0/LLWU_P12 93 2100 -4200 200 L 50 50 1 1 B +X ADC0_DP1 14 -2100 150 200 R 50 50 1 1 P +X VREFL 24 -2100 -1350 200 R 50 50 1 1 W +X PTA0 34 -2100 -2850 200 R 50 50 1 1 B +X PTA14 44 -2100 -4350 200 R 50 50 1 1 B +X PTB1 54 2100 1650 200 L 50 50 1 1 B +X PTB18 64 2100 150 200 L 50 50 1 1 B +X VSS 74 2100 -1350 200 L 50 50 1 1 W +X PTC12 84 2100 -2850 200 L 50 50 1 1 B +X PTD1 94 2100 -4350 200 L 50 50 1 1 B +X ADC0_DM1 15 -2100 0 200 R 50 50 1 1 P +X VSSA 25 -2100 -1500 200 R 50 50 1 1 W +X PTA1 35 -2100 -3000 200 R 50 50 1 1 B +X PTA15 45 -2100 -4500 200 R 50 50 1 1 B +X PTB2 55 2100 1500 200 L 50 50 1 1 B +X PTB19 65 2100 0 200 L 50 50 1 1 B +X VDD 75 2100 -1500 200 L 50 50 1 1 W +X PTC13 85 2100 -3000 200 L 50 50 1 1 B +X PTD2/LLWU_P13 95 2100 -4500 200 L 50 50 1 1 B +X ADC1_DP1 16 -2100 -150 200 R 50 50 1 1 P +X VREF_OUT/CMP1_IN5/CMP0_IN5/ADC1_SE18 26 -2100 -1650 200 R 50 50 1 1 W +X PTA2 36 -2100 -3150 200 R 50 50 1 1 B +X PTA16 46 -2100 -4650 200 R 50 50 1 1 B +X PTB3 56 2100 1350 200 L 50 50 1 1 B +X PTB20 66 2100 -150 200 L 50 50 1 1 B +X PTC4/LLWU_P8 76 2100 -1650 200 L 50 50 1 1 B +X PTC14 86 2100 -3150 200 L 50 50 1 1 B +X PTD3 96 2100 -4650 200 L 50 50 1 1 B +X ADC1_DM1 17 -2100 -300 200 R 50 50 1 1 P +X DAC0_OUT/CMP1_IN3/ADC0_SE23 27 -2100 -1800 200 R 50 50 1 1 P +X PTA3 37 -2100 -3300 200 R 50 50 1 1 B +X PTA17 47 -2100 -4800 200 R 50 50 1 1 B +X PTB9 57 2100 1200 200 L 50 50 1 1 B +X PTB21 67 2100 -300 200 L 50 50 1 1 B +X PTC5/LLWU_P9 77 2100 -1800 200 L 50 50 1 1 B +X PTC15 87 2100 -3300 200 L 50 50 1 1 B +X PTD4/LLWU_P14 97 2100 -4800 200 L 50 50 1 1 B +X ADC0_DP0/ADC1_DP3 18 -2100 -450 200 R 50 50 1 1 P +X XTAL32 28 -2100 -1950 200 R 50 50 1 1 O +X PTA4/LLWU_P3 38 -2100 -3450 200 R 50 50 1 1 B +X VDD 48 -2100 -4950 200 R 50 50 1 1 W +X PTB10 58 2100 1050 200 L 50 50 1 1 B +X PTB22 68 2100 -450 200 L 50 50 1 1 B +X PTC6/LLWU_P10 78 2100 -1950 200 L 50 50 1 1 B +X VSS 88 2100 -3450 200 L 50 50 1 1 W +X PTD5 98 2100 -4950 200 L 50 50 1 1 B +X ADC0_DM0/ADC1_DM3 19 -2100 -600 200 R 50 50 1 1 P +X EXTAL32 29 -2100 -2100 200 R 50 50 1 1 I +X PTA5 39 -2100 -3600 200 R 50 50 1 1 B +X VSS 49 -2100 -5100 200 R 50 50 1 1 W +X PTB11 59 2100 900 200 L 50 50 1 1 B +X PTB23 69 2100 -600 200 L 50 50 1 1 B +X PTC7 79 2100 -2100 200 L 50 50 1 1 B +X VDD 89 2100 -3600 200 L 50 50 1 1 W +X PTD6/LLWU_P15 99 2100 -5100 200 L 50 50 1 1 B +X PTD7 100 2100 -5250 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# MK64FX512VMD12 +# +DEF MK64FX512VMD12 U 0 40 Y Y 1 F N +F0 "U" -1850 2250 60 H V C CNN +F1 "MK64FX512VMD12" -1250 2250 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +ALIAS MK64FN1M0VMD12 +DRAW +S -1900 2150 1900 -9350 0 1 0 N +X PTD7 A1 2100 -7350 200 L 50 50 1 1 B +X PTD12 B1 2100 -8100 200 L 50 50 1 1 B +X PTD15 C1 2100 -8550 200 L 50 50 1 1 B +X PTE2/LLWU_P1 D1 -2100 1800 200 R 50 50 1 1 B +X PTE6 E1 -2100 900 200 R 50 50 1 1 B +X PTE10 F1 -2100 300 200 R 50 50 1 1 B +X VOUT33 G1 -2100 -900 200 R 50 50 1 1 w +X USB0_DP H1 -2100 -600 200 R 50 50 1 1 B +X ADC0_DP1 J1 -2100 -1200 200 R 50 50 1 1 P +X ADC1_DP1 K1 -2100 -1500 200 R 50 50 1 1 P +X ADC0_DP0/ADC1_DP3 L1 -2100 -1800 200 R 50 50 1 1 P +X ADC1_DP0/ADC0_DP3 M1 -2100 -2100 200 R 50 50 1 1 P +X PTD6/LLWU_P15 A2 2100 -6900 200 L 50 50 1 1 B +X PTD11 B2 2100 -7950 200 L 50 50 1 1 B +X PTD14 C2 2100 -8400 200 L 50 50 1 1 B +X PTE1/LLWU_P0 D2 -2100 1950 200 R 50 50 1 1 B +X PTE5 E2 -2100 1050 200 R 50 50 1 1 B +X PTE9 F2 -2100 450 200 R 50 50 1 1 B +X VREGIN G2 -2100 -1050 200 R 50 50 1 1 P +X USB0_DM H2 -2100 -750 200 R 50 50 1 1 B +X ADC0_DM1 J2 -2100 -1350 200 R 50 50 1 1 P +X ADC1_DM1 K2 -2100 -1650 200 R 50 50 1 1 P +X ADC0_DM0/ADC1_DM3 L2 -2100 -1950 200 R 50 50 1 1 P +X ADC1_DM0/ADC0_DM3 M2 -2100 -2250 200 R 50 50 1 1 P +X PTD5 A3 2100 -6750 200 L 50 50 1 1 B +X PTD10 B3 2100 -7800 200 L 50 50 1 1 B +X PTD13 C3 2100 -8250 200 L 50 50 1 1 B +X PTE0 D3 -2100 2100 200 R 50 50 1 1 B +X PTE4/LLWU_P2 E3 -2100 1200 200 R 50 50 1 1 B +X PTE8 F3 -2100 600 200 R 50 50 1 1 B +X PTE12 G3 -2100 0 200 R 50 50 1 1 B +X VSS H3 -2100 -450 200 R 50 50 1 1 W +X ADC0_SE16/CMP1_IN2/ADC0_SE21 J3 -2100 -3150 200 R 50 50 1 1 P +X ADC1_SE16/CMP2_IN2/ADC0_SE22 K3 -2100 -3000 200 R 50 50 1 1 P +X DAC0_OUT/CMP1_IN3/ADC0_SE23 L3 -2100 -3450 200 R 50 50 1 1 P +X VREF_OUT/CMP1_IN5/CMP0_IN5/ADC1_SE18 M3 -2100 -3300 200 R 50 50 1 1 B +X PTD4/LLWU_P14 A4 2100 -6600 200 L 50 50 1 1 B +X PTD3 B4 2100 -6450 200 L 50 50 1 1 B +X PTD2/LLWU_P13 C4 2100 -6300 200 L 50 50 1 1 B +X PTD1 D4 2100 -6150 200 L 50 50 1 1 B +X PTE3 E4 -2100 1650 200 R 50 50 1 1 B +X PTE7 F4 -2100 750 200 R 50 50 1 1 B +X PTE11 G4 -2100 150 200 R 50 50 1 1 B +X PTE28 H4 -2100 -5100 200 R 50 50 1 1 B +X PTE27 J4 -2100 -4950 200 R 50 50 1 1 B +X PTE26 K4 -2100 -4800 200 R 50 50 1 1 B +X DAC1_OUT/CMP0_IN4/CMP2_IN3/ADC1_SE23 L4 -2100 -3600 200 R 50 50 1 1 P +X PTE24 M4 -2100 -4500 200 R 50 50 1 1 B +X PTD0/LLWU_P12 A5 2100 -6000 200 L 50 50 1 1 B +X PTC19 B5 2100 -5850 200 L 50 50 1 1 B +X PTC18 C5 2100 -5700 200 L 50 50 1 1 B +X PTC17 D5 2100 -5550 200 L 50 50 1 1 B +X VDD E5 -2100 1500 200 R 50 50 1 1 W +X VDD F5 2100 -1050 200 L 50 50 1 1 W +X VREFH G5 -2100 -2550 200 R 50 50 1 1 W +X VDDA H5 -2100 -2400 200 R 50 50 1 1 W +X PTA0 J5 -2100 -5250 200 R 50 50 1 1 B +X PTE25 K5 -2100 -4650 200 R 50 50 1 1 B +X RTC_WAKEUP_B L5 2100 -8700 200 L 50 50 1 1 B +X NC M5 2100 -8850 200 L 50 50 1 1 N N +X PTC16 A6 2100 -5400 200 L 50 50 1 1 B +X PTC15 B6 2100 -4950 200 L 50 50 1 1 B +X PTC14 C6 2100 -4800 200 L 50 50 1 1 B +X PTC13 D6 2100 -4650 200 L 50 50 1 1 B +X VDD E6 -2100 -150 200 R 50 50 1 1 W +X VSS F6 -2100 1350 200 R 50 50 1 1 W +X VREFL G6 -2100 -2700 200 R 50 50 1 1 W +X VSSA H6 -2100 -2850 200 R 50 50 1 1 W +X PTA1 J6 -2100 -5400 200 R 50 50 1 1 B +X PTA2 K6 -2100 -5550 200 R 50 50 1 1 B +X VBAT L6 -2100 -4050 200 R 50 50 1 1 P +X EXTAL32 M6 -2100 -3900 200 R 50 50 1 1 I +X PTC12 A7 2100 -4500 200 L 50 50 1 1 B +X PTC11/LLWU_P11 B7 2100 -4350 200 L 50 50 1 1 B +X PTC10 C7 2100 -4200 200 L 50 50 1 1 B +X PTC9 D7 2100 -4050 200 L 50 50 1 1 B +X VDD E7 -2100 -6150 200 R 50 50 1 1 W +X VSS F7 -2100 -300 200 R 50 50 1 1 W +X VSS G7 -2100 -6300 200 R 50 50 1 1 W +X VSS H7 2100 -900 200 L 50 50 1 1 W +X PTA6 J7 -2100 -6450 200 R 50 50 1 1 B +X PTA3 K7 -2100 -5700 200 R 50 50 1 1 B +X PTA4/LLWU_P3 L7 -2100 -5850 200 R 50 50 1 1 B +X XTAL32 M7 -2100 -3750 200 R 50 50 1 1 O +X PTC8 A8 2100 -3900 200 L 50 50 1 1 B +X PTC7 B8 2100 -3750 200 L 50 50 1 1 B +X PTC6/LLWU_P10 C8 2100 -3600 200 L 50 50 1 1 B +X PTC5/LLWU_P9 D8 2100 -3450 200 L 50 50 1 1 B +X VDD E8 -2100 -8250 200 R 50 50 1 1 W +X VDD F8 2100 -7200 200 L 50 50 1 1 W +X VSS G8 -2100 -8400 200 R 50 50 1 1 W +X VSS H8 2100 -3000 200 L 50 50 1 1 W +X PTA7 J8 -2100 -6600 200 R 50 50 1 1 B +X PTA8 K8 -2100 -6750 200 R 50 50 1 1 B +X PTA9 L8 -2100 -6900 200 R 50 50 1 1 B +X PTA5 M8 -2100 -6000 200 R 50 50 1 1 B +X PTC4/LLWU_P8 A9 2100 -3300 200 L 50 50 1 1 B +X PTD9 B9 2100 -7650 200 L 50 50 1 1 B +X PTD8 C9 2100 -7500 200 L 50 50 1 1 B +X PTB21 D9 2100 -1950 200 L 50 50 1 1 B +X PTB17 E9 2100 -1350 200 L 50 50 1 1 B +X PTB9 F9 2100 -450 200 L 50 50 1 1 B +X PTB5 G9 2100 150 200 L 50 50 1 1 B +X PTB1 H9 2100 750 200 L 50 50 1 1 B +X PTA13/LLWU_P4 J9 -2100 -7500 200 R 50 50 1 1 B +X PTA12 K9 -2100 -7350 200 R 50 50 1 1 B +X PTA11 L9 -2100 -7200 200 R 50 50 1 1 B +X PTA10 M9 -2100 -7050 200 R 50 50 1 1 B +X NC A10 2100 -9000 200 L 50 50 1 1 N N +X NC B10 2100 -9150 200 L 50 50 1 1 N N +X NC C10 2100 -9300 200 L 50 50 1 1 N N +X PTB20 D10 2100 -1800 200 L 50 50 1 1 B +X PTB16 E10 2100 -1200 200 L 50 50 1 1 B +X PTB8 F10 2100 -300 200 L 50 50 1 1 B +X PTB4 G10 2100 300 200 L 50 50 1 1 B +X PTB0/LLWU_P5 H10 2100 900 200 L 50 50 1 1 B +X PTA27 J10 2100 1350 200 L 50 50 1 1 B +X PTA16 K10 -2100 -7950 200 R 50 50 1 1 B +X PTA14 L10 -2100 -7650 200 R 50 50 1 1 B +X VSS M10 2100 -7050 200 L 50 50 1 1 W +X PTC3/LLWU_P7 A11 2100 -2850 200 L 50 50 1 1 B +X PTC1/LLWU_P6 B11 2100 -2550 200 L 50 50 1 1 B +X PTB23 C11 2100 -2250 200 L 50 50 1 1 B +X PTB19 D11 2100 -1650 200 L 50 50 1 1 B +X PTB11 E11 2100 -750 200 L 50 50 1 1 B +X PTB7 F11 2100 -150 200 L 50 50 1 1 B +X PTB3 G11 2100 450 200 L 50 50 1 1 B +X PTA29 H11 2100 1050 200 L 50 50 1 1 B +X PTA26 J11 2100 1500 200 L 50 50 1 1 B +X PTA17 K11 -2100 -8100 200 R 50 50 1 1 B +X PTA15 L11 -2100 -7800 200 R 50 50 1 1 B +X PTA19 M11 2100 2100 200 L 50 50 1 1 B +X PTC2 A12 2100 -2700 200 L 50 50 1 1 B +X PTC0 B12 2100 -2400 200 L 50 50 1 1 B +X PTB22 C12 2100 -2100 200 L 50 50 1 1 B +X PTB18 D12 2100 -1500 200 L 50 50 1 1 B +X PTB10 E12 2100 -600 200 L 50 50 1 1 B +X PTB6 F12 2100 0 200 L 50 50 1 1 B +X PTB2 G12 2100 600 200 L 50 50 1 1 B +X PTA28 H12 2100 1200 200 L 50 50 1 1 B +X PTA25 J12 2100 1650 200 L 50 50 1 1 B +X PTA24 K12 2100 1800 200 L 50 50 1 1 B +X RESET_b L12 2100 1950 200 L 50 50 1 1 I +X PTA18 M12 -2100 -8550 200 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# MKL26Z128VFM4 +# +DEF MKL26Z128VFM4 U 0 40 Y Y 1 F N +F0 "U" -1850 2250 60 H V C CNN +F1 "MKL26Z128VFM4" -1350 2250 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -1900 2150 1900 -250 0 1 0 N +X PTE0 1 -2100 2100 200 R 50 50 1 1 B +X VSS 2 -2100 1950 200 R 50 50 1 1 W +X USB0_DP 3 -2100 1800 200 R 50 50 1 1 B +X USB0_DM 4 -2100 1650 200 R 50 50 1 1 B +X VOUT33 5 -2100 1500 200 R 50 50 1 1 w +X VREGIN 6 -2100 1350 200 R 50 50 1 1 P +X VDDA 7 -2100 1200 200 R 50 50 1 1 W +X VSSA 8 -2100 1050 200 R 50 50 1 1 W +X PTE30 9 -2100 900 200 R 50 50 1 1 B +X PTA0 10 -2100 750 200 R 50 50 1 1 B +X PTB0/LLWU_P5 20 2100 1650 200 L 50 50 1 1 B +X PTD5 30 2100 150 200 L 50 50 1 1 B +X PTA1 11 -2100 600 200 R 50 50 1 1 B +X PTB1 21 2100 1500 200 L 50 50 1 1 B +X PTD6/LLWU_P15 31 2100 0 200 L 50 50 1 1 B +X PTA2 12 -2100 450 200 R 50 50 1 1 B +X PTC1/LLWU_P6/RTC_CLKIN 22 2100 1350 200 L 50 50 1 1 B +X PTD7 32 2100 -150 200 L 50 50 1 1 B +X PTA3 13 -2100 300 200 R 50 50 1 1 B +X PTC2 23 2100 1200 200 L 50 50 1 1 B +X EP 33 -1550 -450 200 U 50 50 1 1 P +X PTA4 14 -2100 150 200 R 50 50 1 1 B +X PTC3/LLWU_P7 24 2100 1050 200 L 50 50 1 1 B +X VDD 15 -2100 0 200 R 50 50 1 1 W +X PTC4/LLWU_P8 25 2100 900 200 L 50 50 1 1 B +X VSS 16 -2100 -150 200 R 50 50 1 1 W +X PTC5/LLWU_P9 26 2100 750 200 L 50 50 1 1 B +X PTA18 17 2100 2100 200 L 50 50 1 1 B +X PTC6/LLWU_P10 27 2100 600 200 L 50 50 1 1 B +X PTA19 18 2100 1950 200 L 50 50 1 1 B +X PTC7 28 2100 450 200 L 50 50 1 1 B +X PTA20 19 2100 1800 200 L 50 50 1 1 B +X PTD4/LLWU_P14 29 2100 300 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# MKL26Z128VLH4 +# +DEF MKL26Z128VLH4 U 0 40 Y Y 1 F N +F0 "U" 0 0 60 H V C CNN +F1 "MKL26Z128VLH4" 0 200 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -1900 2150 1900 -2600 0 1 0 N +X PTE0 1 -2100 2100 200 R 50 50 1 1 B +X PTE1 2 -2100 1950 200 R 50 50 1 1 B +X VDD 3 -2100 1800 200 R 50 50 1 1 W +X VSS 4 -2100 1650 200 R 50 50 1 1 W +X USB0_DP 5 -2100 1500 200 R 50 50 1 1 B +X USB0_DM 6 -2100 1350 200 R 50 50 1 1 B +X VOUT33 7 -2100 1200 200 R 50 50 1 1 W +X VREGIN 8 -2100 1050 200 R 50 50 1 1 W +X PTE20 9 -2100 900 200 R 50 50 1 1 B +X PTE21 10 -2100 750 200 R 50 50 1 1 B +X PTE24 20 -2100 -750 200 R 50 50 1 1 B +X VDD 30 -2100 -2250 200 R 50 50 1 1 W +X PTB17 40 2100 1050 200 L 50 50 1 1 B +X PTC5/LLWU_P9 50 2100 -450 200 L 50 50 1 1 B +X PTD3 60 2100 -1950 200 L 50 50 1 1 B +X PTE22 11 -2100 600 200 R 50 50 1 1 B +X PTE25 21 -2100 -900 200 R 50 50 1 1 B +X VSS 31 -2100 -2400 200 R 50 50 1 1 W +X PTB18 41 2100 900 200 L 50 50 1 1 B +X PTC6/LLWU_P10 51 2100 -600 200 L 50 50 1 1 B +X PTD4/LLWU_P14 61 2100 -2100 200 L 50 50 1 1 B +X PTE23 12 -2100 450 200 R 50 50 1 1 B +X PTA0 22 -2100 -1050 200 R 50 50 1 1 B +X PTA18 32 -2100 -2550 200 R 50 50 1 1 B +X PTB19 42 2100 750 200 L 50 50 1 1 B +X PTC7 52 2100 -750 200 L 50 50 1 1 B +X PTD5 62 2100 -2250 200 L 50 50 1 1 B +X VDDA 13 -2100 300 200 R 50 50 1 1 W +X PTA1 23 -2100 -1200 200 R 50 50 1 1 B +X PTA19 33 2100 2100 200 L 50 50 1 1 B +X PTC0 43 2100 600 200 L 50 50 1 1 B +X PTC8 53 2100 -900 200 L 50 50 1 1 B +X PTD6/LLWU_P15 63 2100 -2400 200 L 50 50 1 1 B +X VREFH 14 -2100 150 200 R 50 50 1 1 W +X PTA2 24 -2100 -1350 200 R 50 50 1 1 B +X PTA20 34 2100 1950 200 L 50 50 1 1 B +X PTC1/LLWU_P6/RTC_CLKIN 44 2100 450 200 L 50 50 1 1 B +X PTC9 54 2100 -1050 200 L 50 50 1 1 B +X PTD7 64 2100 -2550 200 L 50 50 1 1 B +X VREFL 15 -2100 0 200 R 50 50 1 1 W +X PTA3 25 -2100 -1500 200 R 50 50 1 1 B +X PTB0/LLWU_P5 35 2100 1800 200 L 50 50 1 1 B +X PTC2 45 2100 300 200 L 50 50 1 1 B +X PTC10 55 2100 -1200 200 L 50 50 1 1 B +X VSSA 16 -2100 -150 200 R 50 50 1 1 W +X PTA4 26 -2100 -1650 200 R 50 50 1 1 B +X PTB1 36 2100 1650 200 L 50 50 1 1 B +X PTC3/LLWU_P7 46 2100 150 200 L 50 50 1 1 B +X PTC11 56 2100 -1350 200 L 50 50 1 1 B +X PTE29 17 -2100 -300 200 R 50 50 1 1 B +X PTA5 27 -2100 -1800 200 R 50 50 1 1 B +X PTB2 37 2100 1500 200 L 50 50 1 1 B +X VSS 47 2100 0 200 L 50 50 1 1 W +X PTD0 57 2100 -1500 200 L 50 50 1 1 B +X PTE30 18 -2100 -450 200 R 50 50 1 1 B +X PTA12 28 -2100 -1950 200 R 50 50 1 1 B +X PTB3 38 2100 1350 200 L 50 50 1 1 B +X VDD 48 2100 -150 200 L 50 50 1 1 W +X PTD1 58 2100 -1650 200 L 50 50 1 1 B +X PTE31 19 -2100 -600 200 R 50 50 1 1 B +X PTA13 29 -2100 -2100 200 R 50 50 1 1 B +X PTB16 39 2100 1200 200 L 50 50 1 1 B +X PTC4/LLWU_P8 49 2100 -300 200 L 50 50 1 1 B +X PTD2 59 2100 -1800 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# MOSFET-N_3-PIN +# +DEF MOSFET-N_3-PIN Q 0 0 Y Y 1 F N +F0 "Q" 200 75 50 H V L CNN +F1 "MOSFET-N_3-PIN" 200 0 50 H V L CNN +F2 "TO_SOT_Packages_SMD:SOT-23" 200 -75 50 H I L CIN +F3 "" 0 0 50 H V L CNN +$FPLIST + SOT-23* +$ENDFPLIST +DRAW +C 50 0 111 0 1 10 N +C 100 -50 5 0 1 0 N +C 100 50 5 0 1 0 N +P 2 0 1 20 25 -40 25 -65 N +P 2 0 1 20 25 15 25 -15 N +P 2 0 1 20 25 65 25 40 N +P 2 0 1 0 120 15 140 15 N +P 3 0 1 10 0 60 0 -60 0 -60 N +P 4 0 1 0 30 -50 100 -50 100 -100 100 -100 N +P 4 0 1 0 30 50 100 50 100 100 100 100 N +P 4 0 1 0 100 50 130 50 130 -50 100 -50 N +P 4 0 1 0 130 15 120 -10 140 -10 130 15 F +P 5 0 1 0 30 0 50 0 100 0 100 -50 100 -50 N +P 6 0 1 0 35 0 75 15 75 -15 35 0 40 0 40 0 F +X G 1 -200 -50 200 R 50 50 1 1 P +X S 2 100 -200 100 U 50 50 1 1 P +X D 3 100 200 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# MOS_N +# +DEF MOS_N Q 0 0 N Y 1 F N +F0 "Q" 10 170 50 H V R CNN +F1 "MOS_N" -50 -150 50 H V R CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +ALIAS MOSFET_N +DRAW +P 2 0 1 8 -50 -100 -50 100 N +P 2 0 1 10 0 -150 0 150 N +P 2 0 1 0 100 -100 0 -100 N +P 2 0 1 0 100 100 0 100 N +P 3 0 1 8 100 -100 100 0 50 0 N +P 5 0 1 8 50 30 50 -30 0 0 50 30 50 30 N +X common_s_1 1 100 -200 200 R 50 50 1 1 I N +X common_s_2 2 100 -200 200 R 50 50 1 1 I N +X common_s_3 3 100 -200 200 R 50 50 1 1 I N +X Gate 4 -200 0 200 R 50 50 1 1 I N +X Drain 5 100 200 200 R 50 50 1 1 I N +X D D 100 200 100 D 40 40 1 1 P +X G G -200 0 150 R 40 40 1 1 I +X S S 100 -200 100 U 40 40 1 1 P +ENDDRAW +ENDDEF +# +# MP1470 +# +DEF MP1470 U 0 40 Y Y 1 F N +F0 "U" -250 250 60 H V C CNN +F1 "MP1470" 100 250 60 H V C CNN +F2 "" 0 -50 60 H I C CNN +F3 "" 0 -50 60 H I C CNN +DRAW +S -300 -200 300 200 0 1 0 N +X GND 1 0 -400 200 U 50 50 1 1 W +X SW 2 500 0 200 L 50 50 1 1 w +X IN 3 -500 150 200 R 50 50 1 1 W +X FB 4 500 -150 200 L 50 50 1 1 I +X EN 5 -500 -150 200 R 50 50 1 1 I +X BST 6 500 150 200 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# MP4470x +# +DEF MP4470x U 0 40 N Y 1 F N +F0 "U" -250 300 60 H V C CNN +F1 "MP4470x" 100 300 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +ALIAS MP4470 MP4470A +DRAW +S -300 -600 300 250 0 1 0 N +X AGND 1 0 -800 200 U 50 50 1 1 W +X FREQ 2 -500 -50 200 R 50 50 1 1 P +X FB 3 500 -250 200 L 50 50 1 1 P +X SS 4 -100 -800 200 U 50 50 1 1 P +X EN 5 -500 50 200 R 50 50 1 1 I +X PGOOD 6 -500 -150 200 R 50 50 1 1 C +X BST 7 500 150 200 L 50 50 1 1 P +X IN 8 -500 150 200 R 50 50 1 1 W +X SW 9 500 0 200 L 50 50 1 1 P +X SW 10 500 0 200 L 50 50 1 1 P +X VCC 20 -500 -250 200 R 50 50 1 1 w +X PGND 11 100 -800 200 U 50 50 1 1 W +X IN 21 -500 150 200 R 50 50 1 1 W +X PGND 12 100 -800 200 U 50 50 1 1 W +X IN 22 -500 150 200 R 50 50 1 1 W +X PGND 13 100 -800 200 U 50 50 1 1 W +X IN 23 -500 150 200 R 50 50 1 1 W +X PGND 14 100 -800 200 U 50 50 1 1 W +X SW 24 500 0 200 L 50 50 1 1 P +X PGND 15 100 -800 200 U 50 50 1 1 W +X SW 25 500 0 200 L 50 50 1 1 P +X PGND 16 100 -800 200 U 50 50 1 1 W +X SW 17 500 0 200 L 50 50 1 1 P +X SW 18 500 0 200 L 50 50 1 1 P +X IN 19 -500 150 200 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# NC7WZ16 +# +DEF NC7WZ16 U 0 40 Y Y 1 L N +F0 "U" -211 403 50 H V L CNN +F1 "NC7WZ16" -208 -737 50 H V L CNN +F2 "SOT65P210X110-6N" 0 0 50 H I L CNN +F3 "Fairchild Semiconductor" 0 0 50 H I L CNN +F4 "NC7WZ16 Series 5.5 V Surface Mount TinyLogic UHS Dual Buffer - SC-70-6" 0 0 50 H I L CNN "Description" +F5 "NC7WZ16P6X" 0 0 50 H I L CNN "MP" +F6 "0.39 USD" 0 0 50 H I L CNN "Price" +F7 "Good" 0 0 50 H I L CNN "Availability" +F8 "SC-70 Fairchild Semiconductor" 0 0 50 H I L CNN "Package" +DRAW +P 2 0 0 16 -500 -600 500 -600 N +P 2 0 0 16 -500 300 -500 -600 N +P 2 0 0 16 500 -600 500 300 N +P 2 0 0 16 500 300 -500 300 N +X A1 1 -700 -100 200 R 40 40 0 0 I +X GND 2 -700 -400 200 R 40 40 0 0 P +X A2 3 -700 -200 200 R 40 40 0 0 I +X Y2 4 700 0 200 L 40 40 0 0 O +X VCC 5 -700 100 200 R 40 40 0 0 I +X Y1 6 700 100 200 L 40 40 0 0 O +ENDDRAW +ENDDEF +# +# NVT2010BS +# +DEF NVT2010BS U 0 40 Y Y 1 F N +F0 "U" 0 450 60 H V C CNN +F1 "NVT2010BS" 0 0 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +S 500 -800 -500 400 0 1 0 N +X A2 1 -700 50 200 R 50 50 1 1 B +X A3 2 -700 -50 200 R 50 50 1 1 B +X A4 3 -700 -150 200 R 50 50 1 1 B +X A5 4 -700 -250 200 R 50 50 1 1 B +X A6 5 -700 -350 200 R 50 50 1 1 B +X A7 6 -700 -450 200 R 50 50 1 1 B +X A8 7 -700 -550 200 R 50 50 1 1 B +X A9 8 -700 -650 200 R 50 50 1 1 B +X A10 9 -700 -750 200 R 50 50 1 1 B +X B10 10 700 -750 200 L 50 50 1 1 B +X VREFB 20 700 250 200 L 50 50 1 1 W +X B9 11 700 -650 200 L 50 50 1 1 B +X EN 21 700 350 200 L 50 50 1 1 I +X B8 12 700 -550 200 L 50 50 1 1 B +X GND 22 -700 350 200 R 50 50 1 1 W +X B7 13 700 -450 200 L 50 50 1 1 B +X VREFA 23 -700 250 200 R 50 50 1 1 W +X B6 14 700 -350 200 L 50 50 1 1 B +X A1 24 -700 150 200 R 50 50 1 1 B +X B5 15 700 -250 200 L 50 50 1 1 B +X B4 16 700 -150 200 L 50 50 1 1 B +X B3 17 700 -50 200 L 50 50 1 1 B +X B2 18 700 50 200 L 50 50 1 1 B +X B1 19 700 150 200 L 50 50 1 1 B +X GND_HS HS -700 350 200 R 50 50 1 1 I N +ENDDRAW +ENDDEF +# +# OCX164_Card_Edge +# +DEF OCX164_Card_Edge J 0 40 Y Y 1 F N +F0 "J" 0 0 60 H V C CNN +F1 "OCX164_Card_Edge" 0 -750 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -8350 0 1 0 N +X GPIO1_2 A10 -900 -1100 200 R 50 50 1 1 B +X GPIO1_3 B10 900 -1100 200 L 50 50 1 1 B +X ADCS_0 A20 -900 -2100 200 R 50 50 1 1 B +X ADCS_1 B20 900 -2100 200 L 50 50 1 1 B +X SPI_SEL0 A30 -900 -3100 200 R 50 50 1 1 B +X TBC B30 900 -3100 200 L 50 50 1 1 B +X ADC2_0 A40 -900 -4100 200 R 50 50 1 1 B +X ADC2_1 B40 900 -4100 200 L 50 50 1 1 B +X GPIO4_2 A60 -900 -6100 200 R 50 50 1 1 B +X GPIO4_3 B60 900 -6100 200 L 50 50 1 1 B +X PWM6_0 A70 -900 -7100 200 R 50 50 1 1 B +X PWM6_1 B70 900 -7100 200 L 50 50 1 1 B +X ADC7_0 A80 -900 -8100 200 R 50 50 1 1 B +X ADC7_1 B80 900 -8100 200 L 50 50 1 1 B +X P5V A01 -900 -200 200 R 50 50 1 1 w +X P12V B01 900 -200 200 L 50 50 1 1 w +X GND A11 -900 -1200 200 R 50 50 1 1 W +X GND B11 900 -1200 200 L 50 50 1 1 W +X GND A21 -900 -2200 200 R 50 50 1 1 W +X GND B21 900 -2200 200 L 50 50 1 1 W +X SPI_MOSI A31 -900 -3200 200 R 50 50 1 1 B +X SPI_MISO B31 900 -3200 200 L 50 50 1 1 B +X GND A41 -900 -4200 200 R 50 50 1 1 W +X GND B41 900 -4200 200 L 50 50 1 1 W +X GND A61 -900 -6200 200 R 50 50 1 1 W +X GND B61 900 -6200 200 L 50 50 1 1 W +X GPIO6_0 A71 -900 -7200 200 R 50 50 1 1 B +X GPIO6_1 B71 900 -7200 200 L 50 50 1 1 B +X GND A81 -900 -8200 200 R 50 50 1 1 W +X GND B81 900 -8200 200 L 50 50 1 1 W +X P5V A02 -900 -300 200 R 50 50 1 1 W +X P12V B02 900 -300 200 L 50 50 1 1 W +X ADC1_0 A12 -900 -1300 200 R 50 50 1 1 B +X ADC1_1 B12 900 -1300 200 L 50 50 1 1 B +X PWMS_0 A22 -900 -2300 200 R 50 50 1 1 B +X GPIOS_0 B22 900 -2300 200 L 50 50 1 1 B +X GND A32 -900 -3300 200 R 50 50 1 1 W +X GND B32 900 -3300 200 L 50 50 1 1 W +X PWM3_0 A42 -900 -4300 200 R 50 50 1 1 B +X PWM3_1 B42 900 -4300 200 L 50 50 1 1 B +X ADC4_0 A62 -900 -6300 200 R 50 50 1 1 B +X ADC4_1 B62 900 -6300 200 L 50 50 1 1 B +X GPIO6_2 A72 -900 -7300 200 R 50 50 1 1 B +X GPIO6_3 B72 900 -7300 200 L 50 50 1 1 B +X GPIOS_1 A82 -900 -8300 200 R 50 50 1 1 B +X TBC B82 900 -8300 200 L 50 50 1 1 B +X P5V A03 -900 -400 200 R 50 50 1 1 W +X GND B03 900 -400 200 L 50 50 1 1 w +X GND A13 -900 -1400 200 R 50 50 1 1 W +X GND B13 900 -1400 200 L 50 50 1 1 W +X TBC A23 -900 -2400 200 R 50 50 1 1 B +X TBC B23 900 -2400 200 L 50 50 1 1 B +X GPIO3_0 A43 -900 -4400 200 R 50 50 1 1 B +X GPIO3_1 B43 900 -4400 200 L 50 50 1 1 B +X SPI_SEL2 A53 -900 -5400 200 R 50 50 1 1 B +X SPI_SEL3 B53 900 -5400 200 L 50 50 1 1 B +X GND A63 -900 -6400 200 R 50 50 1 1 W +X GND B63 900 -6400 200 L 50 50 1 1 W +X GND A73 -900 -7400 200 R 50 50 1 1 W +X GND B73 900 -7400 200 L 50 50 1 1 W +X P5V A04 -900 -500 200 R 50 50 1 1 W +X GND B04 900 -500 200 L 50 50 1 1 W +X PWM0_0 A14 -900 -1500 200 R 50 50 1 1 B +X PWM0_1 B14 900 -1500 200 L 50 50 1 1 B +X I2C0_SDA A24 -900 -2500 200 R 50 50 1 1 B +X I2C0_SCL B24 900 -2500 200 L 50 50 1 1 B +X GPIO3_2 A44 -900 -4500 200 R 50 50 1 1 B +X GPIO3_3 B44 900 -4500 200 L 50 50 1 1 B +X I2C1_SCL A54 -900 -5500 200 R 50 50 1 1 B +X I2C1_SDA B54 900 -5500 200 L 50 50 1 1 B +X PWM5_0 A64 -900 -6500 200 R 50 50 1 1 B +X PWM5_1 B64 900 -6500 200 L 50 50 1 1 B +X ADC6_0 A74 -900 -7500 200 R 50 50 1 1 B +X ADC6_1 B74 900 -7500 200 L 50 50 1 1 B +X P3V3 A05 -900 -600 200 R 50 50 1 1 w +X GND B05 900 -600 200 L 50 50 1 1 W +X GPIO0_0 A15 -900 -1600 200 R 50 50 1 1 B +X GPIO0_1 B15 900 -1600 200 L 50 50 1 1 B +X I2C_SEL0 A25 -900 -2600 200 R 50 50 1 1 B +X GND B25 900 -2600 200 L 50 50 1 1 W +X GND A45 -900 -4600 200 R 50 50 1 1 W +X GND B45 900 -4600 200 L 50 50 1 1 W +X GND A55 -900 -5600 200 R 50 50 1 1 W +X GND B55 900 -5600 200 L 50 50 1 1 W +X GPIO5_0 A65 -900 -6600 200 R 50 50 1 1 B +X GPIO5_1 B65 900 -6600 200 L 50 50 1 1 B +X GND A75 -900 -7600 200 R 50 50 1 1 W +X GND B75 900 -7600 200 L 50 50 1 1 W +X P3V3 A06 -900 -700 200 R 50 50 1 1 W +X GND B06 900 -700 200 L 50 50 1 1 W +X GPIO0_2 A16 -900 -1700 200 R 50 50 1 1 B +X GPIO0_3 B16 900 -1700 200 L 50 50 1 1 B +X GND A26 -900 -2700 200 R 50 50 1 1 W +X SYSCLKH B26 900 -2700 200 L 50 50 1 1 B +X PWM2_0 A36 -900 -3700 200 R 50 50 1 1 B +X PWM2_1 B36 900 -3700 200 L 50 50 1 1 B +X ADC3_0 A46 -900 -4700 200 R 50 50 1 1 B +X ADC3_1 B46 900 -4700 200 L 50 50 1 1 B +X I2C_SEL2 A56 -900 -5700 200 R 50 50 1 1 B +X I2C_SEL3 B56 900 -5700 200 L 50 50 1 1 B +X GPIO5_2 A66 -900 -6700 200 R 50 50 1 1 B +X GPIO5_3 B66 900 -6700 200 L 50 50 1 1 B +X PWM7_0 A76 -900 -7700 200 R 50 50 1 1 B +X PWM7_1 B76 900 -7700 200 L 50 50 1 1 B +X GND A07 -900 -800 200 R 50 50 1 1 W +X GND B07 900 -800 200 L 50 50 1 1 W +X GND A17 -900 -1800 200 R 50 50 1 1 W +X GND B17 900 -1800 200 L 50 50 1 1 W +X GND A27 -900 -2800 200 R 50 50 1 1 W +X GND B27 900 -2800 200 L 50 50 1 1 W +X GPIO2_0 A37 -900 -3800 200 R 50 50 1 1 B +X GPIO2_1 B37 900 -3800 200 L 50 50 1 1 B +X GND A47 -900 -4800 200 R 50 50 1 1 W +X GND B47 900 -4800 200 L 50 50 1 1 W +X GND A57 -900 -5800 200 R 50 50 1 1 W +X GND B57 900 -5800 200 L 50 50 1 1 W +X GND A67 -900 -6800 200 R 50 50 1 1 W +X GND B67 900 -6800 200 L 50 50 1 1 W +X GPIO7_0 A77 -900 -7800 200 R 50 50 1 1 B +X GPIO7_1 B77 900 -7800 200 L 50 50 1 1 B +X PWM1_0 A08 -900 -900 200 R 50 50 1 1 B +X PWM1_1 B08 900 -900 200 L 50 50 1 1 B +X ADC0_0 A18 -900 -1900 200 R 50 50 1 1 B +X ADC0_1 B18 900 -1900 200 L 50 50 1 1 B +X GND A28 -900 -2900 200 R 50 50 1 1 W +X RESETN B28 900 -2900 200 L 50 50 1 1 B +X GPIO2_2 A38 -900 -3900 200 R 50 50 1 1 B +X GPIO2_3 B38 900 -3900 200 L 50 50 1 1 B +X SPI_SEL1 A48 -900 -4900 200 R 50 50 1 1 B +X I2C_SEL1 B48 900 -4900 200 L 50 50 1 1 B +X PWM4_0 A58 -900 -5900 200 R 50 50 1 1 B +X PWM4_1 B58 900 -5900 200 L 50 50 1 1 B +X ADC5_0 A68 -900 -6900 200 R 50 50 1 1 B +X ADC5_1 B68 900 -6900 200 L 50 50 1 1 B +X GPIO7_2 A78 -900 -7900 200 R 50 50 1 1 B +X GPIO7_3 B78 900 -7900 200 L 50 50 1 1 B +X GPIO1_0 A09 -900 -1000 200 R 50 50 1 1 B +X GPIO1_1 B09 900 -1000 200 L 50 50 1 1 B +X GND A19 -900 -2000 200 R 50 50 1 1 W +X GND B19 900 -2000 200 L 50 50 1 1 W +X USB_PWR_DISABLE A29 -900 -3000 200 R 50 50 1 1 P +X SPI_SCLK B29 900 -3000 200 L 50 50 1 1 B +X GND A39 -900 -4000 200 R 50 50 1 1 W +X GND B39 900 -4000 200 L 50 50 1 1 W +X TBC A49 -900 -5000 200 R 50 50 1 1 B +X TBC B49 900 -5000 200 L 50 50 1 1 B +X GPIO4_0 A59 -900 -6000 200 R 50 50 1 1 B +X GPIO4_1 B59 900 -6000 200 L 50 50 1 1 B +X GND A69 -900 -7000 200 R 50 50 1 1 W +X GND B69 900 -7000 200 L 50 50 1 1 W +X GND A79 -900 -8000 200 R 50 50 1 1 W +X GND B79 900 -8000 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# OCX26 +# +DEF OCX26 J 0 40 Y Y 1 F N +F0 "J" 0 0 60 H V C CNN +F1 "OCX26" 0 -750 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -1500 0 1 0 N +X P5V 1 -900 -200 200 R 50 50 1 1 W +X P3V3 2 900 -200 200 L 50 50 1 1 W +X P5V 3 -900 -300 200 R 50 50 1 1 W +X SDA 4 900 -300 200 L 50 50 1 1 B +X GND 5 -900 -400 200 R 50 50 1 1 W +X SCL 6 900 -400 200 L 50 50 1 1 B +X RXD 7 -900 -500 200 R 50 50 1 1 B +X NC 8 900 -500 200 L 50 50 1 1 B +X TXD 9 -900 -600 200 R 50 50 1 1 B +X GND 10 900 -600 200 L 50 50 1 1 W +X MISO 20 900 -1100 200 L 50 50 1 1 B +X NC 11 -900 -700 200 R 50 50 1 1 B +X NC 21 -900 -1200 200 R 50 50 1 1 B +X GPIO_0 12 900 -700 200 L 50 50 1 1 B +X MOSI 22 900 -1200 200 L 50 50 1 1 B +X P3V3_EN 13 -900 -800 200 R 50 50 1 1 C +X CS0 23 -900 -1300 200 R 50 50 1 1 B +X GPIO_1 14 900 -800 200 L 50 50 1 1 B +X SCLK 24 900 -1300 200 L 50 50 1 1 B +X NC 15 -900 -900 200 R 50 50 1 1 B +X NC 25 -900 -1400 200 R 50 50 1 1 B +X NC 16 900 -900 200 L 50 50 1 1 B +X GND 26 900 -1400 200 L 50 50 1 1 W +X NC 17 -900 -1000 200 R 50 50 1 1 B +X P3V3 18 900 -1000 200 L 50 50 1 1 W +X GND 19 -900 -1100 200 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# OCX26N +# +DEF OCX26N J 0 40 Y Y 1 F N +F0 "J" 0 0 60 H V C CNN +F1 "OCX26N" 0 -750 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -1500 0 1 0 N +X P5V 1 -900 -200 200 R 50 50 1 1 W +X P3V3 2 900 -200 200 L 50 50 1 1 W +X P5V 3 -900 -300 200 R 50 50 1 1 W +X SDA/MDC 4 900 -300 200 L 50 50 1 1 B +X GND 5 -900 -400 200 R 50 50 1 1 W +X SCL/MDIO 6 900 -400 200 L 50 50 1 1 B +X RXD 7 -900 -500 200 R 50 50 1 1 B +X NC 8 900 -500 200 L 50 50 1 1 B +X TXD 9 -900 -600 200 R 50 50 1 1 B +X GND 10 900 -600 200 L 50 50 1 1 W +X MISO/TXD1 20 900 -1100 200 L 50 50 1 1 B +X NC 11 -900 -700 200 R 50 50 1 1 B +X NC 21 -900 -1200 200 R 50 50 1 1 B +X GPIO0/RXER 12 900 -700 200 L 50 50 1 1 B +X MOSI/TXD0 22 900 -1200 200 L 50 50 1 1 B +X P3V3_EN 13 -900 -800 200 R 50 50 1 1 I +X CS0/CRS_DV 23 -900 -1300 200 R 50 50 1 1 B +X GPIO1/RXD1 14 900 -800 200 L 50 50 1 1 B +X SCLK/TXEN 24 900 -1300 200 L 50 50 1 1 B +X GPIO2/RXD0 15 -900 -900 200 R 50 50 1 1 B +X NC 25 -900 -1400 200 R 50 50 1 1 B +X GPIO3/RXCLK 16 900 -900 200 L 50 50 1 1 B +X GND 26 900 -1400 200 L 50 50 1 1 W +X GPIO4/P3V3_FLAG 17 -900 -1000 200 R 50 50 1 1 B +X P3V3 18 900 -1000 200 L 50 50 1 1 W +X GND 19 -900 -1100 200 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# OCX26N_Plug +# +DEF OCX26N_Plug J 0 40 Y Y 1 F N +F0 "J" 0 0 60 H V C CNN +F1 "OCX26N_Plug" 0 -750 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -1500 0 1 0 N +X P3V3 1 -900 -200 200 R 50 50 1 1 w +X P5V 2 900 -200 200 L 50 50 1 1 w +X SDA/MDC 3 -900 -300 200 R 50 50 1 1 B +X P5V 4 900 -300 200 L 50 50 1 1 W +X SCL/MDIO 5 -900 -400 200 R 50 50 1 1 B +X GND 6 900 -400 200 L 50 50 1 1 W +X NC 7 -900 -500 200 R 50 50 1 1 B +X RXD 8 900 -500 200 L 50 50 1 1 B +X GND 9 -900 -600 200 R 50 50 1 1 w +X TXD 10 900 -600 200 L 50 50 1 1 B +X GND 20 900 -1100 200 L 50 50 1 1 W +X GPIO0/RXER 11 -900 -700 200 R 50 50 1 1 B +X MOSI/TXD0 21 -900 -1200 200 R 50 50 1 1 B +X NC 12 900 -700 200 L 50 50 1 1 B +X NC 22 900 -1200 200 L 50 50 1 1 B +X GPIO1/RXD1 13 -900 -800 200 R 50 50 1 1 B +X SCLK/TXEN 23 -900 -1300 200 R 50 50 1 1 B +X P3V3_EN 14 900 -800 200 L 50 50 1 1 I +X CS0/CRS_DV 24 900 -1300 200 L 50 50 1 1 B +X GPIO3/RXCLK 15 -900 -900 200 R 50 50 1 1 B +X GND 25 -900 -1400 200 R 50 50 1 1 W +X GPIO2/RXD0 16 900 -900 200 L 50 50 1 1 B +X NC 26 900 -1400 200 L 50 50 1 1 B +X P3V3 17 -900 -1000 200 R 50 50 1 1 W +X GPIO4/P3V3_FLAG 18 900 -1000 200 L 50 50 1 1 B +X MISO/TXD1 19 -900 -1100 200 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# OCX28 +# +DEF OCX28 J 0 40 Y Y 1 F N +F0 "J" 150 100 50 H V C CNN +F1 "OCX28" 300 -1850 50 H V C CNN +F2 "OCX28_Module_Header" 150 200 50 H V C CNN +F3 "" -450 0 50 H V C CNN +DRAW +S -150 50 450 -2750 0 1 0 N +X P5V 1 -350 0 200 R 50 50 1 1 W +X P3V3 2 -350 -100 200 R 50 50 1 1 W +X GND 3 -350 -200 200 R 50 50 1 1 W +X GND 4 -350 -300 200 R 50 50 1 1 W +X SPI_MISO 5 -350 -400 200 R 50 50 1 1 B +X SPI_SCLK 6 -350 -500 200 R 50 50 1 1 B +X SPI_MOSI 7 -350 -600 200 R 50 50 1 1 B +X SPI_CS 8 -350 -700 200 R 50 50 1 1 B +X GND 9 -350 -800 200 R 50 50 1 1 W +X GND 10 -350 -900 200 R 50 50 1 1 W +X PWM1 20 -350 -1900 200 R 50 50 1 1 B +X I2C_SDA 11 -350 -1000 200 R 50 50 1 1 B +X GPIO0 21 -350 -2000 200 R 50 50 1 1 B +X I2C_SCL 12 -350 -1100 200 R 50 50 1 1 B +X GPIO2 22 -350 -2100 200 R 50 50 1 1 B +X GND 13 -350 -1200 200 R 50 50 1 1 W +X GPIO1 23 -350 -2200 200 R 50 50 1 1 B +X RESETN 14 -350 -1300 200 R 50 50 1 1 B +X GPIO3 24 -350 -2300 200 R 50 50 1 1 B +X SYSCLKH 15 -350 -1400 200 R 50 50 1 1 B +X GND 25 -350 -2400 200 R 50 50 1 1 W +X TBD 16 -350 -1500 200 R 50 50 1 1 B +X GND 26 -350 -2500 200 R 50 50 1 1 W +X GND 17 -350 -1600 200 R 50 50 1 1 W +X ADC0 27 -350 -2600 200 R 50 50 1 1 B +X GND 18 -350 -1700 200 R 50 50 1 1 W +X ADC1 28 -350 -2700 200 R 50 50 1 1 B +X PWM0 19 -350 -1800 200 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# OCX34 +# +DEF OCX34 J 0 40 N Y 1 F N +F0 "J" 0 300 50 H V C CNN +F1 "OCX34" 150 -50 50 H V C CNN +F2 "OCX34_Power_Connector" 0 -500 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -300 250 300 -450 0 1 0 N +X PVIN 1 -500 -200 200 R 50 50 1 1 W +X GND 2 -500 -400 200 R 50 50 1 1 W +X PVIN 3 -500 -200 200 R 50 50 1 1 W N +X GND 4 -500 -400 200 R 50 50 1 1 W N +X PVIN 5 -500 -200 200 R 50 50 1 1 W N +X GND 6 -500 -400 200 R 50 50 1 1 W N +X PVIN 7 -500 -200 200 R 50 50 1 1 W N +X GND 8 -500 -400 200 R 50 50 1 1 W N +X PVIN 9 -500 -200 200 R 50 50 1 1 W N +X GND 10 -500 -400 200 R 50 50 1 1 W N +X GND 20 -500 -400 200 R 50 50 1 1 W N +X P5V 30 -500 -100 200 R 50 50 1 1 W N +X PVIN 11 -500 -200 200 R 50 50 1 1 W N +X P12V 21 -500 -300 200 R 50 50 1 1 W +X P3V3 31 -500 0 200 R 50 50 1 1 W +X GND 12 -500 -400 200 R 50 50 1 1 W N +X GND 22 -500 -400 200 R 50 50 1 1 W N +X P3V3 32 -500 0 200 R 50 50 1 1 W N +X PVIN 13 -500 -200 200 R 50 50 1 1 W N +X P12V 23 -500 -300 200 R 50 50 1 1 W N +X GPIO0 33 -500 200 200 R 50 50 1 1 B +X GND 14 -500 -400 200 R 50 50 1 1 W N +X GND 24 -500 -400 200 R 50 50 1 1 W N +X P3V3 34 -500 0 200 R 50 50 1 1 W N +X PVIN 15 -500 -200 200 R 50 50 1 1 W N +X P12V 25 -500 -300 200 R 50 50 1 1 W N +X GND 16 -500 -400 200 R 50 50 1 1 W N +X GND 26 -500 -400 200 R 50 50 1 1 W N +X PVIN 17 -500 -200 200 R 50 50 1 1 W N +X P5V 27 -500 -100 200 R 50 50 1 1 W +X GND 18 -500 -400 200 R 50 50 1 1 W N +X GND 28 -500 -400 200 R 50 50 1 1 W N +X PVIN 19 -500 -200 200 R 50 50 1 1 W N +X P5V 29 -500 -100 200 R 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# OCX40 +# +DEF OCX40 J 0 40 Y Y 1 F N +F0 "J" 150 100 50 H V C CNN +F1 "OCX40" 300 -1850 50 H V C CNN +F2 "OCX40_Module_Header" 150 200 50 H V C CNN +F3 "" -450 0 50 H V C CNN +DRAW +S -150 50 450 -3950 0 1 0 N +X P5V 1 -350 0 200 R 50 50 1 1 W +X P3V3 2 -350 -100 200 R 50 50 1 1 W +X GND 3 -350 -200 200 R 50 50 1 1 W +X GND 4 -350 -300 200 R 50 50 1 1 W +X SPI_MISO 5 -350 -400 200 R 50 50 1 1 B +X SPI_SCLK 6 -350 -500 200 R 50 50 1 1 B +X SPI_MOSI 7 -350 -600 200 R 50 50 1 1 B +X SPI_CS 8 -350 -700 200 R 50 50 1 1 B +X GND 9 -350 -800 200 R 50 50 1 1 W +X GND 10 -350 -900 200 R 50 50 1 1 W +X PWM1 20 -350 -1900 200 R 50 50 1 1 B +X GND 30 -350 -2900 200 R 50 50 1 1 W +X GND_VIN 40 -350 -3900 200 R 50 50 1 1 W +X I2C_SDA 11 -350 -1000 200 R 50 50 1 1 B +X GPIO0 21 -350 -2000 200 R 50 50 1 1 B +X P12V 31 -350 -3000 200 R 50 50 1 1 W +X I2C_SCL 12 -350 -1100 200 R 50 50 1 1 B +X GPIO1 22 -350 -2100 200 R 50 50 1 1 B +X GND 32 -350 -3100 200 R 50 50 1 1 W +X GND 13 -350 -1200 200 R 50 50 1 1 W +X GPIO2 23 -350 -2200 200 R 50 50 1 1 B +X PVIN1 33 -350 -3200 200 R 50 50 1 1 W +X RESETN 14 -350 -1300 200 R 50 50 1 1 B +X GPIO3 24 -350 -2300 200 R 50 50 1 1 B +X GND_VIN 34 -350 -3300 200 R 50 50 1 1 W +X SYSCLKH 15 -350 -1400 200 R 50 50 1 1 B +X GND 25 -350 -2400 200 R 50 50 1 1 W +X PVIN2 35 -350 -3400 200 R 50 50 1 1 W +X TBD 16 -350 -1500 200 R 50 50 1 1 B +X GND 26 -350 -2500 200 R 50 50 1 1 W +X GND_VIN 36 -350 -3500 200 R 50 50 1 1 W +X GND 17 -350 -1600 200 R 50 50 1 1 W +X ADC0 27 -350 -2600 200 R 50 50 1 1 B +X PVIN3 37 -350 -3600 200 R 50 50 1 1 W +X GND 18 -350 -1700 200 R 50 50 1 1 W +X ADC1 28 -350 -2700 200 R 50 50 1 1 B +X GND_VIN 38 -350 -3700 200 R 50 50 1 1 W +X PWM0 19 -350 -1800 200 R 50 50 1 1 B +X GND 29 -350 -2800 200 R 50 50 1 1 W +X PVIN4 39 -350 -3800 200 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# OCX64_Card_Edge +# +DEF OCX64_Card_Edge J 0 40 Y Y 1 F N +F0 "J" 0 0 60 H V C CNN +F1 "OCX64_Card_Edge" 0 -750 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -3350 0 1 0 N +X GPIO1_2 A10 -900 -1100 200 R 50 50 1 1 B +X GPIO1_3 B10 900 -1100 200 L 50 50 1 1 B +X ADCS_0 A20 -900 -2100 200 R 50 50 1 1 B +X ADCS_1 B20 900 -2100 200 L 50 50 1 1 B +X SPI_SEL0 A30 -900 -3100 200 R 50 50 1 1 B +X TBC B30 900 -3100 200 L 50 50 1 1 B +X P5V A01 -900 -200 200 R 50 50 1 1 w +X P12V B01 900 -200 200 L 50 50 1 1 w +X GND A11 -900 -1200 200 R 50 50 1 1 W +X GND B11 900 -1200 200 L 50 50 1 1 W +X GND A21 -900 -2200 200 R 50 50 1 1 W +X GND B21 900 -2200 200 L 50 50 1 1 W +X SPI_MOSI A31 -900 -3200 200 R 50 50 1 1 B +X SPI_MISO B31 900 -3200 200 L 50 50 1 1 B +X P5V A02 -900 -300 200 R 50 50 1 1 W +X P12V B02 900 -300 200 L 50 50 1 1 W +X ADC1_0 A12 -900 -1300 200 R 50 50 1 1 B +X ADC1_1 B12 900 -1300 200 L 50 50 1 1 B +X PWMS_0 A22 -900 -2300 200 R 50 50 1 1 B +X GPIOS_0 B22 900 -2300 200 L 50 50 1 1 B +X GND A32 -900 -3300 200 R 50 50 1 1 W +X GND B32 900 -3300 200 L 50 50 1 1 W +X P5V A03 -900 -400 200 R 50 50 1 1 W +X GND B03 900 -400 200 L 50 50 1 1 W +X GND A13 -900 -1400 200 R 50 50 1 1 W +X GND B13 900 -1400 200 L 50 50 1 1 W +X TBC A23 -900 -2400 200 R 50 50 1 1 B +X TBC B23 900 -2400 200 L 50 50 1 1 B +X P5V A04 -900 -500 200 R 50 50 1 1 W +X GND B04 900 -500 200 L 50 50 1 1 W +X PWM0_0 A14 -900 -1500 200 R 50 50 1 1 B +X PWM0_1 B14 900 -1500 200 L 50 50 1 1 B +X I2C0_SDA A24 -900 -2500 200 R 50 50 1 1 B +X I2C0_SCL B24 900 -2500 200 L 50 50 1 1 B +X P3V3 A05 -900 -600 200 R 50 50 1 1 w +X GND B05 900 -600 200 L 50 50 1 1 W +X GPIO0_0 A15 -900 -1600 200 R 50 50 1 1 B +X GPIO0_1 B15 900 -1600 200 L 50 50 1 1 B +X I2C_SEL0 A25 -900 -2600 200 R 50 50 1 1 B +X GND B25 900 -2600 200 L 50 50 1 1 W +X P3V3 A06 -900 -700 200 R 50 50 1 1 W +X GND B06 900 -700 200 L 50 50 1 1 W +X GPIO0_2 A16 -900 -1700 200 R 50 50 1 1 B +X GPIO0_3 B16 900 -1700 200 L 50 50 1 1 B +X GND A26 -900 -2700 200 R 50 50 1 1 W +X SYSCLKH B26 900 -2700 200 L 50 50 1 1 B +X GND A07 -900 -800 200 R 50 50 1 1 w +X GND B07 900 -800 200 L 50 50 1 1 W +X GND A17 -900 -1800 200 R 50 50 1 1 W +X GND B17 900 -1800 200 L 50 50 1 1 W +X GND A27 -900 -2800 200 R 50 50 1 1 W +X GND B27 900 -2800 200 L 50 50 1 1 W +X PWM1_0 A08 -900 -900 200 R 50 50 1 1 B +X PWM1_1 B08 900 -900 200 L 50 50 1 1 B +X ADC0_0 A18 -900 -1900 200 R 50 50 1 1 B +X ADC0_1 B18 900 -1900 200 L 50 50 1 1 B +X GND A28 -900 -2900 200 R 50 50 1 1 W +X RESETN B28 900 -2900 200 L 50 50 1 1 B +X GPIO1_0 A09 -900 -1000 200 R 50 50 1 1 B +X GPIO1_1 B09 900 -1000 200 L 50 50 1 1 B +X GND A19 -900 -2000 200 R 50 50 1 1 W +X GND B19 900 -2000 200 L 50 50 1 1 W +X USB_PWR_DISABLE A29 -900 -3000 200 R 50 50 1 1 P +X SPI_SCLK B29 900 -3000 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# OCX98_Card_Edge +# +DEF OCX98_Card_Edge J 0 40 Y Y 1 F N +F0 "J" 0 0 60 H V C CNN +F1 "OCX98_Card_Edge" 0 -750 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -5050 0 1 0 N +X GPIO1_2 A10 -900 -1100 200 R 50 50 1 1 B +X GPIO1_3 B10 900 -1100 200 L 50 50 1 1 B +X ADCS_0 A20 -900 -2100 200 R 50 50 1 1 B +X ADCS_1 B20 900 -2100 200 L 50 50 1 1 B +X SPI_SEL0 A30 -900 -3100 200 R 50 50 1 1 B +X TBC B30 900 -3100 200 L 50 50 1 1 B +X ADC2_0 A40 -900 -4100 200 R 50 50 1 1 B +X ADC2_1 B40 900 -4100 200 L 50 50 1 1 B +X P5V A01 -900 -200 200 R 50 50 1 1 w +X P12V B01 900 -200 200 L 50 50 1 1 w +X GND A11 -900 -1200 200 R 50 50 1 1 W +X GND B11 900 -1200 200 L 50 50 1 1 W +X GND A21 -900 -2200 200 R 50 50 1 1 W +X GND B21 900 -2200 200 L 50 50 1 1 W +X SPI_MOSI A31 -900 -3200 200 R 50 50 1 1 B +X SPI_MISO B31 900 -3200 200 L 50 50 1 1 B +X GND A41 -900 -4200 200 R 50 50 1 1 W +X GND B41 900 -4200 200 L 50 50 1 1 W +X P5V A02 -900 -300 200 R 50 50 1 1 W +X P12V B02 900 -300 200 L 50 50 1 1 W +X ADC1_0 A12 -900 -1300 200 R 50 50 1 1 B +X ADC1_1 B12 900 -1300 200 L 50 50 1 1 B +X PWMS_0 A22 -900 -2300 200 R 50 50 1 1 B +X GPIOS_0 B22 900 -2300 200 L 50 50 1 1 B +X GND A32 -900 -3300 200 R 50 50 1 1 W +X GND B32 900 -3300 200 L 50 50 1 1 W +X PWM3_0 A42 -900 -4300 200 R 50 50 1 1 B +X PWM3_1 B42 900 -4300 200 L 50 50 1 1 B +X P5V A03 -900 -400 200 R 50 50 1 1 W +X GND B03 900 -400 200 L 50 50 1 1 W +X GND A13 -900 -1400 200 R 50 50 1 1 W +X GND B13 900 -1400 200 L 50 50 1 1 W +X GPIOS_1 A23 -900 -2400 200 R 50 50 1 1 B +X TBC B23 900 -2400 200 L 50 50 1 1 B +X GPIO3_0 A43 -900 -4400 200 R 50 50 1 1 B +X GPIO3_1 B43 900 -4400 200 L 50 50 1 1 B +X P5V A04 -900 -500 200 R 50 50 1 1 W +X GND B04 900 -500 200 L 50 50 1 1 W +X PWM0_0 A14 -900 -1500 200 R 50 50 1 1 B +X PWM0_1 B14 900 -1500 200 L 50 50 1 1 B +X I2C0_SDA A24 -900 -2500 200 R 50 50 1 1 B +X I2C0_SCL B24 900 -2500 200 L 50 50 1 1 B +X GPIO3_2 A44 -900 -4500 200 R 50 50 1 1 B +X GPIO3_3 B44 900 -4500 200 L 50 50 1 1 B +X P3V3 A05 -900 -600 200 R 50 50 1 1 w +X GND B05 900 -600 200 L 50 50 1 1 W +X GPIO0_0 A15 -900 -1600 200 R 50 50 1 1 B +X GPIO0_1 B15 900 -1600 200 L 50 50 1 1 B +X I2C_SEL0 A25 -900 -2600 200 R 50 50 1 1 B +X GND B25 900 -2600 200 L 50 50 1 1 W +X GND A45 -900 -4600 200 R 50 50 1 1 W +X GND B45 900 -4600 200 L 50 50 1 1 W +X P3V3 A06 -900 -700 200 R 50 50 1 1 W +X GND B06 900 -700 200 L 50 50 1 1 W +X GPIO0_2 A16 -900 -1700 200 R 50 50 1 1 B +X GPIO0_3 B16 900 -1700 200 L 50 50 1 1 B +X GND A26 -900 -2700 200 R 50 50 1 1 W +X SYSCLKH B26 900 -2700 200 L 50 50 1 1 B +X PWM2_0 A36 -900 -3700 200 R 50 50 1 1 B +X PWM2_1 B36 900 -3700 200 L 50 50 1 1 B +X ADC3_0 A46 -900 -4700 200 R 50 50 1 1 B +X ADC3_1 B46 900 -4700 200 L 50 50 1 1 B +X GND A07 -900 -800 200 R 50 50 1 1 w +X GND B07 900 -800 200 L 50 50 1 1 W +X GND A17 -900 -1800 200 R 50 50 1 1 W +X GND B17 900 -1800 200 L 50 50 1 1 W +X GND A27 -900 -2800 200 R 50 50 1 1 W +X GND B27 900 -2800 200 L 50 50 1 1 W +X GPIO2_0 A37 -900 -3800 200 R 50 50 1 1 B +X GPIO2_1 B37 900 -3800 200 L 50 50 1 1 B +X GND A47 -900 -4800 200 R 50 50 1 1 W +X GND B47 900 -4800 200 L 50 50 1 1 W +X PWM1_0 A08 -900 -900 200 R 50 50 1 1 B +X PWM1_1 B08 900 -900 200 L 50 50 1 1 B +X ADC0_0 A18 -900 -1900 200 R 50 50 1 1 B +X ADC0_1 B18 900 -1900 200 L 50 50 1 1 B +X GND A28 -900 -2900 200 R 50 50 1 1 W +X RESETN B28 900 -2900 200 L 50 50 1 1 B +X GPIO2_2 A38 -900 -3900 200 R 50 50 1 1 B +X GPIO2_3 B38 900 -3900 200 L 50 50 1 1 B +X SPI_SEL1 A48 -900 -4900 200 R 50 50 1 1 B +X I2C_SEL1 B48 900 -4900 200 L 50 50 1 1 B +X GPIO1_0 A09 -900 -1000 200 R 50 50 1 1 B +X GPIO1_1 B09 900 -1000 200 L 50 50 1 1 B +X GND A19 -900 -2000 200 R 50 50 1 1 W +X GND B19 900 -2000 200 L 50 50 1 1 W +X USB_PWR_DISABLE A29 -900 -3000 200 R 50 50 1 1 P +X SPI_SCLK B29 900 -3000 200 L 50 50 1 1 B +X GND A39 -900 -4000 200 R 50 50 1 1 W +X GND B39 900 -4000 200 L 50 50 1 1 W +X TBC A49 -900 -5000 200 R 50 50 1 1 B +X TBC B49 900 -5000 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# OCX98_Card_Edge-RESCUE-backplane_4_mod_powered +# +DEF OCX98_Card_Edge-RESCUE-backplane_4_mod_powered J 0 40 Y Y 1 F N +F0 "J" 0 0 60 H V C CNN +F1 "OCX98_Card_Edge-RESCUE-backplane_4_mod_powered" 0 -750 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -5050 0 1 0 N +X GPIO1_2 A10 -900 -1100 200 R 50 50 1 1 B +X GPIO1_3 B10 900 -1100 200 L 50 50 1 1 B +X ADCS_0 A20 -900 -2100 200 R 50 50 1 1 B +X ADCS_1 B20 900 -2100 200 L 50 50 1 1 B +X SPI_SEL0 A30 -900 -3100 200 R 50 50 1 1 B +X TBC B30 900 -3100 200 L 50 50 1 1 B +X ADC2_0 A40 -900 -4100 200 R 50 50 1 1 B +X ADC2_1 B40 900 -4100 200 L 50 50 1 1 B +X P5V A01 -900 -200 200 R 50 50 1 1 w +X P12V B01 900 -200 200 L 50 50 1 1 w +X GND A11 -900 -1200 200 R 50 50 1 1 W +X GND B11 900 -1200 200 L 50 50 1 1 W +X GND A21 -900 -2200 200 R 50 50 1 1 W +X GND B21 900 -2200 200 L 50 50 1 1 W +X SPI_MOSI A31 -900 -3200 200 R 50 50 1 1 B +X SPI_MISO B31 900 -3200 200 L 50 50 1 1 B +X GND A41 -900 -4200 200 R 50 50 1 1 W +X GND B41 900 -4200 200 L 50 50 1 1 W +X P5V A02 -900 -300 200 R 50 50 1 1 W +X P12V B02 900 -300 200 L 50 50 1 1 W +X ADC1_0 A12 -900 -1300 200 R 50 50 1 1 B +X ADC1_1 B12 900 -1300 200 L 50 50 1 1 B +X PWMS_0 A22 -900 -2300 200 R 50 50 1 1 B +X GPIOS_0 B22 900 -2300 200 L 50 50 1 1 B +X GND A32 -900 -3300 200 R 50 50 1 1 W +X GND B32 900 -3300 200 L 50 50 1 1 W +X PWM3_0 A42 -900 -4300 200 R 50 50 1 1 B +X PWM3_1 B42 900 -4300 200 L 50 50 1 1 B +X P5V A03 -900 -400 200 R 50 50 1 1 W +X GND B03 900 -400 200 L 50 50 1 1 W +X GND A13 -900 -1400 200 R 50 50 1 1 W +X GND B13 900 -1400 200 L 50 50 1 1 W +X GPIOS_1 A23 -900 -2400 200 R 50 50 1 1 B +X TBC B23 900 -2400 200 L 50 50 1 1 B +X GPIO3_0 A43 -900 -4400 200 R 50 50 1 1 B +X GPIO3_1 B43 900 -4400 200 L 50 50 1 1 B +X P5V A04 -900 -500 200 R 50 50 1 1 W +X GND B04 900 -500 200 L 50 50 1 1 W +X PWM0_0 A14 -900 -1500 200 R 50 50 1 1 B +X PWM0_1 B14 900 -1500 200 L 50 50 1 1 B +X I2C0_SDA A24 -900 -2500 200 R 50 50 1 1 B +X I2C0_SCL B24 900 -2500 200 L 50 50 1 1 B +X GPIO3_2 A44 -900 -4500 200 R 50 50 1 1 B +X GPIO3_3 B44 900 -4500 200 L 50 50 1 1 B +X P3V3 A05 -900 -600 200 R 50 50 1 1 w +X GND B05 900 -600 200 L 50 50 1 1 W +X GPIO0_0 A15 -900 -1600 200 R 50 50 1 1 B +X GPIO0_1 B15 900 -1600 200 L 50 50 1 1 B +X I2C_SEL0 A25 -900 -2600 200 R 50 50 1 1 B +X GND B25 900 -2600 200 L 50 50 1 1 W +X GND A45 -900 -4600 200 R 50 50 1 1 W +X GND B45 900 -4600 200 L 50 50 1 1 W +X P3V3 A06 -900 -700 200 R 50 50 1 1 W +X GND B06 900 -700 200 L 50 50 1 1 W +X GPIO0_2 A16 -900 -1700 200 R 50 50 1 1 B +X GPIO0_3 B16 900 -1700 200 L 50 50 1 1 B +X GND A26 -900 -2700 200 R 50 50 1 1 W +X SYSCLKH B26 900 -2700 200 L 50 50 1 1 B +X PWM2_0 A36 -900 -3700 200 R 50 50 1 1 B +X PWM2_1 B36 900 -3700 200 L 50 50 1 1 B +X ADC3_0 A46 -900 -4700 200 R 50 50 1 1 B +X ADC3_1 B46 900 -4700 200 L 50 50 1 1 B +X GND A07 -900 -800 200 R 50 50 1 1 w +X GND B07 900 -800 200 L 50 50 1 1 W +X GND A17 -900 -1800 200 R 50 50 1 1 W +X GND B17 900 -1800 200 L 50 50 1 1 W +X GND A27 -900 -2800 200 R 50 50 1 1 W +X GND B27 900 -2800 200 L 50 50 1 1 W +X GPIO2_0 A37 -900 -3800 200 R 50 50 1 1 B +X GPIO2_1 B37 900 -3800 200 L 50 50 1 1 B +X GND A47 -900 -4800 200 R 50 50 1 1 W +X GND B47 900 -4800 200 L 50 50 1 1 W +X PWM1_0 A08 -900 -900 200 R 50 50 1 1 B +X PWM1_1 B08 900 -900 200 L 50 50 1 1 B +X ADC0_0 A18 -900 -1900 200 R 50 50 1 1 B +X ADC0_1 B18 900 -1900 200 L 50 50 1 1 B +X GND A28 -900 -2900 200 R 50 50 1 1 W +X RESETN B28 900 -2900 200 L 50 50 1 1 B +X GPIO2_2 A38 -900 -3900 200 R 50 50 1 1 B +X GPIO2_3 B38 900 -3900 200 L 50 50 1 1 B +X SPI_SEL1 A48 -900 -4900 200 R 50 50 1 1 B +X I2C_SEL1 B48 900 -4900 200 L 50 50 1 1 B +X GPIO1_0 A09 -900 -1000 200 R 50 50 1 1 B +X GPIO1_1 B09 900 -1000 200 L 50 50 1 1 B +X GND A19 -900 -2000 200 R 50 50 1 1 W +X GND B19 900 -2000 200 L 50 50 1 1 W +X USB_PWR_DISABLE A29 -900 -3000 200 R 50 50 1 1 P +X SPI_SCLK B29 900 -3000 200 L 50 50 1 1 B +X GND A39 -900 -4000 200 R 50 50 1 1 W +X GND B39 900 -4000 200 L 50 50 1 1 W +X TBC A49 -900 -5000 200 R 50 50 1 1 B +X TBC B49 900 -5000 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# OSC +# +DEF OSC Y 0 40 Y Y 1 F N +F0 "Y" 0 200 60 H V C CNN +F1 "OSC" 0 0 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +S -300 150 300 -150 0 1 0 N +X EN/D 1 -500 100 200 R 50 50 1 1 I +X GND 2 -500 -100 200 R 50 50 1 1 W +X OUT 3 500 -100 200 L 50 50 1 1 O +X VDD 4 500 100 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# OpenCNX_Module_30Pin +# +DEF OpenCNX_Module_30Pin U 0 40 Y Y 1 F N +F0 "U" 0 0 60 H V C CNN +F1 "OpenCNX_Module_30Pin" 0 -750 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -1700 0 1 0 N +X V5 1 -900 -200 200 R 50 50 1 1 B +X V3_3 2 900 -200 200 L 50 50 1 1 B +X GND 3 -900 -300 200 R 50 50 1 1 I +X ADC0 4 900 -300 200 L 50 50 1 1 B +X SDI0 5 -900 -400 200 R 50 50 1 1 B +X GND 6 900 -400 200 L 50 50 1 1 I +X SDO0 7 -900 -500 200 R 50 50 1 1 B +X GND 8 900 -500 200 L 50 50 1 1 I +X CLK0 9 -900 -600 200 R 50 50 1 1 B +X GND 10 900 -600 200 L 50 50 1 1 I +X GPIO1 20 900 -1100 200 L 50 50 1 1 B +X VIN 30 900 -1600 200 L 50 50 1 1 B +X CS0 11 -900 -700 200 R 50 50 1 1 B +X ADC1 21 -900 -1200 200 R 50 50 1 1 B +X SDA0 12 900 -700 200 L 50 50 1 1 B +X GPIO2 22 900 -1200 200 L 50 50 1 1 B +X GPIO4 13 -900 -800 200 R 50 50 1 1 B +X GND 23 -900 -1300 200 R 50 50 1 1 I +X SCL0 14 900 -800 200 L 50 50 1 1 B +X GPIO3 24 900 -1300 200 L 50 50 1 1 B +X GPIO5 15 -900 -900 200 R 50 50 1 1 B +X V12 25 -900 -1400 200 R 50 50 1 1 B +X GND 16 900 -900 200 L 50 50 1 1 I +X GND 26 900 -1400 200 L 50 50 1 1 I +X PWM0 17 -900 -1000 200 R 50 50 1 1 B +X VIN 27 -900 -1500 200 R 50 50 1 1 B +X GPIO0 18 900 -1000 200 L 50 50 1 1 B +X VIN 28 900 -1500 200 L 50 50 1 1 B +X PWM1 19 -900 -1100 200 R 50 50 1 1 B +X VIN 29 -900 -1600 200 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# OpenCNX_Module_30Pin_Backplane +# +DEF OpenCNX_Module_30Pin_Backplane U 0 40 Y Y 1 F N +F0 "U" 0 0 60 H V C CNN +F1 "OpenCNX_Module_30Pin_Backplane" 0 -900 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -1700 0 1 0 N +X GND 1 900 -200 200 L 50 50 1 1 I +X CLK0 2 -900 -200 200 R 50 50 1 1 B +X SDA0 3 900 -300 200 L 50 50 1 1 B +X SDO0 4 -900 -300 200 R 50 50 1 1 B +X SCL0 5 900 -400 200 L 50 50 1 1 B +X SDI0 6 -900 -400 200 R 50 50 1 1 B +X GND 7 900 -500 200 L 50 50 1 1 I +X CS0 8 -900 -500 200 R 50 50 1 1 B +X ADC0 9 900 -600 200 L 50 50 1 1 B +X GND 10 -900 -600 200 R 50 50 1 1 I +X GPIO4 20 -900 -1100 200 R 50 50 1 1 B +X VIN 30 -900 -1600 200 R 50 50 1 1 B +X GND 11 900 -700 200 L 50 50 1 1 I +X GND 21 900 -1200 200 L 50 50 1 1 I +X GPIO0 12 -900 -700 200 R 50 50 1 1 B +X GPIO5 22 -900 -1200 200 R 50 50 1 1 B +X ADC1 13 900 -800 200 L 50 50 1 1 B +X V3_3 23 900 -1300 200 L 50 50 1 1 B +X GPIO1 14 -900 -800 200 R 50 50 1 1 B +X GND 24 -900 -1300 200 R 50 50 1 1 I +X GND 15 900 -900 200 L 50 50 1 1 I +X V5 25 900 -1400 200 L 50 50 1 1 B +X GPIO2 16 -900 -900 200 R 50 50 1 1 B +X V12 26 -900 -1400 200 R 50 50 1 1 B +X PWM0 17 900 -1000 200 L 50 50 1 1 B +X VIN 27 900 -1500 200 L 50 50 1 1 B +X GPIO3 18 -900 -1000 200 R 50 50 1 1 B +X VIN 28 -900 -1500 200 R 50 50 1 1 B +X PWM1 19 900 -1100 200 L 50 50 1 1 B +X VIN 29 900 -1600 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# OpenCNX_Module_34Pin +# +DEF OpenCNX_Module_34Pin U 0 40 Y Y 1 F N +F0 "U" 0 0 60 H V C CNN +F1 "OpenCNX_Module_34Pin" 0 -750 60 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -700 -100 700 -1900 0 1 0 N +X V5 1 -900 -200 200 R 50 50 1 1 W +X V3_3 2 900 -200 200 L 50 50 1 1 W +X GND 3 -900 -300 200 R 50 50 1 1 W +X ADC0 4 900 -300 200 L 50 50 1 1 B +X SPI_MISO 5 -900 -400 200 R 50 50 1 1 O +X GND 6 900 -400 200 L 50 50 1 1 W +X SPI_MOSI 7 -900 -500 200 R 50 50 1 1 I +X GND 8 900 -500 200 L 50 50 1 1 W +X SPI_SCLK 9 -900 -600 200 R 50 50 1 1 I +X GND 10 900 -600 200 L 50 50 1 1 W +X GPIO0 20 900 -1100 200 L 50 50 1 1 B +X V12_2 30 900 -1600 200 L 50 50 1 1 W +X SPI_SS 11 -900 -700 200 R 50 50 1 1 I +X PWM0 21 -900 -1200 200 R 50 50 1 1 B +X VIN 31 -900 -1700 200 R 50 50 1 1 W +X 12C_SDA 12 900 -700 200 L 50 50 1 1 B +X GPIO1 22 900 -1200 200 L 50 50 1 1 B +X VIN 32 900 -1700 200 L 50 50 1 1 W +X GND 13 -900 -800 200 R 50 50 1 1 W +X PWM1 23 -900 -1300 200 R 50 50 1 1 I +X VIN 33 -900 -1800 200 R 50 50 1 1 W +X 12C_SCL 14 900 -800 200 L 50 50 1 1 I +X GPIO2 24 900 -1300 200 L 50 50 1 1 B +X VIN 34 900 -1800 200 L 50 50 1 1 W +X SYSCLKH 15 -900 -900 200 R 50 50 1 1 I +X ADC1 25 -900 -1400 200 R 50 50 1 1 B +X RESETN 16 900 -900 200 L 50 50 1 1 I +X GPIO3 26 900 -1400 200 L 50 50 1 1 B +X GPIO4 17 -900 -1000 200 R 50 50 1 1 I +X GND 27 -900 -1500 200 R 50 50 1 1 W +X SYSSYNC 18 900 -1000 200 L 50 50 1 1 I +X GND 28 900 -1500 200 L 50 50 1 1 W +X GPIO5 19 -900 -1100 200 R 50 50 1 1 B +X V12 29 -900 -1600 200 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# PCA9536 +# +DEF PCA9536 U 0 40 Y Y 1 F N +F0 "U" 0 250 60 H V C CNN +F1 "PCA9536" 0 0 60 V V C CNN +F2 "" 0 250 60 H V C CNN +F3 "" 0 250 60 H V C CNN +$FPLIST + S08 + 8_SO + TSSOP8 + HVSON8 +$ENDFPLIST +DRAW +S -300 200 300 -200 0 1 0 N +X IO0 1 -500 150 200 R 50 50 1 1 B +X IO1 2 -500 50 200 R 50 50 1 1 B +X IO2 3 -500 -50 200 R 50 50 1 1 B +X VSS 4 -500 -150 200 R 50 50 1 1 W +X IO3 5 500 150 200 L 50 50 1 1 B +X SCL 6 500 50 200 L 50 50 1 1 I +X SDA 7 500 -50 200 L 50 50 1 1 B +X VDD 8 500 -150 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# PCA9570 +# +DEF PCA9570 U 0 40 Y Y 1 F N +F0 "U" 0 250 60 H V C CNN +F1 "PCA9570" 0 0 60 V V C CNN +F2 "" 0 250 60 H V C CNN +F3 "" 0 250 60 H V C CNN +$FPLIST + XQFN8 +$ENDFPLIST +DRAW +S -300 200 300 -200 0 1 0 N +X VDD 1 -500 150 200 R 50 50 1 1 W +X P0 2 -500 50 200 R 50 50 1 1 B +X P1 3 -500 -50 200 R 50 50 1 1 B +X P2 4 -500 -150 200 R 50 50 1 1 B +X VSS 5 500 150 200 L 50 50 1 1 W +X P3 6 500 50 200 L 50 50 1 1 B +X SCL 7 500 -50 200 L 50 50 1 1 I +X SDA 8 500 -150 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# POE13P +# +DEF POE13P TR 0 1 Y Y 1 F N +F0 "TR" -150 625 50 H V C CNN +F1 "POE13P" 150 625 50 H V C CNN +F2 "" 0 -550 50 H V C CIN +F3 "" 0 0 50 H V C CNN +DRAW +T 0 -200 -175 60 0 0 0 Bias Normal 0 C C +T 0 -200 300 60 0 0 0 Pri Normal 0 C C +T 0 250 300 60 0 0 0 Sec Normal 0 C C +P 2 0 0 0 -25 505 -25 -350 N +P 2 0 0 0 25 -350 25 505 N +A -85 -265 30 -899 899 0 1 8 N -85 -295 -85 -235 +A -85 -205 30 -899 899 0 1 8 N -85 -235 -85 -175 +A -85 -205 30 -899 899 0 1 8 N -85 -235 -85 -175 +A -85 -145 30 -899 899 0 1 8 N -85 -175 -85 -115 +A -85 -145 30 -899 899 0 1 8 N -85 -175 -85 -115 +A -85 150 30 -899 899 0 1 8 N -85 120 -85 180 +A -85 210 30 -899 899 0 1 8 N -85 180 -85 240 +A -85 270 30 -899 899 0 1 8 N -85 240 -85 300 +A -85 330 30 -899 899 0 1 8 N -85 300 -85 360 +A -85 390 30 -899 899 0 1 8 N -85 360 -85 420 +A -85 450 30 -899 899 0 1 8 N -85 420 -85 480 +A 85 135 30 901 -901 0 1 8 N 85 165 85 105 +A 85 195 30 901 -901 0 1 8 N 85 225 85 165 +A 85 255 30 901 -901 0 1 8 N 85 285 85 225 +A 85 315 30 901 -901 0 1 8 N 85 345 85 285 +A 85 375 30 901 -901 0 1 8 N 85 405 85 345 +A 135 185 30 901 -901 0 1 8 N 135 215 135 155 +A 135 245 30 901 -901 0 1 8 N 135 275 135 215 +A 135 245 30 901 -901 0 1 8 N 135 275 135 215 +A 135 305 30 901 -901 0 1 8 N 135 335 135 275 +A 135 305 30 901 -901 0 1 8 N 135 335 135 275 +C -105 -90 5 0 1 0 N +C -105 480 5 0 1 0 N +C 105 480 5 0 1 0 N +C 155 360 5 0 1 0 N +P 4 0 1 0 -125 -50 -150 -50 -85 -50 -85 -115 N +P 4 0 1 0 -85 -295 -85 -350 -150 -350 -125 -350 N +P 4 0 1 0 -85 120 -85 100 -150 100 -125 100 N +P 4 0 1 0 -85 480 -85 500 -150 500 -125 500 N +P 4 0 1 0 135 155 135 100 200 100 175 100 N +P 4 0 1 0 175 0 200 0 85 0 85 105 N +P 4 0 1 0 175 400 200 400 135 400 135 335 N +P 4 0 1 0 175 500 200 500 85 500 85 405 N +X ~ 1 -250 -350 100 R 50 50 1 1 P +X ~ 2 -250 -50 100 R 50 50 1 1 P +X ~ 3 -250 500 100 R 50 50 1 1 P +X ~ 4 -250 100 100 R 50 50 1 1 P +X ~ 5 150 -150 100 R 50 50 1 1 N N +X ~ 6 150 -100 100 R 50 50 1 1 N N +X ~ 7 300 400 100 L 50 50 1 1 P +X ~ 8 300 500 100 L 50 50 1 1 P +X ~ 9 300 100 100 L 50 50 1 1 P +X ~ 10 300 0 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# PUSBM5V5X4-TL +# +DEF PUSBM5V5X4-TL D 0 40 Y Y 1 F N +F0 "D" 0 250 60 H V C CNN +F1 "PUSBM5V5X4-TL" 0 0 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +S -400 50 400 -350 0 1 0 N +X ESD1 1 -600 -100 200 R 50 50 1 1 P +X ESD2 2 -600 -200 200 R 50 50 1 1 P +X ESD3 3 -600 -300 200 R 50 50 1 1 P +X NC 4 600 -200 200 L 50 50 1 1 N N +X NC 5 600 -250 200 L 50 50 1 1 N N +X VBUS 6 600 -100 200 L 50 50 1 1 P +X GND 7 600 -300 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# RJ11_NO_SHIELD +# +DEF RJ11_NO_SHIELD J 0 40 Y Y 1 F N +F0 "J" -265 490 50 H V C CNN +F1 "RJ11_NO_SHIELD" 130 485 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S 350 -300 -300 450 0 1 0 N +P 3 0 1 0 -100 250 -100 200 -100 200 N +P 3 0 1 0 -50 250 -50 200 -50 200 N +P 3 0 1 0 0 250 0 200 0 200 N +P 3 0 1 0 50 250 50 200 50 200 N +P 3 0 1 0 100 250 100 200 100 200 N +P 3 0 1 0 150 200 150 250 150 250 N +P 14 0 1 0 -200 250 250 250 250 -150 150 -150 150 -200 100 -200 100 -250 -50 -250 -50 -200 -100 -200 -100 -150 -200 -150 -200 250 -200 250 N +X ~ 2 -100 -450 150 U 50 50 1 1 P +X ~ 3 0 -450 150 U 50 50 1 1 P +X ~ 4 100 -450 150 U 50 50 1 1 P +X ~ 5 200 -450 150 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# RJ45 +# +DEF RJ45 J 0 40 Y Y 1 F N +F0 "J" 200 500 50 H V C CNN +F1 "RJ45" -150 500 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -400 -300 400 450 0 1 0 N +P 3 0 1 0 -175 200 -175 250 -175 250 N +P 3 0 1 0 -125 250 -125 200 -125 200 N +P 3 0 1 0 -75 250 -75 200 -75 200 N +P 3 0 1 0 -25 250 -25 200 -25 200 N +P 3 0 1 0 25 250 25 200 25 200 N +P 3 0 1 0 75 250 75 200 75 200 N +P 3 0 1 0 125 200 125 250 125 250 N +P 3 0 1 0 175 200 175 250 175 250 N +P 14 0 1 0 -225 250 225 250 225 -150 125 -150 125 -200 75 -200 75 -250 -75 -250 -75 -200 -125 -200 -125 -150 -225 -150 -225 250 -225 250 N +X ~ 1 -350 -450 150 U 50 50 1 1 P +X ~ 2 -250 -450 150 U 50 50 1 1 P +X ~ 3 -150 -450 150 U 50 50 1 1 P +X ~ 4 -50 -450 150 U 50 50 1 1 P +X ~ 5 50 -450 150 U 50 50 1 1 P +X ~ 6 150 -450 150 U 50 50 1 1 P +X ~ 7 250 -450 150 U 50 30 1 1 P +X ~ 8 350 -450 150 U 50 30 1 1 P +X SHIELD SH 550 350 150 L 50 30 1 1 P +ENDDRAW +ENDDEF +# +# RJ45-NELTRON_MAG +# +DEF RJ45-NELTRON_MAG J 0 0 Y Y 1 F N +F0 "J" 100 600 50 H V L CNN +F1 "RJ45-NELTRON_MAG" -50 600 50 H V R CNN +F2 "" 0 250 50 H V C CNN +F3 "" 0 250 50 H V C CNN +DRAW +A -960 200 20 -899 899 0 0 0 N -960 180 -960 220 +A -960 297 17 -899 899 0 0 0 N -960 280 -960 315 +A -760 0 20 -899 899 0 0 0 N -760 -20 -760 20 +A -760 95 15 -899 899 0 0 0 N -760 80 -760 110 +A -760 200 20 -899 899 0 0 0 N -760 180 -760 220 +A -760 300 20 -899 899 0 0 0 N -760 280 -760 320 +A -720 -5 15 -899 899 0 0 0 N -720 -20 -720 10 +C -960 400 10 0 0 0 F +C -900 80 10 0 0 0 N +C -900 180 10 0 0 0 N +C -900 380 10 0 0 0 N +C -900 480 10 0 0 0 N +C -800 80 10 0 0 0 N +C -800 180 10 0 0 0 N +C -800 380 10 0 0 0 N +C -800 480 10 0 0 0 N +C -760 -250 10 0 0 0 F +C -720 -250 10 0 0 0 F +C -650 -250 10 0 0 0 F +C -470 -260 10 0 0 0 F +C -470 -140 10 0 0 0 F +T 0 -810 -340 40 0 0 0 1000PF/2KV Normal 0 C C +T 0 -690 130 40 0 0 0 75Ω Normal 0 C C +T 0 -690 430 40 0 0 0 75Ω Normal 0 C C +T 0 -570 -210 40 0 0 0 75Ω Normal 0 C C +T 0 -570 -90 40 0 0 0 75Ω Normal 0 C C +T 0 -200 -160 40 0 0 0 GREEN Normal 0 C C +T 0 200 -160 40 0 0 0 GREEN Normal 0 C C +T 0 -275 475 40 0 0 0 J1 Normal 0 C C +T 0 -275 350 40 0 0 0 J2 Normal 0 C C +T 0 -275 175 40 0 0 0 J3 Normal 0 C C +T 0 -375 -100 35 0 0 0 J4 Normal 0 C B +T 0 -375 -125 35 0 0 0 J5 Normal 0 C C +T 0 -275 50 40 0 0 0 J6 Normal 0 C C +T 0 -375 -225 35 0 0 0 J7 Normal 0 C B +T 0 -375 -250 35 0 0 0 J8 Normal 0 C C +T 0 -585 -25 40 0 0 0 RCV Normal 0 C C +T 0 -580 275 40 0 0 0 XMIT Normal 0 C C +P 2 0 0 0 -960 220 -960 280 N +P 2 0 0 0 -960 315 -960 400 N +P 2 0 0 0 -860 200 -860 0 N +P 2 0 0 0 -860 500 -860 300 N +P 2 0 0 0 -840 0 -840 200 N +P 2 0 0 0 -840 300 -840 500 N +P 2 0 0 0 -760 -250 -800 -250 N +P 2 0 0 0 -760 -20 -760 -250 N +P 2 0 0 0 -760 80 -760 20 N +P 2 0 0 0 -760 180 -760 110 N +P 2 0 0 0 -760 220 -760 280 N +P 2 0 0 0 -720 -20 -720 -250 N +P 3 0 0 0 -1025 -250 -830 -250 -830 -250 N +P 3 0 0 0 -925 100 -960 100 -960 180 N +P 3 0 0 10 -805 -200 -805 -300 -805 -300 N +P 3 0 0 0 -800 0 -665 0 -665 25 N +P 3 0 0 0 -675 325 -675 300 -800 300 N +P 3 0 0 0 -650 -250 -650 -130 -600 -130 N +P 3 0 0 0 -410 -210 -470 -210 -470 -260 N +P 3 0 0 0 -410 -90 -470 -90 -470 -140 N +P 3 0 0 0 -175 -275 -150 -275 -150 -375 N +P 3 0 0 0 -175 -225 -175 -325 -175 -325 N +P 3 0 0 0 225 -275 250 -275 250 -375 N +P 3 0 0 0 225 -225 225 -325 225 -325 N +P 4 0 0 10 -830 -200 -830 -275 -830 -300 -830 -300 N +P 4 0 0 0 -250 -375 -250 -275 -225 -275 -225 -275 N +P 4 0 0 0 150 -375 150 -275 175 -275 175 -275 N +P 5 0 0 0 -225 -325 -225 -225 -175 -275 -225 -325 -225 -325 N +P 5 0 0 0 -220 -220 -200 -200 -210 -200 -200 -210 -200 -200 N +P 5 0 0 0 -200 -220 -180 -200 -190 -200 -180 -210 -180 -200 N +P 5 0 0 0 175 -325 175 -225 225 -275 175 -325 175 -325 N +P 5 0 0 0 180 -220 200 -200 190 -200 200 -210 200 -200 N +P 5 0 0 0 200 -220 220 -200 210 -200 220 -210 220 -200 N +P 10 0 0 0 -760 -250 -600 -250 -590 -270 -580 -240 -570 -270 -560 -240 -550 -270 -540 -240 -530 -260 -410 -260 N +P 10 0 0 0 -650 -130 -600 -130 -590 -150 -580 -120 -570 -150 -560 -120 -550 -150 -540 -120 -530 -140 -410 -140 N +P 11 0 0 0 -800 100 -710 100 -710 90 -730 80 -700 70 -730 60 -700 50 -730 40 -700 30 -720 20 -720 10 N +P 14 0 0 0 -760 320 -760 340 -740 350 -770 360 -740 370 -770 380 -740 390 -770 400 -750 410 -750 420 -780 420 -790 420 -790 400 -800 400 N +A -900 25 25 -899 899 0 1 0 N -900 0 -900 50 +A -900 75 25 -899 899 0 1 0 N -900 50 -900 100 +A -900 125 25 -899 899 0 1 0 N -900 100 -900 150 +A -900 175 25 -899 899 0 1 0 N -900 150 -900 200 +A -900 325 25 -899 899 0 1 0 N -900 300 -900 350 +A -900 375 25 -899 899 0 1 0 N -900 350 -900 400 +A -900 425 25 -899 899 0 1 0 N -900 400 -900 450 +A -900 475 25 -899 899 0 1 0 N -900 450 -900 500 +A -800 25 25 901 -901 0 1 0 N -800 50 -800 0 +A -800 75 25 901 -901 0 1 0 N -800 100 -800 50 +A -800 125 25 901 -901 0 1 0 N -800 150 -800 100 +A -800 175 25 901 -901 0 1 0 N -800 200 -800 150 +A -800 325 25 901 -901 0 1 0 N -800 350 -800 300 +A -800 375 25 901 -901 0 1 0 N -800 400 -800 350 +A -800 425 25 901 -901 0 1 0 N -800 450 -800 400 +A -800 475 25 901 -901 0 1 0 N -800 500 -800 450 +A -560 50 25 1 1799 0 1 0 N -535 50 -585 50 +A -560 175 25 -1799 -1 0 1 0 N -585 175 -535 175 +A -560 350 25 1 1799 0 1 0 N -535 350 -585 350 +A -560 475 25 -1799 -1 0 1 0 N -585 475 -535 475 +A -510 50 25 1 1799 0 1 0 N -485 50 -535 50 +A -510 175 25 -1799 -1 0 1 0 N -535 175 -485 175 +A -510 350 25 1 1799 0 1 0 N -485 350 -535 350 +A -510 475 25 -1799 -1 0 1 0 N -535 475 -485 475 +S -1025 -400 375 650 0 1 0 N +P 2 0 1 0 -485 50 -325 50 N +P 2 0 1 0 -485 175 -325 175 N +P 2 0 1 0 -485 350 -325 350 N +P 2 0 1 0 -485 475 -325 475 N +P 3 0 1 0 -900 0 -1025 0 -925 0 N +P 3 0 1 0 -900 100 -925 100 -925 100 N +P 3 0 1 0 -900 200 -1025 200 -925 200 N +P 3 0 1 0 -900 300 -1025 300 -925 300 N +P 3 0 1 0 -900 400 -1025 400 -925 400 N +P 3 0 1 0 -175 -50 -175 -100 -175 -100 N +P 3 0 1 0 -125 -100 -125 -50 -125 -50 N +P 3 0 1 0 -75 -100 -75 -50 -75 -50 N +P 3 0 1 0 -25 -100 -25 -50 -25 -50 N +P 3 0 1 0 25 -100 25 -50 25 -50 N +P 3 0 1 0 75 -100 75 -50 75 -50 N +P 3 0 1 0 125 -50 125 -100 125 -100 N +P 3 0 1 0 175 -50 175 -100 175 -100 N +P 4 0 1 0 -900 500 -1025 500 -925 500 -925 500 N +P 4 0 1 0 -585 50 -585 25 -665 25 -635 25 N +P 4 0 1 0 -585 350 -585 325 -675 325 -635 325 N +P 4 0 1 0 -485 100 -585 100 -585 100 -585 100 N +P 4 0 1 0 -485 125 -585 125 -585 125 -585 125 N +P 4 0 1 0 -485 400 -585 400 -585 400 -585 400 N +P 4 0 1 0 -485 425 -585 425 -585 425 -585 425 N +P 6 0 1 0 -800 200 -585 200 -585 175 -585 175 -585 175 -585 175 N +P 6 0 1 0 -800 500 -585 500 -585 475 -585 475 -585 475 -585 475 N +P 14 0 1 0 -225 -100 225 -100 225 300 125 300 125 350 75 350 75 400 -75 400 -75 350 -125 350 -125 300 -225 300 -225 -100 -225 -100 N +X TD+ 1 -1200 500 175 R 30 20 1 1 P +X TD- 2 -1200 300 175 R 30 20 1 1 P +X TCT/RCT 3 -1200 400 175 R 30 20 1 1 P +X NC 4 -550 -550 200 U 50 50 1 1 N N +X NC 5 -500 -550 200 U 50 50 1 1 N N +X NC 6 -450 -550 200 U 50 50 1 1 N N +X RD+ 7 -1200 200 175 R 30 20 1 1 P +X RD- 8 -1200 0 175 R 30 20 1 1 P +X LED_R- 9 250 -550 175 U 30 20 1 1 P +X LED_R+ 10 150 -550 175 U 30 20 1 1 P +X LED_L- 11 -150 -550 175 U 30 20 1 1 P +X LED_L+ 12 -250 -550 175 U 30 20 1 1 P +X SHIELD 13 -1200 -250 175 R 30 20 1 1 P +ENDDRAW +ENDDEF +# +# RJ45_NO_SHIELD +# +DEF RJ45_NO_SHIELD J 0 40 Y Y 1 F N +F0 "J" -350 500 50 H V C CNN +F1 "RJ45_NO_SHIELD" 100 500 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -400 -300 400 450 0 1 0 N +P 3 0 1 0 -175 200 -175 250 -175 250 N +P 3 0 1 0 -125 250 -125 200 -125 200 N +P 3 0 1 0 -75 250 -75 200 -75 200 N +P 3 0 1 0 -25 250 -25 200 -25 200 N +P 3 0 1 0 25 250 25 200 25 200 N +P 3 0 1 0 75 250 75 200 75 200 N +P 3 0 1 0 125 200 125 250 125 250 N +P 3 0 1 0 175 200 175 250 175 250 N +P 14 0 1 0 -225 250 225 250 225 -150 125 -150 125 -200 75 -200 75 -250 -75 -250 -75 -200 -125 -200 -125 -150 -225 -150 -225 250 -225 250 N +X ~ 1 -350 -450 150 U 50 50 1 1 P +X ~ 2 -250 -450 150 U 50 50 1 1 P +X ~ 3 -150 -450 150 U 50 50 1 1 P +X ~ 4 -50 -450 150 U 50 50 1 1 P +X ~ 5 50 -450 150 U 50 50 1 1 P +X ~ 6 150 -450 150 U 50 50 1 1 P +X ~ 7 250 -450 150 U 50 30 1 1 P +X ~ 8 350 -450 150 U 50 30 1 1 P +ENDDRAW +ENDDEF +# +# RJ45_W_SHIELD +# +DEF RJ45_W_SHIELD J 0 40 Y Y 1 F N +F0 "J" -365 500 50 H V C CNN +F1 "RJ45_W_SHIELD" 115 500 50 H V C CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -400 -300 400 450 0 1 0 N +P 3 0 1 0 -175 200 -175 250 -175 250 N +P 3 0 1 0 -125 250 -125 200 -125 200 N +P 3 0 1 0 -75 250 -75 200 -75 200 N +P 3 0 1 0 -25 250 -25 200 -25 200 N +P 3 0 1 0 25 250 25 200 25 200 N +P 3 0 1 0 75 250 75 200 75 200 N +P 3 0 1 0 125 200 125 250 125 250 N +P 3 0 1 0 175 200 175 250 175 250 N +P 14 0 1 0 -225 250 225 250 225 -150 125 -150 125 -200 75 -200 75 -250 -75 -250 -75 -200 -125 -200 -125 -150 -225 -150 -225 250 -225 250 N +X ~ 1 -350 -450 150 U 50 50 1 1 P +X ~ 2 -250 -450 150 U 50 50 1 1 P +X ~ 3 -150 -450 150 U 50 50 1 1 P +X ~ 4 -50 -450 150 U 50 50 1 1 P +X ~ 5 50 -450 150 U 50 50 1 1 P +X ~ 6 150 -450 150 U 50 50 1 1 P +X ~ 7 250 -450 150 U 50 30 1 1 P +X ~ 8 350 -450 150 U 50 30 1 1 P +X SHIELD SH 550 350 150 L 50 30 1 1 P +ENDDRAW +ENDDEF +# +# SN74LVC1G11 +# +DEF SN74LVC1G11 U 0 40 Y Y 1 F N +F0 "U" -150 250 60 H V C CNN +F1 "SN74LVC1G11" 0 0 60 V V C CNN +F2 "" 0 0 60 V V C CNN +F3 "" 0 0 60 V V C CNN +DRAW +S -250 200 250 -200 0 1 0 N +X A 1 -450 100 200 R 50 50 1 1 I +X GND 2 -450 0 200 R 50 50 1 1 I +X B 3 -450 -100 200 R 50 50 1 1 I +X Y 4 450 -100 200 L 50 50 1 1 O +X VCC 5 450 0 200 L 50 50 1 1 I +X C 6 450 100 200 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +# SO8_FLASH +# +DEF SO8_FLASH U 0 40 Y Y 1 F N +F0 "U" -300 250 50 H V L CNN +F1 "SO8_FLASH" 50 250 50 H V L CNN +F2 "" -350 -50 50 H V C CNN +F3 "" -350 -50 50 H V C CNN +ALIAS AT25_EEPROM BR25S_EEPROM CAT250_EEPROM +DRAW +S -300 200 300 -200 0 1 10 N +X ~CS 1 -400 -100 100 R 50 50 1 1 I +X MISO 2 400 -100 100 L 50 50 1 1 I +X ~WP 3 -400 100 100 R 50 50 1 1 I +X GND 4 0 -300 100 U 50 50 1 1 W +X MOSI 5 400 0 100 L 50 50 1 1 I +X SCK 6 400 100 100 L 50 50 1 1 I +X ~HOLD 7 -400 0 100 R 50 50 1 1 I +X Vcc 8 0 300 100 D 50 50 1 1 W +ENDDRAW +ENDDEF +# +# SPB05A-05 +# +DEF SPB05A-05 U 0 40 Y Y 1 F N +F0 "U" -300 300 50 H V L CNN +F1 "SPB05A-05" -300 -450 50 H V L CNN +F2 "" 0 0 50 H V C CNN +F3 "" 0 0 50 H V C CNN +DRAW +S -300 250 300 -400 0 1 0 N +X IN+ 2 -600 150 300 R 50 50 0 1 P +X VIN- 1 -600 -150 300 R 50 50 1 1 P +X VOUT+ 6 600 150 300 L 50 50 1 1 P +X VOUT- 7 600 -150 300 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# SRV05-4 +# +DEF SRV05-4 D 0 40 Y Y 1 F N +F0 "D" -400 100 60 H V L CNN +F1 "SRV05-4" 400 100 60 H V R CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +S -400 50 400 -350 0 1 0 N +X ESD1 1 -600 0 200 R 50 50 1 1 P +X GND 2 600 -300 200 L 50 50 1 1 W +X ESD2 3 -600 -100 200 R 50 50 1 1 P +X ESD3 4 -600 -200 200 R 50 50 1 1 P +X VCC 5 600 0 200 L 50 50 1 1 P +X ESD4 6 -600 -300 200 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# STM32F103_LQFP64 +# +DEF STM32F103_LQFP64 U 0 40 Y Y 1 F N +F0 "U" -2700 1925 50 H V L BNN +F1 "STM32F103_LQFP64" 2700 1925 50 H V R BNN +F2 "LQFP64" 2700 1875 50 H I R TNN +F3 "" 0 0 50 H I C CNN +DRAW +S -2700 -1900 2700 1900 0 1 10 N +X VBAT 1 -2800 1200 100 R 50 50 1 1 W +X PC13/TAMPER-RTC 2 -2800 -1400 100 R 50 50 1 1 B +X PC14/OSC32_IN 3 -2800 -1500 100 R 50 50 1 1 B +X PC15/OSC32_OUT 4 -2800 -1600 100 R 50 50 1 1 B +X OSC_IN/CANRX 5 -2800 300 100 R 50 50 1 1 I +X OSC_OUT/CANTX 6 -2800 200 100 R 50 50 1 1 I +X NRST 7 -2800 1600 100 R 50 50 1 1 I +X PC0/ADC12_IN10 8 -2800 -100 100 R 50 50 1 1 B +X PC1/ADC12_IN11 9 -2800 -200 100 R 50 50 1 1 B +X PC2/ADC12_IN12 10 -2800 -300 100 R 50 50 1 1 B +X SPI1_NSS/USART2_CK/ADC12_IN4/PA4 20 2800 1200 100 L 50 50 1 1 B +X I2C2_SDA/USART3_RX/TIM2_CH4/PB11 30 2800 -1200 100 L 50 50 1 1 B +X PC9/TIM3_CH4 40 -2800 -1000 100 R 50 50 1 1 B +X JTDI/TIM2_CH1_ETR/SPI1_NSS/PA15 50 2800 100 100 L 50 50 1 1 B +X BOOT0 60 -2800 1400 100 R 50 50 1 1 I +X PC3/ADC12_IN13 11 -2800 -400 100 R 50 50 1 1 B +X SPI1_SCK/ADC12_IN5/PA5 21 2800 1100 100 L 50 50 1 1 B +X VSS 31 -100 -2000 100 U 50 50 1 1 W +X USART1_CK/TIM1_CH1/MCO/PA8 41 2800 800 100 L 50 50 1 1 B +X PC10/USART3_TX 51 -2800 -1100 100 R 50 50 1 1 B +X TIM4_CH3/I2C1_SCL/CANRX/PB8 61 2800 -900 100 L 50 50 1 1 B +X VSSA 12 200 -2000 100 U 50 50 1 1 W +X SPI1_MISO/ADC12_IN6/TIM3_CH1/TIM1_BKIN/PA6 22 2800 1000 100 L 50 50 1 1 B +X VDD 32 -100 2000 100 D 50 50 1 1 W +X USART1_TX/TIM1_CH2/PA9 42 2800 700 100 L 50 50 1 1 B +X PC11/USART3_RX 52 -2800 -1200 100 R 50 50 1 1 B +X TIM4_CH4/I2C1_SDA/CANTX/PB9 62 2800 -1000 100 L 50 50 1 1 B +X VDDA 13 200 2000 100 D 50 50 1 1 W +X SPI1_MOSI/ADC12_IN7/TIM3_CH2/TIM8_CH1N/PA7 23 2800 900 100 L 50 50 1 1 B +X SPI2_NSS/I2C2_SMBA/USART3_CK/TIM1_BKIN/PB12 33 2800 -1300 100 L 50 50 1 1 B +X USART1_RX/TIM1_CH3/PA10 43 2800 600 100 L 50 50 1 1 B +X PC12/USART3_CK 53 -2800 -1300 100 R 50 50 1 1 B +X VSS 63 100 -2000 100 U 50 50 1 1 W +X WKUP/USART2_CTS/ADC12_IN0/TIM2_CH1_ETR/PA0 14 2800 1600 100 L 50 50 1 1 B +X PC4/ADC12_IN14 24 -2800 -500 100 R 50 50 1 1 B +X SPI2_SCK/USART3_CTS/TIM1_CH1N/PB13 34 2800 -1400 100 L 50 50 1 1 B +X USART1_CTS/CANRX/USB_DM/TIM1_CH4/PA11 44 2800 500 100 L 50 50 1 1 B +X PD2/TIM3_ETR 54 -2800 100 100 R 50 50 1 1 B +X VDD 64 100 2000 100 D 50 50 1 1 W +X USART2_RTS/ADC12_IN1/TIM2_CH2/PA1 15 2800 1500 100 L 50 50 1 1 B +X PC5/ADC12_IN15 25 -2800 -600 100 R 50 50 1 1 B +X SPI2_MISO/USART3_RTS/TIM1_CH2N/PB14 35 2800 -1500 100 L 50 50 1 1 B +X USART1_RTS/CANTX/USB_DP/TIM1_ETR/PA12 45 2800 400 100 L 50 50 1 1 B +X JTDO/TIM2_CH2/TRACESWO/SPI1_SCK/PB3 55 2800 -400 100 L 50 50 1 1 B +X USART2_TX/ADC12_IN2/TIM2_CH3/PA2 16 2800 1400 100 L 50 50 1 1 B +X ADC12_IN8/TIM3_CH3/TIM1_CH2N/PB0 26 2800 -100 100 L 50 50 1 1 B +X SPI2_MOSI/TIM1_CH3N/PB15 36 2800 -1600 100 L 50 50 1 1 B +X JTMS/SWDIO/PA13 46 2800 300 100 L 50 50 1 1 B +X NJTRST/TIM3_CH1/SPI1_MISO/PB4 56 2800 -500 100 L 50 50 1 1 B +X USART2_RX/ADC12_IN3/TIM2_CH4/PA3 17 2800 1300 100 L 50 50 1 1 B +X ADC12_IN9/TIM3_CH4/TIM1_CH3N/PB1 27 2800 -200 100 L 50 50 1 1 B +X PC6/TIM3_CH1 37 -2800 -700 100 R 50 50 1 1 B +X VSS 47 0 -2000 100 U 50 50 1 1 W +X I2C1_SMBAI/TIM3_CH2/SPI1_MOSI/PB5 57 2800 -600 100 L 50 50 1 1 B +X VSS 18 -200 -2000 100 U 50 50 1 1 W +X BOOT1/PB2 28 2800 -300 100 L 50 50 1 1 B +X PC7/TIM3_CH2 38 -2800 -800 100 R 50 50 1 1 B +X VDD 48 0 2000 100 D 50 50 1 1 W +X I2C1_SCL/TIM4_CH1/USART1_TX/PB6 58 2800 -700 100 L 50 50 1 1 B +X VDD 19 -200 2000 100 D 50 50 1 1 W +X I2C2_SCL/USART3_TX/TIM2_CH3/PB10 29 2800 -1100 100 L 50 50 1 1 B +X PC8/TIM3_CH3 39 -2800 -900 100 R 50 50 1 1 B +X JTCK/SWCLK/PA14 49 2800 200 100 L 50 50 1 1 B +X I2C1_SDA/TIM4_CH2/USART1_RX/PB7 59 2800 -800 100 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# STM8S003F3 +# +DEF STM8S003F3 U 0 40 Y Y 1 F N +F0 "U" 10 610 60 H V C CNN +F1 "STM8S003F3" 0 0 60 V V C CNN +F2 "" 10 610 60 H V C CNN +F3 "" 10 610 60 H V C CNN +DRAW +S -690 550 700 -540 0 1 0 N +X PD4 1 -890 450 200 R 50 50 1 1 B +X PD5 2 -890 350 200 R 50 50 1 1 B +X PD6 3 -890 250 200 R 50 50 1 1 B +X NRST 4 -890 150 200 R 50 50 1 1 I +X PA1 5 -890 50 200 R 50 50 1 1 B +X PA2 6 -890 -50 200 R 50 50 1 1 W +X VSS 7 -890 -150 200 R 50 50 1 1 W +X VCAP 8 -890 -250 200 R 50 50 1 1 W +X VDD 9 -890 -350 200 R 50 50 1 1 W +X PA3 10 -890 -450 200 R 50 50 1 1 B +X PD3 20 900 -450 200 L 50 50 1 1 B +X PB5 11 900 450 200 L 50 50 1 1 B +X PB4 12 900 350 200 L 50 50 1 1 B +X PC3 13 900 250 200 L 50 50 1 1 B +X PC4 14 900 150 200 L 50 50 1 1 B +X PC5 15 900 50 200 L 50 50 1 1 B +X PC6 16 900 -50 200 L 50 50 1 1 B +X PC7 17 900 -150 200 L 50 50 1 1 B +X PD1 18 900 -250 200 L 50 50 1 1 B +X PD2 19 900 -350 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# STM8S003F3P +# +DEF STM8S003F3P IC 0 40 Y Y 1 F N +F0 "IC" -1150 800 50 H V L CNN +F1 "STM8S003F3P" -1150 700 50 H V L CNN +F2 "TSSOP-20" -1150 -800 50 H V L CIN +F3 "" -50 -400 50 H V C CNN +$FPLIST + TSSOP* +$ENDFPLIST +DRAW +S -1150 650 1150 -750 0 1 10 N +X PD4/TIM2_CH1/BEEP/UART1_CK 1 -1300 -100 150 R 50 50 1 1 B +X PD5/AIN5/UART1_TX 2 -1300 -200 150 R 50 50 1 1 B +X PD6/AIN6/UART1_RX 3 -1300 -300 150 R 50 50 1 1 B +X NRST 4 -1300 500 150 R 50 50 1 1 I +X OSCIN/PA1 5 1300 500 150 L 50 50 1 1 B +X OSCOUT/PA2 6 1300 400 150 L 50 50 1 1 B +X VSS 7 0 -900 150 U 50 50 1 1 w +X Vcap 8 -1300 -600 150 R 50 50 1 1 P +X VDD 9 0 800 150 D 50 50 1 1 W +X [SPI_NSS]TIM2_CH3/PA3 10 1300 300 150 L 50 50 1 1 B +X PD3/AIN4/TIM2_CH2 20 -1300 0 150 R 50 50 1 1 B +X [TIM1_BKIN]I2C_SDA/PB5 11 1300 0 150 L 50 50 1 1 B +X [ADC_ETR]I2C_SCL/PB4 12 1300 100 150 L 50 50 1 1 B +X [TIM1_CH1N]TIM1_CH3/PC3 13 1300 -200 150 L 50 50 1 1 B +X [TIM1_CH2N]AIN2/TIM1_CH4/PC4 14 1300 -300 150 L 50 50 1 1 B +X [TIM2_CH1]SPI_SCK/PC5 15 1300 -400 150 L 50 50 1 1 B +X [TIM1_CH1]SPI_MOSI/PC6 16 1300 -500 150 L 50 50 1 1 B +X [TIM1_CH2]SPI_MISO/PC7 17 1300 -600 150 L 50 50 1 1 B +X PD1/SWIM 18 -1300 200 150 R 50 50 1 1 B +X PD2/AIN3[TIM2_CH3] 19 -1300 100 150 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# SW_PBTN_2PIN +# +DEF SW_PBTN_2PIN S 0 40 N N 1 F N +F0 "S" 80 110 50 H V C CNN +F1 "SW_PBTN_2PIN" 360 -60 50 H V C CNN +F2 "" 0 200 50 H V C CNN +F3 "" 0 200 50 H V C CNN +DRAW +C -90 0 10 0 1 0 N +C 90 0 10 0 1 0 N +P 2 0 1 0 -110 30 110 30 N +P 4 0 1 0 -110 30 -110 40 110 40 110 30 N +P 4 0 1 0 -50 40 -40 60 40 60 50 40 N +X 1 1 -150 0 50 R 50 50 0 1 P +X 2 2 150 0 50 L 50 50 0 1 P +ENDDRAW +ENDDEF +# +# SW_PBTN_2PIN_V +# +DEF SW_PBTN_2PIN_V S 0 40 N N 1 F N +F0 "S" 80 110 50 H V C CNN +F1 "SW_PBTN_2PIN_V" 360 -60 50 H V C CNN +F2 "" 0 200 50 H V C CNN +F3 "" 0 200 50 H V C CNN +DRAW +C -90 0 10 0 1 0 N +C 90 0 10 0 1 0 N +P 2 0 1 0 -110 30 110 30 N +P 4 0 1 0 -110 30 -110 40 110 40 110 30 N +P 4 0 1 0 -50 40 -40 60 40 60 50 40 N +X 1 1 -150 0 50 R 50 50 0 1 P +X 2 2 150 0 50 L 50 50 0 1 P +X GND 3 -150 -100 70 R 40 40 1 1 P +X GND 4 150 -100 70 L 40 40 1 1 P +ENDDRAW +ENDDEF +# +# SW_PBTN_4PIN +# +DEF SW_PBTN_4PIN S 0 40 N N 1 F N +F0 "S" 80 110 50 H V C CNN +F1 "SW_PBTN_4PIN" 360 -60 50 H V C CNN +F2 "" 0 200 50 H V C CNN +F3 "" 0 200 50 H V C CNN +DRAW +C -90 0 10 0 1 0 N +C 90 0 10 0 1 0 N +P 2 0 1 0 -110 30 110 30 N +P 4 0 1 0 -110 30 -110 40 110 40 110 30 N +P 4 0 1 0 -50 40 -40 60 40 60 50 40 N +X 1 1 -150 0 50 R 50 50 0 1 P +X 2 2 -150 0 50 R 50 50 0 1 P N +X 3 3 150 0 50 L 50 50 0 1 P +X 4 4 150 0 50 L 50 50 0 1 P N +ENDDRAW +ENDDEF +# +# Si5351A-B-GM +# +DEF Si5351A-B-GM OSC 0 40 Y Y 1 F N +F0 "OSC" 0 400 60 H V C CNN +F1 "Si5351A-B-GM" 0 0 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +S -400 300 400 -850 0 1 0 N +X XA 1 -600 250 200 R 50 50 1 1 I +X XB 2 -600 150 200 R 50 50 1 1 I +X A0 3 -600 -100 200 R 50 50 1 1 I +X SCL 4 -600 -200 197 R 50 50 1 1 I +X SDA 5 -600 -300 197 R 50 50 1 1 I +X SSEN 6 -600 -400 200 R 50 50 1 1 O +X OEB 7 -600 -500 200 R 50 50 1 1 O +X CLK3 8 -600 -600 200 R 50 50 1 1 O +X CLK2 9 -600 -700 200 R 50 50 1 1 O +X VDDOB 10 -600 -800 200 R 50 50 1 1 I +X VDD 20 600 -800 200 L 50 50 1 1 O +X VDDOA 11 600 250 200 L 50 50 1 1 O +X CLK1 12 600 150 200 L 50 50 1 1 O +X CLK0 13 600 -100 200 L 50 50 1 1 O +X VDDOD 14 600 -200 200 L 50 50 1 1 O +X CLK7 15 600 -300 200 L 50 50 1 1 O +X CLK6 16 600 -400 200 L 50 50 1 1 O +X CLK5 17 600 -500 200 L 50 50 1 1 O +X VDDOC 18 600 -600 200 L 50 50 1 1 O +X CLK4 19 600 -700 200 L 50 50 1 1 O +X GND GP 0 -1050 200 U 50 50 1 1 O +ENDDRAW +ENDDEF +# +# Si5351A-B-GT +# +DEF Si5351A-B-GT U 0 40 Y Y 1 F N +F0 "U" 0 400 60 H V C CNN +F1 "Si5351A-B-GT" 0 0 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +S -400 300 400 -350 0 1 0 N +X VDD 1 -600 250 200 R 50 50 1 1 I +X XA 2 -600 150 200 R 50 50 1 1 I +X XB 3 -600 -100 200 R 50 50 1 1 I +X SCL 4 -600 -200 197 R 50 50 1 1 I +X SDA 5 -600 -300 197 R 50 50 1 1 I +X CLK2 6 600 -300 200 L 50 50 1 1 O +X VDD0 7 600 -200 200 L 50 50 1 1 O +X GND 8 600 -100 200 L 50 50 1 1 O +X CLK1 9 600 150 200 L 50 50 1 1 O +X CLK0 10 600 250 200 L 50 50 1 1 O +ENDDRAW +ENDDEF +# +# Si5351C-B-GM +# +DEF Si5351C-B-GM Y 0 40 Y Y 1 F N +F0 "Y" 0 400 60 H V C CNN +F1 "Si5351C-B-GM" 0 0 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +S -400 300 400 -850 0 1 0 N +X XA 1 -600 250 200 R 50 50 1 1 I +X XB 2 -600 150 200 R 50 50 1 1 I +X INTR 3 -600 -100 200 R 50 50 1 1 O +X SCL 4 -600 -200 197 R 50 50 1 1 B +X SDA 5 -600 -300 197 R 50 50 1 1 B +X CLKIN 6 -600 -400 200 R 50 50 1 1 I +X OEB 7 -600 -500 200 R 50 50 1 1 I +X CLK3 8 -600 -600 200 R 50 50 1 1 O +X CLK2 9 -600 -700 200 R 50 50 1 1 O +X VDDOB 10 -600 -800 200 R 50 50 1 1 W +X VDD 20 600 -800 200 L 50 50 1 1 W +X VDDOA 11 600 250 200 L 50 50 1 1 W +X GND 21 0 -1050 200 U 50 50 1 1 W +X CLK1 12 600 150 200 L 50 50 1 1 O +X CLK0 13 600 -100 200 L 50 50 1 1 O +X VDDOD 14 600 -200 200 L 50 50 1 1 W +X CLK7 15 600 -300 200 L 50 50 1 1 O +X CLK6 16 600 -400 200 L 50 50 1 1 O +X CLK5 17 600 -500 200 L 50 50 1 1 O +X VDDOC 18 600 -600 200 L 50 50 1 1 W +X CLK4 19 600 -700 200 L 50 50 1 1 O +ENDDRAW +ENDDEF +# +# TB67S109AFTG(QFN48) +# +DEF TB67S109AFTG(QFN48) U 0 40 Y Y 1 F N +F0 "U" 0 1000 60 H V C CNN +F1 "TB67S109AFTG(QFN48)" 0 -350 60 H V C CNN +F2 "" 0 1000 60 H V C CNN +F3 "" 0 1000 60 H V C CNN +$FPLIST + SOP34 + SOP-34 +$ENDFPLIST +DRAW +S -350 -300 350 950 0 1 0 N +S 250 -900 250 -900 0 1 0 N +X CLK 2 550 550 200 L 50 50 1 1 I +X ENABLE 3 550 650 200 L 50 50 1 1 I +X RESET 4 550 750 200 L 50 50 1 1 I +X GND 5 -550 900 200 R 50 50 1 1 I +X RSA 7 -550 550 200 R 50 50 1 1 I +X RSA 8 -550 550 200 R 50 50 1 1 I N +X OUTA+ 10 -550 450 200 R 50 50 1 1 O +X OUTB- 20 -550 250 200 R 50 50 1 1 O +X RSB 30 -550 50 200 R 50 50 1 1 O N +X GND 40 -550 900 200 R 50 50 1 1 I N +X OUTA+ 11 -550 450 200 R 50 50 1 1 O N +X OUTB- 21 -550 250 200 R 50 50 1 1 O N +X VREFB 41 550 300 200 L 50 50 1 1 I +X GND 22 -550 900 200 R 50 50 1 1 I N +X VM 32 -550 800 200 R 50 50 1 1 I +X VREFA 42 550 200 200 L 50 50 1 1 I +X OSCM 43 550 900 200 L 50 50 1 1 I +X VCC 34 -550 700 200 R 50 50 1 1 O +X CW/CCW 44 550 450 200 L 50 50 1 1 I +X GND 15 -550 900 200 R 50 50 1 1 I N +X MO 45 -550 -100 200 R 50 50 1 1 O +X OUTA- 16 -550 350 200 R 50 50 1 1 O +X OUTB+ 26 -550 150 200 R 50 50 1 1 O +X DMODE1 46 550 -50 200 L 50 50 1 1 I +X OUTA- 17 -550 350 200 R 50 50 1 1 O N +X OUTB+ 27 -550 150 200 R 50 50 1 1 O N +X DMODE2 47 550 -150 200 L 50 50 1 1 I +X GND 18 -550 900 200 R 50 50 1 1 I N +X LO 38 -550 -200 200 R 50 50 1 1 O +X GND 19 -550 900 200 R 50 50 1 1 I N +X RSB 29 -550 50 200 R 50 50 1 1 O +X DMODE0 39 550 50 200 L 50 50 1 1 I +X HE HE -550 900 200 R 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# TCMT110 +# +DEF TCMT110 Q 0 1 Y Y 1 F N +F0 "Q" -150 400 60 H V C CNN +F1 "TCMT110" 125 400 60 H V C CNN +F2 "" 0 -75 60 H I C CNN +F3 "" 0 -75 60 H I C CNN +DRAW +S -150 250 -125 251 0 1 0 N +S -125 0 -149 0 0 1 0 N +S 125 0 150 0 0 1 0 N +S 150 250 125 249 0 1 0 N +S 150 325 -150 -50 0 1 0 N +P 2 0 1 0 -125 50 -125 0 N +P 2 0 1 0 -125 251 -125 225 N +P 2 0 1 0 -80 130 -50 130 N +P 2 0 1 10 55 200 55 75 N +P 3 0 1 0 55 95 125 75 125 0 N +P 3 0 1 0 125 250 125 205 55 180 N +P 4 0 1 0 -125 225 -65 225 -65 50 -125 50 N +P 4 0 1 0 -80 155 -65 130 -50 155 -80 155 F +P 4 0 1 0 75 75 85 100 110 80 75 75 F +P 5 0 1 0 -40 120 -5 120 -25 130 -25 110 -5 120 F +P 5 0 1 0 -40 160 -5 160 -25 170 -25 150 -5 160 F +X ~ 1 -250 250 100 R 50 50 1 1 I +X ~ 2 -250 0 100 R 50 50 1 1 O +X ~ 3 250 0 100 L 50 50 1 1 W +X ~ 4 250 250 100 L 50 50 1 1 w +ENDDRAW +ENDDEF +# +# TL431ACDBVR +# +DEF TL431ACDBVR D 0 40 Y N 1 F N +F0 "D" -100 150 50 H V C CNN +F1 "TL431ACDBVR" 300 150 50 H V C CNN +F2 "SOT-23-5" 0 -250 50 H V C CIN +F3 "" 0 0 50 H V C CNN +$FPLIST + SOT* +$ENDFPLIST +DRAW +T 0 -10 -70 39 0 0 0 Sub Normal 0 C C +S -80 80 80 -140 0 0 0 N +P 2 0 0 0 0 50 0 0 N +P 3 0 1 0 -50 0 0 0 50 0 N +P 3 0 1 0 -30 30 30 0 -30 -30 F +P 4 0 1 10 30 -31 30 -30 30 30 30 30 N +X NC 1 150 -100 110 L 40 40 1 1 N N +X Substrate 2 -150 -100 98 R 39 39 1 1 I +X K 3 150 0 98 L 39 39 1 1 P +X REF 4 0 150 98 D 40 40 1 1 P +X A 5 -150 0 98 R 40 40 1 1 P +ENDDRAW +ENDDEF +# +# TLC274 +# +DEF TLC274 U 0 20 Y Y 5 L N +F0 "U" 0 200 50 H V L CNN +F1 "TLC274" 0 -200 50 H V L CNN +F2 "" -50 100 50 H V C CNN +F3 "" 50 200 50 H V C CNN +DRAW +P 4 0 1 6 -200 200 200 0 -200 -200 -200 200 N +X ~ 1 300 0 100 L 50 50 1 1 O +X - 2 -300 -100 100 R 50 50 1 1 I +X + 3 -300 100 100 R 50 50 1 1 I +X + 5 -300 100 100 R 50 50 2 1 I +X - 6 -300 -100 100 R 50 50 2 1 I +X ~ 7 300 0 100 L 50 50 2 1 O +X ~ 8 300 0 100 L 50 50 3 1 O +X - 9 -300 -100 100 R 50 50 3 1 I +X + 10 -300 100 100 R 50 50 3 1 I +X + 12 -300 100 100 R 50 50 4 1 I +X - 13 -300 -100 100 R 50 50 4 1 I +X ~ 14 300 0 100 L 50 50 4 1 O +X V+ 4 -100 300 150 D 50 50 5 1 W +X V- 11 -100 -300 150 U 50 50 5 1 W +ENDDRAW +ENDDEF +# +# TLV271 +# +DEF TLV271 U 0 20 Y Y 1 F N +F0 "U" 0 250 50 H V L CNN +F1 "TLV271" 0 150 50 H V L CNN +F2 "" 50 200 50 H V C CNN +F3 "" 0 250 50 H V C CNN +DRAW +P 4 0 1 6 -200 200 200 0 -200 -200 -200 200 N +X ~ 1 300 0 100 L 50 50 1 1 O +X V- 2 -100 -300 150 U 50 50 1 1 P +X + 3 -300 100 100 R 50 50 1 1 I +X - 4 -300 -100 100 R 50 50 1 1 I +X V+ 5 -100 300 150 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# TM4C1294KCPDT +# +DEF TM4C1294KCPDT U 0 40 Y Y 1 F N +F0 "U" -1900 2250 60 H V L CNN +F1 "TM4C1294KCPDT" -375 2250 60 H V R CNN +F2 "" 0 -100 60 H V C CNN +F3 "" 0 -100 60 H V C CNN +DRAW +S -1900 2200 -350 -6075 0 1 0 N +X T0CCP0/PD0 1 -150 1200 200 L 50 50 1 1 B +X T0CCP1/PD1 2 -150 1100 200 L 50 50 1 1 B +X T1CCP0/PD2 3 -150 1000 200 L 50 50 1 1 B +X T1CCP1/PD3 4 -150 900 200 L 50 50 1 1 B +X PQ0 5 -150 -2800 200 L 50 50 1 1 B +X PQ1 6 -150 -2900 200 L 50 50 1 1 B +X VDD1 7 -150 -4300 200 L 50 50 1 1 W +X VDDA 8 -150 -4000 200 L 50 50 1 1 P +X VREFA+ 9 -150 -4100 200 L 50 50 1 1 P +X GNDA 10 -2100 -4900 200 R 50 50 1 1 P +X PK2 20 -2100 -1200 200 R 50 50 1 1 B +X U0CTS/PH1 30 -150 -500 200 L 50 50 1 1 B +X PA6/T3CCP0 40 -2100 1500 200 R 50 50 1 1 B +X PG1/M0PWM5 50 -2100 -500 200 R 50 50 1 1 B +X PK7 60 -2100 -1700 200 R 50 50 1 1 B +X RESETN 70 -2100 -3800 200 R 50 50 1 1 I +X GND5 80 -2100 -5500 200 R 50 50 1 1 W +X VDD12 90 -150 -5300 200 L 50 50 1 1 W +X PQ2 11 -150 -3000 200 L 50 50 1 1 B +X PK3 21 -2100 -1300 200 R 50 50 1 1 B +X PH2 31 -150 -600 200 L 50 50 1 1 B +X PA7/T3CCP1 41 -2100 1400 200 R 50 50 1 1 B +X VDD8 51 -150 -4900 200 L 50 50 1 1 W +X PK6/EN0LED1 61 -2100 -1600 200 R 50 50 1 1 B +X PM7 71 -2100 -2600 200 R 50 50 1 1 B +X PL0 81 -150 -1000 200 L 50 50 1 1 B +X PB2 91 -150 1900 200 L 50 50 1 1 B +X PE3/AIN0 12 -2100 0 200 R 50 50 1 1 B +X PC7 22 -2100 500 200 R 50 50 1 1 B +X PH3 32 -150 -700 200 L 50 50 1 1 B +X M0PWM0/PF0 42 -150 300 200 L 50 50 1 1 B +X VDD9 52 -150 -5000 200 L 50 50 1 1 W +X PK5/EN0LED2 62 -2100 -1500 200 R 50 50 1 1 B +X PM6 72 -2100 -2500 200 R 50 50 1 1 B +X PL1 82 -150 -1100 200 L 50 50 1 1 B +X PB3 92 -150 1800 200 L 50 50 1 1 B +X PE2/AIN1 13 -2100 100 200 R 50 50 1 1 B +X PC6 23 -2100 600 200 R 50 50 1 1 B +X PA0/U0RX 33 -2100 2100 200 R 50 50 1 1 B +X M0PWM1/PF1 43 -150 200 200 L 50 50 1 1 B +X EN0RXIN 53 -2100 -4300 200 R 50 50 1 1 P +X PK4/EN0LED0 63 -2100 -1400 200 R 50 50 1 1 B +X PM5 73 -2100 -2400 200 R 50 50 1 1 B +X PL2 83 -150 -1200 200 L 50 50 1 1 B +X PL7 93 -150 -1700 200 L 50 50 1 1 B +X PE1/AIN2 14 -2100 200 200 R 50 50 1 1 B +X PC5 24 -2100 700 200 R 50 50 1 1 B +X PA1/U0TX 34 -2100 2000 200 R 50 50 1 1 B +X M0PWM2/PF2 44 -150 100 200 L 50 50 1 1 B +X EN0RXIP 54 -2100 -4400 200 R 50 50 1 1 P +X WAKEN 64 -150 -3600 200 L 50 50 1 1 I +X PM4 74 -2100 -2300 200 R 50 50 1 1 B +X PL3 84 -150 -1300 200 L 50 50 1 1 B +X PL6 94 -150 -1600 200 L 50 50 1 1 B +X PE0/AIN3 15 -2100 300 200 R 50 50 1 1 B +X PC4 25 -2100 800 200 R 50 50 1 1 B +X PA2 35 -2100 1900 200 R 50 50 1 1 B +X M0PWM3/PF3 45 -150 0 200 L 50 50 1 1 B +X GND3 55 -2100 -5300 200 R 50 50 1 1 W +X HIBN 65 -150 -3500 200 L 50 50 1 1 O +X PM3 75 -2100 -2200 200 R 50 50 1 1 B +X PL4 85 -150 -1400 200 L 50 50 1 1 B +X PB0 95 -150 2100 200 L 50 50 1 1 B +X VDD2 16 -150 -4400 200 L 50 50 1 1 W +X VDD3 26 -150 -4500 200 L 50 50 1 1 W +X PA3 36 -2100 1800 200 R 50 50 1 1 B +X PF4 46 -150 -100 200 L 50 50 1 1 B +X EN0TXON 56 -2100 -4500 200 R 50 50 1 1 P +X XOSC0 66 -2100 -3500 200 R 50 50 1 1 B +X PM2 76 -2100 -2100 200 R 50 50 1 1 B +X PL5 86 -150 -1500 200 L 50 50 1 1 B +X PB1 96 -150 2000 200 L 50 50 1 1 B +X GND1 17 -2100 -5100 200 R 50 50 1 1 W +X PQ3 27 -150 -3100 200 L 50 50 1 1 B +X PA4/T2CCP0 37 -2100 1700 200 R 50 50 1 1 B +X VDD6 47 -150 -4800 200 L 50 50 1 1 W +X EN0TXOP 57 -2100 -4600 200 R 50 50 1 1 P +X XOSC1 67 -2100 -3600 200 R 50 50 1 1 B +X PM1 77 -2100 -2000 200 R 50 50 1 1 B +X VDDC1 87 -150 -5800 200 L 50 50 1 1 P +X PC3/TDO/SWO 97 -2100 900 200 R 50 50 1 1 B +X PK0 18 -2100 -1000 200 R 50 50 1 1 B +X VDD4 28 -150 -4600 200 L 50 50 1 1 W +X PA5/T2CCP1 38 -2100 1600 200 R 50 50 1 1 B +X GND2 48 -2100 -5200 200 R 50 50 1 1 W +X GND4 58 -2100 -5400 200 R 50 50 1 1 W +X VBAT 68 -150 -3800 200 L 50 50 1 1 P +X PM0 78 -2100 -1900 200 R 50 50 1 1 B +X OSC0 88 -2100 -4000 200 R 50 50 1 1 P +X PC2/TDI 98 -2100 1000 200 R 50 50 1 1 B +X PK1 19 -2100 -1100 200 R 50 50 1 1 B +X U0RTS/PH0 29 -150 -400 200 L 50 50 1 1 B +X VDD5 39 -150 -4700 200 L 50 50 1 1 W +X PG0/M0PWM4 49 -2100 -400 200 R 50 50 1 1 B +X RBIAS 59 -2100 -4700 200 R 50 50 1 1 P +X VDD10 69 -150 -5100 200 L 50 50 1 1 W +X VDD11 79 -150 -5200 200 L 50 50 1 1 W +X OSC1 89 -2100 -4100 200 R 50 50 1 1 P +X PC1/TMS/SWDIO 99 -2100 1100 200 R 50 50 1 1 B +X PC0/TCK/SWCLK 100 -2100 1200 200 R 50 50 1 1 B +X PN3 110 -150 -2200 200 L 50 50 1 1 B +X PB5 120 -150 1600 200 L 50 50 1 1 B +X VDD13 101 -150 -5400 200 L 50 50 1 1 W +X PN4 111 -150 -2300 200 L 50 50 1 1 B +X PB4 121 -150 1700 200 L 50 50 1 1 W +X PQ4 102 -150 -3200 200 L 50 50 1 1 B +X PN5 112 -150 -2400 200 L 50 50 1 1 B +X VDD15 122 -150 -5600 200 L 50 50 1 1 W +X PP2 103 -2100 -3000 200 R 50 50 1 1 B +X VDD14 113 -150 -5500 200 L 50 50 1 1 W +X PE4 123 -2100 -100 200 R 50 50 1 1 B +X PP3 104 -2100 -3100 200 R 50 50 1 1 B +X GND6 114 -2100 -5600 200 R 50 50 1 1 W +X PE5 124 -2100 -200 200 R 50 50 1 1 B +X PP4 105 -2100 -3200 200 R 50 50 1 1 B +X VDDC2 115 -150 -5900 200 L 50 50 1 1 P +X AIN7/PD4 125 -150 800 200 L 50 50 1 1 B +X PP5 106 -2100 -3300 200 R 50 50 1 1 B +X PJ0 116 -2100 -700 200 R 50 50 1 1 B +X AIN6/PD5 126 -150 700 200 L 50 50 1 1 B +X PN0 107 -150 -1900 200 L 50 50 1 1 B +X PJ1 117 -2100 -800 200 R 50 50 1 1 B +X AIN5/PD6 127 -150 600 200 L 50 50 1 1 B +X PN1 108 -150 -2000 200 L 50 50 1 1 B +X PP0 118 -2100 -2800 200 R 50 50 1 1 B +X AIN4/PD7 128 -150 500 200 L 50 50 1 1 B +X PN2 109 -150 -2100 200 L 50 50 1 1 B +X PP1 119 -2100 -2900 200 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# TMC2660 +# +DEF TMC2660 U 0 40 Y Y 1 F N +F0 "U" -350 750 60 H V C CNN +F1 "TMC2660" 0 0 60 V V C CNN +F2 "" 0 700 60 H V C CNN +F3 "" 0 700 60 H V C CNN +DRAW +X NC 1 -200 -1350 200 U 50 50 1 0 P +X OA1 2 -650 550 200 R 50 50 1 0 O +X OA1 3 -650 500 200 R 31 31 1 0 P +X VSA 4 50 850 200 D 50 50 1 0 W +X OA2 5 -650 250 200 R 50 50 1 0 O +X OA2 6 -650 200 200 R 31 31 1 0 P +X OA1 7 -650 450 200 R 31 31 1 0 P +X OA1 8 -650 400 200 R 31 31 1 0 P +X BRA 9 -650 -650 200 R 50 50 1 0 P +X OA2 10 -650 150 200 R 31 31 1 0 P +X NC 20 100 -1350 200 U 50 50 1 0 N N +X VSB 30 150 850 200 D 50 50 1 0 W +X VCC_IO 40 650 -600 200 L 50 50 1 0 W +X OA2 11 -650 100 200 R 31 31 1 0 P +X CLK 21 650 -350 200 L 50 50 1 0 I +X OB1 31 -650 -150 200 R 31 31 1 0 P +X DIR 41 650 0 200 L 50 50 1 0 I +X SRA 12 -650 -750 200 R 50 50 1 0 I +X SRB 22 -650 -1000 200 R 50 50 1 0 I +X OB1 32 -650 -200 200 R 31 31 1 0 P +X STEP 42 650 -100 200 L 50 50 1 0 I +X 5VOUT 13 650 -500 200 L 50 50 1 0 w +X OB2 23 -650 -350 200 R 50 50 1 0 O +X NC 33 -100 -1350 200 U 50 50 1 0 P +X TST_MODE 43 650 -1000 200 L 50 50 1 0 I +X SDO 14 650 500 200 L 50 50 1 0 B +X OB2 24 -650 -400 200 R 31 31 1 0 P +X NC 34 0 -1350 200 U 50 50 1 0 P +X GND 44 650 -850 200 L 31 35 1 0 W +X SDI 15 650 400 200 L 50 50 1 0 B +X BRB 25 -650 -900 200 R 50 50 1 0 P +X VHS 35 -150 850 200 D 50 50 1 0 P +X SCK 16 650 300 200 L 50 50 1 0 I +X OB1 26 -650 -50 200 R 50 50 1 0 O +X VS 36 -50 850 200 D 50 50 1 0 W +X GND 17 650 -750 200 L 50 50 1 0 W +X eOB1 27 -650 -100 200 R 31 31 1 0 P +X TST_ANA 37 200 -1350 200 U 50 50 1 0 N N +X CS 18 650 600 200 L 50 50 1 0 I +X OB2 28 -650 -450 200 R 31 31 1 0 P +X SG_TST 38 650 150 200 L 50 50 1 0 O +X ENN 19 650 -250 200 L 50 50 1 0 I +X OB2 29 -650 -500 200 R 31 31 1 0 P +X GND 39 650 -800 200 L 31 35 1 0 W +S -450 650 450 -1150 0 1 0 N +ENDDRAW +ENDDEF +# +# TP4056 +# +DEF TP4056 U 0 40 Y Y 1 F N +F0 "U" -350 400 60 H V L CNN +F1 "TP4056" 350 400 60 H V R CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -350 350 350 -250 0 1 0 N +X TEMP 1 500 -50 150 L 30 50 1 1 P +X PROG 2 500 -150 150 L 30 50 1 1 P +X GND 3 0 -400 150 U 30 50 1 1 W +X VCC 4 -500 250 150 R 30 50 1 1 P +X BAT 5 500 250 150 L 30 50 1 1 w +X ~STDBY 6 -500 -150 150 R 30 50 1 1 C +X ~CHRG 7 -500 -50 150 R 30 50 1 1 C +X CE 8 -500 150 150 R 30 50 1 1 P +X ~ 9 50 -400 150 U 30 50 1 1 W +ENDDRAW +ENDDEF +# +# TPL0102_Digipot_Dual +# +DEF TPL0102_Digipot_Dual U 0 40 Y Y 1 F N +F0 "U" 0 550 60 H V C CNN +F1 "TPL0102_Digipot_Dual" 0 0 60 V V C CNN +F2 "" 0 0 60 V V C CNN +F3 "" 0 0 60 V V C CNN +DRAW +S -400 450 400 -450 0 1 0 N +X HA 1 -600 300 200 R 50 50 1 1 B +X LA 2 -600 200 200 R 50 50 1 1 B +X WA 3 -600 100 200 R 50 50 1 1 B +X HB 4 -600 0 200 R 50 50 1 1 B +X LB 5 -600 -100 200 R 50 50 1 1 B +X WB 6 -600 -200 200 R 50 50 1 1 B +X A2 7 -600 -300 200 R 50 50 1 1 I +X VSS 8 600 -300 200 L 50 50 1 1 I +X SDA 9 600 -200 200 L 50 50 1 1 B +X SCL 10 600 -100 200 L 50 50 1 1 I +X GND 11 600 0 200 L 50 50 1 1 I +X A1 12 600 100 200 L 50 50 1 1 I +X A0 13 600 200 200 L 50 50 1 1 I +X VDD 14 600 300 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# TPS23753 +# +DEF TPS23753 U 0 40 Y Y 1 F N +F0 "U" -350 350 60 H V C CNN +F1 "TPS23753" 0 350 60 H V C CNN +F2 "" 50 50 60 H I C CNN +F3 "" 50 50 60 H I C CNN +$FPLIST + TSSOP +$ENDFPLIST +DRAW +S -250 300 250 -450 0 1 0 N +X CTL 1 -450 200 200 R 50 50 1 1 I +X Vb 2 -450 100 200 R 50 50 1 1 P +X CS 3 -450 0 200 R 50 50 1 1 I +X Vc 4 -450 -100 200 R 50 50 1 1 B +X GATE 5 -450 -200 200 R 50 50 1 1 O +X RTN 6 -450 -300 200 R 50 50 1 1 P +X Vss 7 -450 -400 200 R 50 50 1 1 P +X Vdd1 8 450 200 200 L 50 50 1 1 P +X Vdd 9 450 100 200 L 50 50 1 1 P +X DEN 10 450 0 200 L 50 50 1 1 B +X CLS 11 450 -100 200 L 50 50 1 1 O +X APD 12 450 -200 200 L 50 50 1 1 I +X BLNK 13 450 -300 200 L 50 50 1 1 B +X FRS 14 450 -400 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# TRANS1 +# +DEF TRANS1 TR 0 1 Y Y 1 F N +F0 "TR" -150 625 50 H V C CNN +F1 "TRANS1" 150 625 50 H V C CNN +F2 "" 0 -550 50 H V C CIN +F3 "" 0 0 50 H V C CNN +DRAW +T 0 -200 300 60 0 0 0 Pri Normal 0 C C +T 0 200 300 60 0 0 0 Sec Normal 0 C C +P 2 0 0 0 -25 505 -25 100 N +P 2 0 0 0 25 100 25 505 N +A -85 150 30 -899 899 0 1 8 N -85 120 -85 180 +A -85 210 30 -899 899 0 1 8 N -85 180 -85 240 +A -85 270 30 -899 899 0 1 8 N -85 240 -85 300 +A -85 330 30 -899 899 0 1 8 N -85 300 -85 360 +A -85 390 30 -899 899 0 1 8 N -85 360 -85 420 +A -85 450 30 -899 899 0 1 8 N -85 420 -85 480 +A 85 185 30 901 -901 0 1 8 N 85 215 85 155 +A 85 245 30 901 -901 0 1 8 N 85 275 85 215 +A 85 305 30 901 -901 0 1 8 N 85 335 85 275 +A 85 365 30 901 -901 0 1 8 N 85 395 85 335 +A 85 425 30 901 -901 0 1 8 N 85 455 85 395 +C -105 480 5 0 1 0 N +C 105 155 5 0 1 0 N +P 3 0 1 0 200 100 85 100 85 155 N +P 3 0 1 0 200 500 85 500 85 455 N +P 4 0 1 0 -85 120 -85 100 -150 100 -125 100 N +P 4 0 1 0 -85 480 -85 500 -150 500 -125 500 N +X ~ 1 -250 500 100 R 50 50 1 1 P +X ~ 2 -250 100 100 R 50 50 1 1 P +X ~ 9 300 500 100 L 50 50 1 1 P +X ~ 10 300 100 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# TSV358A +# +DEF TSV358A U 0 20 Y Y 5 L N +F0 "U" 0 200 50 H V L CNN +F1 "TSV358A" 0 -200 50 H V L CNN +F2 "" -50 100 50 H V C CNN +F3 "" 50 200 50 H V C CNN +DRAW +P 4 0 1 6 -200 200 200 0 -200 -200 -200 200 N +X ~ 1 300 0 100 L 50 50 1 1 O +X - 2 -300 -100 100 R 50 50 1 1 I +X + 3 -300 100 100 R 50 50 1 1 I +X + 5 -300 100 100 R 50 50 2 1 I +X - 6 -300 -100 100 R 50 50 2 1 I +X ~ 7 300 0 100 L 50 50 2 1 O +X ~ 8 300 0 100 L 50 50 3 1 O +X - 9 -300 -100 100 R 50 50 3 1 I +X + 10 -300 100 100 R 50 50 3 1 I +X + 12 -300 100 100 R 50 50 4 1 I +X - 13 -300 -100 100 R 50 50 4 1 I +X ~ 14 300 0 100 L 50 50 4 1 O +X V+ 4 -100 300 150 D 50 50 5 1 W +X V- 11 -100 -300 150 U 50 50 5 1 W +ENDDRAW +ENDDEF +# +# USB_B +# +DEF USB_B P 0 40 Y Y 1 F N +F0 "P" 200 -200 50 H V C CNN +F1 "USB_B" -50 200 50 H V C CNN +F2 "" -50 -100 50 V V C CNN +F3 "" -50 -100 50 V V C CNN +$FPLIST + USB* +$ENDFPLIST +DRAW +S -250 -150 150 150 0 1 0 N +S -205 -150 -195 -120 0 1 0 N +S -105 -150 -95 -120 0 1 0 N +S -5 -150 5 -120 0 1 0 N +S 95 -150 105 -120 0 1 0 N +X VCC 1 -200 -300 150 U 50 50 1 1 w +X D- 2 -100 -300 150 U 50 50 1 1 P +X D+ 3 0 -300 150 U 50 50 1 1 P +X GND 4 100 -300 150 U 50 50 1 1 w +X shield 5 300 100 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# USB_OTG +# +DEF USB_OTG P 0 40 Y Y 1 F N +F0 "P" 325 -125 50 H V C CNN +F1 "USB_OTG" 0 200 50 H V C CNN +F2 "" -50 -100 50 V V C CNN +F3 "" -50 -100 50 V V C CNN +$FPLIST + USB* +$ENDFPLIST +DRAW +S -250 -150 250 150 0 1 0 N +S -205 -150 -195 -120 0 1 0 N +S -105 -150 -95 -120 0 1 0 N +S -5 -150 5 -120 0 1 0 N +S 95 -150 105 -120 0 1 0 N +S 195 -150 205 -120 0 1 0 N +X VCC 1 -200 -300 150 U 50 50 1 1 w +X D- 2 -100 -300 150 U 50 50 1 1 P +X D+ 3 0 -300 150 U 50 50 1 1 P +X ID 4 100 -300 150 U 50 50 1 1 P +X GND 5 200 -300 150 U 50 50 1 1 W +X shield 6 400 100 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# WROOM02 +# +DEF WROOM02 U 0 40 Y Y 1 F N +F0 "U" 0 -100 50 H V C CNN +F1 "WROOM02" 0 450 50 H V C CNN +F2 "" -100 0 50 H I C CNN +F3 "" -100 0 50 H I C CNN +$FPLIST + ESP-13* +$ENDFPLIST +DRAW +S -700 -700 650 600 1 0 0 N +X VCC 1 -1000 300 300 R 50 50 1 1 I +X EN 2 -1000 200 300 R 50 50 1 1 P +X GPIO14 3 -1000 100 300 R 50 50 1 1 I +X GPIO12 4 -1000 0 300 R 50 50 1 1 B +X GPIO13 5 -1000 -100 300 R 50 50 1 1 B +X GPIO15 6 -1000 -200 300 R 50 50 1 1 B +X GPIO2 7 -1000 -300 300 R 50 50 1 1 B +X GPIO0 8 -1000 -400 300 R 50 50 1 1 B +X GND 9 -1000 -500 300 R 50 50 1 1 W +X GPIO4 10 950 -500 300 L 50 50 1 1 B +X RXD 11 950 -400 300 L 50 50 1 1 B +X TXD 12 950 -300 300 L 50 50 1 1 B +X GND 13 950 -200 300 L 50 50 1 1 W +X GPIO5 14 950 -100 300 L 50 50 1 1 B +X RST 15 950 0 300 L 50 50 1 1 I +X TOUT 16 950 100 300 L 50 50 1 1 O +X GPIO16 17 950 200 300 L 50 50 1 1 B +X GND 18 950 300 300 L 50 50 1 1 W +X GND PAD 0 -900 197 U 50 50 1 1 W +ENDDRAW +ENDDEF +# +# ZXMS600xDGx +# +DEF ZXMS600xDGx Q 0 0 N Y 1 F N +F0 "Q" -240 320 60 H V C CNN +F1 "ZXMS600xDGx" -290 -380 60 H V C CNN +F2 "" 0 250 60 H V C CNN +F3 "" 0 250 60 H V C CNN +ALIAS ZXMS6004DG ZXMS6004DGQ ZXMS6005DG ZXMS6005DGQ ZXMS6006DG ZXMS6006DGQ +$FPLIST + SOT-223 +$ENDFPLIST +DRAW +C 0 0 311 0 1 8 N +S -250 100 -100 -50 0 1 0 N +S 230 50 270 40 0 1 8 F +P 2 0 1 0 -300 0 -250 0 N +P 2 0 1 0 -100 0 0 0 N +P 2 0 1 12 0 -90 0 110 N +P 2 0 1 12 40 -40 40 -90 N +P 2 0 1 12 40 40 40 -20 N +P 2 0 1 12 40 110 40 60 N +P 3 0 1 0 40 -70 200 -70 200 -200 N +P 3 0 1 0 40 10 170 10 170 -70 N +P 3 0 1 0 40 90 200 90 200 100 N +P 3 0 1 8 250 0 250 -100 200 -100 N +P 4 0 1 0 -150 100 -150 150 200 150 200 200 N +P 4 0 1 8 40 10 70 30 70 -10 40 10 F +P 4 0 1 0 200 -200 200 -150 -150 -150 -150 -50 N +P 4 0 1 0 200 150 200 100 250 100 250 50 N +P 4 0 1 8 250 40 230 0 270 0 250 40 F +X IN 1 -500 0 205 R 50 50 1 1 I +X D 2 200 500 303 D 50 50 1 1 W +X S 3 200 -500 303 U 50 50 1 1 W +X D 4 200 500 303 D 50 50 1 1 W +ENDDRAW +ENDDEF +# +# ZXMS600xN8 +# +DEF ZXMS600xN8 Q 0 0 N Y 1 F N +F0 "Q" -240 320 60 H V C CNN +F1 "ZXMS600xN8" -240 -370 60 H V C CNN +F2 "" 0 250 60 H V C CNN +F3 "" 0 250 60 H V C CNN +ALIAS ZXMS6004N8 ZXMS6005N8 +$FPLIST + SO-8 +$ENDFPLIST +DRAW +C 0 0 311 0 1 8 N +S -250 100 -100 -50 0 1 0 N +S 230 50 270 40 0 1 8 F +P 2 0 1 0 -300 0 -250 0 N +P 2 0 1 0 -100 0 0 0 N +P 2 0 1 12 0 -90 0 110 N +P 2 0 1 12 40 -40 40 -90 N +P 2 0 1 12 40 40 40 -20 N +P 2 0 1 12 40 110 40 60 N +P 3 0 1 0 40 -70 200 -70 200 -200 N +P 3 0 1 0 40 10 170 10 170 -70 N +P 3 0 1 0 40 90 200 90 200 100 N +P 3 0 1 8 250 0 250 -100 200 -100 N +P 4 0 1 0 -150 100 -150 150 200 150 200 200 N +P 4 0 1 8 40 10 70 30 70 -10 40 10 F +P 4 0 1 0 200 -200 200 -150 -150 -150 -150 -50 N +P 4 0 1 0 200 150 200 100 250 100 250 50 N +P 4 0 1 8 250 40 230 0 270 0 250 40 F +X S 1 200 -500 299 U 50 50 1 1 P +X S 2 200 -500 299 U 50 50 1 1 P +X S 3 200 -500 299 U 50 50 1 1 P +X IN 4 -500 0 205 R 50 50 1 1 P +X D 5 200 500 303 D 50 50 1 1 P +X D 6 200 500 303 D 50 50 1 1 P +X D 7 200 500 303 D 50 50 1 1 P +X D 8 200 500 303 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# microSD_Card +# +DEF microSD_Card XA 0 40 Y Y 1 F N +F0 "XA" -650 550 50 H V C CNN +F1 "microSD_Card" 600 -550 50 H V C CNN +F2 "microSD_Card Holder" 200 350 50 H V C CNN +F3 "" 0 0 50 H V C CNN +$FPLIST + SD_Card_Receptacle +$ENDFPLIST +DRAW +S -350 -375 -250 -425 0 1 0 F +S -350 -275 -250 -325 0 1 0 F +S -350 -175 -250 -225 0 1 0 F +S -350 -75 -250 -125 0 1 0 F +S -350 25 -250 -25 0 1 0 F +S -350 125 -250 75 0 1 0 F +S -350 225 -250 175 0 1 0 F +S -350 325 -250 275 0 1 0 F +S -300 425 -200 375 0 1 0 F +P 6 0 1 0 -400 350 -300 450 800 450 800 -450 -400 -450 -400 350 f +P 6 0 1 0 650 450 650 500 -800 500 -800 -500 650 -500 650 -450 N +X DAT2 1 -900 300 100 R 50 50 1 1 I +X CD/DAT3 2 -900 200 100 R 50 50 1 1 I +X CMD 3 -900 100 100 R 50 50 1 1 I +X VDD 4 -900 0 100 R 50 50 1 1 I +X CLK 5 -900 -100 100 R 50 50 1 1 I +X VSS 6 -900 -200 100 R 50 50 1 1 I +X DAT0 7 -900 -300 100 R 50 50 1 1 I +X DAT1 8 -900 -400 100 R 50 50 1 1 I +X DET 9 -900 400 100 R 50 50 1 1 I +X GND G1 -500 -600 100 U 50 50 1 1 I +X GND G2 -500 -600 100 U 50 50 1 1 I N +X GND G3 -500 -600 100 U 50 50 1 1 I N +X GND G4 -500 -600 100 U 50 50 1 1 I N +X NC1 NC1 -450 700 200 D 50 50 1 1 N N +X NC2 NC2 -350 700 200 D 50 50 1 1 N N +ENDDRAW +ENDDEF +# +#End Library diff --git a/hardware/rev1/kicad/proj_footprints.pretty/28F0121-0SR-10.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/28F0121-0SR-10.kicad_mod new file mode 100644 index 0000000..8bdf8df --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/28F0121-0SR-10.kicad_mod @@ -0,0 +1,16 @@ +(module 28F0121-0SR-10 (layer F.Cu) (tedit 5825A986) + (fp_text reference REF** (at -0.2 -2.3) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 28F0121-0SR-10 (at 0 2) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.1 -1) (end -2.1 -1.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 -1.6) (end 2.1 -1.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 -1.6) (end 2.1 -1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 1.6) (end -2.1 1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 1.6) (end 2.1 1.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 1.6) (end 2.1 1.1) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.79 0) (size 2.77 1.47) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 1.79 0) (size 2.77 1.47) (layers F.Cu F.Paste F.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/3528RGB.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/3528RGB.kicad_mod new file mode 100644 index 0000000..ddf1614 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/3528RGB.kicad_mod @@ -0,0 +1,14 @@ +(module 3528RGB (layer F.Cu) (tedit 58B7D568) + (fp_text reference REF** (at 0 -2.4) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LED3528RGB (at 0 3.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.68 1.327) (end 2.68 2.127) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.791 2.127) (end 2.591 2.127) (layer F.SilkS) (width 0.15)) + (pad 4 smd rect (at 1.5 -0.8) (size 1.5 1) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at 1.5 0.8) (size 1.5 1) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -1.5 0.8) (size 1.5 1) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at -1.5 -0.8) (size 1.5 1) (layers F.Cu F.Paste F.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/3mm_EARTH.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/3mm_EARTH.kicad_mod new file mode 100644 index 0000000..5639b0b --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/3mm_EARTH.kicad_mod @@ -0,0 +1,9 @@ +(module NPTH_3mm_ID (layer F.Cu) (tedit 58F9BBE4) + (fp_text reference H4 (at 0 2.9) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3mm_Mounting_Hole (at 0 -2.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0) (size 8 8) (drill 3) (layers *.Cu *.Mask F.SilkS)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/6-SMD.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/6-SMD.kicad_mod new file mode 100644 index 0000000..251ba16 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/6-SMD.kicad_mod @@ -0,0 +1,29 @@ +(module 6-SMD (layer F.Cu) (tedit 58F98D57) + (descr "6-300 smd shape") + (tags "smd cms 6smd") + (attr smd) + (fp_text reference REF** (at 0.381 -1.143) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 6-SMD (at 0 0.762) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.08 -2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 2.54) (end 2.54 2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 2.54) (end 2.54 -2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 -2.54) (end -5.08 -2.54) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.08 -1.27) (end -3.81 -1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.81 -1.27) (end -3.81 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.81 1.27) (end -5.08 1.27) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -3.81 4.635) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -1.27 4.635) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 1.27 4.635) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 1.27 -4.635) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at -1.27 -4.635) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 6 smd rect (at -3.81 -4.635) (size 1.78 1.52) (layers F.Cu F.Paste F.Mask)) + (model SMD_Packages.3dshapes/6-SMD.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 0.5 0.8)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/BOURNS-GDT-2051.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/BOURNS-GDT-2051.kicad_mod new file mode 100644 index 0000000..02e4c2c --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/BOURNS-GDT-2051.kicad_mod @@ -0,0 +1,25 @@ +(module BOURNS-GDT-2051 (layer F.Cu) (tedit 553CF70D) + (attr smd) + (fp_text reference REF** (at 0 0) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value BOURNS-GDT-2051 (at 0 0) (layer F.Fab) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_line (start -3 -2) (end 3 -2) (layer F.Fab) (width 0.2)) + (fp_line (start 3 -2) (end 3 2) (layer F.Fab) (width 0.2)) + (fp_line (start 3 2) (end -3 2) (layer F.Fab) (width 0.2)) + (fp_line (start -3 2) (end -3 -2) (layer F.Fab) (width 0.2)) + (fp_line (start 1 -1.5) (end 1 1.5) (layer F.SilkS) (width 0.2)) + (fp_line (start 1 1.5) (end 0 0) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 0) (end 1 -1.5) (layer F.SilkS) (width 0.2)) + (fp_line (start -1 -1.5) (end -1 1.5) (layer F.SilkS) (width 0.2)) + (fp_line (start -1 1.5) (end 0 0) (layer F.SilkS) (width 0.2)) + (fp_line (start 0 0) (end -1 -1.5) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.25 -2.35) (end 3.25 -2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start 3.25 -2.35) (end 3.25 2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start 3.25 2.35) (end -3.25 2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start -3.25 2.35) (end -3.25 -2.35) (layer F.CrtYd) (width 0.2)) + (pad 1 smd rect (at -2.25 0) (size 1.5 4.2) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 2.25 0) (size 1.5 4.2) (layers F.Cu F.Paste F.Mask)) +) \ No newline at end of file diff --git a/hardware/rev1/kicad/proj_footprints.pretty/BOURNS-GDT-2051_ns.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/BOURNS-GDT-2051_ns.kicad_mod new file mode 100644 index 0000000..e05d7f1 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/BOURNS-GDT-2051_ns.kicad_mod @@ -0,0 +1,19 @@ +(module proj_footprints:BOURNS-GDT-2051 (layer F.Cu) (tedit 58FF4C19) + (attr smd) + (fp_text reference GDT100 (at 3.81 3.048) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 2051-09 (at 0 0) (layer F.Fab) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_line (start -3 -2) (end 3 -2) (layer F.Fab) (width 0.2)) + (fp_line (start 3 -2) (end 3 2) (layer F.Fab) (width 0.2)) + (fp_line (start 3 2) (end -3 2) (layer F.Fab) (width 0.2)) + (fp_line (start -3 2) (end -3 -2) (layer F.Fab) (width 0.2)) + (fp_line (start -3.25 -2.35) (end 3.25 -2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start 3.25 -2.35) (end 3.25 2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start 3.25 2.35) (end -3.25 2.35) (layer F.CrtYd) (width 0.2)) + (fp_line (start -3.25 2.35) (end -3.25 -2.35) (layer F.CrtYd) (width 0.2)) + (pad 1 smd rect (at -2.25 0) (size 1.5 4.2) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 2.25 0) (size 1.5 4.2) (layers F.Cu F.Paste F.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/COTO-9001.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/COTO-9001.kicad_mod new file mode 100644 index 0000000..2525a8a --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/COTO-9001.kicad_mod @@ -0,0 +1,35 @@ +(module relay_9001 (layer F.Cu) (tedit 58F9BC5C) + (descr "relay, Coto 9001 series Reed") + (fp_text reference RLY*** (at 0 -4.1) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_text value relay_9001 (at 0 4.3) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_line (start -8.6 -3) (end -8.6 3) (layer F.SilkS) (width 0.3048)) + (fp_line (start 0.8 0) (end 2.5 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -2.5 0) (end -0.8 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end 0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 -0.8) (end 0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 0.8 -0.8) (end 0.8 0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end 0.8 0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end -0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 1.5 -1.8) (end 7.6 -1.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 7.6 -1.8) (end 7.6 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -7.6 -1.8) (end -7.6 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -7.6 -1.8) (end -1.1 -1.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -1.1 -1.8) (end 1.5 -2.3) (layer F.SilkS) (width 0.3048)) + (fp_line (start 10.3 -3) (end 10.3 3) (layer F.SilkS) (width 0.3048)) + (fp_line (start -10.3 -3) (end -10.3 3) (layer F.SilkS) (width 0.3048)) + (fp_line (start -10.3 3) (end 10.3 3) (layer F.SilkS) (width 0.3048)) + (fp_line (start -10.3 -3) (end 10.3 -3) (layer F.SilkS) (width 0.3048)) + (pad 2 thru_hole circle (at -2.54 0) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS)) + (pad 3 thru_hole circle (at 2.54 0) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS)) + (pad 4 thru_hole circle (at 7.62 0) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS)) + (pad 1 thru_hole circle (at -7.62 0) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS)) + (model walter/relay/relay_9001.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/COTO-9001_ns.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/COTO-9001_ns.kicad_mod new file mode 100644 index 0000000..23aeacc --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/COTO-9001_ns.kicad_mod @@ -0,0 +1,29 @@ +(module proj_footprints:COTO-9001 (layer F.Cu) (tedit 58FF4F8B) + (descr "relay, Coto 9001 series Reed") + (fp_text reference RL101 (at 11.8745 0.889 90) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_text value 9001-05-02 (at 0 4.3) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_line (start 0.8 0) (end 2.5 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -2.5 0) (end -0.8 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end 0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 -0.8) (end 0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 0.8 -0.8) (end 0.8 0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end 0.8 0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start -0.8 0.8) (end -0.8 -0.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 1.5 -1.8) (end 7.6 -1.8) (layer F.SilkS) (width 0.3048)) + (fp_line (start 7.6 -1.8) (end 7.6 0) (layer F.SilkS) (width 0.3048)) + (fp_line (start -1.1 -1.8) (end 1.5 -2.3) (layer F.SilkS) (width 0.3048)) + (fp_line (start 10.3 -3) (end 10.3 3) (layer F.SilkS) (width 0.3048)) + (pad 2 thru_hole circle (at -2.54 0) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS)) + (pad 3 thru_hole circle (at 2.54 0) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS)) + (pad 4 thru_hole circle (at 7.62 0) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS)) + (pad 1 thru_hole rect (at -7.62 0) (size 1.8 1.8) (drill 0.8) (layers *.Cu *.Mask F.SilkS)) + (model walter/relay/relay_9001.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/C_1206_ns.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/C_1206_ns.kicad_mod new file mode 100644 index 0000000..77d1ddf --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/C_1206_ns.kicad_mod @@ -0,0 +1,29 @@ +(module Capacitors_SMD:C_1206 (layer F.Cu) (tedit 58FF4D39) + (descr "Capacitor SMD 1206, reflow soldering, AVX (see smccp.pdf)") + (tags "capacitor 1206") + (attr smd) + (fp_text reference C100 (at 5.208549 1.796051) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DNP/1206 (at 0 2) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 -1.75) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -2.25 -1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 -1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end 2.25 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.25 1.05) (end -2.25 1.05) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.5 0) (size 1 1.6) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 1.5 0) (size 1 1.6) (layers F.Cu F.Paste F.Mask)) + (model Capacitors_SMD.3dshapes/C_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/DO-214AB.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/DO-214AB.kicad_mod new file mode 100644 index 0000000..cf4a455 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/DO-214AB.kicad_mod @@ -0,0 +1,25 @@ +(module DO-214AB (layer F.Cu) (tedit 5825692F) + (descr "Jedec DO-214AB diode package. Designed according to Fairchild SS32 datasheet.") + (tags "DO-214AB diode") + (attr smd) + (fp_text reference REF** (at 0 -4.2) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DO-214AB (at 0 4.6) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -5.5 -2.6) (end -5.5 2.5) (layer F.SilkS) (width 0.15)) + (fp_line (start -5.15 -3.45) (end 5.15 -3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 -3.45) (end 5.15 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 3.45) (end -5.15 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.15 3.45) (end -5.15 -3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.5 3.2) (end -4.8 3.2) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.8 -3.2) (end 3.5 -3.2) (layer F.SilkS) (width 0.15)) + (pad 2 smd rect (at 3.6 0) (size 2.6 3.2) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at -3.6 0) (size 2.6 3.2) (layers F.Cu F.Paste F.Mask)) + (model Diodes_SMD.3dshapes/DO-214AB.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.39 0.39 0.39)) + (rotate (xyz 0 0 180)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/DO-214AC.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/DO-214AC.kicad_mod new file mode 100644 index 0000000..1c95a81 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/DO-214AC.kicad_mod @@ -0,0 +1,17 @@ +(module DO-214AC (layer F.Cu) (tedit 5833D139) + (fp_text reference REF** (at -1.5 2.7) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value DO-214AC (at -0.1 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.9 -1.4) (end -0.9 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 -1.4) (end -2.3 -1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 1.1) (end 2.3 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 1.4) (end -2.3 1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 1.4) (end -2.3 1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.3 -1.4) (end 2.3 -1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.3 -1.4) (end 2.3 -1.1) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -2.2 0) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 2.2 0) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/DPAK.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/DPAK.kicad_mod new file mode 100644 index 0000000..c81277b --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/DPAK.kicad_mod @@ -0,0 +1,29 @@ +(module DPAK (layer F.Cu) (tedit 4FF970FF) + (descr "MOS boitier DPACK G-D-S") + (tags "CMD DPACK") + (attr smd) + (fp_text reference DPAK (at 0 -10.414) (layer F.SilkS) + (effects (font (size 0.29972 0.29972) (thickness 0.0762))) + ) + (fp_text value VAL** (at 0 -4.39928) (layer F.SilkS) + (effects (font (size 0.29972 0.29972) (thickness 0.0762))) + ) + (fp_line (start 1.34874 1.69926) (end 1.34874 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start 1.34874 1.69926) (end 3.2512 1.69926) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.2512 1.69926) (end 3.2512 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.2512 1.651) (end -3.2512 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.2512 1.651) (end -1.34874 1.651) (layer F.SilkS) (width 0.381)) + (fp_line (start -1.34874 1.651) (end -1.34874 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.44932 -10.09904) (end 3.44932 -10.09904) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.44932 -10.09904) (end 3.44932 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start 3.44932 -3.2004) (end -3.44932 -3.2004) (layer F.SilkS) (width 0.381)) + (fp_line (start -3.44932 -3.2004) (end -3.44932 -10.09904) (layer F.SilkS) (width 0.381)) + (pad 1 smd rect (at -2.30124 0) (size 1.651 3.048) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -6.64972) (size 6.70052 6.70052) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 2.30124 0) (size 1.651 3.048) (layers F.Cu F.Paste F.Mask)) + (model smd/dpack_2.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/FCI_54601-908WPLF.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/FCI_54601-908WPLF.kicad_mod new file mode 100644 index 0000000..63c52ee --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/FCI_54601-908WPLF.kicad_mod @@ -0,0 +1,23 @@ +(module FCI_54601-908WPLF locked (layer F.Cu) (tedit 58343208) + (fp_text reference J2 (at 14.6 6) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NET_OUT (at 5.7 0.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 13.4 -1.8) (end 13.4 -5.2) (layer F.SilkS) (width 0.15)) + (fp_line (start -2 -1.8) (end -2 -5.2) (layer F.SilkS) (width 0.15)) + (fp_line (start -2 10.2) (end -2 1.8) (layer F.SilkS) (width 0.15)) + (fp_line (start 13.4 10.2) (end 13.4 1.8) (layer F.SilkS) (width 0.15)) + (fp_line (start 13.416 10.2) (end -1.984 10.2) (layer F.SilkS) (width 0.15)) + (pad "" np_thru_hole circle (at 0 0) (size 3.75 3.75) (drill 3.25) (layers *.Cu *.Mask F.SilkS)) + (pad 2 thru_hole circle (at 8.89 8.89) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS)) + (pad "" np_thru_hole circle (at 11.432 0) (size 3.75 3.75) (drill 3.25) (layers *.Cu *.Mask F.SilkS)) + (pad 4 thru_hole circle (at 6.35 8.89) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS)) + (pad 6 thru_hole circle (at 3.81 8.89) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS)) + (pad 8 thru_hole circle (at 1.27 8.89) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS)) + (pad 1 thru_hole circle (at 10.16 6.35) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS)) + (pad 3 thru_hole circle (at 7.62 6.35) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS)) + (pad 5 thru_hole circle (at 5.08 6.35) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS)) + (pad 7 thru_hole circle (at 2.54 6.35) (size 1.25 1.25) (drill 0.76) (layers *.Cu *.Mask F.SilkS)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/H2019FNL.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/H2019FNL.kicad_mod new file mode 100644 index 0000000..2e1afcb --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/H2019FNL.kicad_mod @@ -0,0 +1,31 @@ +(module H2019FNL (layer F.Cu) (tedit 582FE01B) + (fp_text reference REF** (at -4.8 6.4 180) (layer F.SilkS) + (effects (font (size 1.2 1.2) (thickness 0.15))) + ) + (fp_text value H2019FNL (at 0 0) (layer F.Fab) + (effects (font (size 1.2 1.2) (thickness 0.15))) + ) + (fp_circle (center -5.6 3.4) (end -6.1 3.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 5 4.6) (end 6.4 4.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.4 4.6) (end 6.4 -4.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.4 -4.6) (end 5 -4.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -5 -4.6) (end -6.4 -4.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.4 -4.6) (end -6.4 4.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.4 4.6) (end -5 4.6) (layer F.SilkS) (width 0.15)) + (pad 16 smd rect (at -4.445 -4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at -4.445 4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 15 smd rect (at -3.175 -4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -3.175 4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 14 smd rect (at -1.905 -4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at -1.905 4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 13 smd rect (at -0.635 -4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at -0.635 4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 12 smd rect (at 0.635 -4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at 0.635 4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 11 smd rect (at 1.905 -4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 6 smd rect (at 1.905 4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 10 smd rect (at 3.175 -4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 7 smd rect (at 3.175 4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 9 smd rect (at 4.445 -4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) + (pad 8 smd rect (at 4.445 4.255) (size 0.76 1.65) (layers F.Cu F.Paste F.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/NPTH_3mm_ID.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/NPTH_3mm_ID.kicad_mod new file mode 100644 index 0000000..14a5345 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/NPTH_3mm_ID.kicad_mod @@ -0,0 +1,9 @@ +(module NPTH_3mm_ID (layer F.Cu) (tedit 58345150) + (fp_text reference H4 (at 0 2.9) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3mm_Mounting_Hole (at 0 -2.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 3 3) (drill 3) (layers *.Cu *.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/PhoenixContact_1786417_03.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/PhoenixContact_1786417_03.kicad_mod new file mode 100644 index 0000000..d77eeff --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/PhoenixContact_1786417_03.kicad_mod @@ -0,0 +1,24 @@ +(module Connectors_Phoenix:PhoenixContact_MC-G_03x5.08mm_Angled (layer F.Cu) (tedit 58F9A094) + (descr PhoenixContact_1786417_03x5.08mm_Angled) + (tags "phoenix_contact connector 01x03_G_5.08mm") + (fp_text reference REF** (at 4.826 -4.064) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value PhoenixContact_1786417_03x5.08mm_Angled (at 5.08 9.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.62 -3) (end -2.62 16) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.62 16) (end 12.78 16) (layer F.SilkS) (width 0.15)) + (fp_line (start 12.78 16) (end 12.78 -3) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.62 -3) (end 12.78 -3) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.62 8) (end 12.78 8) (layer F.SilkS) (width 0.15)) + (fp_line (start 0 -2.3) (end 0.3 -2.9) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.3 -2.9) (end -0.3 -2.9) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.3 -2.9) (end 0 -2.3) (layer F.SilkS) (width 0.15)) + (pad 3 thru_hole oval (at 10.16 5.08) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at 5.08 5.08) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 1 thru_hole rect (at 0 5.08) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 1 thru_hole rect (at 0 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at 5.08 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 10.16 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/Pin_Header_Straight_2x05_Pitch1.27mm.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/Pin_Header_Straight_2x05_Pitch1.27mm.kicad_mod new file mode 100644 index 0000000..7524051 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/Pin_Header_Straight_2x05_Pitch1.27mm.kicad_mod @@ -0,0 +1,32 @@ +(module Pin_Header_Straight_2x05_Pitch1.27mm (layer F.Cu) (tedit 58705FA3) + (descr "Through hole pin header, pitch 1.27mm") + (tags "pin header") + (fp_text reference REF** (at -1.8 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value PH2X05VR1MM27 (at 0 6.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.1 0.5) (end -1.1 -1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.1 -1.1) (end 0.5 -1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 -0.8) (end 1.3 -0.8) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 5.85) (end 2.1 -0.8) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 5.85) (end -0.85 5.85) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.1 -1.05) (end -1.1 6.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.35 -1.05) (end 2.35 6.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.1 -1.05) (end 2.35 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.1 6.1) (end 2.35 6.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.85 5.85) (end -0.85 1.3) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.5 -0.8) (end -0.85 -0.8) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.85 -0.8) (end -0.85 0.5) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole rect (at 0 0) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 3 thru_hole circle (at 0 1.27) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 5 thru_hole circle (at 0 2.54) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 7 thru_hole circle (at 0 3.81) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 9 thru_hole circle (at 0 5.08) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 4 thru_hole circle (at 1.27 1.27) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 6 thru_hole circle (at 1.27 2.54) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 8 thru_hole circle (at 1.27 3.81) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 10 thru_hole circle (at 1.27 5.08) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) + (pad 2 thru_hole circle (at 1.27 0) (size 1.05 1.05) (drill 0.65) (layers *.Cu *.Mask F.SilkS)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/R_1206_ns.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/R_1206_ns.kicad_mod new file mode 100644 index 0000000..c79ba9b --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/R_1206_ns.kicad_mod @@ -0,0 +1,29 @@ +(module Resistors_SMD:R_1206 (layer F.Cu) (tedit 58FF4C8B) + (descr "Resistor SMD 1206, reflow soldering, Vishay (see dcrcw.pdf)") + (tags "resistor 1206") + (attr smd) + (fp_text reference R104 (at 7.184205 1.257236) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10M/1206 (at 0 1.95) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.7 0.7) (thickness 0.105))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -2.15 -1.11) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 -1.11) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end 2.15 -1.11) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.15 1.1) (end -2.15 1.1) (layer F.CrtYd) (width 0.05)) + (pad 1 smd rect (at -1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 1.45 0) (size 0.9 1.7) (layers F.Cu F.Paste F.Mask)) + (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_1206.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SC-90A.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SC-90A.kicad_mod new file mode 100644 index 0000000..eb59e85 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SC-90A.kicad_mod @@ -0,0 +1,18 @@ +(module SC-90A (layer F.Cu) (tedit 58079009) + (fp_text reference REF** (at 3.1 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SC-90A (at 0 -2.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.6 0.4) (end 0.6 0.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.6 -0.4) (end 0.6 -0.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.6 -0.4) (end 0 0.3) (layer F.SilkS) (width 0.15)) + (fp_line (start 0 0.3) (end -0.6 -0.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.8 -2) (end -0.8 2) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.8 2) (end 0.8 2) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.8 2) (end 0.8 -2) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.8 -2) (end -0.8 -2) (layer F.SilkS) (width 0.15)) + (pad 2 smd rect (at 0 -1.2) (size 0.9 0.9) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at 0 1.2) (size 1.1 0.9) (layers F.Cu F.Paste F.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SC70-5.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SC70-5.kicad_mod new file mode 100644 index 0000000..194bd1f --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SC70-5.kicad_mod @@ -0,0 +1,18 @@ +(module SC70-5 (layer F.Cu) (tedit 589D8A3B) + (fp_text reference REF** (at 0.3 0.2) (layer F.SilkS) + (effects (font (size 0.3 0.3) (thickness 0.075))) + ) + (fp_text value SC70-5 (at 0 -0.3) (layer F.SilkS) + (effects (font (size 0.3 0.3) (thickness 0.075))) + ) + (fp_circle (center -0.7 0.2) (end -0.7 0.3) (layer F.SilkS) (width 0.15)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.SilkS) (width 0.15)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.SilkS) (width 0.15)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.65 0.95) (size 0.4 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 0 0.95) (size 0.4 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0.65 0.95) (size 0.4 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 0.65 -0.95) (size 0.4 0.7) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at -0.65 -0.95) (size 0.4 0.7) (layers F.Cu F.Paste F.Mask)) +) \ No newline at end of file diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SC70-6.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SC70-6.kicad_mod new file mode 100644 index 0000000..12af2db --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SC70-6.kicad_mod @@ -0,0 +1,32 @@ +(module SC-70-6 (layer F.Cu) (tedit 54CBD979) + (attr smd) + (fp_text reference REF** (at 0 0) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value SC-70-6 (at 0 0) (layer F.Fab) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_line (start -0.5 -1.25) (end -0.5 -0.75) (layer F.Fab) (width 0.15)) + (fp_line (start -0.5 -0.75) (end -1.5 -0.75) (layer F.Fab) (width 0.15)) + (fp_line (start -1.5 -1.25) (end -1.5 1.25) (layer F.Fab) (width 0.15)) + (fp_line (start -1.5 1.25) (end 1.5 1.25) (layer F.Fab) (width 0.15)) + (fp_line (start 1.5 1.25) (end 1.5 -1.25) (layer F.Fab) (width 0.15)) + (fp_line (start 1.5 -1.25) (end -1.5 -1.25) (layer F.Fab) (width 0.15)) + (fp_line (start -1.4 -1.1) (end -0.5 -1.1) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.75 -1.5) (end 1.75 -1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start 1.75 -1.5) (end 1.75 1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start 1.75 1.5) (end -1.75 1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start -1.75 1.5) (end -1.75 -1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start 0 -1) (end 0 1) (layer F.SilkS) (width 0.35)) + (pad 5 smd rect (at 0.95 0 180) (size 0.75 0.4) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at -0.95 -0.65 180) (size 0.75 0.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at -0.95 0.65 180) (size 0.75 0.4) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -0.95 0 180) (size 0.75 0.4) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 0.95 0.65 180) (size 0.75 0.4) (layers F.Cu F.Paste F.Mask)) + (pad 6 smd rect (at 0.95 -0.65 180) (size 0.75 0.4) (layers F.Cu F.Paste F.Mask)) + (model smd_trans/sc70-6.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) +) \ No newline at end of file diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SOIC-16_3.9x9.9mm_Pitch1.27mm.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SOIC-16_3.9x9.9mm_Pitch1.27mm.kicad_mod new file mode 100644 index 0000000..55c5ca8 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SOIC-16_3.9x9.9mm_Pitch1.27mm.kicad_mod @@ -0,0 +1,48 @@ +(module Housings_SOIC:SOIC-16_3.9x9.9mm_Pitch1.27mm (layer F.Cu) (tedit 574D979F) + (descr "16-Lead Plastic Small Outline (SL) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SOIC 1.27") + (attr smd) + (fp_text reference REF** (at 0 -6) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SOIC-16_3.9x9.9mm_Pitch1.27mm (at 0 6) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.95 -4.95) (end 1.95 -4.95) (layer F.Fab) (width 0.15)) + (fp_line (start 1.95 -4.95) (end 1.95 4.95) (layer F.Fab) (width 0.15)) + (fp_line (start 1.95 4.95) (end -1.95 4.95) (layer F.Fab) (width 0.15)) + (fp_line (start -1.95 4.95) (end -1.95 -3.95) (layer F.Fab) (width 0.15)) + (fp_line (start -1.95 -3.95) (end -0.95 -4.95) (layer F.Fab) (width 0.15)) + (fp_line (start -3.7 -5.25) (end -3.7 5.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.7 -5.25) (end 3.7 5.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.7 -5.25) (end 3.7 -5.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.7 5.25) (end 3.7 5.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.075 -5.075) (end -2.075 -5.05) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.075 -5.075) (end 2.075 -4.97) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.075 5.075) (end 2.075 4.97) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.075 5.075) (end -2.075 4.97) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.075 -5.075) (end 2.075 -5.075) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.075 5.075) (end 2.075 5.075) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.075 -5.05) (end -3.45 -5.05) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -2.7 -4.445) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -2.7 -3.175) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at -2.7 -1.905) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at -2.7 -0.635) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at -2.7 0.635) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 6 smd rect (at -2.7 1.905) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 7 smd rect (at -2.7 3.175) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 8 smd rect (at -2.7 4.445) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 9 smd rect (at 2.7 4.445) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 10 smd rect (at 2.7 3.175) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 11 smd rect (at 2.7 1.905) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 12 smd rect (at 2.7 0.635) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 13 smd rect (at 2.7 -0.635) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 14 smd rect (at 2.7 -1.905) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 15 smd rect (at 2.7 -3.175) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (pad 16 smd rect (at 2.7 -4.445) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)) + (model Housings_SOIC.3dshapes/SOIC-16_3.9x9.9mm_Pitch1.27mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SOIC-8-N_ns.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SOIC-8-N_ns.kicad_mod new file mode 100644 index 0000000..50d52a6 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SOIC-8-N_ns.kicad_mod @@ -0,0 +1,29 @@ +(module SMD_Packages:SOIC-8-N (layer F.Cu) (tedit 58FF4DF4) + (descr "Module Narrow CMS SOJ 8 pins large") + (tags "CMS SOJ") + (attr smd) + (fp_text reference U100 (at 3.556 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LMP7721 (at 0 1.27) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.54 -2.286) (end 2.54 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.54 2.286) (end -2.54 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.54 0.762) (end -2.032 0.762) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.032 0.762) (end -2.032 2.032) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.032 2.032) (end -2.54 2.032) (layer F.SilkS) (width 0.15)) + (pad 8 smd rect (at -1.905 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 7 smd rect (at -0.635 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 6 smd rect (at 0.635 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at 1.905 -3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 1.905 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0.635 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -0.635 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at -1.905 3.175) (size 0.508 1.143) (layers F.Cu F.Paste F.Mask)) + (model SMD_Packages.3dshapes/SOIC-8-N.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.5 0.38 0.5)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SOIC-8.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SOIC-8.kicad_mod new file mode 100644 index 0000000..7fb1b1d --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SOIC-8.kicad_mod @@ -0,0 +1,23 @@ +(module SOIC-8 (layer F.Cu) (tedit 582FE8D6) + (fp_text reference REF** (at -2.2 4.4 180) (layer F.SilkS) + (effects (font (size 1.2 1.2) (thickness 0.15))) + ) + (fp_text value SOIC-8 (at 0 0) (layer F.Fab) + (effects (font (size 1.2 1.2) (thickness 0.15))) + ) + (fp_circle (center -1.7 1.3) (end -2.1 1.5) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.5 -2) (end 2.5 2) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.5 2) (end 2.4 2) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.5 -2) (end 2.4 -2) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.4 -2) (end -2.5 -2) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.5 -2) (end -2.5 2) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.5 2) (end -2.4 2) (layer F.SilkS) (width 0.15)) + (pad 8 smd rect (at -1.905 -2.76) (size 0.6 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at -1.905 2.76) (size 0.6 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 7 smd rect (at -0.635 -2.76) (size 0.6 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -0.635 2.76) (size 0.6 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 6 smd rect (at 0.635 -2.76) (size 0.6 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0.635 2.76) (size 0.6 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at 1.905 -2.76) (size 0.6 1.52) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 1.905 2.76) (size 0.6 1.52) (layers F.Cu F.Paste F.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SOT-223.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SOT-223.kicad_mod new file mode 100644 index 0000000..cd42c46 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SOT-223.kicad_mod @@ -0,0 +1,33 @@ +(module SOT-223 (layer F.Cu) (tedit 5883B228) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (attr smd) + (fp_text reference REF** (at 0 -4.5) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SOT-223 (at 0 4.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.85 -2.3) (end -0.8 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -2.3) (end -1.85 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.8 -3.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1)) + (pad 4 smd rect (at 3.15 0) (size 2 3.8) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -3.15 0) (size 2 1.5) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at -3.15 2.3) (size 2 1.5) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at -3.15 -2.3) (size 2 1.5) (layers F.Cu F.Paste F.Mask)) + (model TO_SOT_Packages_SMD.3dshapes/SOT-223.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.4 0.4 0.4)) + (rotate (xyz 0 0 90)) + ) +) \ No newline at end of file diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SOT-23-5.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SOT-23-5.kicad_mod new file mode 100644 index 0000000..760821f --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SOT-23-5.kicad_mod @@ -0,0 +1,30 @@ +(module SOT-23-5 (layer F.Cu) (tedit 55360473) + (descr "5-pin SOT23 package") + (tags SOT-23-5) + (attr smd) + (fp_text reference REF** (at -0.05 -2.55) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SOT-23-5 (at -0.05 2.35) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 -1.6) (end 1.8 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.6) (end 1.8 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 1.6) (end -1.8 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 1.6) (end -1.8 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_circle (center -0.3 -1.7) (end -0.2 -1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 -1.45) (end -0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 1.45) (end 0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 1.45) (end 0.25 1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 -1.45) (end -0.25 1.45) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -1.1 0) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at -1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at 1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (model TO_SOT_Packages_SMD.3dshapes/SOT-23-5.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SOT-23-6.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SOT-23-6.kicad_mod new file mode 100644 index 0000000..2199242 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SOT-23-6.kicad_mod @@ -0,0 +1,27 @@ +(module SOT-23-6 (layer F.Cu) (tedit 53DE8DE3) + (descr "6-pin SOT-23 package") + (tags SOT-23-6) + (attr smd) + (fp_text reference REF** (at 0 -2.9) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SOT-23-6 (at 0 2.9) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center -0.4 -1.7) (end -0.3 -1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 -1.45) (end -0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start 0.25 1.45) (end 0.25 -1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 1.45) (end 0.25 1.45) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.25 -1.45) (end -0.25 1.45) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -1.1 0) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at -1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at 1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (pad 6 smd rect (at 1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at 1.1 0) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)) + (model TO_SOT_Packages_SMD.3dshapes/SOT-23-6.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SOT-23.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SOT-23.kicad_mod new file mode 100644 index 0000000..c53a9c2 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SOT-23.kicad_mod @@ -0,0 +1,16 @@ +(module SOT-23 (layer F.Cu) (tedit 582FEB56) + (fp_text reference REF** (at -1.1 2.6) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SOT-23 (at 0 -0.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.3 0.7) (end 0.3 0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 0.7) (end 1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.6 -0.7) (end 0.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.7 -0.7) (end -1.6 -0.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.6 -0.7) (end -1.6 0.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 0.95 1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at 0 -1.1) (size 1 1.4) (layers F.Cu F.Paste F.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SPB05A.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SPB05A.kicad_mod new file mode 100644 index 0000000..8a0c6b1 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SPB05A.kicad_mod @@ -0,0 +1,27 @@ +(module Pin_Header_Angled_2x17 (layer F.Cu) (tedit 58F9BCFA) + (descr SPB05A) + (fp_text reference REF** (at 0 -5.1) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value SPB05A (at 0 -7.62) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -7.62 -3.048) (end 7.62 -3.048) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.524 -1.524) (end -1.524 1.524) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.524 1.524) (end 1.524 1.524) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 1.524) (end 1.524 -1.524) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 -1.524) (end -1.524 -1.524) (layer F.SilkS) (width 0.15)) + (fp_line (start 0 21.59) (end 7.62 21.59) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.62 21.59) (end 7.62 -3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.62 -3.81) (end -7.62 -3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.62 20.32) (end -7.62 21.59) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.62 -3.81) (end -7.62 20.32) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.62 21.59) (end 0 21.59) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole rect (at 0 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS)) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS)) + (pad 3 thru_hole oval (at 0 5.08) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS)) + (pad 5 thru_hole oval (at 0 10.16) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS)) + (pad 6 thru_hole oval (at 0 12.7) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS)) + (pad 7 thru_hole oval (at 0 15.24) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS)) + (pad 8 thru_hole oval (at 0 17.78) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/SW_Tactile_SKHH_Angled_v.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/SW_Tactile_SKHH_Angled_v.kicad_mod new file mode 100644 index 0000000..943ccca --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/SW_Tactile_SKHH_Angled_v.kicad_mod @@ -0,0 +1,55 @@ +(module Buttons_Switches_ThroughHole:SW_Tactile_SKHH_Angled (layer F.Cu) (tedit 58FF2BB1) + (descr "tactile switch 6mm ALPS SKHH right angle http://www.alps.com/prod/info/E/HTML/Tact/SnapIn/SKHH/SKHHLUA010.html") + (tags "tactile switch 6mm ALPS SKHH right angle") + (fp_text reference S1 (at 0.7 7.4) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify left bottom)) + ) + (fp_text value SW_PBTN_2PIN_V (at 2.65 -5.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 4.3 -4.3) (end 7.2 -4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.2 -4.3) (end 7.2 -1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.2 -1.1) (end 6.1 -1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.1 -1.1) (end 6.1 2.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.2 -4.3) (end -2.7 -4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.7 -4.3) (end -2.7 -1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.7 -1.1) (end -1.6 -1.1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.6 -1.1) (end -1.6 2.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.3 -2.3) (end 0.2 -2.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.6 2.9) (end 0.3 2.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.3 2.9) (end 0.3 6.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.3 6.2) (end 4.2 6.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.2 6.2) (end 4.2 2.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.2 2.9) (end 6.1 2.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.3 -4.3) (end 4.3 -2.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.2 -2.3) (end 0.2 -4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.92 5.85) (end 0.58 5.85) (layer F.Fab) (width 0.1)) + (fp_line (start -1.25 2.55) (end -1.25 -4) (layer F.Fab) (width 0.1)) + (fp_line (start -1.25 -4) (end -0.85 -4) (layer F.Fab) (width 0.1)) + (fp_line (start 5.35 -4) (end 5.75 -4) (layer F.Fab) (width 0.1)) + (fp_line (start 5.75 -4) (end 5.75 2.55) (layer F.Fab) (width 0.1)) + (fp_line (start -0.85 -2) (end 5.35 -2) (layer F.Fab) (width 0.1)) + (fp_line (start -0.85 -2) (end -0.85 -4) (layer F.Fab) (width 0.1)) + (fp_line (start 5.35 -2) (end 5.35 -4) (layer F.Fab) (width 0.1)) + (fp_line (start -1.25 2.55) (end 0.58 2.55) (layer F.Fab) (width 0.1)) + (fp_line (start 0.58 2.55) (end 3.92 2.55) (layer F.Fab) (width 0.1)) + (fp_line (start 3.92 2.55) (end 5.75 2.55) (layer F.Fab) (width 0.1)) + (fp_line (start 3.92 2.55) (end 3.92 5.85) (layer F.Fab) (width 0.1)) + (fp_line (start 0.58 2.55) (end 0.58 5.85) (layer F.Fab) (width 0.1)) + (fp_line (start -1.45 -1) (end -1.45 2.55) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 2.75) (end 5.75 2.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.95 2.55) (end 5.95 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.25 -2.2) (end 0.25 -2.2) (layer F.SilkS) (width 0.12)) + (fp_circle (center 4.5 0) (end 4.9445 0) (layer F.Mask) (width 0)) + (fp_circle (center 0 0) (end 0.4445 0) (layer F.Mask) (width 0)) + (fp_circle (center -1.25 -2.5) (end -0.615 -2.5) (layer F.Mask) (width 0)) + (fp_circle (center 5.75 -2.5) (end 6.385 -2.5) (layer F.Mask) (width 0)) + (fp_circle (center -1.25 -2.5) (end -0.107 -2.5) (layer B.Mask) (width 0)) + (fp_circle (center 4.5 0) (end 5.389 0) (layer B.Mask) (width 0)) + (fp_circle (center 0 0) (end 0.889 0) (layer B.Mask) (width 0)) + (fp_circle (center 5.75 -2.5) (end 6.893 -2.5) (layer B.Mask) (width 0)) + (pad 3 thru_hole circle (at -1.25 -2.5) (size 2.2 2.2) (drill 1.3) (layers *.Cu *.Mask)) + (pad 4 thru_hole circle (at 5.75 -2.5) (size 2.2 2.2) (drill 1.3) (layers *.Cu *.Mask)) + (pad 1 thru_hole circle (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 2 thru_hole circle (at 4.5 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/TDK_B66206X.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/TDK_B66206X.kicad_mod new file mode 100644 index 0000000..0248189 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/TDK_B66206X.kicad_mod @@ -0,0 +1,23 @@ +(module Connectors_Phoenix:PhoenixContact_MC-G_03x5.08mm_Angled (layer F.Cu) (tedit 58F9AB42) + (descr TDK_B66208X) + (fp_text reference REF** (at 7.62 -5.08) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TDK_B66206X (at 7.62 5.08) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -4.38 -2.92) (end -4.38 13.08) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.38 13.08) (end 19.62 13.08) (layer F.SilkS) (width 0.15)) + (fp_line (start 19.62 13.08) (end 19.62 -2.92) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.38 -2.92) (end 19.62 -2.92) (layer F.SilkS) (width 0.15)) + (pad 5 thru_hole oval (at 15.24 10.16) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 4 thru_hole oval (at 11.43 10.16) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 6 thru_hole oval (at 15.24 0) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 7 thru_hole oval (at 11.43 0) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 7.62 10.16) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at 3.81 10.16) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 1 thru_hole rect (at 0 10.16) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 10 thru_hole oval (at 0 0) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 9 thru_hole oval (at 3.81 0) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) + (pad 8 thru_hole oval (at 7.62 0) (size 2.5 3.6) (drill 1.3) (layers *.Cu *.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/TDK_B66208X.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/TDK_B66208X.kicad_mod new file mode 100644 index 0000000..5de66c3 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/TDK_B66208X.kicad_mod @@ -0,0 +1,23 @@ +(module Connectors_Phoenix:PhoenixContact_MC-G_03x5.08mm_Angled (layer F.Cu) (tedit 58F9A706) + (descr TDK_B66208X) + (fp_text reference REF** (at 10.16 -5.588) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TDK_B66208X (at 10.16 9.398) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -4.84 -3.65) (end -4.84 16.35) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.84 16.35) (end 25.16 16.35) (layer F.SilkS) (width 0.15)) + (fp_line (start 25.16 16.35) (end 25.16 -3.65) (layer F.SilkS) (width 0.15)) + (fp_line (start -4.84 -3.65) (end 25.16 -3.65) (layer F.SilkS) (width 0.15)) + (pad 5 thru_hole oval (at 20.32 12.7) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 4 thru_hole oval (at 15.24 12.7) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 6 thru_hole oval (at 20.32 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 7 thru_hole oval (at 15.24 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 10.16 12.7) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at 5.08 12.7) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 1 thru_hole rect (at 0 12.7) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 10 thru_hole oval (at 0 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 9 thru_hole oval (at 5.08 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) + (pad 8 thru_hole oval (at 10.16 0) (size 2.5 3.6) (drill 1.6) (layers *.Cu *.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/TE_FSM5J.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/TE_FSM5J.kicad_mod new file mode 100644 index 0000000..01854ed --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/TE_FSM5J.kicad_mod @@ -0,0 +1,20 @@ +(module Connectors:IDC_Header_Straight_10pins (layer F.Cu) (tedit 58B8E332) + (descr "10 pins through hole IDC header") + (tags "IDC header socket VASCH") + (fp_text reference REF** (at 0.3175 -5.3975) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value FSM5J (at 0.254 5.2705) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -3.6195 -4.0005) (end 4.699 -4.0005) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.699 -4.0005) (end 4.699 4.0005) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.699 4.0005) (end -3.429 4.0005) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.429 4.0005) (end -3.6195 4.0005) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.6195 4.0005) (end -3.6195 -4.0005) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole oval (at -2.25 -3.25) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 2.25 -3.25) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at -2.25 3.25) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)) + (pad 5 thru_hole oval (at 4.09 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)) + (pad 4 thru_hole oval (at 2.25 3.25) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/TQFP-128.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/TQFP-128.kicad_mod new file mode 100644 index 0000000..e885580 --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/TQFP-128.kicad_mod @@ -0,0 +1,830 @@ +(module QFP40P1600X1600X120-128N (layer F.Cu) (tedit 0) + (solder_mask_margin 0.1) + (attr smd) + (fp_text reference QFP40P1600X1600X120-128N (at -0.4826 -10.5918) (layer F.SilkS) + (effects (font (size 1.64 1.64) (thickness 0.05))) + ) + (fp_text value VAL** (at -0.8636 10.1346) (layer F.SilkS) + (effects (font (size 1.64 1.64) (thickness 0.05))) + ) + (fp_line (start -6.6548 -7.0104) (end -7.0104 -7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start 7.0104 -6.6548) (end 7.0104 -7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start 6.6548 7.0104) (end 7.0104 7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start -6.5786 -6.1468) (end -6.1468 -6.5786) (layer F.SilkS) (width 0.1524)) + (fp_line (start -7.0104 7.0104) (end -6.6548 7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start 7.0104 7.0104) (end 7.0104 6.6548) (layer F.SilkS) (width 0.1524)) + (fp_line (start 7.0104 -7.0104) (end 6.6548 -7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start -7.0104 -7.0104) (end -7.0104 -6.6548) (layer F.SilkS) (width 0.1524)) + (fp_line (start -7.0104 6.6548) (end -7.0104 7.0104) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 -2.794) (end -8.89 -2.413) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 -2.413) (end -8.636 -2.413) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.636 -2.413) (end -8.636 -2.794) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 1.2192) (end -8.89 1.6002) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 1.6002) (end -8.636 1.6002) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.636 1.6002) (end -8.636 1.2192) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 5.207) (end -8.89 5.588) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.89 5.588) (end -8.636 5.588) (layer F.SilkS) (width 0.1524)) + (fp_line (start -8.636 5.588) (end -8.636 5.207) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.5814 8.636) (end -3.5814 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.5814 8.89) (end -3.2004 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.2004 8.89) (end -3.2004 8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.4064 8.636) (end 0.4064 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.4064 8.89) (end 0.7874 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.7874 8.89) (end 0.7874 8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.4196 8.636) (end 4.4196 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.4196 8.89) (end 4.8006 8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.8006 8.89) (end 4.8006 8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 4.0132) (end 8.89 4.3942) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 4.3942) (end 8.636 4.3942) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.636 4.3942) (end 8.636 4.0132) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 0) (end 8.89 0.381) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 0.381) (end 8.636 0.381) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.636 0.381) (end 8.636 0) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 -3.9878) (end 8.89 -3.6068) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.89 -3.6068) (end 8.636 -3.6068) (layer F.SilkS) (width 0.1524)) + (fp_line (start 8.636 -3.6068) (end 8.636 -3.9878) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.8006 -8.636) (end 4.8006 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.8006 -8.89) (end 5.1816 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 5.1816 -8.89) (end 5.1816 -8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.8128 -8.636) (end 0.8128 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.8128 -8.89) (end 1.1938 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1.1938 -8.89) (end 1.1938 -8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.2004 -8.636) (end -3.2004 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -3.2004 -8.89) (end -2.8194 -8.89) (layer F.SilkS) (width 0.1524)) + (fp_line (start -2.8194 -8.89) (end -2.8194 -8.636) (layer F.SilkS) (width 0.1524)) + (fp_line (start 6.096 -7.0104) (end 6.3246 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.3246 -7.0104) (end 6.3246 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.3246 -8.0264) (end 6.096 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.096 -8.0264) (end 6.096 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.6896 -7.0104) (end 5.9182 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.9182 -7.0104) (end 5.9182 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.9182 -8.0264) (end 5.6896 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.6896 -8.0264) (end 5.6896 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.2832 -7.0104) (end 5.5118 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.5118 -7.0104) (end 5.5118 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.5118 -8.0264) (end 5.2832 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.2832 -8.0264) (end 5.2832 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.8768 -7.0104) (end 5.1054 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.1054 -7.0104) (end 5.1054 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.1054 -8.0264) (end 4.8768 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.8768 -8.0264) (end 4.8768 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.4958 -7.0104) (end 4.7244 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.7244 -7.0104) (end 4.7244 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.7244 -8.0264) (end 4.4958 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.4958 -8.0264) (end 4.4958 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.0894 -7.0104) (end 4.318 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.318 -7.0104) (end 4.318 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.318 -8.0264) (end 4.0894 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.0894 -8.0264) (end 4.0894 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.683 -7.0104) (end 3.9116 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.9116 -7.0104) (end 3.9116 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.9116 -8.0264) (end 3.683 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.683 -8.0264) (end 3.683 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.2766 -7.0104) (end 3.5052 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.5052 -7.0104) (end 3.5052 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.5052 -8.0264) (end 3.2766 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.2766 -8.0264) (end 3.2766 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.8956 -7.0104) (end 3.1242 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.1242 -7.0104) (end 3.1242 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.1242 -8.0264) (end 2.8956 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.8956 -8.0264) (end 2.8956 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.4892 -7.0104) (end 2.7178 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.7178 -7.0104) (end 2.7178 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.7178 -8.0264) (end 2.4892 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.4892 -8.0264) (end 2.4892 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.0828 -7.0104) (end 2.3114 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.3114 -7.0104) (end 2.3114 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.3114 -8.0264) (end 2.0828 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.0828 -8.0264) (end 2.0828 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.6764 -7.0104) (end 1.905 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.905 -7.0104) (end 1.905 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.905 -8.0264) (end 1.6764 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.6764 -8.0264) (end 1.6764 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.2954 -7.0104) (end 1.524 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.524 -7.0104) (end 1.524 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.524 -8.0264) (end 1.2954 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.2954 -8.0264) (end 1.2954 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.889 -7.0104) (end 1.1176 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.1176 -7.0104) (end 1.1176 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.1176 -8.0264) (end 0.889 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.889 -8.0264) (end 0.889 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.4826 -7.0104) (end 0.7112 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.7112 -7.0104) (end 0.7112 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.7112 -8.0264) (end 0.4826 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.4826 -8.0264) (end 0.4826 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.0762 -7.0104) (end 0.3048 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.3048 -7.0104) (end 0.3048 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.3048 -8.0264) (end 0.0762 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.0762 -8.0264) (end 0.0762 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.3048 -7.0104) (end -0.0762 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.0762 -7.0104) (end -0.0762 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.0762 -8.0264) (end -0.3048 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.3048 -8.0264) (end -0.3048 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.7112 -7.0104) (end -0.4826 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.4826 -7.0104) (end -0.4826 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.4826 -8.0264) (end -0.7112 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.7112 -8.0264) (end -0.7112 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.1176 -7.0104) (end -0.889 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.889 -7.0104) (end -0.889 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.889 -8.0264) (end -1.1176 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.1176 -8.0264) (end -1.1176 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.524 -7.0104) (end -1.2954 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.2954 -7.0104) (end -1.2954 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.2954 -8.0264) (end -1.524 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.524 -8.0264) (end -1.524 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.905 -7.0104) (end -1.6764 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.6764 -7.0104) (end -1.6764 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.6764 -8.0264) (end -1.905 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.905 -8.0264) (end -1.905 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.3114 -7.0104) (end -2.0828 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.0828 -7.0104) (end -2.0828 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.0828 -8.0264) (end -2.3114 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.3114 -8.0264) (end -2.3114 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.7178 -7.0104) (end -2.4892 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.4892 -7.0104) (end -2.4892 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.4892 -8.0264) (end -2.7178 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.7178 -8.0264) (end -2.7178 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.1242 -7.0104) (end -2.8956 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.8956 -7.0104) (end -2.8956 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.8956 -8.0264) (end -3.1242 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.1242 -8.0264) (end -3.1242 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.5052 -7.0104) (end -3.2766 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.2766 -7.0104) (end -3.2766 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.2766 -8.0264) (end -3.5052 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.5052 -8.0264) (end -3.5052 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.9116 -7.0104) (end -3.683 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.683 -7.0104) (end -3.683 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.683 -8.0264) (end -3.9116 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.9116 -8.0264) (end -3.9116 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.318 -7.0104) (end -4.0894 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.0894 -7.0104) (end -4.0894 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.0894 -8.0264) (end -4.318 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.318 -8.0264) (end -4.318 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.7244 -7.0104) (end -4.4958 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.4958 -7.0104) (end -4.4958 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.4958 -8.0264) (end -4.7244 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.7244 -8.0264) (end -4.7244 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.1054 -7.0104) (end -4.8768 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.8768 -7.0104) (end -4.8768 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.8768 -8.0264) (end -5.1054 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.1054 -8.0264) (end -5.1054 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.5118 -7.0104) (end -5.2832 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.2832 -7.0104) (end -5.2832 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.2832 -8.0264) (end -5.5118 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.5118 -8.0264) (end -5.5118 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.9182 -7.0104) (end -5.7404 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.7404 -7.0104) (end -5.6896 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.6896 -7.0104) (end -5.6896 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.6896 -8.0264) (end -5.9182 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.9182 -8.0264) (end -5.9182 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.3246 -7.0104) (end -6.096 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.096 -7.0104) (end -6.096 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.096 -8.0264) (end -6.3246 -8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.3246 -8.0264) (end -6.3246 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -6.096) (end -7.0104 -6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -6.3246) (end -8.0264 -6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -6.3246) (end -8.0264 -6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -6.096) (end -7.0104 -6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.6896) (end -7.0104 -5.7404) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.7404) (end -7.0104 -5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.9182) (end -8.0264 -5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -5.9182) (end -8.0264 -5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -5.6896) (end -7.0104 -5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.2832) (end -7.0104 -5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.5118) (end -8.0264 -5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -5.5118) (end -8.0264 -5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -5.2832) (end -7.0104 -5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -4.8768) (end -7.0104 -5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.1054) (end -8.0264 -5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -5.1054) (end -8.0264 -4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -4.8768) (end -7.0104 -4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -4.4958) (end -7.0104 -4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -4.7244) (end -8.0264 -4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -4.7244) (end -8.0264 -4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -4.4958) (end -7.0104 -4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -4.0894) (end -7.0104 -4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -4.318) (end -8.0264 -4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -4.318) (end -8.0264 -4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -4.0894) (end -7.0104 -4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -3.683) (end -7.0104 -3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -3.9116) (end -8.0264 -3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -3.9116) (end -8.0264 -3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -3.683) (end -7.0104 -3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -3.2766) (end -7.0104 -3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -3.5052) (end -8.0264 -3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -3.5052) (end -8.0264 -3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -3.2766) (end -7.0104 -3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -2.8956) (end -7.0104 -3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -3.1242) (end -8.0264 -3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -3.1242) (end -8.0264 -2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -2.8956) (end -7.0104 -2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -2.4892) (end -7.0104 -2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -2.7178) (end -8.0264 -2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -2.7178) (end -8.0264 -2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -2.4892) (end -7.0104 -2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -2.0828) (end -7.0104 -2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -2.3114) (end -8.0264 -2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -2.3114) (end -8.0264 -2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -2.0828) (end -7.0104 -2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -1.6764) (end -7.0104 -1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -1.905) (end -8.0264 -1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -1.905) (end -8.0264 -1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -1.6764) (end -7.0104 -1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -1.2954) (end -7.0104 -1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -1.524) (end -8.0264 -1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -1.524) (end -8.0264 -1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -1.2954) (end -7.0104 -1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -0.889) (end -7.0104 -1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -1.1176) (end -8.0264 -1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -1.1176) (end -8.0264 -0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -0.889) (end -7.0104 -0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -0.4826) (end -7.0104 -0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -0.7112) (end -8.0264 -0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -0.7112) (end -8.0264 -0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -0.4826) (end -7.0104 -0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -0.0762) (end -7.0104 -0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -0.3048) (end -8.0264 -0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -0.3048) (end -8.0264 -0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 -0.0762) (end -7.0104 -0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 0.3048) (end -7.0104 0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 0.0762) (end -8.0264 0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 0.0762) (end -8.0264 0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 0.3048) (end -7.0104 0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 0.7112) (end -7.0104 0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 0.4826) (end -8.0264 0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 0.4826) (end -8.0264 0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 0.7112) (end -7.0104 0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 1.1176) (end -7.0104 0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 0.889) (end -8.0264 0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 0.889) (end -8.0264 1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 1.1176) (end -7.0104 1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 1.524) (end -7.0104 1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 1.2954) (end -8.0264 1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 1.2954) (end -8.0264 1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 1.524) (end -7.0104 1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 1.905) (end -7.0104 1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 1.6764) (end -8.0264 1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 1.6764) (end -8.0264 1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 1.905) (end -7.0104 1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 2.3114) (end -7.0104 2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 2.0828) (end -8.0264 2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 2.0828) (end -8.0264 2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 2.3114) (end -7.0104 2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 2.7178) (end -7.0104 2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 2.4892) (end -8.0264 2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 2.4892) (end -8.0264 2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 2.7178) (end -7.0104 2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 3.1242) (end -7.0104 2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 2.8956) (end -8.0264 2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 2.8956) (end -8.0264 3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 3.1242) (end -7.0104 3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 3.5052) (end -7.0104 3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 3.2766) (end -8.0264 3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 3.2766) (end -8.0264 3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 3.5052) (end -7.0104 3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 3.9116) (end -7.0104 3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 3.683) (end -8.0264 3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 3.683) (end -8.0264 3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 3.9116) (end -7.0104 3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 4.318) (end -7.0104 4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 4.0894) (end -8.0264 4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 4.0894) (end -8.0264 4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 4.318) (end -7.0104 4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 4.7244) (end -7.0104 4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 4.4958) (end -8.0264 4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 4.4958) (end -8.0264 4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 4.7244) (end -7.0104 4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 5.1054) (end -7.0104 4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 4.8768) (end -8.0264 4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 4.8768) (end -8.0264 5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 5.1054) (end -7.0104 5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 5.5118) (end -7.0104 5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 5.2832) (end -8.0264 5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 5.2832) (end -8.0264 5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 5.5118) (end -7.0104 5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 5.9182) (end -7.0104 5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 5.6896) (end -8.0264 5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 5.6896) (end -8.0264 5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 5.9182) (end -7.0104 5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 6.3246) (end -7.0104 6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 6.096) (end -8.0264 6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 6.096) (end -8.0264 6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -8.0264 6.3246) (end -7.0104 6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.096 7.0104) (end -6.3246 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.3246 7.0104) (end -6.3246 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.3246 8.0264) (end -6.096 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -6.096 8.0264) (end -6.096 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.6896 7.0104) (end -5.9182 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.9182 7.0104) (end -5.9182 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.9182 8.0264) (end -5.6896 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.6896 8.0264) (end -5.6896 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.2832 7.0104) (end -5.5118 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.5118 7.0104) (end -5.5118 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.5118 8.0264) (end -5.2832 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.2832 8.0264) (end -5.2832 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.8768 7.0104) (end -5.1054 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.1054 7.0104) (end -5.1054 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -5.1054 8.0264) (end -4.8768 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.8768 8.0264) (end -4.8768 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.4958 7.0104) (end -4.7244 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.7244 7.0104) (end -4.7244 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.7244 8.0264) (end -4.4958 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.4958 8.0264) (end -4.4958 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.0894 7.0104) (end -4.318 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.318 7.0104) (end -4.318 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.318 8.0264) (end -4.0894 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -4.0894 8.0264) (end -4.0894 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.683 7.0104) (end -3.9116 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.9116 7.0104) (end -3.9116 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.9116 8.0264) (end -3.683 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.683 8.0264) (end -3.683 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.2766 7.0104) (end -3.5052 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.5052 7.0104) (end -3.5052 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.5052 8.0264) (end -3.2766 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.2766 8.0264) (end -3.2766 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.8956 7.0104) (end -3.1242 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.1242 7.0104) (end -3.1242 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -3.1242 8.0264) (end -2.8956 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.8956 8.0264) (end -2.8956 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.4892 7.0104) (end -2.7178 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.7178 7.0104) (end -2.7178 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.7178 8.0264) (end -2.4892 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.4892 8.0264) (end -2.4892 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.0828 7.0104) (end -2.3114 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.3114 7.0104) (end -2.3114 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.3114 8.0264) (end -2.0828 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -2.0828 8.0264) (end -2.0828 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.6764 7.0104) (end -1.905 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.905 7.0104) (end -1.905 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.905 8.0264) (end -1.6764 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.6764 8.0264) (end -1.6764 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.2954 7.0104) (end -1.524 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.524 7.0104) (end -1.524 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.524 8.0264) (end -1.2954 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.2954 8.0264) (end -1.2954 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.889 7.0104) (end -1.1176 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.1176 7.0104) (end -1.1176 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -1.1176 8.0264) (end -0.889 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.889 8.0264) (end -0.889 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.4826 7.0104) (end -0.7112 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.7112 7.0104) (end -0.7112 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.7112 8.0264) (end -0.4826 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.4826 8.0264) (end -0.4826 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.0762 7.0104) (end -0.3048 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.3048 7.0104) (end -0.3048 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.3048 8.0264) (end -0.0762 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -0.0762 8.0264) (end -0.0762 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.3048 7.0104) (end 0.0762 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.0762 7.0104) (end 0.0762 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.0762 8.0264) (end 0.3048 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.3048 8.0264) (end 0.3048 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.7112 7.0104) (end 0.4826 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.4826 7.0104) (end 0.4826 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.4826 8.0264) (end 0.7112 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.7112 8.0264) (end 0.7112 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.1176 7.0104) (end 0.889 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.889 7.0104) (end 0.889 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 0.889 8.0264) (end 1.1176 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.1176 8.0264) (end 1.1176 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.524 7.0104) (end 1.2954 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.2954 7.0104) (end 1.2954 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.2954 8.0264) (end 1.524 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.524 8.0264) (end 1.524 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.905 7.0104) (end 1.6764 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.6764 7.0104) (end 1.6764 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.6764 8.0264) (end 1.905 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 1.905 8.0264) (end 1.905 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.3114 7.0104) (end 2.0828 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.0828 7.0104) (end 2.0828 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.0828 8.0264) (end 2.3114 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.3114 8.0264) (end 2.3114 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.7178 7.0104) (end 2.4892 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.4892 7.0104) (end 2.4892 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.4892 8.0264) (end 2.7178 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.7178 8.0264) (end 2.7178 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.1242 7.0104) (end 2.8956 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.8956 7.0104) (end 2.8956 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 2.8956 8.0264) (end 3.1242 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.1242 8.0264) (end 3.1242 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.5052 7.0104) (end 3.2766 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.2766 7.0104) (end 3.2766 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.2766 8.0264) (end 3.5052 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.5052 8.0264) (end 3.5052 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.9116 7.0104) (end 3.683 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.683 7.0104) (end 3.683 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.683 8.0264) (end 3.9116 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 3.9116 8.0264) (end 3.9116 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.318 7.0104) (end 4.0894 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.0894 7.0104) (end 4.0894 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.0894 8.0264) (end 4.318 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.318 8.0264) (end 4.318 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.7244 7.0104) (end 4.4958 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.4958 7.0104) (end 4.4958 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.4958 8.0264) (end 4.7244 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.7244 8.0264) (end 4.7244 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.1054 7.0104) (end 4.8768 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.8768 7.0104) (end 4.8768 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 4.8768 8.0264) (end 5.1054 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.1054 8.0264) (end 5.1054 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.5118 7.0104) (end 5.2832 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.2832 7.0104) (end 5.2832 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.2832 8.0264) (end 5.5118 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.5118 8.0264) (end 5.5118 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.9182 7.0104) (end 5.6896 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.6896 7.0104) (end 5.6896 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.6896 8.0264) (end 5.9182 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 5.9182 8.0264) (end 5.9182 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.3246 7.0104) (end 6.096 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.096 7.0104) (end 6.096 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.096 8.0264) (end 6.3246 8.0264) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 6.3246 8.0264) (end 6.3246 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 6.096) (end 7.0104 6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 6.3246) (end 8.0264 6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 6.3246) (end 8.0264 6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 6.096) (end 7.0104 6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 5.6896) (end 7.0104 5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 5.9182) (end 8.0264 5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 5.9182) (end 8.0264 5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 5.6896) (end 7.0104 5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 5.2832) (end 7.0104 5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 5.5118) (end 8.0264 5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 5.5118) (end 8.0264 5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 5.2832) (end 7.0104 5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 4.8768) (end 7.0104 5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 5.1054) (end 8.0264 5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 5.1054) (end 8.0264 4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 4.8768) (end 7.0104 4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 4.4958) (end 7.0104 4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 4.7244) (end 8.0264 4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 4.7244) (end 8.0264 4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 4.4958) (end 7.0104 4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 4.0894) (end 7.0104 4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 4.318) (end 8.0264 4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 4.318) (end 8.0264 4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 4.0894) (end 7.0104 4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 3.683) (end 7.0104 3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 3.9116) (end 8.0264 3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 3.9116) (end 8.0264 3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 3.683) (end 7.0104 3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 3.2766) (end 7.0104 3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 3.5052) (end 8.0264 3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 3.5052) (end 8.0264 3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 3.2766) (end 7.0104 3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 2.8956) (end 7.0104 3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 3.1242) (end 8.0264 3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 3.1242) (end 8.0264 2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 2.8956) (end 7.0104 2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 2.4892) (end 7.0104 2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 2.7178) (end 8.0264 2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 2.7178) (end 8.0264 2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 2.4892) (end 7.0104 2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 2.0828) (end 7.0104 2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 2.3114) (end 8.0264 2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 2.3114) (end 8.0264 2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 2.0828) (end 7.0104 2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 1.6764) (end 7.0104 1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 1.905) (end 8.0264 1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 1.905) (end 8.0264 1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 1.6764) (end 7.0104 1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 1.2954) (end 7.0104 1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 1.524) (end 8.0264 1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 1.524) (end 8.0264 1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 1.2954) (end 7.0104 1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 0.889) (end 7.0104 1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 1.1176) (end 8.0264 1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 1.1176) (end 8.0264 0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 0.889) (end 7.0104 0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 0.4826) (end 7.0104 0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 0.7112) (end 8.0264 0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 0.7112) (end 8.0264 0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 0.4826) (end 7.0104 0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 0.0762) (end 7.0104 0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 0.3048) (end 8.0264 0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 0.3048) (end 8.0264 0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 0.0762) (end 7.0104 0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -0.3048) (end 7.0104 -0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -0.0762) (end 8.0264 -0.0762) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -0.0762) (end 8.0264 -0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -0.3048) (end 7.0104 -0.3048) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -0.7112) (end 7.0104 -0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -0.4826) (end 8.0264 -0.4826) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -0.4826) (end 8.0264 -0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -0.7112) (end 7.0104 -0.7112) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -1.1176) (end 7.0104 -0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -0.889) (end 8.0264 -0.889) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -0.889) (end 8.0264 -1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -1.1176) (end 7.0104 -1.1176) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -1.524) (end 7.0104 -1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -1.2954) (end 8.0264 -1.2954) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -1.2954) (end 8.0264 -1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -1.524) (end 7.0104 -1.524) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -1.905) (end 7.0104 -1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -1.6764) (end 8.0264 -1.6764) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -1.6764) (end 8.0264 -1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -1.905) (end 7.0104 -1.905) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -2.3114) (end 7.0104 -2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -2.0828) (end 8.0264 -2.0828) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -2.0828) (end 8.0264 -2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -2.3114) (end 7.0104 -2.3114) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -2.7178) (end 7.0104 -2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -2.4892) (end 8.0264 -2.4892) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -2.4892) (end 8.0264 -2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -2.7178) (end 7.0104 -2.7178) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -3.1242) (end 7.0104 -2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -2.8956) (end 8.0264 -2.8956) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -2.8956) (end 8.0264 -3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -3.1242) (end 7.0104 -3.1242) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -3.5052) (end 7.0104 -3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -3.2766) (end 8.0264 -3.2766) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -3.2766) (end 8.0264 -3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -3.5052) (end 7.0104 -3.5052) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -3.9116) (end 7.0104 -3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -3.683) (end 8.0264 -3.683) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -3.683) (end 8.0264 -3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -3.9116) (end 7.0104 -3.9116) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -4.318) (end 7.0104 -4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -4.0894) (end 8.0264 -4.0894) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -4.0894) (end 8.0264 -4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -4.318) (end 7.0104 -4.318) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -4.7244) (end 7.0104 -4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -4.4958) (end 8.0264 -4.4958) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -4.4958) (end 8.0264 -4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -4.7244) (end 7.0104 -4.7244) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -5.1054) (end 7.0104 -4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -4.8768) (end 8.0264 -4.8768) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -4.8768) (end 8.0264 -5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -5.1054) (end 7.0104 -5.1054) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -5.5118) (end 7.0104 -5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -5.2832) (end 8.0264 -5.2832) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -5.2832) (end 8.0264 -5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -5.5118) (end 7.0104 -5.5118) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -5.9182) (end 7.0104 -5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -5.6896) (end 8.0264 -5.6896) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -5.6896) (end 8.0264 -5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -5.9182) (end 7.0104 -5.9182) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -6.3246) (end 7.0104 -6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -6.096) (end 8.0264 -6.096) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -6.096) (end 8.0264 -6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 8.0264 -6.3246) (end 7.0104 -6.3246) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -5.7404) (end -5.7404 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 7.0104) (end 7.0104 7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 7.0104) (end 7.0104 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start 7.0104 -7.0104) (end -7.0104 -7.0104) (layer Dwgs.User) (width 0.1524)) + (fp_line (start -7.0104 -7.0104) (end -7.0104 7.0104) (layer Dwgs.User) (width 0.1524)) + (pad 1 smd rect (at -7.6454 -6.1976 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 2 smd rect (at -7.6454 -5.7912 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 3 smd rect (at -7.6454 -5.4102 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 4 smd rect (at -7.6454 -5.0038 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 5 smd rect (at -7.6454 -4.5974 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 6 smd rect (at -7.6454 -4.191 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 7 smd rect (at -7.6454 -3.81 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 8 smd rect (at -7.6454 -3.4036 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 9 smd rect (at -7.6454 -2.9972 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 10 smd rect (at -7.6454 -2.5908 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 11 smd rect (at -7.6454 -2.2098 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 12 smd rect (at -7.6454 -1.8034 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 13 smd rect (at -7.6454 -1.397 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 14 smd rect (at -7.6454 -0.9906 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 15 smd rect (at -7.6454 -0.6096 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 16 smd rect (at -7.6454 -0.2032 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 17 smd rect (at -7.6454 0.2032 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 18 smd rect (at -7.6454 0.6096 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 19 smd rect (at -7.6454 0.9906 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 20 smd rect (at -7.6454 1.397 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 21 smd rect (at -7.6454 1.8034 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 22 smd rect (at -7.6454 2.2098 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 23 smd rect (at -7.6454 2.5908 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 24 smd rect (at -7.6454 2.9972 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 25 smd rect (at -7.6454 3.4036 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 26 smd rect (at -7.6454 3.81 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 27 smd rect (at -7.6454 4.191 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 28 smd rect (at -7.6454 4.5974 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 29 smd rect (at -7.6454 5.0038 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 30 smd rect (at -7.6454 5.4102 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 31 smd rect (at -7.6454 5.7912 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 32 smd rect (at -7.6454 6.1976 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 33 smd rect (at -6.1976 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 34 smd rect (at -5.7912 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 35 smd rect (at -5.4102 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 36 smd rect (at -5.0038 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 37 smd rect (at -4.5974 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 38 smd rect (at -4.191 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 39 smd rect (at -3.81 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 40 smd rect (at -3.4036 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 41 smd rect (at -2.9972 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 42 smd rect (at -2.5908 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 43 smd rect (at -2.2098 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 44 smd rect (at -1.8034 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 45 smd rect (at -1.397 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 46 smd rect (at -0.9906 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 47 smd rect (at -0.6096 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 48 smd rect (at -0.2032 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 49 smd rect (at 0.2032 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 50 smd rect (at 0.6096 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 51 smd rect (at 0.9906 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 52 smd rect (at 1.397 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 53 smd rect (at 1.8034 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 54 smd rect (at 2.2098 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 55 smd rect (at 2.5908 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 56 smd rect (at 2.9972 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 57 smd rect (at 3.4036 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 58 smd rect (at 3.81 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 59 smd rect (at 4.191 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 60 smd rect (at 4.5974 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 61 smd rect (at 5.0038 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 62 smd rect (at 5.4102 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 63 smd rect (at 5.7912 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 64 smd rect (at 6.1976 7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 65 smd rect (at 7.6454 6.1976 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 66 smd rect (at 7.6454 5.7912 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 67 smd rect (at 7.6454 5.4102 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 68 smd rect (at 7.6454 5.0038 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 69 smd rect (at 7.6454 4.5974 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 70 smd rect (at 7.6454 4.191 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 71 smd rect (at 7.6454 3.81 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 72 smd rect (at 7.6454 3.4036 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 73 smd rect (at 7.6454 2.9972 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 74 smd rect (at 7.6454 2.5908 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 75 smd rect (at 7.6454 2.2098 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 76 smd rect (at 7.6454 1.8034 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 77 smd rect (at 7.6454 1.397 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 78 smd rect (at 7.6454 0.9906 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 79 smd rect (at 7.6454 0.6096 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 80 smd rect (at 7.6454 0.2032 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 81 smd rect (at 7.6454 -0.2032 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 82 smd rect (at 7.6454 -0.6096 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 83 smd rect (at 7.6454 -0.9906 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 84 smd rect (at 7.6454 -1.397 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 85 smd rect (at 7.6454 -1.8034 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 86 smd rect (at 7.6454 -2.2098 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 87 smd rect (at 7.6454 -2.5908 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 88 smd rect (at 7.6454 -2.9972 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 89 smd rect (at 7.6454 -3.4036 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 90 smd rect (at 7.6454 -3.81 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 91 smd rect (at 7.6454 -4.191 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 92 smd rect (at 7.6454 -4.5974 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 93 smd rect (at 7.6454 -5.0038 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 94 smd rect (at 7.6454 -5.4102 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 95 smd rect (at 7.6454 -5.7912 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 96 smd rect (at 7.6454 -6.1976 270) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 97 smd rect (at 6.1976 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 98 smd rect (at 5.7912 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 99 smd rect (at 5.4102 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 100 smd rect (at 5.0038 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 101 smd rect (at 4.5974 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 102 smd rect (at 4.191 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 103 smd rect (at 3.81 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 104 smd rect (at 3.4036 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 105 smd rect (at 2.9972 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 106 smd rect (at 2.5908 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 107 smd rect (at 2.2098 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 108 smd rect (at 1.8034 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 109 smd rect (at 1.397 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 110 smd rect (at 0.9906 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 111 smd rect (at 0.6096 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 112 smd rect (at 0.2032 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 113 smd rect (at -0.2032 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 114 smd rect (at -0.6096 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 115 smd rect (at -0.9906 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 116 smd rect (at -1.397 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 117 smd rect (at -1.8034 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 118 smd rect (at -2.2098 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 119 smd rect (at -2.5908 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 120 smd rect (at -2.9972 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 121 smd rect (at -3.4036 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 122 smd rect (at -3.81 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 123 smd rect (at -4.191 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 124 smd rect (at -4.5974 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 125 smd rect (at -5.0038 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 126 smd rect (at -5.4102 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 127 smd rect (at -5.7912 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) + (pad 128 smd rect (at -6.1976 -7.6454 180) (size 0.2286 1.4732) (layers F.Cu F.Paste F.Mask) + (solder_mask_margin 0.2)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/XTAL3_2X2_5.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/XTAL3_2X2_5.kicad_mod new file mode 100644 index 0000000..371ee3f --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/XTAL3_2X2_5.kicad_mod @@ -0,0 +1,18 @@ +(module XTAL3_2X2_5 (layer F.Cu) (tedit 580B09AE) + (fp_text reference REF** (at 0 -2.4) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value XTAL3_2X2_5 (at 0 3.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.4 1.2) (end -2.4 2) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.4 2) (end -1.6 2) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.1 -1.7) (end 2.1 -1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.1 -1.7) (end 2.1 -0.8) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 0.7) (end -2.1 1.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.1 1.7) (end -1.1 1.7) (layer F.SilkS) (width 0.15)) + (pad 3 smd rect (at 1.1 -0.8) (size 1.4 1.15) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at 1.1 0.8) (size 1.4 1.15) (layers F.Cu F.Paste F.Mask)) + (pad 1 smd rect (at -1.1 0.8) (size 1.4 1.15) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at -1.1 -0.8) (size 1.4 1.15) (layers F.Cu F.Paste F.Mask)) +) diff --git a/hardware/rev1/kicad/proj_footprints.pretty/bnc_90_1-1337543-0.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/bnc_90_1-1337543-0.kicad_mod new file mode 100644 index 0000000..776d44d --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/bnc_90_1-1337543-0.kicad_mod @@ -0,0 +1,37 @@ +(module bnc_90_1-1337543-0 (layer F.Cu) + (descr "BNC connector, Tyco 1-1337543-0") + (fp_text reference bnc_90_1-1337543-0 (at 0 -14.9) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) + ) + (fp_text value VAL** (at 0 -16.4) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) + ) + (fp_line (start -6.4 1.2) (end 6.4 0.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 5.2) (end 6.4 4.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 4.2) (end 6.4 3.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 2.2) (end 6.4 1.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 3.2) (end 6.4 2.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 7.2) (end 6.4 6.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 6.2) (end 6.4 5.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 8.2) (end 6.4 7.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 9.2) (end 6.4 8.5) (layer F.SilkS) (width 0.3)) + (fp_line (start 6.4 9.2) (end 6.4 0) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 9.2) (end -6.4 0) (layer F.SilkS) (width 0.3)) + (fp_line (start 4.8 21.2) (end 4.8 9.2) (layer F.SilkS) (width 0.3)) + (fp_line (start -4.8 21.2) (end -4.8 9.2) (layer F.SilkS) (width 0.3)) + (fp_line (start -4.8 21.2) (end 4.8 21.2) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 9.2) (end 6.4 9.2) (layer F.SilkS) (width 0.3)) + (fp_line (start 7.4 0) (end 7.4 -13.7) (layer F.SilkS) (width 0.3)) + (fp_line (start -7.4 -13.7) (end -7.4 0) (layer F.SilkS) (width 0.3)) + (fp_line (start -7.4 -13.7) (end 7.4 -13.7) (layer F.SilkS) (width 0.3)) + (fp_line (start -7.4 0) (end 7.4 0) (layer F.SilkS) (width 0.3)) + (pad 1 thru_hole circle (at 0 -12.38) (size 1.5 1.5) (drill 0.9) (layers *.Cu *.Mask F.SilkS)) + (pad 2 thru_hole circle (at 2.54 -12.38) (size 1.5 1.5) (drill 0.9) (layers *.Cu *.Mask F.SilkS)) + (pad "" np_thru_hole circle (at 5.08 -7.3) (size 2.1 2.1) (drill 2.1) (layers *.Cu *.Mask F.SilkS)) + (pad "" np_thru_hole circle (at -5.08 -7.3) (size 2.1 2.1) (drill 2.1) (layers *.Cu *.Mask F.SilkS)) + (model walter/conn_rf/bnc_90_1-1337543-0.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) \ No newline at end of file diff --git a/hardware/rev1/kicad/proj_footprints.pretty/bnc_90_1-1337543-0_ns.kicad_mod b/hardware/rev1/kicad/proj_footprints.pretty/bnc_90_1-1337543-0_ns.kicad_mod new file mode 100644 index 0000000..388829d --- /dev/null +++ b/hardware/rev1/kicad/proj_footprints.pretty/bnc_90_1-1337543-0_ns.kicad_mod @@ -0,0 +1,37 @@ +(module proj_footprints:bnc_90_1-1337543-0 (layer F.Cu) (tedit 58FFF2B4) + (descr "BNC connector, Tyco 1-1337543-0") + (fp_text reference P1 (at -10.19 -11.258) (layer F.SilkS) + (effects (font (size 3 3) (thickness 0.3))) + ) + (fp_text value BNC-90 (at 0 -16.4) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) + ) + (fp_line (start -6.4 1.2) (end 6.4 0.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 5.2) (end 6.4 4.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 4.2) (end 6.4 3.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 2.2) (end 6.4 1.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 3.2) (end 6.4 2.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 7.2) (end 6.4 6.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 6.2) (end 6.4 5.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 8.2) (end 6.4 7.5) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 9.2) (end 6.4 8.5) (layer F.SilkS) (width 0.3)) + (fp_line (start 6.4 9.2) (end 6.4 0) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 9.2) (end -6.4 0) (layer F.SilkS) (width 0.3)) + (fp_line (start 4.8 21.2) (end 4.8 9.2) (layer F.SilkS) (width 0.3)) + (fp_line (start -4.8 21.2) (end -4.8 9.2) (layer F.SilkS) (width 0.3)) + (fp_line (start -4.8 21.2) (end 4.8 21.2) (layer F.SilkS) (width 0.3)) + (fp_line (start -6.4 9.2) (end 6.4 9.2) (layer F.SilkS) (width 0.3)) + (fp_line (start 7.4 0) (end 7.4 -13.7) (layer F.SilkS) (width 0.3)) + (fp_line (start -7.4 -13.7) (end -7.4 0) (layer F.SilkS) (width 0.3)) + (fp_line (start -7.4 0) (end 7.4 0) (layer F.SilkS) (width 0.3)) + (pad 1 thru_hole circle (at 0 -12.38) (size 1.5 1.5) (drill 0.9) (layers *.Cu *.Mask F.SilkS) + (solder_mask_margin 2) (clearance 2)) + (pad 2 thru_hole circle (at 2.54 -12.38) (size 1.5 1.5) (drill 0.9) (layers *.Cu *.Mask F.SilkS)) + (pad "" np_thru_hole circle (at 5.08 -7.3) (size 2.1 2.1) (drill 2.1) (layers *.Cu *.Mask F.SilkS)) + (pad "" np_thru_hole circle (at -5.08 -7.3) (size 2.1 2.1) (drill 2.1) (layers *.Cu *.Mask F.SilkS)) + (model walter/conn_rf/bnc_90_1-1337543-0.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +)