Compare commits

...

4 Commits

28 changed files with 22833 additions and 13191 deletions

3
.gitignore vendored
View File

@ -1,7 +1,6 @@
docs/
libs/
*-bak
libs/*.zip
fp-info-cache
fp-lib-table
sym-lib-table
*-cache.lib

File diff suppressed because it is too large Load Diff

View File

@ -3,7 +3,7 @@ EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 7 8
Sheet 6 8
Title ""
Date ""
Rev ""
@ -18,8 +18,8 @@ L power:GND #PWR?
U 1 1 60E4907E
P 1000 2050
AR Path="/60C2FDBB/60E4907E" Ref="#PWR?" Part="1"
AR Path="/60E4702B/60E4907E" Ref="#PWR038" Part="1"
F 0 "#PWR038" H 1000 1800 50 0001 C CNN
AR Path="/60E4702B/60E4907E" Ref="#PWR097" Part="1"
F 0 "#PWR097" H 1000 1800 50 0001 C CNN
F 1 "GND" V 1005 1922 50 0000 R CNN
F 2 "" H 1000 2050 50 0001 C CNN
F 3 "" H 1000 2050 50 0001 C CNN
@ -33,8 +33,6 @@ Wire Wire Line
Wire Wire Line
1150 2250 1250 2250
Connection ~ 1150 2050
Wire Wire Line
1150 2050 1150 2250
Wire Wire Line
1000 2050 1150 2050
$Comp
@ -42,8 +40,8 @@ L power:GND #PWR?
U 1 1 60E4908A
P 2050 2050
AR Path="/60C2FDBB/60E4908A" Ref="#PWR?" Part="1"
AR Path="/60E4702B/60E4908A" Ref="#PWR039" Part="1"
F 0 "#PWR039" H 2050 1800 50 0001 C CNN
AR Path="/60E4702B/60E4908A" Ref="#PWR098" Part="1"
F 0 "#PWR098" H 2050 1800 50 0001 C CNN
F 1 "GND" V 2055 1922 50 0000 R CNN
F 2 "" H 2050 2050 50 0001 C CNN
F 3 "" H 2050 2050 50 0001 C CNN
@ -75,8 +73,8 @@ L Device:C C?
U 1 1 60E4909D
P 1400 2250
AR Path="/60C2FDBB/60E4909D" Ref="C?" Part="1"
AR Path="/60E4702B/60E4909D" Ref="C27" Part="1"
F 0 "C27" V 1148 2250 50 0000 C CNN
AR Path="/60E4702B/60E4909D" Ref="C34" Part="1"
F 0 "C34" V 1148 2250 50 0000 C CNN
F 1 "22pF" V 1239 2250 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 1438 2100 50 0001 C CNN
F 3 "~" H 1400 2250 50 0001 C CNN
@ -88,8 +86,8 @@ L Device:C C?
U 1 1 60E490A3
P 1400 1850
AR Path="/60C2FDBB/60E490A3" Ref="C?" Part="1"
AR Path="/60E4702B/60E490A3" Ref="C26" Part="1"
F 0 "C26" V 1148 1850 50 0000 C CNN
AR Path="/60E4702B/60E490A3" Ref="C33" Part="1"
F 0 "C33" V 1148 1850 50 0000 C CNN
F 1 "22pF" V 1239 1850 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 1438 1700 50 0001 C CNN
F 3 "~" H 1400 1850 50 0001 C CNN
@ -101,8 +99,8 @@ L Device:Crystal_GND24 Y?
U 1 1 60E490A9
P 1850 2050
AR Path="/60C2FDBB/60E490A9" Ref="Y?" Part="1"
AR Path="/60E4702B/60E490A9" Ref="Y2" Part="1"
F 0 "Y2" V 1896 1806 50 0000 R CNN
AR Path="/60E4702B/60E490A9" Ref="Y1" Part="1"
F 0 "Y1" V 1896 1806 50 0000 R CNN
F 1 "25MHz" V 1805 1806 50 0000 R CNN
F 2 "Crystal:Crystal_SMD_2520-4Pin_2.5x2.0mm" H 1850 2050 50 0001 C CNN
F 3 "~" H 1850 2050 50 0001 C CNN
@ -110,41 +108,12 @@ F 3 "~" H 1850 2050 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L ENC624J600:ENC624J600-I_PT U?
U 1 1 60E490BF
P 2450 2000
AR Path="/60C2FDBB/60E490BF" Ref="U?" Part="1"
AR Path="/60E4702B/60E490BF" Ref="U1" Part="1"
F 0 "U1" H 3550 2265 50 0000 C CNN
F 1 "ENC624J600-I_PT" H 3550 2174 50 0000 C CNN
F 2 "ENC624J600:Microchip-ENC624J600-I_PT-Level_A" H 2450 2400 50 0001 L CNN
F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/39935c.pdf" H 2450 2500 50 0001 L CNN
F 4 "MS-026" H 2450 2600 50 0001 L CNN "Code JEDEC"
F 5 "Manufacturer URL" H 2450 2700 50 0001 L CNN "Component Link 1 Description"
F 6 "http://www.microchip.com/" H 2450 2800 50 0001 L CNN "Component Link 1 URL"
F 7 "Package Specification" H 2450 2900 50 0001 L CNN "Component Link 3 Description"
F 8 "http://www.microchip.com/stellent/groups/techpub_sg/documents/packagingspec/en012702.pdf" H 2450 3000 50 0001 L CNN "Component Link 3 URL"
F 9 "revC, Jan-2010" H 2450 3100 50 0001 L CNN "Datasheet Version"
F 10 "64-Lead Thin Plastic Quad Flat Pack (PT) - 10x10x1mm Body, 2.00mm [TQFP]" H 2450 3200 50 0001 L CNN "Package Description"
F 11 "revBB, Aug-2009" H 2450 3300 50 0001 L CNN "Package Version"
F 12 "IC" H 2450 3400 50 0001 L CNN "category"
F 13 "963374" H 2450 3500 50 0001 L CNN "ciiva ids"
F 14 "785a2be8c985604e" H 2450 3600 50 0001 L CNN "library id"
F 15 "Microchip" H 2450 3700 50 0001 L CNN "manufacturer"
F 16 "TQFP-PT64" H 2450 3800 50 0001 L CNN "package"
F 17 "1331939240" H 2450 3900 50 0001 L CNN "release date"
F 18 "7601FC2E-46AD-4DC1-8A2C-669C8D2FD12D" H 2450 4000 50 0001 L CNN "vault revision"
F 19 "yes" H 2450 4100 50 0001 L CNN "imported"
1 2450 2000
1 0 0 -1
$EndComp
$Comp
L Connector:RJ45_LED_Shielded J?
U 1 1 60E490C5
P 9600 2850
AR Path="/60C2FDBB/60E490C5" Ref="J?" Part="1"
AR Path="/60E4702B/60E490C5" Ref="J2" Part="1"
F 0 "J2" H 9600 3517 50 0000 C CNN
AR Path="/60E4702B/60E490C5" Ref="J6" Part="1"
F 0 "J6" H 9600 3517 50 0000 C CNN
F 1 "RJ45_LED_Shielded_RJHSE538A" H 9600 3426 50 0000 C CNN
F 2 "Connector_RJ:RJ45_Amphenol_RJHSE538X" V 9600 2875 50 0001 C CNN
F 3 "~" V 9600 2875 50 0001 C CNN
@ -187,10 +156,10 @@ Wire Wire Line
Wire Wire Line
9000 2750 9000 2850
$Comp
L Device:R R26
L Device:R R116
U 1 1 60E84273
P 8700 5100
F 0 "R26" H 8770 5146 50 0000 L CNN
F 0 "R116" H 8770 5146 50 0000 L CNN
F 1 "75" H 8770 5055 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 8630 5100 50 0001 C CNN
F 3 "~" H 8700 5100 50 0001 C CNN
@ -198,10 +167,10 @@ F 3 "~" H 8700 5100 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:R R27
L Device:R R117
U 1 1 60E86080
P 8900 5100
F 0 "R27" H 8970 5146 50 0000 L CNN
F 0 "R117" H 8970 5146 50 0000 L CNN
F 1 "75" H 8970 5055 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 8830 5100 50 0001 C CNN
F 3 "~" H 8900 5100 50 0001 C CNN
@ -209,10 +178,10 @@ F 3 "~" H 8900 5100 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:C C32
L Device:C C41
U 1 1 60E89ED8
P 8800 5500
F 0 "C32" H 8915 5546 50 0000 L CNN
F 0 "C41" H 8915 5546 50 0000 L CNN
F 1 "1000pF 2kV" H 8915 5455 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 8838 5350 50 0001 C CNN
F 3 "~" H 8800 5500 50 0001 C CNN
@ -241,10 +210,10 @@ Wire Wire Line
8500 2950 8700 2950
Connection ~ 9100 3250
$Comp
L Device:D_Schottky D3
L Device:D_Schottky D13
U 1 1 60EC7C2B
P 7200 4200
F 0 "D3" V 7154 4280 50 0000 L CNN
F 0 "D13" V 7154 4280 50 0000 L CNN
F 1 "SS16" V 7245 4280 50 0000 L CNN
F 2 "Diode_SMD:D_SMA" H 7200 4200 50 0001 C CNN
F 3 "~" H 7200 4200 50 0001 C CNN
@ -252,10 +221,10 @@ F 3 "~" H 7200 4200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:D_Schottky D5
L Device:D_Schottky D15
U 1 1 60ECF216
P 7500 4200
F 0 "D5" V 7454 4280 50 0000 L CNN
F 0 "D15" V 7454 4280 50 0000 L CNN
F 1 "SS16" V 7545 4280 50 0000 L CNN
F 2 "Diode_SMD:D_SMA" H 7500 4200 50 0001 C CNN
F 3 "~" H 7500 4200 50 0001 C CNN
@ -263,10 +232,10 @@ F 3 "~" H 7500 4200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:D_Schottky D7
L Device:D_Schottky D17
U 1 1 60ECFE4D
P 7800 4200
F 0 "D7" V 7754 4280 50 0000 L CNN
F 0 "D17" V 7754 4280 50 0000 L CNN
F 1 "SS16" V 7845 4280 50 0000 L CNN
F 2 "Diode_SMD:D_SMA" H 7800 4200 50 0001 C CNN
F 3 "~" H 7800 4200 50 0001 C CNN
@ -274,10 +243,10 @@ F 3 "~" H 7800 4200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:D_Schottky D9
L Device:D_Schottky D19
U 1 1 60ED0A09
P 8100 4200
F 0 "D9" V 8054 4280 50 0000 L CNN
F 0 "D19" V 8054 4280 50 0000 L CNN
F 1 "SS16" V 8145 4280 50 0000 L CNN
F 2 "Diode_SMD:D_SMA" H 8100 4200 50 0001 C CNN
F 3 "~" H 8100 4200 50 0001 C CNN
@ -285,10 +254,10 @@ F 3 "~" H 8100 4200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:D_Schottky D4
L Device:D_Schottky D14
U 1 1 60ED528A
P 7200 5200
F 0 "D4" V 7154 5280 50 0000 L CNN
F 0 "D14" V 7154 5280 50 0000 L CNN
F 1 "SS16" V 7245 5280 50 0000 L CNN
F 2 "Diode_SMD:D_SMA" H 7200 5200 50 0001 C CNN
F 3 "~" H 7200 5200 50 0001 C CNN
@ -296,10 +265,10 @@ F 3 "~" H 7200 5200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:D_Schottky D6
L Device:D_Schottky D16
U 1 1 60ED5290
P 7500 5200
F 0 "D6" V 7454 5280 50 0000 L CNN
F 0 "D16" V 7454 5280 50 0000 L CNN
F 1 "SS16" V 7545 5280 50 0000 L CNN
F 2 "Diode_SMD:D_SMA" H 7500 5200 50 0001 C CNN
F 3 "~" H 7500 5200 50 0001 C CNN
@ -307,10 +276,10 @@ F 3 "~" H 7500 5200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:D_Schottky D8
L Device:D_Schottky D18
U 1 1 60ED5296
P 7800 5200
F 0 "D8" V 7754 5280 50 0000 L CNN
F 0 "D18" V 7754 5280 50 0000 L CNN
F 1 "SS16" V 7845 5280 50 0000 L CNN
F 2 "Diode_SMD:D_SMA" H 7800 5200 50 0001 C CNN
F 3 "~" H 7800 5200 50 0001 C CNN
@ -318,10 +287,10 @@ F 3 "~" H 7800 5200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:D_Schottky D10
L Device:D_Schottky D20
U 1 1 60ED529C
P 8100 5200
F 0 "D10" V 8054 5280 50 0000 L CNN
F 0 "D20" V 8054 5280 50 0000 L CNN
F 1 "SS16" V 8145 5280 50 0000 L CNN
F 2 "Diode_SMD:D_SMA" H 8100 5200 50 0001 C CNN
F 3 "~" H 8100 5200 50 0001 C CNN
@ -395,10 +364,10 @@ Connection ~ 7500 5450
Wire Wire Line
7500 5450 7800 5450
$Comp
L Diode:Z2SMBxxx D2
L Diode:Z2SMBxxx D12
U 1 1 60F31442
P 6850 4700
F 0 "D2" V 6804 4780 50 0000 L CNN
F 0 "D12" V 6804 4780 50 0000 L CNN
F 1 "SMBJ60A" V 6895 4780 50 0000 L CNN
F 2 "Diode_SMD:D_SMB" H 6850 4525 50 0001 C CNN
F 3 "https://diotec.com/tl_files/diotec/files/pdf/datasheets/z2smb1.pdf" H 6850 4700 50 0001 C CNN
@ -432,10 +401,10 @@ Wire Wire Line
8900 4950 8900 4550
Connection ~ 8900 4550
$Comp
L power:GND #PWR043
L power:GND #PWR0109
U 1 1 60F53BAA
P 8800 5750
F 0 "#PWR043" H 8800 5500 50 0001 C CNN
F 0 "#PWR0109" H 8800 5500 50 0001 C CNN
F 1 "GND" H 8805 5577 50 0000 C CNN
F 2 "" H 8800 5750 50 0001 C CNN
F 3 "" H 8800 5750 50 0001 C CNN
@ -443,10 +412,10 @@ F 3 "" H 8800 5750 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR044
L power:GND #PWR0110
U 1 1 60F58C5E
P 9600 2200
F 0 "#PWR044" H 9600 1950 50 0001 C CNN
F 0 "#PWR0110" H 9600 1950 50 0001 C CNN
F 1 "GND" H 9605 2027 50 0000 C CNN
F 2 "" H 9600 2200 50 0001 C CNN
F 3 "" H 9600 2200 50 0001 C CNN
@ -458,10 +427,10 @@ Wire Wire Line
Wire Wire Line
8800 5650 8800 5750
$Comp
L Device:R R21
L Device:R R111
U 1 1 60F6262D
P 5850 2500
F 0 "R21" H 5920 2546 50 0000 L CNN
F 0 "R111" H 5920 2546 50 0000 L CNN
F 1 "49.9/1%" H 5920 2455 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 5780 2500 50 0001 C CNN
F 3 "~" H 5850 2500 50 0001 C CNN
@ -469,10 +438,10 @@ F 3 "~" H 5850 2500 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L Device:R R22
L Device:R R112
U 1 1 60F64C73
P 5850 2600
F 0 "R22" H 5920 2646 50 0000 L CNN
F 0 "R112" H 5920 2646 50 0000 L CNN
F 1 "49.9/1%" H 5920 2555 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 5780 2600 50 0001 C CNN
F 3 "~" H 5850 2600 50 0001 C CNN
@ -480,10 +449,10 @@ F 3 "~" H 5850 2600 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:C C28
L Device:C C37
U 1 1 60F6A654
P 6750 2850
F 0 "C28" H 6865 2896 50 0000 L CNN
F 0 "C37" H 6865 2896 50 0000 L CNN
F 1 "6.8.nF" H 6865 2805 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6788 2700 50 0001 C CNN
F 3 "~" H 6750 2850 50 0001 C CNN
@ -491,10 +460,10 @@ F 3 "~" H 6750 2850 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L Device:C C29
L Device:C C38
U 1 1 60F6C64D
P 6750 3050
F 0 "C29" H 6865 3096 50 0000 L CNN
F 0 "C38" H 6865 3096 50 0000 L CNN
F 1 "6.8.nF" H 6865 3005 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6788 2900 50 0001 C CNN
F 3 "~" H 6750 3050 50 0001 C CNN
@ -502,10 +471,10 @@ F 3 "~" H 6750 3050 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:C C30
L Device:C C39
U 1 1 60F6E985
P 6800 2150
F 0 "C30" H 6915 2196 50 0000 L CNN
F 0 "C39" H 6915 2196 50 0000 L CNN
F 1 "10nF" H 6915 2105 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6838 2000 50 0001 C CNN
F 3 "~" H 6800 2150 50 0001 C CNN
@ -513,10 +482,10 @@ F 3 "~" H 6800 2150 50 0001 C CNN
-1 0 0 1
$EndComp
$Comp
L Device:C C31
L Device:C C40
U 1 1 60F70714
P 7200 3350
F 0 "C31" H 7315 3396 50 0000 L CNN
F 0 "C40" H 7315 3396 50 0000 L CNN
F 1 "10nF" H 7315 3305 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 7238 3200 50 0001 C CNN
F 3 "~" H 7200 3350 50 0001 C CNN
@ -524,10 +493,10 @@ F 3 "~" H 7200 3350 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:R R25
L Device:R R115
U 1 1 60F92D1B
P 6400 2550
F 0 "R25" V 6193 2550 50 0000 C CNN
F 0 "R115" V 6193 2550 50 0000 C CNN
F 1 "10/1%/(1/12W)" V 6284 2550 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 6330 2550 50 0001 C CNN
F 3 "~" H 6400 2550 50 0001 C CNN
@ -561,10 +530,10 @@ Connection ~ 6800 2550
Wire Wire Line
6800 2550 7700 2550
$Comp
L power:GND #PWR041
L power:GND #PWR0107
U 1 1 6102A13B
P 6800 1900
F 0 "#PWR041" H 6800 1650 50 0001 C CNN
F 0 "#PWR0107" H 6800 1650 50 0001 C CNN
F 1 "GND" H 6805 1727 50 0000 C CNN
F 2 "" H 6800 1900 50 0001 C CNN
F 3 "" H 6800 1900 50 0001 C CNN
@ -577,10 +546,10 @@ Connection ~ 6100 2500
Wire Wire Line
6800 1900 6800 2000
$Comp
L Device:R R24
L Device:R R114
U 1 1 60F6879A
P 6200 3000
F 0 "R24" H 6270 3046 50 0000 L CNN
F 0 "R114" H 6270 3046 50 0000 L CNN
F 1 "49.9/1%" H 6270 2955 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 6130 3000 50 0001 C CNN
F 3 "~" H 6200 3000 50 0001 C CNN
@ -588,10 +557,10 @@ F 3 "~" H 6200 3000 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:R R23
L Device:R R113
U 1 1 60F66A1F
P 6200 2900
F 0 "R23" H 6270 2946 50 0000 L CNN
F 0 "R113" H 6270 2946 50 0000 L CNN
F 1 "49.9/1%" H 6270 2855 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 6130 2900 50 0001 C CNN
F 3 "~" H 6200 2900 50 0001 C CNN
@ -632,10 +601,10 @@ Wire Wire Line
Wire Wire Line
7200 3200 7200 2950
$Comp
L power:GND #PWR042
L power:GND #PWR0108
U 1 1 610BC484
P 7200 3600
F 0 "#PWR042" H 7200 3350 50 0001 C CNN
F 0 "#PWR0108" H 7200 3350 50 0001 C CNN
F 1 "GND" H 7205 3427 50 0000 C CNN
F 2 "" H 7200 3600 50 0001 C CNN
F 3 "" H 7200 3600 50 0001 C CNN
@ -674,10 +643,10 @@ RJ45_LED_Y
Text Label 10500 2550 0 50 ~ 0
RJ45_LED_G
$Comp
L Device:R R30
L Device:R R118
U 1 1 6112129E
P 10250 2550
F 0 "R30" V 10043 2550 50 0000 C CNN
F 0 "R118" V 10043 2550 50 0000 C CNN
F 1 "180" V 10134 2550 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 10180 2550 50 0001 C CNN
F 3 "~" H 10250 2550 50 0001 C CNN
@ -685,10 +654,10 @@ F 3 "~" H 10250 2550 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:R R31
L Device:R R119
U 1 1 61121EB1
P 10250 3150
F 0 "R31" V 10043 3150 50 0000 C CNN
F 0 "R119" V 10043 3150 50 0000 C CNN
F 1 "180" V 10134 3150 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 10180 3150 50 0001 C CNN
F 3 "~" H 10250 3150 50 0001 C CNN
@ -704,10 +673,10 @@ Wire Wire Line
Wire Wire Line
10100 3150 10000 3150
$Comp
L power:GND #PWR055
L power:GND #PWR0111
U 1 1 61144EE4
P 10100 2700
F 0 "#PWR055" H 10100 2450 50 0001 C CNN
F 0 "#PWR0111" H 10100 2450 50 0001 C CNN
F 1 "GND" H 10105 2527 50 0000 C CNN
F 2 "" H 10100 2700 50 0001 C CNN
F 3 "" H 10100 2700 50 0001 C CNN
@ -715,10 +684,10 @@ F 3 "" H 10100 2700 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR056
L power:GND #PWR0112
U 1 1 611454FC
P 10100 3300
F 0 "#PWR056" H 10100 3050 50 0001 C CNN
F 0 "#PWR0112" H 10100 3050 50 0001 C CNN
F 1 "GND" H 10105 3127 50 0000 C CNN
F 2 "" H 10100 3300 50 0001 C CNN
F 3 "" H 10100 3300 50 0001 C CNN
@ -837,10 +806,10 @@ Wire Wire Line
Wire Wire Line
4550 5800 4650 5800
$Comp
L power:+3V3 #PWR049
L power:+3V3 #PWR0104
U 1 1 61277208
P 4850 5800
F 0 "#PWR049" H 4850 5650 50 0001 C CNN
F 0 "#PWR0104" H 4850 5650 50 0001 C CNN
F 1 "+3V3" V 4865 5928 50 0000 L CNN
F 2 "" H 4850 5800 50 0001 C CNN
F 3 "" H 4850 5800 50 0001 C CNN
@ -854,10 +823,10 @@ Wire Wire Line
4850 5300 4650 5300
Connection ~ 4650 5300
$Comp
L Device:C C33
L Device:C C36
U 1 1 61289271
P 5400 5200
F 0 "C33" V 5148 5200 50 0000 C CNN
F 0 "C36" V 5148 5200 50 0000 C CNN
F 1 "10uF" V 5239 5200 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 5438 5050 50 0001 C CNN
F 3 "~" H 5400 5200 50 0001 C CNN
@ -867,10 +836,10 @@ $EndComp
Wire Wire Line
5250 5200 4550 5200
$Comp
L power:GND #PWR052
L power:GND #PWR0106
U 1 1 61291C16
P 5700 5300
F 0 "#PWR052" H 5700 5050 50 0001 C CNN
F 0 "#PWR0106" H 5700 5050 50 0001 C CNN
F 1 "GND" H 5705 5127 50 0000 C CNN
F 2 "" H 5700 5300 50 0001 C CNN
F 3 "" H 5700 5300 50 0001 C CNN
@ -882,10 +851,10 @@ Wire Wire Line
Wire Wire Line
5700 5200 5550 5200
$Comp
L power:GND #PWR046
L power:GND #PWR0100
U 1 1 6129B1FB
P 2450 6050
F 0 "#PWR046" H 2450 5800 50 0001 C CNN
F 0 "#PWR0100" H 2450 5800 50 0001 C CNN
F 1 "GND" H 2455 5877 50 0000 C CNN
F 2 "" H 2450 6050 50 0001 C CNN
F 3 "" H 2450 6050 50 0001 C CNN
@ -902,10 +871,10 @@ Wire Wire Line
Wire Wire Line
2200 4600 2550 4600
$Comp
L power:+3V3 #PWR045
L power:+3V3 #PWR099
U 1 1 61327558
P 2200 4350
F 0 "#PWR045" H 2200 4200 50 0001 C CNN
F 0 "#PWR099" H 2200 4200 50 0001 C CNN
F 1 "+3V3" H 2215 4523 50 0000 C CNN
F 2 "" H 2200 4350 50 0001 C CNN
F 3 "" H 2200 4350 50 0001 C CNN
@ -917,10 +886,10 @@ Wire Wire Line
2200 4350 2200 4500
NoConn ~ 4550 2000
$Comp
L Device:R R29
L Device:R R110
U 1 1 61346CFD
P 4950 4450
F 0 "R29" V 4743 4450 50 0000 C CNN
F 0 "R110" V 4743 4450 50 0000 C CNN
F 1 "100k" V 4834 4450 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 4880 4450 50 0001 C CNN
F 3 "~" H 4950 4450 50 0001 C CNN
@ -928,10 +897,10 @@ F 3 "~" H 4950 4450 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR050
L power:+3V3 #PWR0105
U 1 1 6134824A
P 4950 4200
F 0 "#PWR050" H 4950 4050 50 0001 C CNN
F 0 "#PWR0105" H 4950 4050 50 0001 C CNN
F 1 "+3V3" H 4965 4373 50 0000 C CNN
F 2 "" H 4950 4200 50 0001 C CNN
F 3 "" H 4950 4200 50 0001 C CNN
@ -950,10 +919,10 @@ Wire Wire Line
5100 4700 4950 4700
Connection ~ 4950 4700
$Comp
L Device:R R28
L Device:R R109
U 1 1 613BB239
P 4850 3150
F 0 "R28" H 4920 3196 50 0000 L CNN
F 0 "R109" H 4920 3196 50 0000 L CNN
F 1 "12.4k/1%" H 4920 3105 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 4780 3150 50 0001 C CNN
F 3 "~" H 4850 3150 50 0001 C CNN
@ -961,10 +930,10 @@ F 3 "~" H 4850 3150 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR047
L power:GND #PWR0103
U 1 1 613BBE38
P 4850 3400
F 0 "#PWR047" H 4850 3150 50 0001 C CNN
F 0 "#PWR0103" H 4850 3150 50 0001 C CNN
F 1 "GND" H 4855 3227 50 0000 C CNN
F 2 "" H 4850 3400 50 0001 C CNN
F 3 "" H 4850 3400 50 0001 C CNN
@ -981,8 +950,6 @@ Text HLabel 2000 2700 0 50 Input ~ 0
ENC_SPI_CS
Wire Wire Line
2250 2000 2550 2000
Wire Wire Line
2250 2100 2550 2100
Wire Wire Line
2550 2300 2250 2300
Wire Wire Line
@ -1014,8 +981,8 @@ U 1 1 610E0014
P 3600 6900
AR Path="/60C2FE2A/610E0014" Ref="L?" Part="1"
AR Path="/60FB17F2/610E0014" Ref="L?" Part="1"
AR Path="/60E4702B/610E0014" Ref="L6" Part="1"
F 0 "L6" V 3790 6900 50 0000 C CNN
AR Path="/60E4702B/610E0014" Ref="L5" Part="1"
F 0 "L5" V 3790 6900 50 0000 C CNN
F 1 "10uH" V 3699 6900 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 3600 6900 50 0001 C CNN
F 3 "~" H 3600 6900 50 0001 C CNN
@ -1028,8 +995,8 @@ U 1 1 610E001A
P 3950 7100
AR Path="/60C2FE2A/610E001A" Ref="C?" Part="1"
AR Path="/60FB17F2/610E001A" Ref="C?" Part="1"
AR Path="/60E4702B/610E001A" Ref="C34" Part="1"
F 0 "C34" H 4065 7146 50 0000 L CNN
AR Path="/60E4702B/610E001A" Ref="C35" Part="1"
F 0 "C35" H 4065 7146 50 0000 L CNN
F 1 "10uF" H 4065 7055 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 3988 6950 50 0001 C CNN
F 3 "~" H 3950 7100 50 0001 C CNN
@ -1047,8 +1014,8 @@ L power:+3V3 #PWR?
U 1 1 610E0023
P 3250 6700
AR Path="/60FB17F2/610E0023" Ref="#PWR?" Part="1"
AR Path="/60E4702B/610E0023" Ref="#PWR0111" Part="1"
F 0 "#PWR0111" H 3250 6550 50 0001 C CNN
AR Path="/60E4702B/610E0023" Ref="#PWR0101" Part="1"
F 0 "#PWR0101" H 3250 6550 50 0001 C CNN
F 1 "+3V3" H 3265 6873 50 0000 C CNN
F 2 "" H 3250 6700 50 0001 C CNN
F 3 "" H 3250 6700 50 0001 C CNN
@ -1063,10 +1030,10 @@ Wire Wire Line
4100 6900 3950 6900
Connection ~ 3950 6900
$Comp
L power:GND #PWR0112
L power:GND #PWR0102
U 1 1 610EE06D
P 3950 7350
F 0 "#PWR0112" H 3950 7100 50 0001 C CNN
F 0 "#PWR0102" H 3950 7100 50 0001 C CNN
F 1 "GND" H 3955 7177 50 0000 C CNN
F 2 "" H 3950 7350 50 0001 C CNN
F 3 "" H 3950 7350 50 0001 C CNN
@ -1079,4 +1046,35 @@ Text Label 4850 5300 0 50 ~ 0
+3V3A
Text Label 6100 1900 1 50 ~ 0
+3V3A
Wire Wire Line
1150 2050 1150 2250
Wire Wire Line
2250 2100 2550 2100
$Comp
L TestAutomation:ENC624J600-I_PT U13
U 1 1 6239CBED
P 2450 2000
F 0 "U13" H 3550 2265 50 0000 C CNN
F 1 "ENC624J600-I_PT" H 3550 2174 50 0000 C CNN
F 2 "TestAutomation:Microchip-ENC624J600-I_PT-Level_A" H 2450 2400 50 0001 L CNN
F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/39935c.pdf" H 2450 2500 50 0001 L CNN
F 4 "MS-026" H 2450 2600 50 0001 L CNN "Code JEDEC"
F 5 "Manufacturer URL" H 2450 2700 50 0001 L CNN "Component Link 1 Description"
F 6 "http://www.microchip.com/" H 2450 2800 50 0001 L CNN "Component Link 1 URL"
F 7 "Package Specification" H 2450 2900 50 0001 L CNN "Component Link 3 Description"
F 8 "http://www.microchip.com/stellent/groups/techpub_sg/documents/packagingspec/en012702.pdf" H 2450 3000 50 0001 L CNN "Component Link 3 URL"
F 9 "revC, Jan-2010" H 2450 3100 50 0001 L CNN "Datasheet Version"
F 10 "64-Lead Thin Plastic Quad Flat Pack (PT) - 10x10x1mm Body, 2.00mm [TQFP]" H 2450 3200 50 0001 L CNN "Package Description"
F 11 "revBB, Aug-2009" H 2450 3300 50 0001 L CNN "Package Version"
F 12 "IC" H 2450 3400 50 0001 L CNN "category"
F 13 "963374" H 2450 3500 50 0001 L CNN "ciiva ids"
F 14 "785a2be8c985604e" H 2450 3600 50 0001 L CNN "library id"
F 15 "Microchip" H 2450 3700 50 0001 L CNN "manufacturer"
F 16 "TQFP-PT64" H 2450 3800 50 0001 L CNN "package"
F 17 "1331939240" H 2450 3900 50 0001 L CNN "release date"
F 18 "7601FC2E-46AD-4DC1-8A2C-669C8D2FD12D" H 2450 4000 50 0001 L CNN "vault revision"
F 19 "yes" H 2450 4100 50 0001 L CNN "imported"
1 2450 2000
1 0 0 -1
$EndComp
$EndSCHEMATC

639
FPGA.sch

File diff suppressed because it is too large Load Diff

View File

@ -37,10 +37,10 @@ Connection ~ 5900 3050
Wire Wire Line
5900 2900 5900 3050
$Comp
L power:GND #PWR057
L power:GND #PWR07
U 1 1 60CCC812
P 5900 2900
F 0 "#PWR057" H 5900 2650 50 0001 C CNN
F 0 "#PWR07" H 5900 2650 50 0001 C CNN
F 1 "GND" H 5905 2727 50 0000 C CNN
F 2 "" H 5900 2900 50 0001 C CNN
F 3 "" H 5900 2900 50 0001 C CNN
@ -63,17 +63,15 @@ Wire Wire Line
Connection ~ 5900 3650
Wire Wire Line
5900 3400 5900 3650
Wire Wire Line
6050 3550 6150 3550
Wire Wire Line
5850 3650 5900 3650
Wire Wire Line
5650 3750 6250 3750
$Comp
L Device:C C41
L Device:C C7
U 1 1 60CB7A55
P 6150 3250
F 0 "C41" H 6265 3296 50 0000 L CNN
F 0 "C7" H 6265 3296 50 0000 L CNN
F 1 "0.1uF" H 6265 3205 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6188 3100 50 0001 C CNN
F 3 "~" H 6150 3250 50 0001 C CNN
@ -81,10 +79,10 @@ F 3 "~" H 6150 3250 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:C C40
L Device:C C4
U 1 1 60CB5566
P 5900 3250
F 0 "C40" H 6015 3296 50 0000 L CNN
F 0 "C4" H 6015 3296 50 0000 L CNN
F 1 "10uF" H 6015 3205 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 5938 3100 50 0001 C CNN
F 3 "~" H 5900 3250 50 0001 C CNN
@ -108,10 +106,10 @@ Wire Wire Line
Wire Wire Line
6250 4050 6250 4000
$Comp
L Device:C C39
L Device:C C5
U 1 1 614EF02F
P 6000 4000
F 0 "C39" V 6252 4000 50 0000 C CNN
F 0 "C5" V 6252 4000 50 0000 C CNN
F 1 "0.1uF" V 6161 4000 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6038 3850 50 0001 C CNN
F 3 "~" H 6000 4000 50 0001 C CNN
@ -132,17 +130,15 @@ Wire Wire Line
Connection ~ 3750 3750
Wire Wire Line
3750 4250 3750 3750
Wire Wire Line
3250 3750 3050 3750
Wire Wire Line
4050 3750 3750 3750
Wire Wire Line
4250 3400 4250 3550
$Comp
L Device:C C36
L Device:C C1
U 1 1 614DE0CC
P 3400 3750
F 0 "C36" V 3148 3750 50 0000 C CNN
F 0 "C1" V 3148 3750 50 0000 C CNN
F 1 "0.1uF" V 3239 3750 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 3438 3600 50 0001 C CNN
F 3 "~" H 3400 3750 50 0001 C CNN
@ -150,10 +146,10 @@ F 3 "~" H 3400 3750 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0106
L power:GND #PWR02
U 1 1 614DCD4E
P 3900 5450
F 0 "#PWR0106" H 3900 5200 50 0001 C CNN
F 0 "#PWR02" H 3900 5200 50 0001 C CNN
F 1 "GND" H 3905 5277 50 0000 C CNN
F 2 "" H 3900 5450 50 0001 C CNN
F 3 "" H 3900 5450 50 0001 C CNN
@ -161,10 +157,10 @@ F 3 "" H 3900 5450 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:C C37
L Device:C C2
U 1 1 614DC5D4
P 3900 5200
F 0 "C37" H 4015 5246 50 0000 L CNN
F 0 "C2" H 4015 5246 50 0000 L CNN
F 1 "0.1uF" H 4015 5155 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 3938 5050 50 0001 C CNN
F 3 "~" H 3900 5200 50 0001 C CNN
@ -175,10 +171,10 @@ Connection ~ 3900 4550
Wire Wire Line
3900 4650 3900 4550
$Comp
L Device:R R32
L Device:R R1
U 1 1 614DAD32
P 3900 4800
F 0 "R32" H 3970 4846 50 0000 L CNN
F 0 "R1" H 3970 4846 50 0000 L CNN
F 1 "402" H 3970 4755 50 0000 L CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 3830 4800 50 0001 C CNN
F 3 "~" H 3900 4800 50 0001 C CNN
@ -208,10 +204,10 @@ Wire Wire Line
Wire Wire Line
4250 4150 4250 4350
$Comp
L Device:R R33
L Device:R R2
U 1 1 614D4C4E
P 4250 4550
F 0 "R33" V 4043 4550 50 0000 C CNN
F 0 "R2" V 4043 4550 50 0000 C CNN
F 1 "402" V 4134 4550 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 4180 4550 50 0001 C CNN
F 3 "~" H 4250 4550 50 0001 C CNN
@ -229,10 +225,10 @@ Connection ~ 5450 4350
Wire Wire Line
5450 4150 5450 4350
$Comp
L Device:C C38
L Device:C C3
U 1 1 614D04E3
P 5450 4000
F 0 "C38" H 5565 4046 50 0000 L CNN
F 0 "C3" H 5565 4046 50 0000 L CNN
F 1 "47pF" H 5565 3955 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 5488 3850 50 0001 C CNN
F 3 "~" H 5450 4000 50 0001 C CNN
@ -240,10 +236,10 @@ F 3 "~" H 5450 4000 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:R R34
L Device:R R3
U 1 1 614CFF0D
P 5150 3850
F 0 "R34" V 4943 3850 50 0000 C CNN
F 0 "R3" V 4943 3850 50 0000 C CNN
F 1 "39" V 5034 3850 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 5080 3850 50 0001 C CNN
F 3 "~" H 5150 3850 50 0001 C CNN
@ -261,10 +257,10 @@ Wire Wire Line
Wire Wire Line
6250 4150 6150 4150
$Comp
L Device:R R36
L Device:R R5
U 1 1 614C7B9C
P 6000 4250
F 0 "R36" V 6207 4250 50 0000 C CNN
F 0 "R5" V 6207 4250 50 0000 C CNN
F 1 "1k" V 6116 4250 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 5930 4250 50 0001 C CNN
F 3 "~" H 6000 4250 50 0001 C CNN
@ -272,10 +268,10 @@ F 3 "~" H 6000 4250 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L Device:R R35
L Device:R R4
U 1 1 614C66FD
P 6000 4150
F 0 "R35" V 5793 4150 50 0000 C CNN
F 0 "R4" V 5793 4150 50 0000 C CNN
F 1 "1k" V 5884 4150 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 5930 4150 50 0001 C CNN
F 3 "~" H 6000 4150 50 0001 C CNN
@ -285,10 +281,10 @@ $EndComp
Wire Wire Line
5950 4450 6250 4450
$Comp
L Amplifier_Operational:OPA847xD U13
L Amplifier_Operational:OPA847xD U1
U 1 1 614B1E6E
P 4350 3850
F 0 "U13" H 4694 3896 50 0000 L CNN
F 0 "U1" H 4694 3896 50 0000 L CNN
F 1 "OPA681" H 4694 3805 50 0000 L CNN
F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 4350 3850 50 0001 C CNN
F 3 "http://www.ti.com/lit/ds/symlink/opa847.pdf" H 4350 4050 50 0001 C CNN
@ -296,10 +292,10 @@ F 3 "http://www.ti.com/lit/ds/symlink/opa847.pdf" H 4350 4050 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0104
L power:GND #PWR03
U 1 1 614ABABB
P 5100 4450
F 0 "#PWR0104" H 5100 4200 50 0001 C CNN
F 0 "#PWR03" H 5100 4200 50 0001 C CNN
F 1 "GND" V 5105 4322 50 0000 R CNN
F 2 "" H 5100 4450 50 0001 C CNN
F 3 "" H 5100 4450 50 0001 C CNN
@ -311,10 +307,10 @@ Wire Wire Line
Wire Wire Line
9100 3450 9100 3550
$Comp
L power:GND #PWR0102
L power:GND #PWR06
U 1 1 614887E8
P 5650 3750
F 0 "#PWR0102" H 5650 3500 50 0001 C CNN
F 0 "#PWR06" H 5650 3500 50 0001 C CNN
F 1 "GND" H 5655 3577 50 0000 C CNN
F 2 "" H 5650 3750 50 0001 C CNN
F 3 "" H 5650 3750 50 0001 C CNN
@ -322,10 +318,10 @@ F 3 "" H 5650 3750 50 0001 C CNN
0 1 -1 0
$EndComp
$Comp
L power:GND #PWR0101
L power:GND #PWR09
U 1 1 61488143
P 9100 3450
F 0 "#PWR0101" H 9100 3200 50 0001 C CNN
F 0 "#PWR09" H 9100 3200 50 0001 C CNN
F 1 "GND" H 9105 3277 50 0000 C CNN
F 2 "" H 9100 3450 50 0001 C CNN
F 3 "" H 9100 3450 50 0001 C CNN
@ -349,137 +345,57 @@ Wire Wire Line
Wire Wire Line
9050 3650 9300 3650
$Comp
L ADS831:ADS831E_2K5 U7
U 1 1 60FB23DE
P 9050 3550
F 0 "U7" H 10450 3937 60 0000 C CNN
F 1 "ADS831E_2K5" H 10450 3831 60 0000 C CNN
F 2 "ADS831:ADS831E&slash_2K5" H 10450 3790 60 0001 C CNN
F 3 "" H 9050 3550 60 0000 C CNN
1 9050 3550
-1 0 0 -1
$EndComp
$Comp
L Device:L L?
U 1 1 610853E4
P 3750 1500
P 5600 3550
AR Path="/60C2FE2A/610853E4" Ref="L?" Part="1"
AR Path="/60FB17F2/610853E4" Ref="L3" Part="1"
F 0 "L3" V 3940 1500 50 0000 C CNN
F 1 "10uH" V 3849 1500 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 3750 1500 50 0001 C CNN
F 3 "~" H 3750 1500 50 0001 C CNN
1 3750 1500
AR Path="/60FB17F2/610853E4" Ref="L1" Part="1"
F 0 "L1" V 5790 3550 50 0000 C CNN
F 1 "10uH" V 5699 3550 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 5600 3550 50 0001 C CNN
F 3 "~" H 5600 3550 50 0001 C CNN
1 5600 3550
0 -1 -1 0
$EndComp
Wire Wire Line
5450 3550 5250 3550
$Comp
L Device:C C?
U 1 1 610853EA
P 4100 1700
AR Path="/60C2FE2A/610853EA" Ref="C?" Part="1"
AR Path="/60FB17F2/610853EA" Ref="C13" Part="1"
F 0 "C13" H 4215 1746 50 0000 L CNN
F 1 "10uF" H 4215 1655 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 4138 1550 50 0001 C CNN
F 3 "~" H 4100 1700 50 0001 C CNN
1 4100 1700
1 0 0 -1
$EndComp
Wire Wire Line
3600 1500 3400 1500
Wire Wire Line
3900 1500 4100 1500
Wire Wire Line
4100 1550 4100 1500
$Comp
L power:+3V3 #PWR025
L power:+3V3 #PWR04
U 1 1 6109D4DF
P 3400 1300
F 0 "#PWR025" H 3400 1150 50 0001 C CNN
F 1 "+3V3" H 3415 1473 50 0000 C CNN
F 2 "" H 3400 1300 50 0001 C CNN
F 3 "" H 3400 1300 50 0001 C CNN
1 3400 1300
P 5250 3350
F 0 "#PWR04" H 5250 3200 50 0001 C CNN
F 1 "+3V3" H 5265 3523 50 0000 C CNN
F 2 "" H 5250 3350 50 0001 C CNN
F 3 "" H 5250 3350 50 0001 C CNN
1 5250 3350
1 0 0 -1
$EndComp
Wire Wire Line
3400 1300 3400 1500
5250 3350 5250 3550
$Comp
L power:GND #PWR058
U 1 1 6109F3FD
P 4100 2050
F 0 "#PWR058" H 4100 1800 50 0001 C CNN
F 1 "GND" H 4105 1877 50 0000 C CNN
F 2 "" H 4100 2050 50 0001 C CNN
F 3 "" H 4100 2050 50 0001 C CNN
1 4100 2050
1 0 0 -1
$EndComp
Wire Wire Line
4100 1850 4100 2050
Text Label 4250 1500 0 50 ~ 0
+3V3A
Wire Wire Line
4250 1500 4100 1500
Connection ~ 4100 1500
Text Label 6050 3550 2 50 ~ 0
+3V3A
$Comp
L Connector:Conn_Coaxial J?
U 1 1 60D1901A
P 2600 3750
AR Path="/60CB9D41/60D1901A" Ref="J?" Part="1"
AR Path="/60FB17F2/60D1901A" Ref="J3" Part="1"
F 0 "J3" H 2700 3725 50 0000 L CNN
F 1 "Conn_Coaxial" H 2700 3634 50 0000 L CNN
F 2 "Connector_Coaxial:SMA_Molex_73251-2200_Horizontal" H 2600 3750 50 0001 C CNN
F 3 " ~" H 2600 3750 50 0001 C CNN
1 2600 3750
-1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_01x02 J4
L Connector_Generic:Conn_01x02 J1
U 1 1 60D22419
P 2600 4250
F 0 "J4" H 2518 3925 50 0000 C CNN
F 1 "HSADC" H 2518 4016 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 2600 4250 50 0001 C CNN
F 3 "~" H 2600 4250 50 0001 C CNN
1 2600 4250
P 2600 3850
F 0 "J1" H 2518 3525 50 0000 C CNN
F 1 "HSADC" H 2518 3616 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 2600 3850 50 0001 C CNN
F 3 "~" H 2600 3850 50 0001 C CNN
1 2600 3850
-1 0 0 1
$EndComp
Wire Wire Line
3050 4250 3050 3750
Connection ~ 3050 3750
Wire Wire Line
3050 3750 2800 3750
Wire Wire Line
2800 4150 2800 3950
Wire Wire Line
2800 3950 2600 3950
$Comp
L power:GND #PWR016
L power:GND #PWR01
U 1 1 60D2DAFD
P 2300 4100
F 0 "#PWR016" H 2300 3850 50 0001 C CNN
F 1 "GND" V 2305 3972 50 0000 R CNN
F 2 "" H 2300 4100 50 0001 C CNN
F 3 "" H 2300 4100 50 0001 C CNN
1 2300 4100
P 2800 4000
F 0 "#PWR01" H 2800 3750 50 0001 C CNN
F 1 "GND" V 2805 3872 50 0000 R CNN
F 2 "" H 2800 4000 50 0001 C CNN
F 3 "" H 2800 4000 50 0001 C CNN
1 2800 4000
1 0 0 -1
$EndComp
Wire Wire Line
2800 4250 3050 4250
Wire Wire Line
2300 4100 2300 3950
Wire Wire Line
2300 3950 2600 3950
Connection ~ 2600 3950
Text HLabel 3200 4250 2 50 Input ~ 0
ADC_IN
Wire Wire Line
3200 4250 3050 4250
Connection ~ 3050 4250
2800 4000 2800 3850
Text Label 4450 4150 0 50 ~ 0
+5VA
Text Label 4250 3400 0 50 ~ 0
@ -493,8 +409,8 @@ L Device:L L?
U 1 1 60F6C818
P 5800 1500
AR Path="/60C2FE2A/60F6C818" Ref="L?" Part="1"
AR Path="/60FB17F2/60F6C818" Ref="L8" Part="1"
F 0 "L8" V 5990 1500 50 0000 C CNN
AR Path="/60FB17F2/60F6C818" Ref="L2" Part="1"
F 0 "L2" V 5990 1500 50 0000 C CNN
F 1 "10uH" V 5899 1500 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 5800 1500 50 0001 C CNN
F 3 "~" H 5800 1500 50 0001 C CNN
@ -506,8 +422,8 @@ L Device:C C?
U 1 1 60F6C81E
P 6150 1700
AR Path="/60C2FE2A/60F6C81E" Ref="C?" Part="1"
AR Path="/60FB17F2/60F6C81E" Ref="C49" Part="1"
F 0 "C49" H 6265 1746 50 0000 L CNN
AR Path="/60FB17F2/60F6C81E" Ref="C6" Part="1"
F 0 "C6" H 6265 1746 50 0000 L CNN
F 1 "10uF" H 6265 1655 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6188 1550 50 0001 C CNN
F 3 "~" H 6150 1700 50 0001 C CNN
@ -523,10 +439,10 @@ Wire Wire Line
Wire Wire Line
5450 1300 5450 1500
$Comp
L power:GND #PWR035
L power:GND #PWR08
U 1 1 60F6C82E
P 6150 2050
F 0 "#PWR035" H 6150 1800 50 0001 C CNN
F 0 "#PWR08" H 6150 1800 50 0001 C CNN
F 1 "GND" H 6155 1877 50 0000 C CNN
F 2 "" H 6150 2050 50 0001 C CNN
F 3 "" H 6150 2050 50 0001 C CNN
@ -541,14 +457,29 @@ Wire Wire Line
6300 1500 6150 1500
Connection ~ 6150 1500
$Comp
L power:+5V #PWR020
L power:+5V #PWR05
U 1 1 60F71653
P 5450 1300
F 0 "#PWR020" H 5450 1150 50 0001 C CNN
F 0 "#PWR05" H 5450 1150 50 0001 C CNN
F 1 "+5V" H 5465 1473 50 0000 C CNN
F 2 "" H 5450 1300 50 0001 C CNN
F 3 "" H 5450 1300 50 0001 C CNN
1 5450 1300
1 0 0 -1
$EndComp
Wire Wire Line
5750 3550 6150 3550
$Comp
L TestAutomation:ADS831E_2K5 U2
U 1 1 62596080
P 9050 3550
F 0 "U2" H 10450 3937 60 0000 C CNN
F 1 "ADS831E_2K5" H 10450 3831 60 0000 C CNN
F 2 "TestAutomation:ADS831E&slash_2K5" H 10450 3790 60 0001 C CNN
F 3 "" H 9050 3550 60 0000 C CNN
1 9050 3550
-1 0 0 -1
$EndComp
Wire Wire Line
2800 3750 3250 3750
$EndSCHEMATC

View File

@ -14,21 +14,10 @@ Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Connector_Generic:Conn_01x30 J9
U 1 1 6182545F
P 3500 3400
F 0 "J9" H 3580 3392 50 0000 L CNN
F 1 "EEM0" H 3580 3301 50 0000 L CNN
F 2 "Connector_IDC:IDC-Header_2x15_P2.54mm_Vertical" H 3500 3400 50 0001 C CNN
F 3 "~" H 3500 3400 50 0001 C CNN
1 3500 3400
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR021
L power:GND #PWR027
U 1 1 6182D6D6
P 2650 2000
F 0 "#PWR021" H 2650 1750 50 0001 C CNN
F 0 "#PWR027" H 2650 1750 50 0001 C CNN
F 1 "GND" V 2655 1872 50 0000 R CNN
F 2 "" H 2650 2000 50 0001 C CNN
F 3 "" H 2650 2000 50 0001 C CNN
@ -36,10 +25,10 @@ F 3 "" H 2650 2000 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR026
L power:GND #PWR028
U 1 1 6182E7D3
P 2650 2300
F 0 "#PWR026" H 2650 2050 50 0001 C CNN
F 0 "#PWR028" H 2650 2050 50 0001 C CNN
F 1 "GND" V 2655 2172 50 0000 R CNN
F 2 "" H 2650 2300 50 0001 C CNN
F 3 "" H 2650 2300 50 0001 C CNN
@ -47,10 +36,10 @@ F 3 "" H 2650 2300 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR030
L power:GND #PWR029
U 1 1 6182EB3C
P 2650 2600
F 0 "#PWR030" H 2650 2350 50 0001 C CNN
F 0 "#PWR029" H 2650 2350 50 0001 C CNN
F 1 "GND" V 2655 2472 50 0000 R CNN
F 2 "" H 2650 2600 50 0001 C CNN
F 3 "" H 2650 2600 50 0001 C CNN
@ -58,10 +47,10 @@ F 3 "" H 2650 2600 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR033
L power:GND #PWR030
U 1 1 6182F404
P 2650 2900
F 0 "#PWR033" H 2650 2650 50 0001 C CNN
F 0 "#PWR030" H 2650 2650 50 0001 C CNN
F 1 "GND" V 2655 2772 50 0000 R CNN
F 2 "" H 2650 2900 50 0001 C CNN
F 3 "" H 2650 2900 50 0001 C CNN
@ -69,10 +58,10 @@ F 3 "" H 2650 2900 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR034
L power:GND #PWR031
U 1 1 6182FA4D
P 2650 3200
F 0 "#PWR034" H 2650 2950 50 0001 C CNN
F 0 "#PWR031" H 2650 2950 50 0001 C CNN
F 1 "GND" V 2655 3072 50 0000 R CNN
F 2 "" H 2650 3200 50 0001 C CNN
F 3 "" H 2650 3200 50 0001 C CNN
@ -80,10 +69,10 @@ F 3 "" H 2650 3200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR037
L power:GND #PWR032
U 1 1 6182FCDE
P 2650 3500
F 0 "#PWR037" H 2650 3250 50 0001 C CNN
F 0 "#PWR032" H 2650 3250 50 0001 C CNN
F 1 "GND" V 2655 3372 50 0000 R CNN
F 2 "" H 2650 3500 50 0001 C CNN
F 3 "" H 2650 3500 50 0001 C CNN
@ -91,10 +80,10 @@ F 3 "" H 2650 3500 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR067
L power:GND #PWR033
U 1 1 61830555
P 2650 3800
F 0 "#PWR067" H 2650 3550 50 0001 C CNN
F 0 "#PWR033" H 2650 3550 50 0001 C CNN
F 1 "GND" V 2655 3672 50 0000 R CNN
F 2 "" H 2650 3800 50 0001 C CNN
F 3 "" H 2650 3800 50 0001 C CNN
@ -102,10 +91,10 @@ F 3 "" H 2650 3800 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR087
L power:GND #PWR034
U 1 1 61830D1E
P 2650 4100
F 0 "#PWR087" H 2650 3850 50 0001 C CNN
F 0 "#PWR034" H 2650 3850 50 0001 C CNN
F 1 "GND" V 2655 3972 50 0000 R CNN
F 2 "" H 2650 4100 50 0001 C CNN
F 3 "" H 2650 4100 50 0001 C CNN
@ -113,52 +102,16 @@ F 3 "" H 2650 4100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR088
L power:GND #PWR035
U 1 1 61831030
P 2650 4400
F 0 "#PWR088" H 2650 4150 50 0001 C CNN
F 0 "#PWR035" H 2650 4150 50 0001 C CNN
F 1 "GND" V 2655 4272 50 0000 R CNN
F 2 "" H 2650 4400 50 0001 C CNN
F 3 "" H 2650 4400 50 0001 C CNN
1 2650 4400
0 1 1 0
$EndComp
Text HLabel 3150 2100 0 50 Input ~ 0
EEM0_0_P
Text HLabel 3150 2200 0 50 Input ~ 0
EEM0_0_N
Text HLabel 3150 2400 0 50 Input ~ 0
EEM0_1_P
Text HLabel 3150 2500 0 50 Input ~ 0
EEM0_1_N
Text HLabel 3150 2700 0 50 Input ~ 0
EEM0_2_P
Text HLabel 3150 2800 0 50 Input ~ 0
EEM0_2_N
Text HLabel 3150 3000 0 50 Input ~ 0
EEM0_3_P
Text HLabel 3150 3100 0 50 Input ~ 0
EEM0_3_N
Text HLabel 3150 3300 0 50 Input ~ 0
EEM0_4_P
Text HLabel 3150 3400 0 50 Input ~ 0
EEM0_4_N
Text HLabel 3150 3600 0 50 Input ~ 0
EEM0_5_P
Text HLabel 3150 3700 0 50 Input ~ 0
EEM0_5_N
Text HLabel 3150 3900 0 50 Input ~ 0
EEM0_6_P
Text HLabel 3150 4000 0 50 Input ~ 0
EEM0_6_N
Text HLabel 3150 4200 0 50 Input ~ 0
EEM0_7_P
Text HLabel 3150 4300 0 50 Input ~ 0
EEM0_7_N
Text HLabel 3150 4500 0 50 Input ~ 0
EEM0_IIC_SDA
Text HLabel 3150 4600 0 50 Input ~ 0
EEM0_IIC_SCL
Wire Wire Line
2650 2000 3300 2000
Wire Wire Line
@ -229,21 +182,21 @@ Text GLabel 3150 4900 0 50 Input ~ 0
Wire Wire Line
3300 4900 3150 4900
$Comp
L Connector_Generic:Conn_01x30 J10
L Connector_Generic:Conn_01x30 J3
U 1 1 61B237C0
P 5500 3400
F 0 "J10" H 5580 3392 50 0000 L CNN
F 0 "J3" H 5580 3392 50 0000 L CNN
F 1 "EEM1" H 5580 3301 50 0000 L CNN
F 2 "Connector_IDC:IDC-Header_2x15_P2.54mm_Vertical" H 5500 3400 50 0001 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x15_P2.54mm_Vertical" H 5500 3400 50 0001 C CNN
F 3 "~" H 5500 3400 50 0001 C CNN
1 5500 3400
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR089
L power:GND #PWR036
U 1 1 61B237C6
P 4650 2000
F 0 "#PWR089" H 4650 1750 50 0001 C CNN
F 0 "#PWR036" H 4650 1750 50 0001 C CNN
F 1 "GND" V 4655 1872 50 0000 R CNN
F 2 "" H 4650 2000 50 0001 C CNN
F 3 "" H 4650 2000 50 0001 C CNN
@ -251,10 +204,10 @@ F 3 "" H 4650 2000 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR090
L power:GND #PWR037
U 1 1 61B237CC
P 4650 2300
F 0 "#PWR090" H 4650 2050 50 0001 C CNN
F 0 "#PWR037" H 4650 2050 50 0001 C CNN
F 1 "GND" V 4655 2172 50 0000 R CNN
F 2 "" H 4650 2300 50 0001 C CNN
F 3 "" H 4650 2300 50 0001 C CNN
@ -262,10 +215,10 @@ F 3 "" H 4650 2300 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR091
L power:GND #PWR038
U 1 1 61B237D2
P 4650 2600
F 0 "#PWR091" H 4650 2350 50 0001 C CNN
F 0 "#PWR038" H 4650 2350 50 0001 C CNN
F 1 "GND" V 4655 2472 50 0000 R CNN
F 2 "" H 4650 2600 50 0001 C CNN
F 3 "" H 4650 2600 50 0001 C CNN
@ -273,10 +226,10 @@ F 3 "" H 4650 2600 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR092
L power:GND #PWR039
U 1 1 61B237D8
P 4650 2900
F 0 "#PWR092" H 4650 2650 50 0001 C CNN
F 0 "#PWR039" H 4650 2650 50 0001 C CNN
F 1 "GND" V 4655 2772 50 0000 R CNN
F 2 "" H 4650 2900 50 0001 C CNN
F 3 "" H 4650 2900 50 0001 C CNN
@ -284,10 +237,10 @@ F 3 "" H 4650 2900 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR093
L power:GND #PWR040
U 1 1 61B237DE
P 4650 3200
F 0 "#PWR093" H 4650 2950 50 0001 C CNN
F 0 "#PWR040" H 4650 2950 50 0001 C CNN
F 1 "GND" V 4655 3072 50 0000 R CNN
F 2 "" H 4650 3200 50 0001 C CNN
F 3 "" H 4650 3200 50 0001 C CNN
@ -295,10 +248,10 @@ F 3 "" H 4650 3200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR094
L power:GND #PWR041
U 1 1 61B237E4
P 4650 3500
F 0 "#PWR094" H 4650 3250 50 0001 C CNN
F 0 "#PWR041" H 4650 3250 50 0001 C CNN
F 1 "GND" V 4655 3372 50 0000 R CNN
F 2 "" H 4650 3500 50 0001 C CNN
F 3 "" H 4650 3500 50 0001 C CNN
@ -306,10 +259,10 @@ F 3 "" H 4650 3500 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR095
L power:GND #PWR042
U 1 1 61B237EA
P 4650 3800
F 0 "#PWR095" H 4650 3550 50 0001 C CNN
F 0 "#PWR042" H 4650 3550 50 0001 C CNN
F 1 "GND" V 4655 3672 50 0000 R CNN
F 2 "" H 4650 3800 50 0001 C CNN
F 3 "" H 4650 3800 50 0001 C CNN
@ -317,10 +270,10 @@ F 3 "" H 4650 3800 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR096
L power:GND #PWR043
U 1 1 61B237F0
P 4650 4100
F 0 "#PWR096" H 4650 3850 50 0001 C CNN
F 0 "#PWR043" H 4650 3850 50 0001 C CNN
F 1 "GND" V 4655 3972 50 0000 R CNN
F 2 "" H 4650 4100 50 0001 C CNN
F 3 "" H 4650 4100 50 0001 C CNN
@ -328,10 +281,10 @@ F 3 "" H 4650 4100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR097
L power:GND #PWR044
U 1 1 61B237F6
P 4650 4400
F 0 "#PWR097" H 4650 4150 50 0001 C CNN
F 0 "#PWR044" H 4650 4150 50 0001 C CNN
F 1 "GND" V 4655 4272 50 0000 R CNN
F 2 "" H 4650 4400 50 0001 C CNN
F 3 "" H 4650 4400 50 0001 C CNN
@ -444,25 +397,25 @@ Text GLabel 5150 4900 0 50 Input ~ 0
Wire Wire Line
5300 4900 5150 4900
$Comp
L Connector_Generic:Conn_01x30 J11
L Connector_Generic:Conn_01x30 J4
U 1 1 61B2D756
P 7400 3400
AR Path="/60CB9D41/61B2D756" Ref="J11" Part="1"
AR Path="/60CB9D41/61B2D756" Ref="J4" Part="1"
AR Path="/60FB17F2/61B2D756" Ref="J?" Part="1"
F 0 "J11" H 7480 3392 50 0000 L CNN
F 0 "J4" H 7480 3392 50 0000 L CNN
F 1 "EEM2" H 7480 3301 50 0000 L CNN
F 2 "Connector_IDC:IDC-Header_2x15_P2.54mm_Vertical" H 7400 3400 50 0001 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x15_P2.54mm_Vertical" H 7400 3400 50 0001 C CNN
F 3 "~" H 7400 3400 50 0001 C CNN
1 7400 3400
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR098
L power:GND #PWR045
U 1 1 61B2D75C
P 6550 2000
AR Path="/60CB9D41/61B2D75C" Ref="#PWR098" Part="1"
AR Path="/60CB9D41/61B2D75C" Ref="#PWR045" Part="1"
AR Path="/60FB17F2/61B2D75C" Ref="#PWR?" Part="1"
F 0 "#PWR098" H 6550 1750 50 0001 C CNN
F 0 "#PWR045" H 6550 1750 50 0001 C CNN
F 1 "GND" V 6555 1872 50 0000 R CNN
F 2 "" H 6550 2000 50 0001 C CNN
F 3 "" H 6550 2000 50 0001 C CNN
@ -470,12 +423,12 @@ F 3 "" H 6550 2000 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR099
L power:GND #PWR046
U 1 1 61B2D762
P 6550 2300
AR Path="/60CB9D41/61B2D762" Ref="#PWR099" Part="1"
AR Path="/60CB9D41/61B2D762" Ref="#PWR046" Part="1"
AR Path="/60FB17F2/61B2D762" Ref="#PWR?" Part="1"
F 0 "#PWR099" H 6550 2050 50 0001 C CNN
F 0 "#PWR046" H 6550 2050 50 0001 C CNN
F 1 "GND" V 6555 2172 50 0000 R CNN
F 2 "" H 6550 2300 50 0001 C CNN
F 3 "" H 6550 2300 50 0001 C CNN
@ -483,12 +436,12 @@ F 3 "" H 6550 2300 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0100
L power:GND #PWR047
U 1 1 61B2D768
P 6550 2600
AR Path="/60CB9D41/61B2D768" Ref="#PWR0100" Part="1"
AR Path="/60CB9D41/61B2D768" Ref="#PWR047" Part="1"
AR Path="/60FB17F2/61B2D768" Ref="#PWR?" Part="1"
F 0 "#PWR0100" H 6550 2350 50 0001 C CNN
F 0 "#PWR047" H 6550 2350 50 0001 C CNN
F 1 "GND" V 6555 2472 50 0000 R CNN
F 2 "" H 6550 2600 50 0001 C CNN
F 3 "" H 6550 2600 50 0001 C CNN
@ -496,12 +449,12 @@ F 3 "" H 6550 2600 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0103
L power:GND #PWR048
U 1 1 61B2D76E
P 6550 2900
AR Path="/60CB9D41/61B2D76E" Ref="#PWR0103" Part="1"
AR Path="/60CB9D41/61B2D76E" Ref="#PWR048" Part="1"
AR Path="/60FB17F2/61B2D76E" Ref="#PWR?" Part="1"
F 0 "#PWR0103" H 6550 2650 50 0001 C CNN
F 0 "#PWR048" H 6550 2650 50 0001 C CNN
F 1 "GND" V 6555 2772 50 0000 R CNN
F 2 "" H 6550 2900 50 0001 C CNN
F 3 "" H 6550 2900 50 0001 C CNN
@ -509,12 +462,12 @@ F 3 "" H 6550 2900 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0105
L power:GND #PWR049
U 1 1 61B2D774
P 6550 3200
AR Path="/60CB9D41/61B2D774" Ref="#PWR0105" Part="1"
AR Path="/60CB9D41/61B2D774" Ref="#PWR049" Part="1"
AR Path="/60FB17F2/61B2D774" Ref="#PWR?" Part="1"
F 0 "#PWR0105" H 6550 2950 50 0001 C CNN
F 0 "#PWR049" H 6550 2950 50 0001 C CNN
F 1 "GND" V 6555 3072 50 0000 R CNN
F 2 "" H 6550 3200 50 0001 C CNN
F 3 "" H 6550 3200 50 0001 C CNN
@ -522,12 +475,12 @@ F 3 "" H 6550 3200 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0107
L power:GND #PWR050
U 1 1 61B2D77A
P 6550 3500
AR Path="/60CB9D41/61B2D77A" Ref="#PWR0107" Part="1"
AR Path="/60CB9D41/61B2D77A" Ref="#PWR050" Part="1"
AR Path="/60FB17F2/61B2D77A" Ref="#PWR?" Part="1"
F 0 "#PWR0107" H 6550 3250 50 0001 C CNN
F 0 "#PWR050" H 6550 3250 50 0001 C CNN
F 1 "GND" V 6555 3372 50 0000 R CNN
F 2 "" H 6550 3500 50 0001 C CNN
F 3 "" H 6550 3500 50 0001 C CNN
@ -535,12 +488,12 @@ F 3 "" H 6550 3500 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0108
L power:GND #PWR051
U 1 1 61B2D780
P 6550 3800
AR Path="/60CB9D41/61B2D780" Ref="#PWR0108" Part="1"
AR Path="/60CB9D41/61B2D780" Ref="#PWR051" Part="1"
AR Path="/60FB17F2/61B2D780" Ref="#PWR?" Part="1"
F 0 "#PWR0108" H 6550 3550 50 0001 C CNN
F 0 "#PWR051" H 6550 3550 50 0001 C CNN
F 1 "GND" V 6555 3672 50 0000 R CNN
F 2 "" H 6550 3800 50 0001 C CNN
F 3 "" H 6550 3800 50 0001 C CNN
@ -548,12 +501,12 @@ F 3 "" H 6550 3800 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0115
L power:GND #PWR052
U 1 1 61B2D786
P 6550 4100
AR Path="/60CB9D41/61B2D786" Ref="#PWR0115" Part="1"
AR Path="/60CB9D41/61B2D786" Ref="#PWR052" Part="1"
AR Path="/60FB17F2/61B2D786" Ref="#PWR?" Part="1"
F 0 "#PWR0115" H 6550 3850 50 0001 C CNN
F 0 "#PWR052" H 6550 3850 50 0001 C CNN
F 1 "GND" V 6555 3972 50 0000 R CNN
F 2 "" H 6550 4100 50 0001 C CNN
F 3 "" H 6550 4100 50 0001 C CNN
@ -561,12 +514,12 @@ F 3 "" H 6550 4100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0116
L power:GND #PWR053
U 1 1 61B2D78C
P 6550 4400
AR Path="/60CB9D41/61B2D78C" Ref="#PWR0116" Part="1"
AR Path="/60CB9D41/61B2D78C" Ref="#PWR053" Part="1"
AR Path="/60FB17F2/61B2D78C" Ref="#PWR?" Part="1"
F 0 "#PWR0116" H 6550 4150 50 0001 C CNN
F 0 "#PWR053" H 6550 4150 50 0001 C CNN
F 1 "GND" V 6555 4272 50 0000 R CNN
F 2 "" H 6550 4400 50 0001 C CNN
F 3 "" H 6550 4400 50 0001 C CNN
@ -679,10 +632,10 @@ Wire Wire Line
Text HLabel 7050 4600 0 50 Input ~ 0
EEM2_IIC_SCL
$Comp
L Connector_Generic:Conn_02x16_Counter_Clockwise J12
L Connector_Generic:Conn_02x16_Counter_Clockwise J5
U 1 1 62B89A4D
P 9350 2750
F 0 "J12" H 9400 3667 50 0000 C CNN
F 0 "J5" H 9400 3667 50 0000 C CNN
F 1 "FPGA_IO" H 9400 3576 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x16_P2.54mm_Vertical" H 9350 2750 50 0001 C CNN
F 3 "~" H 9350 2750 50 0001 C CNN
@ -728,10 +681,10 @@ FPGA_IO14
Text HLabel 9850 3550 2 50 Input ~ 0
FPGA_IO15
$Comp
L power:GND #PWR0129
L power:GND #PWR054
U 1 1 62C1F019
P 8800 2150
F 0 "#PWR0129" H 8800 1900 50 0001 C CNN
F 0 "#PWR054" H 8800 1900 50 0001 C CNN
F 1 "GND" V 8805 2022 50 0000 R CNN
F 2 "" H 8800 2150 50 0001 C CNN
F 3 "" H 8800 2150 50 0001 C CNN
@ -739,10 +692,10 @@ F 3 "" H 8800 2150 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0130
L power:GND #PWR055
U 1 1 62C1FF0B
P 8800 2350
F 0 "#PWR0130" H 8800 2100 50 0001 C CNN
F 0 "#PWR055" H 8800 2100 50 0001 C CNN
F 1 "GND" V 8805 2222 50 0000 R CNN
F 2 "" H 8800 2350 50 0001 C CNN
F 3 "" H 8800 2350 50 0001 C CNN
@ -750,10 +703,10 @@ F 3 "" H 8800 2350 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0131
L power:GND #PWR056
U 1 1 62C2399D
P 8800 2550
F 0 "#PWR0131" H 8800 2300 50 0001 C CNN
F 0 "#PWR056" H 8800 2300 50 0001 C CNN
F 1 "GND" V 8805 2422 50 0000 R CNN
F 2 "" H 8800 2550 50 0001 C CNN
F 3 "" H 8800 2550 50 0001 C CNN
@ -761,10 +714,10 @@ F 3 "" H 8800 2550 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0132
L power:GND #PWR057
U 1 1 62C273A8
P 8800 2750
F 0 "#PWR0132" H 8800 2500 50 0001 C CNN
F 0 "#PWR057" H 8800 2500 50 0001 C CNN
F 1 "GND" V 8805 2622 50 0000 R CNN
F 2 "" H 8800 2750 50 0001 C CNN
F 3 "" H 8800 2750 50 0001 C CNN
@ -772,10 +725,10 @@ F 3 "" H 8800 2750 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0133
L power:GND #PWR058
U 1 1 62C2AE1D
P 8800 2950
F 0 "#PWR0133" H 8800 2700 50 0001 C CNN
F 0 "#PWR058" H 8800 2700 50 0001 C CNN
F 1 "GND" V 8805 2822 50 0000 R CNN
F 2 "" H 8800 2950 50 0001 C CNN
F 3 "" H 8800 2950 50 0001 C CNN
@ -783,10 +736,10 @@ F 3 "" H 8800 2950 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0134
L power:GND #PWR059
U 1 1 62C2E883
P 8800 3150
F 0 "#PWR0134" H 8800 2900 50 0001 C CNN
F 0 "#PWR059" H 8800 2900 50 0001 C CNN
F 1 "GND" V 8805 3022 50 0000 R CNN
F 2 "" H 8800 3150 50 0001 C CNN
F 3 "" H 8800 3150 50 0001 C CNN
@ -794,10 +747,10 @@ F 3 "" H 8800 3150 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0135
L power:GND #PWR060
U 1 1 62C3231D
P 8800 3350
F 0 "#PWR0135" H 8800 3100 50 0001 C CNN
F 0 "#PWR060" H 8800 3100 50 0001 C CNN
F 1 "GND" V 8805 3222 50 0000 R CNN
F 2 "" H 8800 3350 50 0001 C CNN
F 3 "" H 8800 3350 50 0001 C CNN
@ -805,10 +758,10 @@ F 3 "" H 8800 3350 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0136
L power:GND #PWR061
U 1 1 62C35DE2
P 8800 3550
F 0 "#PWR0136" H 8800 3300 50 0001 C CNN
F 0 "#PWR061" H 8800 3300 50 0001 C CNN
F 1 "GND" V 8805 3422 50 0000 R CNN
F 2 "" H 8800 3550 50 0001 C CNN
F 3 "" H 8800 3550 50 0001 C CNN
@ -816,10 +769,10 @@ F 3 "" H 8800 3550 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR0137
L power:+3V3 #PWR062
U 1 1 62C41A06
P 9900 2050
F 0 "#PWR0137" H 9900 1900 50 0001 C CNN
F 0 "#PWR062" H 9900 1900 50 0001 C CNN
F 1 "+3V3" V 9915 2178 50 0000 L CNN
F 2 "" H 9900 2050 50 0001 C CNN
F 3 "" H 9900 2050 50 0001 C CNN
@ -827,10 +780,10 @@ F 3 "" H 9900 2050 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR0138
L power:+3V3 #PWR063
U 1 1 62C42942
P 9900 2250
F 0 "#PWR0138" H 9900 2100 50 0001 C CNN
F 0 "#PWR063" H 9900 2100 50 0001 C CNN
F 1 "+3V3" V 9915 2378 50 0000 L CNN
F 2 "" H 9900 2250 50 0001 C CNN
F 3 "" H 9900 2250 50 0001 C CNN
@ -838,10 +791,10 @@ F 3 "" H 9900 2250 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR0139
L power:+3V3 #PWR064
U 1 1 62C464FC
P 9900 2450
F 0 "#PWR0139" H 9900 2300 50 0001 C CNN
F 0 "#PWR064" H 9900 2300 50 0001 C CNN
F 1 "+3V3" V 9915 2578 50 0000 L CNN
F 2 "" H 9900 2450 50 0001 C CNN
F 3 "" H 9900 2450 50 0001 C CNN
@ -849,10 +802,10 @@ F 3 "" H 9900 2450 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR0140
L power:+3V3 #PWR065
U 1 1 62C4A0DB
P 9900 2650
F 0 "#PWR0140" H 9900 2500 50 0001 C CNN
F 0 "#PWR065" H 9900 2500 50 0001 C CNN
F 1 "+3V3" V 9915 2778 50 0000 L CNN
F 2 "" H 9900 2650 50 0001 C CNN
F 3 "" H 9900 2650 50 0001 C CNN
@ -860,10 +813,10 @@ F 3 "" H 9900 2650 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR0141
L power:+3V3 #PWR066
U 1 1 62C4DBD5
P 9900 2850
F 0 "#PWR0141" H 9900 2700 50 0001 C CNN
F 0 "#PWR066" H 9900 2700 50 0001 C CNN
F 1 "+3V3" V 9915 2978 50 0000 L CNN
F 2 "" H 9900 2850 50 0001 C CNN
F 3 "" H 9900 2850 50 0001 C CNN
@ -871,10 +824,10 @@ F 3 "" H 9900 2850 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR0142
L power:+3V3 #PWR067
U 1 1 62C516A9
P 9900 3050
F 0 "#PWR0142" H 9900 2900 50 0001 C CNN
F 0 "#PWR067" H 9900 2900 50 0001 C CNN
F 1 "+3V3" V 9915 3178 50 0000 L CNN
F 2 "" H 9900 3050 50 0001 C CNN
F 3 "" H 9900 3050 50 0001 C CNN
@ -882,10 +835,10 @@ F 3 "" H 9900 3050 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR0143
L power:+3V3 #PWR068
U 1 1 62C552AF
P 9900 3250
F 0 "#PWR0143" H 9900 3100 50 0001 C CNN
F 0 "#PWR068" H 9900 3100 50 0001 C CNN
F 1 "+3V3" V 9915 3378 50 0000 L CNN
F 2 "" H 9900 3250 50 0001 C CNN
F 3 "" H 9900 3250 50 0001 C CNN
@ -893,10 +846,10 @@ F 3 "" H 9900 3250 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR0144
L power:+3V3 #PWR069
U 1 1 62C58DBB
P 9900 3450
F 0 "#PWR0144" H 9900 3300 50 0001 C CNN
F 0 "#PWR069" H 9900 3300 50 0001 C CNN
F 1 "+3V3" V 9915 3578 50 0000 L CNN
F 2 "" H 9900 3450 50 0001 C CNN
F 3 "" H 9900 3450 50 0001 C CNN
@ -967,4 +920,51 @@ Wire Wire Line
8950 3450 9150 3450
Wire Wire Line
8800 3550 9150 3550
Text HLabel 3150 4600 0 50 Input ~ 0
EEM0_IIC_SCL
Text HLabel 3150 4500 0 50 Input ~ 0
EEM0_IIC_SDA
Text HLabel 3150 4300 0 50 Input ~ 0
EEM0_7_N
Text HLabel 3150 4200 0 50 Input ~ 0
EEM0_7_P
Text HLabel 3150 4000 0 50 Input ~ 0
EEM0_6_N
Text HLabel 3150 3900 0 50 Input ~ 0
EEM0_6_P
Text HLabel 3150 3700 0 50 Input ~ 0
EEM0_5_N
Text HLabel 3150 3600 0 50 Input ~ 0
EEM0_5_P
Text HLabel 3150 3400 0 50 Input ~ 0
EEM0_4_N
Text HLabel 3150 3300 0 50 Input ~ 0
EEM0_4_P
Text HLabel 3150 3100 0 50 Input ~ 0
EEM0_3_N
Text HLabel 3150 3000 0 50 Input ~ 0
EEM0_3_P
Text HLabel 3150 2800 0 50 Input ~ 0
EEM0_2_N
Text HLabel 3150 2700 0 50 Input ~ 0
EEM0_2_P
Text HLabel 3150 2500 0 50 Input ~ 0
EEM0_1_N
Text HLabel 3150 2400 0 50 Input ~ 0
EEM0_1_P
Text HLabel 3150 2200 0 50 Input ~ 0
EEM0_0_N
Text HLabel 3150 2100 0 50 Input ~ 0
EEM0_0_P
$Comp
L Connector_Generic:Conn_01x30 J2
U 1 1 6182545F
P 3500 3400
F 0 "J2" H 3580 3392 50 0000 L CNN
F 1 "EEM0" H 3580 3301 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x15_P2.54mm_Vertical" H 3500 3400 50 0001 C CNN
F 3 "~" H 3500 3400 50 0001 C CNN
1 3500 3400
1 0 0 -1
$EndComp
$EndSCHEMATC

180
MCU.sch
View File

@ -3,7 +3,7 @@ EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 8 8
Sheet 7 8
Title ""
Date ""
Rev ""
@ -14,10 +14,10 @@ Comment3 ""
Comment4 ""
$EndDescr
$Comp
L MCU_ST_STM32F1:STM32F103VCTx U3
L MCU_ST_STM32F1:STM32F103VCTx U14
U 1 1 60C30090
P 4000 4150
F 0 "U3" H 4000 1261 50 0000 C CNN
F 0 "U14" H 4000 1261 50 0000 C CNN
F 1 "STM32F103VCTx" H 4000 1170 50 0000 C CNN
F 2 "Package_QFP:LQFP-100_14x14mm_P0.5mm" H 3200 1550 50 0001 R CNN
F 3 "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00191185.pdf" H 4000 4150 50 0001 C CNN
@ -25,10 +25,10 @@ F 3 "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/da
1 0 0 -1
$EndComp
$Comp
L Device:Crystal_GND24 Y1
L Device:Crystal_GND24 Y2
U 1 1 618C74FB
P 2350 2500
F 0 "Y1" V 2304 2744 50 0000 L CNN
F 0 "Y2" V 2304 2744 50 0000 L CNN
F 1 "8MHz" V 2395 2744 50 0000 L CNN
F 2 "Crystal:Crystal_SMD_2520-4Pin_2.5x2.0mm" H 2350 2500 50 0001 C CNN
F 3 "~" H 2350 2500 50 0001 C CNN
@ -36,10 +36,10 @@ F 3 "~" H 2350 2500 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:C C7
L Device:C C42
U 1 1 618C8494
P 1900 2300
F 0 "C7" V 1648 2300 50 0000 C CNN
F 0 "C42" V 1648 2300 50 0000 C CNN
F 1 "22pF" V 1739 2300 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 1938 2150 50 0001 C CNN
F 3 "~" H 1900 2300 50 0001 C CNN
@ -47,10 +47,10 @@ F 3 "~" H 1900 2300 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:C C8
L Device:C C43
U 1 1 618C9804
P 1900 2700
F 0 "C8" V 1648 2700 50 0000 C CNN
F 0 "C43" V 1648 2700 50 0000 C CNN
F 1 "22pF" V 1739 2700 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 1938 2550 50 0001 C CNN
F 3 "~" H 1900 2700 50 0001 C CNN
@ -58,10 +58,10 @@ F 3 "~" H 1900 2700 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR015
L power:GND #PWR0116
U 1 1 618CA8E9
P 2650 2500
F 0 "#PWR015" H 2650 2250 50 0001 C CNN
F 0 "#PWR0116" H 2650 2250 50 0001 C CNN
F 1 "GND" H 2655 2327 50 0000 C CNN
F 2 "" H 2650 2500 50 0001 C CNN
F 3 "" H 2650 2500 50 0001 C CNN
@ -69,10 +69,10 @@ F 3 "" H 2650 2500 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:GND #PWR012
L power:GND #PWR0113
U 1 1 618CB041
P 1500 2500
F 0 "#PWR012" H 1500 2250 50 0001 C CNN
F 0 "#PWR0113" H 1500 2250 50 0001 C CNN
F 1 "GND" V 1505 2372 50 0000 R CNN
F 2 "" H 1500 2500 50 0001 C CNN
F 3 "" H 1500 2500 50 0001 C CNN
@ -117,10 +117,10 @@ Wire Wire Line
Wire Wire Line
1500 2500 1650 2500
$Comp
L Device:R R17
L Device:R R122
U 1 1 618E0B81
P 2700 1950
F 0 "R17" V 2493 1950 50 0000 C CNN
F 0 "R122" V 2493 1950 50 0000 C CNN
F 1 "10k" V 2584 1950 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 2630 1950 50 0001 C CNN
F 3 "~" H 2700 1950 50 0001 C CNN
@ -128,10 +128,10 @@ F 3 "~" H 2700 1950 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Device:R R15
L Device:R R120
U 1 1 618E1519
P 2350 1750
F 0 "R15" V 2143 1750 50 0000 C CNN
F 0 "R120" V 2143 1750 50 0000 C CNN
F 1 "10k" V 2234 1750 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 2280 1750 50 0001 C CNN
F 3 "~" H 2350 1750 50 0001 C CNN
@ -139,10 +139,10 @@ F 3 "~" H 2350 1750 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR014
L power:GND #PWR0115
U 1 1 618E2873
P 2450 1950
F 0 "#PWR014" H 2450 1700 50 0001 C CNN
F 0 "#PWR0115" H 2450 1700 50 0001 C CNN
F 1 "GND" V 2455 1822 50 0000 R CNN
F 2 "" H 2450 1950 50 0001 C CNN
F 3 "" H 2450 1950 50 0001 C CNN
@ -150,10 +150,10 @@ F 3 "" H 2450 1950 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+3V3 #PWR013
L power:+3V3 #PWR0114
U 1 1 618E3269
P 2000 1750
F 0 "#PWR013" H 2000 1600 50 0001 C CNN
F 0 "#PWR0114" H 2000 1600 50 0001 C CNN
F 1 "+3V3" V 2015 1878 50 0000 L CNN
F 2 "" H 2000 1750 50 0001 C CNN
F 3 "" H 2000 1750 50 0001 C CNN
@ -161,10 +161,10 @@ F 3 "" H 2000 1750 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L Device:R R16
L Device:R R121
U 1 1 618E4ED2
P 2550 1400
F 0 "R16" V 2343 1400 50 0000 C CNN
F 0 "R121" V 2343 1400 50 0000 C CNN
F 1 "0" V 2434 1400 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 2480 1400 50 0001 C CNN
F 3 "~" H 2550 1400 50 0001 C CNN
@ -241,10 +241,10 @@ Wire Wire Line
4400 7050 4300 7050
Connection ~ 4300 7050
$Comp
L power:GND #PWR019
L power:GND #PWR0120
U 1 1 618F6928
P 4400 7200
F 0 "#PWR019" H 4400 6950 50 0001 C CNN
F 0 "#PWR0120" H 4400 6950 50 0001 C CNN
F 1 "GND" H 4405 7027 50 0000 C CNN
F 2 "" H 4400 7200 50 0001 C CNN
F 3 "" H 4400 7200 50 0001 C CNN
@ -255,10 +255,10 @@ Wire Wire Line
4400 7200 4400 7050
Connection ~ 4400 7050
$Comp
L power:+3V3 #PWR018
L power:+3V3 #PWR0119
U 1 1 618FA8BE
P 3900 1200
F 0 "#PWR018" H 3900 1050 50 0001 C CNN
F 0 "#PWR0119" H 3900 1050 50 0001 C CNN
F 1 "+3V3" H 3915 1373 50 0000 C CNN
F 2 "" H 3900 1200 50 0001 C CNN
F 3 "" H 3900 1200 50 0001 C CNN
@ -269,10 +269,10 @@ Wire Wire Line
3900 1200 3900 1350
Connection ~ 3900 1350
$Comp
L power:GND #PWR017
L power:GND #PWR0117
U 1 1 61909F09
P 2950 2250
F 0 "#PWR017" H 2950 2000 50 0001 C CNN
F 0 "#PWR0117" H 2950 2000 50 0001 C CNN
F 1 "GND" V 2955 2122 50 0000 R CNN
F 2 "" H 2950 2250 50 0001 C CNN
F 3 "" H 2950 2250 50 0001 C CNN
@ -550,8 +550,8 @@ P 5950 1300
AR Path="/60C2FE2A/6115CE70" Ref="L?" Part="1"
AR Path="/60FB17F2/6115CE70" Ref="L?" Part="1"
AR Path="/60E4702B/6115CE70" Ref="L?" Part="1"
AR Path="/60C2FDBB/6115CE70" Ref="L7" Part="1"
F 0 "L7" V 6140 1300 50 0000 C CNN
AR Path="/60C2FDBB/6115CE70" Ref="L6" Part="1"
F 0 "L6" V 6140 1300 50 0000 C CNN
F 1 "10uH" V 6049 1300 50 0000 C CNN
F 2 "Inductor_SMD:L_0402_1005Metric" H 5950 1300 50 0001 C CNN
F 3 "~" H 5950 1300 50 0001 C CNN
@ -565,8 +565,8 @@ P 6300 1500
AR Path="/60C2FE2A/6115CE76" Ref="C?" Part="1"
AR Path="/60FB17F2/6115CE76" Ref="C?" Part="1"
AR Path="/60E4702B/6115CE76" Ref="C?" Part="1"
AR Path="/60C2FDBB/6115CE76" Ref="C35" Part="1"
F 0 "C35" H 6415 1546 50 0000 L CNN
AR Path="/60C2FDBB/6115CE76" Ref="C45" Part="1"
F 0 "C45" H 6415 1546 50 0000 L CNN
F 1 "10uF" H 6415 1455 50 0000 L CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 6338 1350 50 0001 C CNN
F 3 "~" H 6300 1500 50 0001 C CNN
@ -585,8 +585,8 @@ U 1 1 6115CE7F
P 5600 1100
AR Path="/60FB17F2/6115CE7F" Ref="#PWR?" Part="1"
AR Path="/60E4702B/6115CE7F" Ref="#PWR?" Part="1"
AR Path="/60C2FDBB/6115CE7F" Ref="#PWR0113" Part="1"
F 0 "#PWR0113" H 5600 950 50 0001 C CNN
AR Path="/60C2FDBB/6115CE7F" Ref="#PWR0121" Part="1"
F 0 "#PWR0121" H 5600 950 50 0001 C CNN
F 1 "+3V3" H 5615 1273 50 0000 C CNN
F 2 "" H 5600 1100 50 0001 C CNN
F 3 "" H 5600 1100 50 0001 C CNN
@ -605,8 +605,8 @@ L power:GND #PWR?
U 1 1 6115CE89
P 6300 1750
AR Path="/60E4702B/6115CE89" Ref="#PWR?" Part="1"
AR Path="/60C2FDBB/6115CE89" Ref="#PWR0114" Part="1"
F 0 "#PWR0114" H 6300 1500 50 0001 C CNN
AR Path="/60C2FDBB/6115CE89" Ref="#PWR0122" Part="1"
F 0 "#PWR0122" H 6300 1500 50 0001 C CNN
F 1 "GND" H 6305 1577 50 0000 C CNN
F 2 "" H 6300 1750 50 0001 C CNN
F 3 "" H 6300 1750 50 0001 C CNN
@ -621,22 +621,6 @@ Text Label 2950 2150 2 50 ~ 0
+3V3A
Wire Wire Line
4500 1450 4500 1200
$Comp
L FTSH-105-XX-X-DV:FTSH-105-XX-X-DV J?
U 1 1 60D0AAB7
P 8200 2150
AR Path="/60CB9D41/60D0AAB7" Ref="J?" Part="1"
AR Path="/60C2FDBB/60D0AAB7" Ref="J7" Part="1"
F 0 "J7" H 8200 2617 50 0000 C CNN
F 1 "SWD" H 8200 2526 50 0000 C CNN
F 2 "FTSH_Connector:SAMTEC_FTSH-105-XX-X-DV" H 8200 2150 50 0001 L BNN
F 3 "" H 8200 2150 50 0001 L BNN
F 4 "Manufacturer Recommendation" H 8200 2150 50 0001 L BNN "STANDARD"
F 5 "H" H 8200 2150 50 0001 L BNN "PARTREV"
F 6 "Samtec" H 8200 2150 50 0001 L BNN "MANUFACTURER"
1 8200 2150
1 0 0 -1
$EndComp
Text Label 5100 3050 0 50 ~ 0
SWDIO
Text Label 5100 3150 0 50 ~ 0
@ -656,10 +640,10 @@ Wire Wire Line
Wire Wire Line
7600 2150 7700 2150
$Comp
L power:GND #PWR079
L power:GND #PWR0129
U 1 1 60DB7DAC
P 7600 2200
F 0 "#PWR079" H 7600 1950 50 0001 C CNN
F 0 "#PWR0129" H 7600 1950 50 0001 C CNN
F 1 "GND" H 7605 2027 50 0000 C CNN
F 2 "" H 7600 2200 50 0001 C CNN
F 3 "" H 7600 2200 50 0001 C CNN
@ -667,10 +651,10 @@ F 3 "" H 7600 2200 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR078
L power:+3V3 #PWR0128
U 1 1 60DB8480
P 7600 1900
F 0 "#PWR078" H 7600 1750 50 0001 C CNN
F 0 "#PWR0128" H 7600 1750 50 0001 C CNN
F 1 "+3V3" H 7615 2073 50 0000 C CNN
F 2 "" H 7600 1900 50 0001 C CNN
F 3 "" H 7600 1900 50 0001 C CNN
@ -733,10 +717,10 @@ CPU_ADC8
Wire Wire Line
5400 3450 5000 3450
$Comp
L Connector_Generic:Conn_02x10_Counter_Clockwise J6
L Connector_Generic:Conn_02x10_Counter_Clockwise J9
U 1 1 6103F22E
P 8200 5050
F 0 "J6" H 8250 5667 50 0000 C CNN
F 0 "J9" H 8250 5667 50 0000 C CNN
F 1 "Analog" H 8250 5576 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x10_P2.54mm_Vertical" H 8200 5050 50 0001 C CNN
F 3 "~" H 8200 5050 50 0001 C CNN
@ -910,10 +894,10 @@ Wire Wire Line
Wire Wire Line
8500 3000 9050 3000
$Comp
L power:GND #PWR054
L power:GND #PWR0127
U 1 1 613D17DE
P 7500 4100
F 0 "#PWR054" H 7500 3850 50 0001 C CNN
F 0 "#PWR0127" H 7500 3850 50 0001 C CNN
F 1 "GND" V 7505 3972 50 0000 R CNN
F 2 "" H 7500 4100 50 0001 C CNN
F 3 "" H 7500 4100 50 0001 C CNN
@ -921,10 +905,10 @@ F 3 "" H 7500 4100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR053
L power:GND #PWR0126
U 1 1 613D1CE9
P 7500 3800
F 0 "#PWR053" H 7500 3550 50 0001 C CNN
F 0 "#PWR0126" H 7500 3550 50 0001 C CNN
F 1 "GND" V 7505 3672 50 0000 R CNN
F 2 "" H 7500 3800 50 0001 C CNN
F 3 "" H 7500 3800 50 0001 C CNN
@ -932,10 +916,10 @@ F 3 "" H 7500 3800 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR051
L power:GND #PWR0125
U 1 1 613D2209
P 7500 3500
F 0 "#PWR051" H 7500 3250 50 0001 C CNN
F 0 "#PWR0125" H 7500 3250 50 0001 C CNN
F 1 "GND" V 7505 3372 50 0000 R CNN
F 2 "" H 7500 3500 50 0001 C CNN
F 3 "" H 7500 3500 50 0001 C CNN
@ -943,10 +927,10 @@ F 3 "" H 7500 3500 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR082
L power:GND #PWR0132
U 1 1 613D2703
P 9050 3400
F 0 "#PWR082" H 9050 3150 50 0001 C CNN
F 0 "#PWR0132" H 9050 3150 50 0001 C CNN
F 1 "GND" V 9055 3272 50 0000 R CNN
F 2 "" H 9050 3400 50 0001 C CNN
F 3 "" H 9050 3400 50 0001 C CNN
@ -954,10 +938,10 @@ F 3 "" H 9050 3400 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:GND #PWR083
L power:GND #PWR0133
U 1 1 613D31D5
P 9050 3900
F 0 "#PWR083" H 9050 3650 50 0001 C CNN
F 0 "#PWR0133" H 9050 3650 50 0001 C CNN
F 1 "GND" V 9055 3772 50 0000 R CNN
F 2 "" H 9050 3900 50 0001 C CNN
F 3 "" H 9050 3900 50 0001 C CNN
@ -965,10 +949,10 @@ F 3 "" H 9050 3900 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR048
L power:+3V3 #PWR0124
U 1 1 613D3ACA
P 7500 3000
F 0 "#PWR048" H 7500 2850 50 0001 C CNN
F 0 "#PWR0124" H 7500 2850 50 0001 C CNN
F 1 "+3V3" V 7515 3128 50 0000 L CNN
F 2 "" H 7500 3000 50 0001 C CNN
F 3 "" H 7500 3000 50 0001 C CNN
@ -976,10 +960,10 @@ F 3 "" H 7500 3000 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+3V3 #PWR081
L power:+3V3 #PWR0131
U 1 1 613D4FEE
P 9050 3100
F 0 "#PWR081" H 9050 2950 50 0001 C CNN
F 0 "#PWR0131" H 9050 2950 50 0001 C CNN
F 1 "+3V3" V 9065 3228 50 0000 L CNN
F 2 "" H 9050 3100 50 0001 C CNN
F 3 "" H 9050 3100 50 0001 C CNN
@ -987,10 +971,10 @@ F 3 "" H 9050 3100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:+5V #PWR084
L power:+5V #PWR0134
U 1 1 613D5AB0
P 9050 4000
F 0 "#PWR084" H 9050 3850 50 0001 C CNN
F 0 "#PWR0134" H 9050 3850 50 0001 C CNN
F 1 "+5V" V 9065 4128 50 0000 L CNN
F 2 "" H 9050 4000 50 0001 C CNN
F 3 "" H 9050 4000 50 0001 C CNN
@ -998,10 +982,10 @@ F 3 "" H 9050 4000 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR080
L power:GND #PWR0130
U 1 1 613E5B11
P 9050 3000
F 0 "#PWR080" H 9050 2750 50 0001 C CNN
F 0 "#PWR0130" H 9050 2750 50 0001 C CNN
F 1 "GND" V 9055 2872 50 0000 R CNN
F 2 "" H 9050 3000 50 0001 C CNN
F 3 "" H 9050 3000 50 0001 C CNN
@ -1009,10 +993,10 @@ F 3 "" H 9050 3000 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L power:+5V #PWR085
L power:+5V #PWR0135
U 1 1 613F6AD5
P 9050 4100
F 0 "#PWR085" H 9050 3950 50 0001 C CNN
F 0 "#PWR0135" H 9050 3950 50 0001 C CNN
F 1 "+5V" V 9065 4228 50 0000 L CNN
F 2 "" H 9050 4100 50 0001 C CNN
F 3 "" H 9050 4100 50 0001 C CNN
@ -1020,10 +1004,10 @@ F 3 "" H 9050 4100 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L Connector_Generic:Conn_02x12_Counter_Clockwise J5
L Connector_Generic:Conn_02x12_Counter_Clockwise J8
U 1 1 6142A963
P 8200 3500
F 0 "J5" H 8250 4217 50 0000 C CNN
F 0 "J8" H 8250 4217 50 0000 C CNN
F 1 "IO" H 8250 4126 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_2x12_P2.54mm_Vertical" H 8200 3500 50 0001 C CNN
F 3 "~" H 8200 3500 50 0001 C CNN
@ -1031,10 +1015,10 @@ F 3 "~" H 8200 3500 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L Device:LED D22
L Device:LED D21
U 1 1 62108C04
P 6450 5150
F 0 "D22" H 6443 4895 50 0000 C CNN
F 0 "D21" H 6443 4895 50 0000 C CNN
F 1 "LED_CPU" H 6443 4986 50 0000 C CNN
F 2 "LED_SMD:LED_0603_1608Metric" H 6450 5150 50 0001 C CNN
F 3 "~" H 6450 5150 50 0001 C CNN
@ -1042,10 +1026,10 @@ F 3 "~" H 6450 5150 50 0001 C CNN
-1 0 0 1
$EndComp
$Comp
L Device:R R132
L Device:R R123
U 1 1 6210A0E7
P 6850 5150
F 0 "R132" V 6643 5150 50 0000 C CNN
F 0 "R123" V 6643 5150 50 0000 C CNN
F 1 "100" V 6734 5150 50 0000 C CNN
F 2 "Resistor_SMD:R_0402_1005Metric" V 6780 5150 50 0001 C CNN
F 3 "~" H 6850 5150 50 0001 C CNN
@ -1053,10 +1037,10 @@ F 3 "~" H 6850 5150 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L power:GND #PWR0126
L power:GND #PWR0123
U 1 1 6210B2EE
P 7100 5400
F 0 "#PWR0126" H 7100 5150 50 0001 C CNN
F 0 "#PWR0123" H 7100 5150 50 0001 C CNN
F 1 "GND" H 7105 5227 50 0000 C CNN
F 2 "" H 7100 5400 50 0001 C CNN
F 3 "" H 7100 5400 50 0001 C CNN
@ -1096,10 +1080,10 @@ $EndComp
Text HLabel 2100 1400 0 50 Input ~ 0
CPU_RESET
$Comp
L power:GND #PWR0145
L power:GND #PWR0118
U 1 1 62D1B098
P 3450 1250
F 0 "#PWR0145" H 3450 1000 50 0001 C CNN
F 0 "#PWR0118" H 3450 1000 50 0001 C CNN
F 1 "GND" H 3455 1077 50 0000 C CNN
F 2 "" H 3450 1250 50 0001 C CNN
F 3 "" H 3450 1250 50 0001 C CNN
@ -1107,10 +1091,10 @@ F 3 "" H 3450 1250 50 0001 C CNN
0 -1 -1 0
$EndComp
$Comp
L Device:C C52
L Device:C C44
U 1 1 62D1BE27
P 3100 1400
F 0 "C52" V 2848 1400 50 0000 C CNN
F 0 "C44" V 2848 1400 50 0000 C CNN
F 1 "0.1uF" V 2939 1400 50 0000 C CNN
F 2 "Capacitor_SMD:C_0402_1005Metric" H 3138 1250 50 0001 C CNN
F 3 "~" H 3100 1400 50 0001 C CNN
@ -1178,4 +1162,18 @@ NoConn ~ 5000 5350
NoConn ~ 5000 5450
NoConn ~ 3000 5350
NoConn ~ 5000 4050
$Comp
L TestAutomation:FTSH-105-XX-X-DV J7
U 1 1 6258FCEE
P 8200 2150
F 0 "J7" H 8200 2617 50 0000 C CNN
F 1 "SWD" H 8200 2526 50 0000 C CNN
F 2 "TestAutomation:SAMTEC_FTSH-105-XX-X-DV" H 8200 2150 50 0001 L BNN
F 3 "" H 8200 2150 50 0001 L BNN
F 4 "Manufacturer Recommendation" H 8200 2150 50 0001 L BNN "STANDARD"
F 5 "H" H 8200 2150 50 0001 L BNN "PARTREV"
F 6 "Samtec" H 8200 2150 50 0001 L BNN "MANUFACTURER"
1 8200 2150
1 0 0 -1
$EndComp
$EndSCHEMATC

1159
Power.sch

File diff suppressed because it is too large Load Diff

View File

@ -31,73 +31,80 @@ Mcu.Name=STM32F103V(C-D-E)Tx
Mcu.Package=LQFP100
Mcu.Pin0=PE2
Mcu.Pin1=PE3
Mcu.Pin10=PA3
Mcu.Pin11=PA4
Mcu.Pin12=PA5
Mcu.Pin13=PA6
Mcu.Pin14=PA7
Mcu.Pin15=PC4
Mcu.Pin16=PC5
Mcu.Pin17=PB0
Mcu.Pin18=PE7
Mcu.Pin19=PE8
Mcu.Pin10=PA1
Mcu.Pin11=PA2
Mcu.Pin12=PA3
Mcu.Pin13=PA4
Mcu.Pin14=PA5
Mcu.Pin15=PA6
Mcu.Pin16=PA7
Mcu.Pin17=PC4
Mcu.Pin18=PC5
Mcu.Pin19=PB0
Mcu.Pin2=PE4
Mcu.Pin20=PE9
Mcu.Pin21=PE10
Mcu.Pin22=PE11
Mcu.Pin23=PE12
Mcu.Pin24=PE13
Mcu.Pin25=PE14
Mcu.Pin26=PE15
Mcu.Pin27=PB10
Mcu.Pin28=PB11
Mcu.Pin29=PB12
Mcu.Pin20=PB1
Mcu.Pin21=PB2
Mcu.Pin22=PE7
Mcu.Pin23=PE8
Mcu.Pin24=PE9
Mcu.Pin25=PE10
Mcu.Pin26=PE11
Mcu.Pin27=PE12
Mcu.Pin28=PE13
Mcu.Pin29=PE14
Mcu.Pin3=PE5
Mcu.Pin30=PB13
Mcu.Pin31=PB14
Mcu.Pin32=PB15
Mcu.Pin33=PD8
Mcu.Pin34=PD9
Mcu.Pin35=PD10
Mcu.Pin36=PD11
Mcu.Pin37=PD12
Mcu.Pin38=PD13
Mcu.Pin39=PD14
Mcu.Pin30=PE15
Mcu.Pin31=PB10
Mcu.Pin32=PB11
Mcu.Pin33=PB12
Mcu.Pin34=PB13
Mcu.Pin35=PB14
Mcu.Pin36=PB15
Mcu.Pin37=PD8
Mcu.Pin38=PD9
Mcu.Pin39=PD10
Mcu.Pin4=PE6
Mcu.Pin40=PD15
Mcu.Pin41=PC6
Mcu.Pin42=PC7
Mcu.Pin43=PC8
Mcu.Pin44=PC9
Mcu.Pin45=PA9
Mcu.Pin46=PA10
Mcu.Pin47=PA13
Mcu.Pin48=PA14
Mcu.Pin49=PA15
Mcu.Pin40=PD11
Mcu.Pin41=PD12
Mcu.Pin42=PD13
Mcu.Pin43=PD14
Mcu.Pin44=PD15
Mcu.Pin45=PC6
Mcu.Pin46=PC7
Mcu.Pin47=PC8
Mcu.Pin48=PC9
Mcu.Pin49=PA8
Mcu.Pin5=OSC_IN
Mcu.Pin50=PC10
Mcu.Pin51=PC11
Mcu.Pin52=PD0
Mcu.Pin53=PD1
Mcu.Pin54=PD3
Mcu.Pin55=PD4
Mcu.Pin56=PD5
Mcu.Pin57=PD6
Mcu.Pin58=PD7
Mcu.Pin59=PB3
Mcu.Pin50=PA9
Mcu.Pin51=PA10
Mcu.Pin52=PA11
Mcu.Pin53=PA12
Mcu.Pin54=PA13
Mcu.Pin55=PA14
Mcu.Pin56=PA15
Mcu.Pin57=PC10
Mcu.Pin58=PC11
Mcu.Pin59=PD0
Mcu.Pin6=OSC_OUT
Mcu.Pin60=PB4
Mcu.Pin61=PB5
Mcu.Pin62=PB7
Mcu.Pin63=PB8
Mcu.Pin64=PB9
Mcu.Pin65=PE0
Mcu.Pin66=PE1
Mcu.Pin67=VP_SYS_VS_Systick
Mcu.Pin7=PA0-WKUP
Mcu.Pin8=PA1
Mcu.Pin9=PA2
Mcu.PinsNb=68
Mcu.Pin60=PD1
Mcu.Pin61=PD3
Mcu.Pin62=PD4
Mcu.Pin63=PD5
Mcu.Pin64=PD6
Mcu.Pin65=PD7
Mcu.Pin66=PB3
Mcu.Pin67=PB4
Mcu.Pin68=PB5
Mcu.Pin69=PB7
Mcu.Pin7=PC0
Mcu.Pin70=PB8
Mcu.Pin71=PB9
Mcu.Pin72=PE0
Mcu.Pin73=PE1
Mcu.Pin74=VP_SYS_VS_Systick
Mcu.Pin8=PC1
Mcu.Pin9=PA0-WKUP
Mcu.PinsNb=75
Mcu.ThirdPartyNb=0
Mcu.UserConstants=
Mcu.UserName=STM32F103VCTx
@ -122,6 +129,10 @@ PA0-WKUP.Signal=ADCx_IN0
PA1.Signal=ADCx_IN1
PA10.Mode=Asynchronous
PA10.Signal=USART1_RX
PA11.Locked=true
PA11.Signal=GPIO_Output
PA12.Locked=true
PA12.Signal=GPIO_Output
PA13.Mode=Serial_Wire
PA13.Signal=SYS_JTMS-SWDIO
PA14.Mode=Serial_Wire
@ -134,9 +145,13 @@ PA4.Signal=COMP_DAC1_group
PA5.Signal=COMP_DAC2_group
PA6.Signal=ADCx_IN6
PA7.Signal=ADCx_IN7
PA8.Locked=true
PA8.Signal=GPIO_Output
PA9.Mode=Asynchronous
PA9.Signal=USART1_TX
PB0.Signal=ADCx_IN8
PB1.Locked=true
PB1.Signal=ADCx_IN9
PB10.Mode=I2C
PB10.Signal=I2C2_SCL
PB11.Mode=I2C
@ -149,6 +164,8 @@ PB14.Mode=Full_Duplex_Master
PB14.Signal=SPI2_MISO
PB15.Mode=Full_Duplex_Master
PB15.Signal=SPI2_MOSI
PB2.Locked=true
PB2.Signal=GPIO_Input
PB3.Mode=Full_Duplex_Master
PB3.Signal=SPI1_SCK
PB4.Mode=Full_Duplex_Master
@ -160,6 +177,10 @@ PB8.Mode=I2C
PB8.Signal=I2C1_SCL
PB9.Mode=I2C
PB9.Signal=I2C1_SDA
PC0.Locked=true
PC0.Signal=GPIO_Output
PC1.Locked=true
PC1.Signal=GPIO_Input
PC10.Mode=Asynchronous
PC10.Signal=UART4_TX
PC11.Mode=Asynchronous
@ -262,7 +283,7 @@ ProjectManager.StackSize=0x400
ProjectManager.TargetToolchain=EWARM V8.32
ProjectManager.ToolChainLocation=
ProjectManager.UnderRoot=false
ProjectManager.functionlistsort=1-MX_GPIO_Init-GPIO-false-HAL-true,2-SystemClock_Config-RCC-false-HAL-false,3-MX_DAC_Init-DAC-false-HAL-true,4-MX_I2C1_Init-I2C1-false-HAL-true,5-MX_I2C2_Init-I2C2-false-HAL-true,6-MX_SPI1_Init-SPI1-false-HAL-true,7-MX_SPI2_Init-SPI2-false-HAL-true,8-MX_UART4_Init-UART4-false-HAL-true,9-MX_ADC1_Init-ADC1-false-HAL-true,10-MX_FSMC_Init-FSMC-false-HAL-true,11-MX_USART1_UART_Init-USART1-false-HAL-true
ProjectManager.functionlistsort=1-MX_GPIO_Init-GPIO-false-HAL-true,2-SystemClock_Config-RCC-false-HAL-false,3-MX_DAC_Init-DAC-false-HAL-true,4-MX_I2C1_Init-I2C1-false-HAL-true,5-MX_I2C2_Init-I2C2-false-HAL-true,6-MX_SPI1_Init-SPI1-false-HAL-true,7-MX_SPI2_Init-SPI2-false-HAL-true,8-MX_UART4_Init-UART4-false-HAL-true,9-MX_ADC1_Init-ADC1-false-HAL-true,10-MX_FSMC_Init-FSMC-false-HAL-true,11-MX_USART1_UART_Init-USART1-false-HAL-true,12-MX_TIM3_Init-TIM3-false-HAL-true,13-MX_TIM8_Init-TIM8-false-HAL-true
RCC.APB1Freq_Value=8000000
RCC.APB2Freq_Value=8000000
RCC.FamilyName=M
@ -288,6 +309,8 @@ SH.ADCx_IN7.0=ADC1_IN7,IN7
SH.ADCx_IN7.ConfNb=1
SH.ADCx_IN8.0=ADC1_IN8,IN8
SH.ADCx_IN8.ConfNb=1
SH.ADCx_IN9.0=ADC1_IN9,IN9
SH.ADCx_IN9.ConfNb=1
SH.COMP_DAC1_group.0=DAC_OUT1,DAC_OUT1
SH.COMP_DAC1_group.ConfNb=1
SH.COMP_DAC2_group.0=DAC_OUT2,DAC_OUT2

27
TestAutomation.bck Normal file
View File

@ -0,0 +1,27 @@
EESchema-DOCLIB Version 2.0
#
$CMP ACPL-217-500E
D ACPL-217-500E
F https://docs.broadcom.com/docs/AV02-0470EN
$ENDCMP
#
$CMP AG5300
D AG5300
F http://www.silvertel.com/images/datasheets/Ag5300-datasheet-smallest-30W-Power-Over-Ethernet-Plus-Module-PoEplusPD.pdf
$ENDCMP
#
$CMP ENC624J600-I_PT
D ENC624J600-I/PT
F http://ww1.microchip.com/downloads/en/DeviceDoc/39935c.pdf
$ENDCMP
#
$CMP INA190
F https://www.ti.com/lit/ds/symlink/ina190.pdf
$ENDCMP
#
$CMP SI4425DDY-T1-GE3
D SI4425DDY-T1-GE3
F http://www.vishay.com/docs/64732/si4425dd.pdf
$ENDCMP
#
#End Doc Library

27
TestAutomation.dcm Normal file
View File

@ -0,0 +1,27 @@
EESchema-DOCLIB Version 2.0
#
$CMP ACPL-217-500E
D ACPL-217-500E
F https://docs.broadcom.com/docs/AV02-0470EN
$ENDCMP
#
$CMP AG5300
D AG5300
F http://www.silvertel.com/images/datasheets/Ag5300-datasheet-smallest-30W-Power-Over-Ethernet-Plus-Module-PoEplusPD.pdf
$ENDCMP
#
$CMP ENC624J600-I_PT
D ENC624J600-I/PT
F http://ww1.microchip.com/downloads/en/DeviceDoc/39935c.pdf
$ENDCMP
#
$CMP INA190
F https://www.ti.com/lit/ds/symlink/ina190.pdf
$ENDCMP
#
$CMP SI4425DDY-T1-GE3
D SI4425DDY-T1-GE3
F http://www.vishay.com/docs/64732/si4425dd.pdf
$ENDCMP
#
#End Doc Library

File diff suppressed because it is too large Load Diff

708
TestAutomation.lib Normal file
View File

@ -0,0 +1,708 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# ACPL-217-500E
#
DEF ACPL-217-500E U 0 40 Y Y 1 F N
F0 "U" 0 200 50 H V L CNN
F1 "ACPL-217-500E" 0 300 50 H V L CNN
F2 "TestAutomation:ACPL-217-500E" 0 400 50 H I L CNN
F3 "" 0 500 50 H I L CNN
F4 "IC" 0 600 50 H I L CNN "category"
F5 "80V" 0 700 50 H I L CNN "collector emitter breakdown voltage"
F6 "0.4V" 0 800 50 H I L CNN "collector emitter saturation voltage"
F7 "50%" 0 900 50 H I L CNN "current transfer ratio"
F8 "Optoelectronics" 0 1000 50 H I L CNN "device class L1"
F9 "Optocouplers" 0 1100 50 H I L CNN "device class L2"
F10 "unset" 0 1200 50 H I L CNN "device class L3"
F11 "OPTOISOLATOR 3KV TRANS 4SOIC" 0 1300 50 H I L CNN "digikey description"
F12 "516-1978-1-ND" 0 1400 50 H I L CNN "digikey part number"
F13 "50mA" 0 1500 50 H I L CNN "forward current"
F14 "1.2V" 0 1600 50 H I L CNN "forward voltage"
F15 "2.42mm" 0 1700 50 H I L CNN "height"
F16 "SOIC127P700X232-4" 0 1800 50 H I L CNN "ipc land pattern name"
F17 "3750V" 0 1900 50 H I L CNN "isolation voltage"
F18 "yes" 0 2000 50 H I L CNN "lead free"
F19 "a882efa43c9fced1" 0 2100 50 H I L CNN "library id"
F20 "Broadcom" 0 2200 50 H I L CNN "manufacturer"
F21 "Transistor Output Optocouplers 3000Vrms 50% CTR" 0 2300 50 H I L CNN "mouser description"
F22 "630-ACPL-217-500E" 0 2400 50 H I L CNN "mouser part number"
F23 "SO4" 0 2500 50 H I L CNN "package"
F24 "yes" 0 2600 50 H I L CNN "rohs"
F25 "0.12mm" 0 2700 50 H I L CNN "standoff height"
F26 "+110°C" 0 2800 50 H I L CNN "temperature range high"
F27 "-55°C" 0 2900 50 H I L CNN "temperature range low"
F28 "3us" 0 3000 50 H I L CNN "turn off delay time"
F29 "3us" 0 3100 50 H I L CNN "turn on delay time"
DRAW
S 100 100 700 -500 1 1 0 f
P 2 1 1 0 200 -300 400 -300 N
P 2 1 1 0 200 -100 400 -100 N
P 2 1 1 0 300 -300 200 -100 N
P 2 1 1 0 300 -300 300 -400 N
P 2 1 1 0 300 -100 300 0 N
P 2 1 1 0 400 -100 300 -300 N
P 2 1 1 0 500 -250 600 -300 N
P 2 1 1 0 500 -150 600 -100 N
P 2 1 1 0 500 -100 500 -300 N
P 2 1 1 0 540 -290 560 -260 N
P 2 1 1 0 540 -290 600 -300 N
P 2 1 1 0 560 -260 600 -300 N
P 2 1 1 0 600 -300 600 -400 N
P 2 1 1 0 600 0 600 -100 N
X Anode 1 0 0 300 R 40 0 1 1 P
X Cathode 2 0 -400 300 R 40 0 1 1 P
X Emitter 3 800 -400 200 L 40 0 1 1 P
X Collector 4 800 0 200 L 40 0 1 1 P
ENDDRAW
ENDDEF
#
# ADS831E_2K5
#
DEF ADS831E_2K5 U 0 10 Y Y 1 F N
F0 "U" 1400 400 60 H V C CNN
F1 "ADS831E_2K5" 1400 300 60 H V C CNN
F2 "TestAutomation:ADS831E&slash_2K5" 1400 240 60 H I C CNN
F3 "" 0 0 60 H V C CNN
$FPLIST
DBQ20
DBQ20-M
DBQ20-L
$ENDFPLIST
DRAW
P 2 1 1 5 300 -1100 2500 -1100 N
P 2 1 1 5 300 200 300 -1100 N
P 2 1 1 5 2500 -1100 2500 200 N
P 2 1 1 5 2500 200 300 200 N
X GND 1 0 0 300 R 59 59 1 1 W
X CLK 10 0 -900 300 R 59 59 1 1 U
X RSEL 11 2800 -900 300 L 59 59 1 1 I
X *INT/EXT 12 2800 -800 300 L 59 59 1 1 U
X REFB 13 2800 -700 300 L 59 59 1 1 U
X REFT 14 2800 -600 300 L 59 59 1 1 U
X CM 15 2800 -500 300 L 59 59 1 1 O
X *IN 16 2800 -400 300 L 59 59 1 1 I
X IN 17 2800 -300 300 L 59 59 1 1 I
X GND 18 2800 -200 300 L 59 59 1 1 W
X VS+ 19 2800 -100 300 L 59 59 1 1 W
X BIT1 2 0 -100 300 R 59 59 1 1 U
X VDRV 20 2800 0 300 L 59 59 1 1 O
X BIT2 3 0 -200 300 R 59 59 1 1 U
X BIT3 4 0 -300 300 R 59 59 1 1 U
X BIT4 5 0 -400 300 R 59 59 1 1 U
X BIT5 6 0 -500 300 R 59 59 1 1 U
X BIT6 7 0 -600 300 R 59 59 1 1 U
X BIT7 8 0 -700 300 R 59 59 1 1 U
X BIT8 9 0 -800 300 R 59 59 1 1 U
ENDDRAW
ENDDEF
#
# AG5300
#
DEF AG5300 U 0 40 Y Y 1 F N
F0 "U" 0 200 50 H V L CNN
F1 "AG5300" 0 300 50 H V L CNN
F2 "TestAutomation:Silvertel-AG5300-0-0-MFG" 0 400 50 H I L CNN
F3 "" 0 500 50 H I L CNN
F4 "+70°C" 0 600 50 H I L CNN "ambient temperature range high"
F5 "-40°C" 0 700 50 H I L CNN "ambient temperature range low"
F6 "No" 0 800 50 H I L CNN "automotive"
F7 "IC" 0 900 50 H I L CNN "category"
F8 "Integrated Circuits (ICs)" 0 1000 50 H I L CNN "device class L1"
F9 "Power Management ICs" 0 1100 50 H I L CNN "device class L2"
F10 "Voltage Regulators - Switching" 0 1200 50 H I L CNN "device class L3"
F11 "14mm" 0 1300 50 H I L CNN "height"
F12 "Yes" 0 1400 50 H I L CNN "lead free"
F13 "3c9c27bad516ae21" 0 1500 50 H I L CNN "library id"
F14 "Silvertel" 0 1600 50 H I L CNN "manufacturer"
F15 "30W" 0 1700 50 H I L CNN "max power dissipation"
F16 "57V" 0 1800 50 H I L CNN "max supply voltage"
F17 "36V" 0 1900 50 H I L CNN "min supply voltage"
F18 "1" 0 2000 50 H I L CNN "number of outputs"
F19 "AdjustableProgrammable" 0 2100 50 H I L CNN "output type"
F20 "10.8-12.8V" 0 2200 50 H I L CNN "output voltage"
F21 "PTH_57MM3_18MM0" 0 2300 50 H I L CNN "package"
F22 "Yes" 0 2400 50 H I L CNN "rohs"
F23 "Buck" 0 2500 50 H I L CNN "switching topology"
F24 "+70°C" 0 2600 50 H I L CNN "temperature range high"
F25 "-40°C" 0 2700 50 H I L CNN "temperature range low"
DRAW
S 200 100 1100 -900 1 1 0 f
X VIN+ 1 100 0 100 R 40 40 1 1 W
X -VDC 10 1200 -300 100 L 40 40 1 1 O
X VIN- 2 100 -200 100 R 40 40 1 1 W
X AT-DET 3 100 -400 100 R 40 40 1 1 O
X IC 4 100 -600 100 R 40 40 1 1 P
X IC 5 100 -700 100 R 40 40 1 1 P
X IC 6 100 -800 100 R 40 40 1 1 P
X -VDC 7 1200 -200 100 L 40 40 1 1 O
X +VDC 8 1200 0 100 L 40 40 1 1 O
X ADJ 9 1200 -500 100 L 40 40 1 1 I
ENDDRAW
ENDDEF
#
# BNX022-01L
#
DEF BNX022-01L FL 0 40 Y N 1 F N
F0 "FL" -800 300 50 H V L BNN
F1 "BNX022-01L" -800 -400 50 H V L BNN
F2 "TestAutomation:FIL_BNX022-01L" 0 0 50 H I L BNN
F3 "" 0 0 50 H I L BNN
F4 "Manufacturer Recommendations" 0 0 50 H I L BNN "STANDARD"
F5 "3.7 mm" 0 0 50 H I L BNN "MAXIMUM_PACKAGE_HEIGHT"
F6 "Murata" 0 0 50 H I L BNN "MANUFACTURER"
F7 "N/A" 0 0 50 H I L BNN "PARTREV"
DRAW
A -650 -200 50 -1 1800 0 0 10 N -700 -200 -600 -200
A -650 100 50 -1 1800 0 0 10 N -700 100 -600 100
A -550 -200 50 -1 1800 0 0 10 N -600 -200 -500 -200
A -550 100 50 -1 1800 0 0 10 N -600 100 -500 100
A -450 -200 50 -1 1800 0 0 10 N -500 -200 -400 -200
A -450 100 50 -1 1800 0 0 10 N -500 100 -400 100
A -350 -200 50 -1 1800 0 0 10 N -400 -200 -300 -200
A -350 100 50 -1 1800 0 0 10 N -400 100 -300 100
A 150 100 50 -1 1800 0 0 10 N 100 100 200 100
A 250 100 50 -1 1800 0 0 10 N 200 100 300 100
A 350 100 50 -1 1800 0 0 10 N 300 100 400 100
A 450 100 50 -1 1800 0 0 10 N 400 100 500 100
C -100 -200 10 0 0 20 N
C -100 100 10 0 0 20 N
C 700 -200 10 0 0 20 N
P 2 0 0 10 -700 -200 -800 -200 N
P 2 0 0 10 -700 -100 -300 -100 N
P 2 0 0 10 -700 100 -800 100 N
P 2 0 0 10 -700 200 -300 200 N
P 2 0 0 10 -300 -200 800 -200 N
P 2 0 0 10 -300 100 100 100 N
P 2 0 0 10 -150 -70 -100 -70 N
P 2 0 0 10 -150 -30 -100 -30 N
P 2 0 0 10 -100 -70 -100 -200 N
P 2 0 0 10 -100 -70 -50 -70 N
P 2 0 0 10 -100 -30 -50 -30 N
P 2 0 0 10 -100 100 -100 -30 N
P 2 0 0 10 100 200 500 200 N
P 2 0 0 10 500 100 800 100 N
P 2 0 0 10 650 70 700 70 N
P 2 0 0 10 700 70 700 -200 N
P 2 0 0 10 700 70 750 70 N
X ~ 1 -1000 100 200 R 40 40 0 0 P
X ~ 2 1000 100 200 L 40 40 0 0 P
X ~ 3 -1000 -200 200 R 40 40 0 0 P
X ~ 4 1000 -200 200 L 40 40 0 0 P
X ~ 5 1000 -200 200 L 40 40 0 0 P
X ~ 6 1000 -200 200 L 40 40 0 0 P
ENDDRAW
ENDDEF
#
# ENC624J600-I_PT
#
DEF ENC624J600-I_PT U 0 40 Y Y 1 F N
F0 "U" 0 200 50 H V L CNN
F1 "ENC624J600-I_PT" 0 300 50 H V L CNN
F2 "TestAutomation:Microchip-ENC624J600-I_PT-Level_A" 0 400 50 H I L CNN
F3 "" 0 500 50 H I L CNN
F4 "MS-026" 0 600 50 H I L CNN "Code JEDEC"
F5 "Manufacturer URL" 0 700 50 H I L CNN "Component Link 1 Description"
F6 "http://www.microchip.com/" 0 800 50 H I L CNN "Component Link 1 URL"
F7 "Package Specification" 0 900 50 H I L CNN "Component Link 3 Description"
F8 "http://www.microchip.com/stellent/groups/techpub_sg/documents/packagingspec/en012702.pdf" 0 1000 50 H I L CNN "Component Link 3 URL"
F9 "revC, Jan-2010" 0 1100 50 H I L CNN "Datasheet Version"
F10 "64-Lead Thin Plastic Quad Flat Pack (PT) - 10x10x1mm Body, 2.00mm [TQFP]" 0 1200 50 H I L CNN "Package Description"
F11 "revBB, Aug-2009" 0 1300 50 H I L CNN "Package Version"
F12 "IC" 0 1400 50 H I L CNN "category"
F13 "963374" 0 1500 50 H I L CNN "ciiva ids"
F14 "785a2be8c985604e" 0 1600 50 H I L CNN "library id"
F15 "Microchip" 0 1700 50 H I L CNN "manufacturer"
F16 "TQFP-PT64" 0 1800 50 H I L CNN "package"
F17 "1331939240" 0 1900 50 H I L CNN "release date"
F18 "7601FC2E-46AD-4DC1-8A2C-669C8D2FD12D" 0 2000 50 H I L CNN "vault revision"
F19 "yes" 0 2100 50 H I L CNN "imported"
DRAW
S 200 100 2000 -4000 1 1 0 f
X VSSOSC 1 100 -3300 100 R 40 40 1 1 W
X A6 10 100 -1500 100 R 40 40 1 1 I
X A7 11 100 -1600 100 R 40 40 1 1 I
X A8 12 100 -1700 100 R 40 40 1 1 I
X A9 13 100 -1800 100 R 40 40 1 1 I
X LEDB 14 2100 -3000 100 L 40 40 1 1 O
X LEDA 15 2100 -2900 100 L 40 40 1 1 O
X RBIAS 16 2100 -800 100 L 40 40 1 1 I
X PSPCFG2 17 100 -2500 100 R 40 40 1 1 I
X PSPCFG3 18 100 -2600 100 R 40 40 1 1 I
X A10 19 100 -1900 100 R 40 40 1 1 I
X OSC2 2 100 0 100 R 40 40 1 1 O
X A11 20 100 -2000 100 R 40 40 1 1 I
X VDD 21 2100 -3400 100 L 40 40 1 1 W
X VDDPLL 22 2100 -3500 100 L 40 40 1 1 W
X VSSPLL 23 100 -3400 100 R 40 40 1 1 W
X VSSRX 24 100 -3500 100 R 40 40 1 1 W
X VDDRX 25 2100 -3600 100 L 40 40 1 1 W
X TPIN+ 26 2100 -200 100 L 40 40 1 1 I
X TPIN- 27 2100 -300 100 L 40 40 1 1 I
X VDDTX 28 2100 -3700 100 L 40 40 1 1 W
X VSSTX 29 100 -3600 100 R 40 40 1 1 W
X OSC1 3 100 -100 100 R 40 40 1 1 I
X TPOUT+ 30 2100 -500 100 L 40 40 1 1 O
X TPOUT- 31 2100 -600 100 L 40 40 1 1 O
X VSSTX 32 100 -3700 100 R 40 40 1 1 W
X CLKOUT 33 2100 0 100 L 40 40 1 1 O
X ~INT~/SPISEL 34 2100 -2700 100 L 40 40 1 1 B
X AD8 35 2100 -1800 100 L 40 40 1 1 B
X AD9 36 2100 -1900 100 L 40 40 1 1 B
X AD10 37 2100 -2000 100 L 40 40 1 1 B
X AD11 38 2100 -2100 100 L 40 40 1 1 B
X AD12 39 2100 -2200 100 L 40 40 1 1 B
X VDDOSC 4 2100 -3300 100 L 40 40 1 1 W
X AD13 40 2100 -2300 100 L 40 40 1 1 B
X AD14 41 2100 -2400 100 L 40 40 1 1 B
X AD15 42 2100 -2500 100 L 40 40 1 1 B
X A12 43 100 -2100 100 R 40 40 1 1 I
X A13 44 100 -2200 100 R 40 40 1 1 I
X A14/PSPCFG1 45 100 -2300 100 R 40 40 1 1 I
X VSS 46 100 -3800 100 R 40 40 1 1 W
X VDD 47 2100 -3800 100 L 40 40 1 1 W
X B1SEL/WRH 48 100 -3000 100 R 40 40 1 1 I
X ~CS~/CS 49 100 -300 100 R 40 40 1 1 I
X AD4 5 2100 -1400 100 L 40 40 1 1 B
X B0SEL/EN/SO/WR/WRL 50 100 -2800 100 R 40 40 1 1 B
X ~W~/SI 51 100 -700 100 R 40 40 1 1 I
X SCK/AL/PSPCFG4 52 100 -500 100 R 40 40 1 1 I
X AD0 53 2100 -1000 100 L 40 40 1 1 B
X AD1 54 2100 -1100 100 L 40 40 1 1 B
X AD2 55 2100 -1200 100 L 40 40 1 1 B
X AD3 56 2100 -1300 100 L 40 40 1 1 B
X A0 57 100 -900 100 R 40 40 1 1 I
X A1 58 100 -1000 100 R 40 40 1 1 I
X A2 59 100 -1100 100 R 40 40 1 1 I
X AD5 6 2100 -1500 100 L 40 40 1 1 B
X A3 60 100 -1200 100 R 40 40 1 1 I
X A4 61 100 -1300 100 R 40 40 1 1 I
X VSS 62 100 -3900 100 R 40 40 1 1 W
X VCAP 63 2100 -3200 100 L 40 40 1 1 W
X VDD 64 2100 -3900 100 L 40 40 1 1 W
X AD6 7 2100 -1600 100 L 40 40 1 1 B
X AD7 8 2100 -1700 100 L 40 40 1 1 B
X A5 9 100 -1400 100 R 40 40 1 1 I
ENDDRAW
ENDDEF
#
# FTSH-105-XX-X-DV
#
DEF FTSH-105-XX-X-DV J 0 40 Y Y 1 F N
F0 "J" -300 350 50 H V L BNN
F1 "FTSH-105-XX-X-DV" -300 -400 50 H V L BNN
F2 "TestAutomation:SAMTEC_FTSH-105-XX-X-DV" 0 0 50 H I L BNN
F3 "" 0 0 50 H I L BNN
F4 "Manufacturer Recommendation" 0 0 50 H I L BNN "STANDARD"
F5 "H" 0 0 50 H I L BNN "PARTREV"
F6 "Samtec" 0 0 50 H I L BNN "MANUFACTURER"
DRAW
S -300 -300 300 300 0 0 10 f
X 01 01 -500 200 200 R 40 40 0 0 P
X 02 02 500 200 200 L 40 40 0 0 P
X 03 03 -500 100 200 R 40 40 0 0 P
X 04 04 500 100 200 L 40 40 0 0 P
X 05 05 -500 0 200 R 40 40 0 0 P
X 06 06 500 0 200 L 40 40 0 0 P
X 07 07 -500 -100 200 R 40 40 0 0 P
X 08 08 500 -100 200 L 40 40 0 0 P
X 09 09 -500 -200 200 R 40 40 0 0 P
X 10 10 500 -200 200 L 40 40 0 0 P
ENDDRAW
ENDDEF
#
# ICE40HX8K-CT256
#
DEF ICE40HX8K-CT256 U 0 40 Y Y 5 L N
F0 "U" -500 2727 50 H V L BNN
F1 "ICE40HX8K-CT256" -501 -2704 50 H V L BNN
F2 "TestAutomation:iCE40-BGA256C80P16X16_1400X1400X170" -300 -700 50 V I L BNN
F3 "" 0 0 50 H I L BNN
F4 "3.4" -100 -100 50 V I L BNN "PART_REV"
F5 "IPC-7351B" -200 -250 50 V I L BNN "STANDARD"
F6 "Lattice Semiconductor" 0 -400 50 V I L BNN "MANUFACTURER"
DRAW
T 0 140 2722 70 0 1 0 "BANK 0" Normal 0 L B
T 0 150 2733 70 0 2 0 "BANK 1" Normal 0 L B
T 0 150 2723 70 0 3 0 "BANK 2" Normal 0 L B
T 0 140 2721 70 0 4 0 "BANK 3" Normal 0 L B
S -500 -2600 500 2700 1 0 10 f
S -500 -2600 500 2700 2 0 10 f
S -500 -2800 500 2700 3 0 10 f
S -500 -2600 500 2700 4 0 10 f
S -500 -2650 500 2700 5 0 10 f
X IOT_220 A1 700 -1800 200 L 40 40 1 0 B
X IOT_186 A10 700 900 200 L 40 40 1 0 B
X IOT_187 A11 700 800 200 L 40 40 1 0 B
X IOT_177 A15 700 1800 200 L 40 40 1 0 B
X IOT_176 A16 700 1900 200 L 40 40 1 0 B
X IOT_218 A2 700 -1600 200 L 40 40 1 0 B
X IOT_213 A5 700 -1200 200 L 40 40 1 0 B
X IOT_211 A6 700 -1000 200 L 40 40 1 0 B
X IOT_205 A7 700 -400 200 L 40 40 1 0 B
X IOT_194 A9 700 300 200 L 40 40 1 0 B
X IOT_183 B10 700 1200 200 L 40 40 1 0 B
X IOT_184 B11 700 1100 200 L 40 40 1 0 B
X IOT_182 B12 700 1300 200 L 40 40 1 0 B
X IOT_178 B13 700 1700 200 L 40 40 1 0 B
X IOT_171 B14 700 2300 200 L 40 40 1 0 B
X IOT_169 B15 700 2500 200 L 40 40 1 0 B
X IOT_223 B3 700 -2100 200 L 40 40 1 0 B
X IOT_216 B4 700 -1500 200 L 40 40 1 0 B
X IOT_214 B5 700 -1300 200 L 40 40 1 0 B
X IOT_208 B6 700 -700 200 L 40 40 1 0 B
X IOT_207 B7 700 -600 200 L 40 40 1 0 B
X IOT_203 B8 700 -300 200 L 40 40 1 0 B
X IOT_199 B9 700 -100 200 L 40 40 1 0 B
X IOT_185 C10 700 1000 200 L 40 40 1 0 B
X IOT_180 C11 700 1500 200 L 40 40 1 0 B
X IOT_172 C12 700 2200 200 L 40 40 1 0 B
X IOT_174 C13 700 2000 200 L 40 40 1 0 B
X IOT_168 C14 700 2600 200 L 40 40 1 0 B
X IOT_227 C3 700 -2500 200 L 40 40 1 0 B
X IOT_222 C4 700 -2000 200 L 40 40 1 0 B
X IOT_221 C5 700 -1900 200 L 40 40 1 0 B
X IOT_209 C6 700 -800 200 L 40 40 1 0 B
X IOT_206 C7 700 -500 200 L 40 40 1 0 B
X IOT_197_GBIN1 C8 700 100 200 L 40 40 1 0 B C
X IOT_191 C9 700 600 200 L 40 40 1 0 B
X IOT_190 D10 700 700 200 L 40 40 1 0 B
X IOT_181 D11 700 1400 200 L 40 40 1 0 B
X IOT_170 D13 700 2400 200 L 40 40 1 0 B
X IOT_226 D3 700 -2400 200 L 40 40 1 0 B
X IOT_224 D4 700 -2200 200 L 40 40 1 0 B
X IOT_219 D5 700 -1700 200 L 40 40 1 0 B
X IOT_212 D6 700 -1100 200 L 40 40 1 0 B
X IOT_210 D7 700 -900 200 L 40 40 1 0 B
X IOT_200 D8 700 -200 200 L 40 40 1 0 B
X IOT_193 D9 700 400 200 L 40 40 1 0 B
X IOT_179 E10 700 1600 200 L 40 40 1 0 B
X IOT_173 E11 700 2100 200 L 40 40 1 0 B
X IOT_225 E5 700 -2300 200 L 40 40 1 0 B
X IOT_215 E6 700 -1400 200 L 40 40 1 0 B
X IOT_192 E9 700 500 200 L 40 40 1 0 B
X IOT_198_GBIN0 F7 700 0 200 L 40 40 1 0 B C
X IOT_196 F9 700 200 200 L 40 40 1 0 B
X IOR_165 B16 700 -2300 200 L 40 40 2 0 B
X IOR_161 C16 700 -2100 200 L 40 40 2 0 B
X IOR_167 D14 700 -2500 200 L 40 40 2 0 B
X IOR_157 D15 700 -1800 200 L 40 40 2 0 B
X IOR_153 D16 700 -1400 200 L 40 40 2 0 B
X IOR_166 E13 700 -2400 200 L 40 40 2 0 B
X IOR_160 E14 700 -2000 200 L 40 40 2 0 B
X IOR_151 E16 700 -1200 200 L 40 40 2 0 B
X IOR_158 F11 700 -1900 200 L 40 40 2 0 B
X IOR_156 F12 700 -1700 200 L 40 40 2 0 B
X IOR_162 F13 700 -2200 200 L 40 40 2 0 B
X IOR_155 F14 700 -1600 200 L 40 40 2 0 B
X IOR_149 F15 700 -1000 200 L 40 40 2 0 B
X IOR_147 F16 700 -800 200 L 40 40 2 0 B
X IOR_146 G10 700 -700 200 L 40 40 2 0 B
X IOR_148 G11 700 -900 200 L 40 40 2 0 B
X IOR_154 G12 700 -1500 200 L 40 40 2 0 B
X IOR_152 G13 700 -1300 200 L 40 40 2 0 B
X IOR_150 G14 700 -1100 200 L 40 40 2 0 B
X IOR_145 G15 700 -600 200 L 40 40 2 0 B
X IOR_143 G16 700 -400 200 L 40 40 2 0 B
X IOR_140_GBIN3 H11 700 -100 200 L 40 40 2 0 B C
X IOR_144 H12 700 -500 200 L 40 40 2 0 B
X IOR_139 H13 700 0 200 L 40 40 2 0 B
X IOR_142 H14 700 -300 200 L 40 40 2 0 B
X IOR_141_GBIN2 H16 700 -200 200 L 40 40 2 0 B C
X IOR_122 J10 700 1300 200 L 40 40 2 0 B
X IOR_126 J11 700 1100 200 L 40 40 2 0 B
X IOR_136 J12 700 300 200 L 40 40 2 0 B
X IOR_137 J13 700 200 200 L 40 40 2 0 B
X IOR_134 J14 700 400 200 L 40 40 2 0 B
X IOR_130 J15 700 700 200 L 40 40 2 0 B
X IOR_138 J16 700 100 200 L 40 40 2 0 B
X IOR_120 K12 700 1500 200 L 40 40 2 0 B
X IOR_128 K13 700 900 200 L 40 40 2 0 B
X IOR_129 K14 700 800 200 L 40 40 2 0 B
X IOR_131 K15 700 600 200 L 40 40 2 0 B
X IOR_133 K16 700 500 200 L 40 40 2 0 B
X IOR_116 L12 700 1900 200 L 40 40 2 0 B
X IOR_118 L13 700 1700 200 L 40 40 2 0 B
X IOR_119 L14 700 1600 200 L 40 40 2 0 B
X IOR_127 L16 700 1000 200 L 40 40 2 0 B
X IOR_114 M13 700 2100 200 L 40 40 2 0 B
X IOR_115 M14 700 2000 200 L 40 40 2 0 B
X IOR_123 M15 700 1200 200 L 40 40 2 0 B
X IOR_121 M16 700 1400 200 L 40 40 2 0 B
X IOR_117 N16 700 1800 200 L 40 40 2 0 B
X IOR_111 P14 700 2400 200 L 40 40 2 0 B
X IOR_112 P15 700 2300 200 L 40 40 2 0 B
X IOR_113 P16 700 2200 200 L 40 40 2 0 B
X IOR_109 R14 700 2600 200 L 40 40 2 0 B
X IOR_110 R15 700 2500 200 L 40 40 2 0 B
X IOB_103_CBSEL0 K11 700 -1800 200 L 40 40 3 0 B
X IOB_82_GBIN4 K9 700 -100 200 L 40 40 3 0 B C
X IOB_85 L10 700 -400 200 L 40 40 3 0 B
X IOB_99 L11 700 -1400 200 L 40 40 3 0 B
X IOB_74 L9 700 700 200 L 40 40 3 0 B
X CDONE M10 700 -2600 200 L 40 40 3 0 B
X IOB_93 M11 700 -1100 200 L 40 40 3 0 B
X IOB_101 M12 700 -1600 200 L 40 40 3 0 B
X IOB_64 M7 700 1500 200 L 40 40 3 0 B
X IOB_68 M8 700 1200 200 L 40 40 3 0 B
X IOB_80 M9 700 100 200 L 40 40 3 0 B
X IOB_87 N10 700 -600 200 L 40 40 3 0 B
X CRESET_B N11 700 -2700 200 L 40 40 3 0 I
X IOB_98 N12 700 -1300 200 L 40 40 3 0 B
X IOB_56 N5 700 2200 200 L 40 40 3 0 B
X IOB_52 N6 700 2600 200 L 40 40 3 0 B
X IOB_66 N7 700 1400 200 L 40 40 3 0 B
X IOB_78 N9 700 300 200 L 40 40 3 0 B
X IOB_86 P10 700 -500 200 L 40 40 3 0 B
X IOB_106_SDI P11 700 -2300 200 L 40 40 3 0 B
X IOB_105_SDO P12 700 -2400 200 L 40 40 3 0 B
X IOB_104_CBSEL1 P13 700 -1900 200 L 40 40 3 0 B
X IOB_54 P4 700 2400 200 L 40 40 3 0 B
X IOB_58 P5 700 2000 200 L 40 40 3 0 B
X IOB_67 P6 700 1300 200 L 40 40 3 0 B
X IOB_77 P7 700 400 200 L 40 40 3 0 B
X IOB_72 P8 700 900 200 L 40 40 3 0 B
X IOB_83 P9 700 -200 200 L 40 40 3 0 B
X IOB_84 R10 700 -300 200 L 40 40 3 0 B
X IOB_107_SCK R11 700 -2200 200 L 40 40 3 0 B C
X IOB_108_SS R12 700 -2100 200 L 40 40 3 0 B
X IOB_102 R16 700 -1700 200 L 40 40 3 0 B
X IOB_55 R2 700 2300 200 L 40 40 3 0 B
X IOB_59 R3 700 1900 200 L 40 40 3 0 B
X IOB_63 R4 700 1600 200 L 40 40 3 0 B
X IOB_60 R5 700 1800 200 L 40 40 3 0 B
X IOB_71 R6 700 1000 200 L 40 40 3 0 B
X IOB_81_GBIN5 R9 700 0 200 L 40 40 3 0 B C
X IOB_53 T1 700 2500 200 L 40 40 3 0 B
X IOB_88 T10 700 -700 200 L 40 40 3 0 B
X IOB_89 T11 700 -800 200 L 40 40 3 0 B
X IOB_94 T13 700 -1200 200 L 40 40 3 0 B
X IOB_92 T14 700 -1000 200 L 40 40 3 0 B
X IOB_91 T15 700 -900 200 L 40 40 3 0 B
X IOB_100 T16 700 -1500 200 L 40 40 3 0 B
X IOB_57 T2 700 2100 200 L 40 40 3 0 B
X IOB_61 T3 700 1700 200 L 40 40 3 0 B
X IOB_69 T5 700 1100 200 L 40 40 3 0 B
X IOB_73 T6 700 800 200 L 40 40 3 0 B
X IOB_75 T7 700 600 200 L 40 40 3 0 B
X IOB_76 T8 700 500 200 L 40 40 3 0 B
X IOB_79 T9 700 200 200 L 40 40 3 0 B
X IOL_2B B1 700 2400 200 L 40 40 4 0 B
X IOL_1B B2 700 2600 200 L 40 40 4 0 B
X IOL_3A C1 700 2100 200 L 40 40 4 0 B
X IOL_3B C2 700 2200 200 L 40 40 4 0 B
X IOL_5B D1 700 1800 200 L 40 40 4 0 B
X IOL_4B D2 700 2000 200 L 40 40 4 0 B
X IOL_7B E2 700 1400 200 L 40 40 4 0 B
X IOL_6B E3 700 1600 200 L 40 40 4 0 B
X IOL_1A E4 700 2500 200 L 40 40 4 0 B
X IOL_10B F1 700 800 200 L 40 40 4 0 B
X IOL_9B F2 700 1000 200 L 40 40 4 0 B
X IOL_8B F3 700 1200 200 L 40 40 4 0 B
X IOL_4A F4 700 1900 200 L 40 40 4 0 B
X IOL_2A F5 700 2300 200 L 40 40 4 0 B
X IOL_13B_GBIN7 G1 700 200 200 L 40 40 4 0 B C
X IOL_11B G2 700 600 200 L 40 40 4 0 B
X IOL_8A G3 700 1100 200 L 40 40 4 0 B
X IOL_6A G4 700 1500 200 L 40 40 4 0 B
X IOL_5A G5 700 1700 200 L 40 40 4 0 B
X IOL_14B H1 700 0 200 L 40 40 4 0 B
X IOL_12B H2 700 400 200 L 40 40 4 0 B
X IOL_9A H3 700 900 200 L 40 40 4 0 B
X IOL_11A H4 700 500 200 L 40 40 4 0 B
X IOL_7A H5 700 1300 200 L 40 40 4 0 B
X IOL_10A H6 700 700 200 L 40 40 4 0 B
X IOL_15B J1 700 -200 200 L 40 40 4 0 B
X IOL_15A J2 700 -300 200 L 40 40 4 0 B
X IOL_14A_GBIN6 J3 700 -100 200 L 40 40 4 0 B C
X IOL_12A J4 700 300 200 L 40 40 4 0 B
X IOL_13A J5 700 100 200 L 40 40 4 0 B
X IOL_16A K1 700 -500 200 L 40 40 4 0 B
X IOL_16B K3 700 -400 200 L 40 40 4 0 B
X IOL_18A K4 700 -900 200 L 40 40 4 0 B
X IOL_20A K5 700 -1300 200 L 40 40 4 0 B
X IOL_17B L1 700 -600 200 L 40 40 4 0 B
X IOL_19B L3 700 -1000 200 L 40 40 4 0 B
X IOL_17A L4 700 -700 200 L 40 40 4 0 B
X IOL_23A L5 700 -1900 200 L 40 40 4 0 B
X IOL_19A L6 700 -1100 200 L 40 40 4 0 B
X IOL_21A L7 700 -1500 200 L 40 40 4 0 B
X IOL_18B M1 700 -800 200 L 40 40 4 0 B
X IOL_20B M2 700 -1200 200 L 40 40 4 0 B
X IOL_22B M3 700 -1600 200 L 40 40 4 0 B
X IOL_24B M4 700 -2000 200 L 40 40 4 0 B
X IOL_25B M5 700 -2200 200 L 40 40 4 0 B
X IOL_22A M6 700 -1700 200 L 40 40 4 0 B
X IOL_21B N2 700 -1400 200 L 40 40 4 0 B
X IOL_23B N3 700 -1800 200 L 40 40 4 0 B
X IOL_26B N4 700 -2400 200 L 40 40 4 0 B
X IOL_24A P1 700 -2100 200 L 40 40 4 0 B
X IOL_25A P2 700 -2300 200 L 40 40 4 0 B
X IOL_26A R1 700 -2500 200 L 40 40 4 0 B
X GND A12 700 -750 200 L 40 40 5 0 W
X VCCIO_0 A13 700 1650 200 L 40 40 5 0 W
X VCC A14 700 2250 200 L 40 40 5 0 W
X VCCIO_0 A3 700 1450 200 L 40 40 5 0 W
X GND A4 700 -650 200 L 40 40 5 0 W
X VCCIO_0 A8 700 1550 200 L 40 40 5 0 W
X VCCIO_1 C15 700 1100 200 L 40 40 5 0 W
X VPP_FAST D12 700 1850 200 L 40 40 5 0 W
X VCCIO_3 E1 700 200 200 L 40 40 5 0 W
X VPP_2V5 E12 700 1950 200 L 40 40 5 0 W
X GND E15 700 -850 200 L 40 40 5 0 W
X GNDPLL1 E7 700 -2550 200 L 40 40 5 0 W
X VCCPLL1 E8 700 -350 200 L 40 40 5 0 W
X VCC F10 700 2450 200 L 40 40 5 0 W
X VCC F6 700 2350 200 L 40 40 5 0 W
X VCCIO_0 F8 700 1350 200 L 40 40 5 0 W
X VCCIO_3 G6 700 300 200 L 40 40 5 0 W
X GND G7 700 -950 200 L 40 40 5 0 W
X GND G8 700 -1050 200 L 40 40 5 0 W
X GND G9 700 -1150 200 L 40 40 5 0 W
X VCCIO_1 H10 700 1200 200 L 40 40 5 0 W
X VCCIO_1 H15 700 1000 200 L 40 40 5 0 W
X GND H7 700 -1250 200 L 40 40 5 0 W
X GND H8 700 -1350 200 L 40 40 5 0 W
X GND H9 700 -1450 200 L 40 40 5 0 W
X VCCIO_3 J6 700 400 200 L 40 40 5 0 W
X GND J7 700 -1550 200 L 40 40 5 0 W
X GND J8 700 -1650 200 L 40 40 5 0 W
X GND J9 700 -1750 200 L 40 40 5 0 W
X VCC K10 700 2650 200 L 40 40 5 0 W
X GND K2 700 -1850 200 L 40 40 5 0 W
X VCC K6 700 2550 200 L 40 40 5 0 W
X GND K7 700 -1950 200 L 40 40 5 0 W
X VCCIO_2 K8 700 650 200 L 40 40 5 0 W
X GND L15 700 -2050 200 L 40 40 5 0 W
X VCC L2 700 2150 200 L 40 40 5 0 W
X VCCPLL0 L8 700 -250 200 L 40 40 5 0 W
X VCCIO_3 N1 700 100 200 L 40 40 5 0 W
X VCC_SPI N13 700 -100 200 L 40 40 5 0 W
X VCCIO_1 N15 700 1000 200 L 40 40 5 0 W
X GNDPLL0 N8 700 -2450 200 L 40 40 5 0 W
X VCCIO_2 P3 700 750 200 L 40 40 5 0 W
X VCCIO_2 R13 700 550 200 L 40 40 5 0 W
X GND R7 700 -2150 200 L 40 40 5 0 W
X VCCIO_2 R8 700 850 200 L 40 40 5 0 W
X GND T12 700 -550 200 L 40 40 5 0 W
X GND T4 700 -2250 200 L 40 40 5 0 W
ENDDRAW
ENDDEF
#
# INA190
#
DEF INA190 U 0 40 Y Y 1 F N
F0 "U" -300 350 50 H V C CNN
F1 "INA190" -50 350 50 H V C CNN
F2 "Package_TO_SOT_SMD:TSOT-23-8" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
S -300 300 300 -200 0 1 0 N
X VS 1 -400 200 100 R 50 50 1 1 I
X EN 2 -400 100 100 R 50 50 1 1 I
X REF 3 -400 0 100 R 50 50 1 1 I
X GND 4 -400 -100 100 R 50 50 1 1 I
X OUT 5 400 -100 100 L 50 50 1 1 O
X NC 6 400 0 100 L 50 50 1 1 I
X IN+ 7 400 100 100 L 50 50 1 1 I
X IN- 8 400 200 100 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# LP38692MPX-5.0_NOPB
#
DEF LP38692MPX-5.0_NOPB U 0 10 Y Y 1 F N
F0 "U" 800 400 60 H V C CNN
F1 "LP38692MPX-5.0_NOPB" 800 300 60 H V C CNN
F2 "Package_TO_SOT_SMD:SOT-223-5" 800 240 60 H I C CNN
F3 "" 0 0 60 H V C CNN
$FPLIST
MP05A
$ENDFPLIST
DRAW
P 2 1 1 5 300 -400 1300 -400 N
P 2 1 1 5 300 200 300 -400 N
P 2 1 1 5 1300 -400 1300 200 N
P 2 1 1 5 1300 200 300 200 N
X VEN 1 0 0 300 R 59 59 1 1 P
X NC 2 0 -100 300 R 59 59 1 1 P
X VOUT 3 1600 0 300 L 59 59 1 1 O
X VIN 4 1600 -100 300 L 59 59 1 1 I
X GND 5 1600 -200 300 L 59 59 1 1 P
ENDDRAW
ENDDEF
#
# SI4425DDY-T1-GE3
#
DEF SI4425DDY-T1-GE3 Q 0 40 Y Y 1 F N
F0 "Q" 0 300 50 H V L CNN
F1 "SI4425DDY-T1-GE3" 0 400 50 H V L CNN
F2 "TestAutomation:SI4425DDY-Vishay-C-06527-09_2006-I-0" 0 500 50 H I L CNN
F3 "" 0 600 50 H I L CNN
F4 "Trans" 0 700 50 H I L CNN "category"
F5 "-13A" 0 800 50 H I L CNN "continuous drain current"
F6 "Discrete Semiconductors" 0 900 50 H I L CNN "device class L1"
F7 "Transistors" 0 1000 50 H I L CNN "device class L2"
F8 "MOSFETs" 0 1100 50 H I L CNN "device class L3"
F9 "MOSFET P-CH 30V 19.7A 8-SOIC" 0 1200 50 H I L CNN "digikey description"
F10 "SI4425DDY-T1-GE3CT-ND" 0 1300 50 H I L CNN "digikey part number"
F11 "-30V" 0 1400 50 H I L CNN "drain to source breakdown voltage"
F12 "0.0081Ω" 0 1500 50 H I L CNN "drain to source resistance"
F13 "-30V" 0 1600 50 H I L CNN "drain to source voltage"
F14 "20V" 0 1700 50 H I L CNN "gate to source voltage"
F15 "1.75mm" 0 1800 50 H I L CNN "height"
F16 "2610pF @ -15V" 0 1900 50 H I L CNN "input capacitace at vds"
F17 "yes" 0 2000 50 H I L CNN "lead free"
F18 "e8ffa996ad20234c" 0 2100 50 H I L CNN "library id"
F19 "Vishay" 0 2200 50 H I L CNN "manufacturer"
F20 "+150°C" 0 2300 50 H I L CNN "max junction temp"
F21 "MOSFET 30V 19.7A 5.7W 9.8mohm @ 10V" 0 2400 50 H I L CNN "mouser description"
F22 "781-SI4425DDY-GE3" 0 2500 50 H I L CNN "mouser part number"
F23 "1" 0 2600 50 H I L CNN "number of P channels"
F24 "1" 0 2700 50 H I L CNN "number of channels"
F25 "SO-8" 0 2800 50 H I L CNN "package"
F26 "2.5W" 0 2900 50 H I L CNN "power dissipation"
F27 "yes" 0 3000 50 H I L CNN "rohs"
F28 "0.1mm" 0 3100 50 H I L CNN "standoff height"
F29 "MOSFET" 0 3200 50 H I L CNN "technology"
F30 "+150°C" 0 3300 50 H I L CNN "temperature range high"
F31 "-55°C" 0 3400 50 H I L CNN "temperature range low"
F32 "-1.2V" 0 3500 50 H I L CNN "threshold vgs"
F33 "42ns" 0 3600 50 H I L CNN "turn off delay time"
F34 "12ns" 0 3700 50 H I L CNN "turn on delay time"
DRAW
C 400 -180 10 1 1 0 N
C 400 -20 10 1 1 0 N
P 2 1 1 0 240 -200 240 0 N
P 2 1 1 0 270 -180 400 -180 N
P 2 1 1 0 270 -160 270 -200 N
P 2 1 1 0 270 -80 270 -120 N
P 2 1 1 0 270 -40 270 0 N
P 2 1 1 0 270 -20 400 -20 N
P 2 1 1 0 350 -70 350 -130 N
P 2 1 1 0 360 -90 360 -120 N
P 2 1 1 0 390 -100 280 -100 N
P 2 1 1 0 400 -180 470 -180 N
P 2 1 1 0 400 -100 350 -130 N
P 2 1 1 0 400 -100 350 -70 N
P 2 1 1 0 450 -120 490 -120 N
P 2 1 1 0 470 -180 470 -20 N
P 2 1 1 0 470 -80 450 -120 N
P 2 1 1 0 470 -80 450 -80 N
P 2 1 1 0 470 -80 490 -80 N
P 2 1 1 0 470 -20 400 -20 N
P 2 1 1 0 490 -120 470 -80 N
P 2 1 1 0 600 100 400 100 N
P 2 1 1 0 700 -300 400 -300 N
X S 1 400 200 300 D 40 0 1 1 P
X S 2 500 200 100 D 40 0 1 1 P
X S 3 600 200 100 D 40 0 1 1 P
X G 4 100 0 140 R 40 0 1 1 P
X D 5 400 -400 200 U 40 0 1 1 P
X D 6 500 -400 100 U 40 0 1 1 P
X D 7 600 -400 100 U 40 0 1 1 P
X D 8 700 -400 100 U 40 0 1 1 P
ENDDRAW
ENDDEF
#
#End Library

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,32 @@
(module ACPL-217-500E (layer F.Cu) (tedit 60D0411C)
(fp_text reference REF** (at -1.726559 -2.275) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify left))
)
(fp_text value Val** (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.15)))
)
(fp_line (start -2.3 1.45) (end -2.3 -1.45) (layer F.Fab) (width 0.15))
(fp_line (start -2.3 -1.45) (end 2.3 -1.45) (layer F.Fab) (width 0.15))
(fp_line (start 2.3 -1.45) (end 2.3 1.45) (layer F.Fab) (width 0.15))
(fp_line (start 2.3 1.45) (end -2.3 1.45) (layer F.Fab) (width 0.15))
(fp_line (start -1.648724 1.45) (end -1.648724 -1.45) (layer F.SilkS) (width 0.15))
(fp_line (start -1.648724 -1.45) (end 1.648726 -1.45) (layer F.SilkS) (width 0.15))
(fp_line (start 1.648726 -1.45) (end 1.648726 1.45) (layer F.SilkS) (width 0.15))
(fp_line (start 1.648726 1.45) (end -1.648724 1.45) (layer F.SilkS) (width 0.15))
(fp_circle (center -0.898725 -0.7) (end -0.648725 -0.7) (layer F.SilkS) (width 0.5))
(fp_circle (center -4.426558 -0.635) (end -4.301558 -0.635) (layer F.SilkS) (width 0.25))
(fp_line (start 3.876559 -1.475) (end 3.876559 -1.475) (layer F.CrtYd) (width 0.15))
(fp_line (start 3.876559 -1.475) (end -3.876557 -1.475) (layer F.CrtYd) (width 0.15))
(fp_line (start -3.876557 -1.475) (end -3.876557 1.475) (layer F.CrtYd) (width 0.15))
(fp_line (start -3.876557 1.475) (end 3.876559 1.475) (layer F.CrtYd) (width 0.15))
(fp_line (start 3.876559 1.475) (end 3.876559 -1.475) (layer F.CrtYd) (width 0.15))
(pad 1 smd rect (at -3.000142 -0.635) (size 1.702833 0.532132) (layers F.Cu F.Paste F.Mask))
(pad 2 smd roundrect (at -3.000142 0.635) (size 1.702833 0.532132) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 3 smd roundrect (at 3.000143 0.635) (size 1.702833 0.532132) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 4 smd roundrect (at 3.000143 -0.635) (size 1.702833 0.532132) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(model eec.models/Broadcom_-_ACPL-217-500E.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,160 @@
(module ADS831E&slash_2K5 (layer F.Cu) (tedit 0)
(fp_text reference REF** (at 0 0) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value DBQ20 (at 0 0) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.2479 3.2889) (end -3.702799 3.2889) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.2479 4.6228) (end -2.2479 3.2889) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.2479 4.6228) (end -2.2479 4.6228) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.2479 3.2889) (end 2.2479 4.6228) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.702799 3.2889) (end 2.2479 3.2889) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.702799 -3.2889) (end 3.702799 3.2889) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.2479 -3.2889) (end 3.702799 -3.2889) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.2479 -4.6228) (end 2.2479 -3.2889) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.2479 -4.6228) (end 2.2479 -4.6228) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.2479 -3.2889) (end -2.2479 -4.6228) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.702799 -3.2889) (end -2.2479 -3.2889) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.702799 3.2889) (end -3.702799 -3.2889) (layer F.CrtYd) (width 0.05))
(fp_poly (pts (xy 3.956799 -3.048) (xy 3.956799 -2.667) (xy 3.702799 -2.667) (xy 3.702799 -3.048)) (layer F.SilkS) (width 0.1))
(fp_poly (pts (xy -3.956799 2.667) (xy -3.956799 3.048) (xy -3.702799 3.048) (xy -3.702799 2.667)) (layer F.SilkS) (width 0.1))
(fp_line (start 2.1209 -3.36764) (end 2.1209 -4.4958) (layer F.SilkS) (width 0.12))
(fp_line (start -2.1209 3.36764) (end -2.1209 4.4958) (layer F.SilkS) (width 0.12))
(fp_line (start -1.9939 -4.3688) (end -1.9939 4.3688) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -4.3688) (end -1.9939 -4.3688) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 4.3688) (end 1.9939 -4.3688) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 4.3688) (end 1.9939 4.3688) (layer F.Fab) (width 0.1))
(fp_line (start -2.1209 -4.4958) (end -2.1209 -3.36764) (layer F.SilkS) (width 0.12))
(fp_line (start 2.1209 -4.4958) (end -2.1209 -4.4958) (layer F.SilkS) (width 0.12))
(fp_line (start 2.1209 4.4958) (end 2.1209 3.36764) (layer F.SilkS) (width 0.12))
(fp_line (start -2.1209 4.4958) (end 2.1209 4.4958) (layer F.SilkS) (width 0.12))
(fp_line (start 3.0988 -3.0099) (end 1.9939 -3.0099) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 -2.7051) (end 3.0988 -3.0099) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -2.7051) (end 3.0988 -2.7051) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -3.0099) (end 1.9939 -2.7051) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 -2.3749) (end 1.9939 -2.3749) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 -2.0701) (end 3.0988 -2.3749) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -2.0701) (end 3.0988 -2.0701) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -2.3749) (end 1.9939 -2.0701) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 -1.7399) (end 1.9939 -1.7399) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 -1.4351) (end 3.0988 -1.7399) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -1.4351) (end 3.0988 -1.4351) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -1.7399) (end 1.9939 -1.4351) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 -1.1049) (end 1.9939 -1.1049) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 -0.8001) (end 3.0988 -1.1049) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -0.8001) (end 3.0988 -0.8001) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -1.1049) (end 1.9939 -0.8001) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 -0.4699) (end 1.9939 -0.4699) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 -0.1651) (end 3.0988 -0.4699) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -0.1651) (end 3.0988 -0.1651) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 -0.4699) (end 1.9939 -0.1651) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 0.1651) (end 1.9939 0.1651) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 0.4699) (end 3.0988 0.1651) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 0.4699) (end 3.0988 0.4699) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 0.1651) (end 1.9939 0.4699) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 0.8001) (end 1.9939 0.8001) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 1.1049) (end 3.0988 0.8001) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 1.1049) (end 3.0988 1.1049) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 0.8001) (end 1.9939 1.1049) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 1.4351) (end 1.9939 1.4351) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 1.7399) (end 3.0988 1.4351) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 1.7399) (end 3.0988 1.7399) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 1.4351) (end 1.9939 1.7399) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 2.0701) (end 1.9939 2.0701) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 2.3749) (end 3.0988 2.0701) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 2.3749) (end 3.0988 2.3749) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 2.0701) (end 1.9939 2.3749) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 2.7051) (end 1.9939 2.7051) (layer F.Fab) (width 0.1))
(fp_line (start 3.0988 3.0099) (end 3.0988 2.7051) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 3.0099) (end 3.0988 3.0099) (layer F.Fab) (width 0.1))
(fp_line (start 1.9939 2.7051) (end 1.9939 3.0099) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 3.0099) (end -1.9939 3.0099) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 2.7051) (end -3.0988 3.0099) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 2.7051) (end -3.0988 2.7051) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 3.0099) (end -1.9939 2.7051) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 2.3749) (end -1.9939 2.3749) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 2.0701) (end -3.0988 2.3749) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 2.0701) (end -3.0988 2.0701) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 2.3749) (end -1.9939 2.0701) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 1.7399) (end -1.9939 1.7399) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 1.4351) (end -3.0988 1.7399) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 1.4351) (end -3.0988 1.4351) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 1.7399) (end -1.9939 1.4351) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 1.1049) (end -1.9939 1.1049) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 0.8001) (end -3.0988 1.1049) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 0.8001) (end -3.0988 0.8001) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 1.1049) (end -1.9939 0.8001) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 0.4699) (end -1.9939 0.4699) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 0.1651) (end -3.0988 0.4699) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 0.1651) (end -3.0988 0.1651) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 0.4699) (end -1.9939 0.1651) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 -0.1651) (end -1.9939 -0.1651) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 -0.4699) (end -3.0988 -0.1651) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 -0.4699) (end -3.0988 -0.4699) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 -0.1651) (end -1.9939 -0.4699) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 -0.8001) (end -1.9939 -0.8001) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 -1.1049) (end -3.0988 -0.8001) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 -1.1049) (end -3.0988 -1.1049) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 -0.8001) (end -1.9939 -1.1049) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 -1.4351) (end -1.9939 -1.4351) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 -1.7399) (end -3.0988 -1.4351) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 -1.7399) (end -3.0988 -1.7399) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 -1.4351) (end -1.9939 -1.7399) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 -2.0701) (end -1.9939 -2.0701) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 -2.3749) (end -3.0988 -2.0701) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 -2.3749) (end -3.0988 -2.3749) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 -2.0701) (end -1.9939 -2.3749) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 -2.7051) (end -1.9939 -2.7051) (layer F.Fab) (width 0.1))
(fp_line (start -3.0988 -3.0099) (end -3.0988 -2.7051) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 -3.0099) (end -3.0988 -3.0099) (layer F.Fab) (width 0.1))
(fp_line (start -1.9939 -2.7051) (end -1.9939 -3.0099) (layer F.Fab) (width 0.1))
(fp_text user "Copyright 2021 Accelerated Designs. All rights reserved." (at 0 0) (layer Cmts.User)
(effects (font (size 0.127 0.127) (thickness 0.002)))
)
(fp_text user * (at -2.97535 -4.3553) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user * (at -1.6129 -4.2926) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user 0.025in/0.635mm (at -5.76935 -2.54) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user 0.014in/0.355mm (at 5.76935 -2.8575) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user 0.214in/5.443mm (at 0 -6.7818) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user 0.057in/1.455mm (at -2.72135 6.7818) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user * (at -2.97535 -4.3553) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user * (at -1.6129 -4.2926) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_arc (start 0 -4.3688) (end 0.3048 -4.3688) (angle 180) (layer F.Fab) (width 0.1))
(pad 1 smd rect (at -2.721348 -2.8575) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -2.721348 -2.2225) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at -2.721348 -1.5875) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at -2.721348 -0.9525) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at -2.721348 -0.3175) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 6 smd rect (at -2.721348 0.3175) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 7 smd rect (at -2.721348 0.9525) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 8 smd rect (at -2.721348 1.5875) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 9 smd rect (at -2.721348 2.2225) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 10 smd rect (at -2.721348 2.8575) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 11 smd rect (at 2.721348 2.8575) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 12 smd rect (at 2.721348 2.2225) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 13 smd rect (at 2.721348 1.5875) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 14 smd rect (at 2.721348 0.9525) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 15 smd rect (at 2.721348 0.3175) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 16 smd rect (at 2.721348 -0.3175) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 17 smd rect (at 2.721348 -0.9525) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 18 smd rect (at 2.721348 -1.5875) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 19 smd rect (at 2.721348 -2.2225) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
(pad 20 smd rect (at 2.721348 -2.8575) (size 1.454899 0.3548) (layers F.Cu F.Paste F.Mask))
)

View File

@ -0,0 +1,37 @@
(module FIL_BNX022-01L (layer F.Cu) (tedit 60C994B7)
(fp_text reference REF** (at -5.825 -7.635) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.015)))
)
(fp_text value FIL_BNX022-01L (at -0.11 7.365) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.015)))
)
(fp_line (start -6.05 -4.55) (end 6.05 -4.55) (layer F.Fab) (width 0.127))
(fp_line (start 6.05 -4.55) (end 6.05 4.55) (layer F.Fab) (width 0.127))
(fp_line (start 6.05 4.55) (end -6.05 4.55) (layer F.Fab) (width 0.127))
(fp_line (start -6.05 4.55) (end -6.05 -4.55) (layer F.Fab) (width 0.127))
(fp_line (start -6.05 -3.67) (end -6.05 -4.55) (layer F.SilkS) (width 0.127))
(fp_line (start -6.05 -4.55) (end 1.23 -4.55) (layer F.SilkS) (width 0.127))
(fp_line (start 5.27 -4.55) (end 6.05 -4.55) (layer F.SilkS) (width 0.127))
(fp_line (start 6.05 -4.55) (end 6.05 -3.67) (layer F.SilkS) (width 0.127))
(fp_line (start 6.05 3.77) (end 6.05 4.55) (layer F.SilkS) (width 0.127))
(fp_line (start 6.05 4.55) (end 5.27 4.55) (layer F.SilkS) (width 0.127))
(fp_line (start -3.27 4.55) (end -6.05 4.55) (layer F.SilkS) (width 0.127))
(fp_line (start -6.05 4.55) (end -6.05 3.77) (layer F.SilkS) (width 0.127))
(fp_line (start -9 -6.5) (end 9 -6.5) (layer F.CrtYd) (width 0.05))
(fp_line (start 9 -6.5) (end 9 6.5) (layer F.CrtYd) (width 0.05))
(fp_line (start 9 6.5) (end -9 6.5) (layer F.CrtYd) (width 0.05))
(fp_line (start -9 6.5) (end -9 -6.5) (layer F.CrtYd) (width 0.05))
(fp_circle (center -9.5 -2.1) (end -9.4 -2.1) (layer F.SilkS) (width 0.2))
(fp_circle (center -9.5 -2.1) (end -9.4 -2.1) (layer F.Fab) (width 0.2))
(pad 6 smd rect (at 1 5.1) (size 7.9 2.3) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 3.25 -5.1) (size 3.4 2.3) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at 6.85 -2.1) (size 3.8 2.5) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 6.85 2.2) (size 3.8 2.5) (layers F.Cu F.Paste F.Mask))
(pad 1 smd rect (at -6.85 -2.1) (size 3.8 2.5) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at -6.85 2.2) (size 3.8 2.5) (layers F.Cu F.Paste F.Mask))
(model ${KIPRJMOD}/libs/BNX022/BNX022-01L.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)

View File

@ -0,0 +1,93 @@
(module Microchip-ENC624J600-I_PT-Level_A (layer F.Cu) (tedit 5EF19553)
(fp_text reference REF** (at -8.149998 -7.65) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify left))
)
(fp_text value Val** (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.15)))
)
(fp_circle (center -5.599999 -4.450001) (end -5.474998 -4.450001) (layer F.SilkS) (width 0.249999))
(fp_circle (center -3.149999 -3.150001) (end -2.85 -3.150001) (layer F.SilkS) (width 0.599999))
(fp_circle (center -4.099999 -4.100002) (end -3.6 -4.100002) (layer F.Fab) (width 0.1))
(fp_line (start -4.149999 4.149999) (end -4.149999 -4.150002) (layer F.SilkS) (width 0.15))
(fp_line (start 4.150002 4.149999) (end 4.150002 -4.150002) (layer F.SilkS) (width 0.15))
(fp_line (start -4.149999 -4.150002) (end 4.150002 -4.150002) (layer F.SilkS) (width 0.15))
(fp_line (start -4.149999 4.149999) (end 4.150002 4.149999) (layer F.SilkS) (width 0.15))
(fp_line (start -5 4.999998) (end -5 -5.000003) (layer F.Fab) (width 0.1))
(fp_line (start 5 4.999998) (end 5 -5.000003) (layer F.Fab) (width 0.1))
(fp_line (start -5 -5.000003) (end 5 -5.000003) (layer F.Fab) (width 0.1))
(fp_line (start -5 4.999998) (end 5 4.999998) (layer F.Fab) (width 0.1))
(fp_line (start 7.075 -7.074999) (end 7.075 -7.074999) (layer F.CrtYd) (width 0.15))
(fp_line (start 7.075 -7.074999) (end -7.074998 -7.074999) (layer F.CrtYd) (width 0.15))
(fp_line (start -7.074998 -7.074999) (end -7.074998 7.074999) (layer F.CrtYd) (width 0.15))
(fp_line (start -7.074998 7.074999) (end 7.075 7.074999) (layer F.CrtYd) (width 0.15))
(fp_line (start 7.075 7.074999) (end 7.075 -7.074999) (layer F.CrtYd) (width 0.15))
(pad 64 smd roundrect (at -3.75 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 63 smd roundrect (at -3.249999 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 62 smd roundrect (at -2.75 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 61 smd roundrect (at -2.249998 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 60 smd roundrect (at -1.749999 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 59 smd roundrect (at -1.25 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 58 smd roundrect (at -0.749999 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 57 smd roundrect (at -0.249999 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 56 smd roundrect (at 0.250002 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 55 smd roundrect (at 0.750001 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 54 smd roundrect (at 1.25 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 53 smd roundrect (at 1.750002 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 52 smd roundrect (at 2.250001 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 51 smd roundrect (at 2.750002 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 50 smd roundrect (at 3.250001 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 49 smd roundrect (at 3.75 -5.600002) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 48 smd roundrect (at 5.600002 -3.750003 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 47 smd roundrect (at 5.600002 -3.250001 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 46 smd roundrect (at 5.600002 -2.750002 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 45 smd roundrect (at 5.600002 -2.250001 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 44 smd roundrect (at 5.600002 -1.750002 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 43 smd roundrect (at 5.600002 -1.250003 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 42 smd roundrect (at 5.600002 -0.750001 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 41 smd roundrect (at 5.600002 -0.250002 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 40 smd roundrect (at 5.600002 0.249999 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 39 smd roundrect (at 5.600002 0.749999 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 38 smd roundrect (at 5.600002 1.249998 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 37 smd roundrect (at 5.600002 1.749999 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 36 smd roundrect (at 5.600002 2.249998 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 35 smd roundrect (at 5.600002 2.75 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 34 smd roundrect (at 5.600002 3.249999 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 33 smd roundrect (at 5.600002 3.749998 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 32 smd roundrect (at 3.75 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 31 smd roundrect (at 3.250001 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 30 smd roundrect (at 2.750002 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 29 smd roundrect (at 2.250001 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 28 smd roundrect (at 1.750002 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 27 smd roundrect (at 1.25 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 26 smd roundrect (at 0.750001 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 25 smd roundrect (at 0.250002 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 24 smd roundrect (at -0.249999 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 23 smd roundrect (at -0.749999 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 22 smd roundrect (at -1.25 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 21 smd roundrect (at -1.749999 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 20 smd roundrect (at -2.249998 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 19 smd roundrect (at -2.75 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 18 smd roundrect (at -3.249999 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 17 smd roundrect (at -3.75 5.599999) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 16 smd roundrect (at -5.599999 3.749998 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 15 smd roundrect (at -5.599999 3.249999 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 14 smd roundrect (at -5.599999 2.75 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 13 smd roundrect (at -5.599999 2.249998 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 12 smd roundrect (at -5.599999 1.749999 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 11 smd roundrect (at -5.599999 1.249998 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 10 smd roundrect (at -5.599999 0.749999 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 9 smd roundrect (at -5.599999 0.249999 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 8 smd roundrect (at -5.599999 -0.250002 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 7 smd roundrect (at -5.599999 -0.750001 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 6 smd roundrect (at -5.599999 -1.250003 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 5 smd roundrect (at -5.599999 -1.750002 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 4 smd roundrect (at -5.599999 -2.250001 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 3 smd roundrect (at -5.599999 -2.750002 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 2 smd roundrect (at -5.599999 -3.250001 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(pad 1 smd roundrect (at -5.599999 -3.750003 270) (size 0.299999 2.100001) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.5))
(model eec.models/Microchip_-_ENC624J600-I/PT.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,36 @@
(module SAMTEC_FTSH-105-XX-X-DV (layer F.Cu) (tedit 60CB0400)
(descr "translated Allegro footprint")
(fp_text reference REF** (at -0.931 -4.681) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.015)))
)
(fp_text value SAMTEC_FTSH-105-XX-X-DV (at 10.795 5.145) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.015)))
)
(fp_line (start -3.26 -1.715) (end -3.26 1.715) (layer F.SilkS) (width 0.2))
(fp_line (start 3.26 1.715) (end 3.26 -1.715) (layer F.SilkS) (width 0.2))
(fp_line (start -3.175 1.715) (end -3.175 -1.715) (layer F.Fab) (width 0.1))
(fp_line (start -3.175 1.715) (end 3.175 1.715) (layer F.Fab) (width 0.1))
(fp_line (start 3.175 -1.715) (end -3.175 -1.715) (layer F.Fab) (width 0.1))
(fp_line (start 3.175 1.715) (end 3.175 -1.715) (layer F.Fab) (width 0.1))
(fp_line (start -3.425 3.68) (end -3.425 -3.68) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.425 -3.68) (end 3.425 -3.68) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.425 -3.68) (end 3.425 3.68) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.425 3.68) (end -3.425 3.68) (layer F.CrtYd) (width 0.05))
(fp_circle (center -3.79 2.035) (end -3.69 2.035) (layer F.SilkS) (width 0.2))
(fp_circle (center -3.79 2.035) (end -3.69 2.035) (layer F.Fab) (width 0.2))
(pad 05 smd rect (at 0 2.035) (size 0.74 2.79) (layers F.Cu F.Paste F.Mask))
(pad 06 smd rect (at 0 -2.035) (size 0.74 2.79) (layers F.Cu F.Paste F.Mask))
(pad 07 smd rect (at 1.27 2.035) (size 0.74 2.79) (layers F.Cu F.Paste F.Mask))
(pad 08 smd rect (at 1.27 -2.035) (size 0.74 2.79) (layers F.Cu F.Paste F.Mask))
(pad 09 smd rect (at 2.54 2.035) (size 0.74 2.79) (layers F.Cu F.Paste F.Mask))
(pad 10 smd rect (at 2.54 -2.035) (size 0.74 2.79) (layers F.Cu F.Paste F.Mask))
(pad 01 smd rect (at -2.54 2.035) (size 0.74 2.79) (layers F.Cu F.Paste F.Mask))
(pad 02 smd rect (at -2.54 -2.035) (size 0.74 2.79) (layers F.Cu F.Paste F.Mask))
(pad 03 smd rect (at -1.27 2.035) (size 0.74 2.79) (layers F.Cu F.Paste F.Mask))
(pad 04 smd rect (at -1.27 -2.035) (size 0.74 2.79) (layers F.Cu F.Paste F.Mask))
(model ${KIPRJMOD}/libs/FTSH_Connector/FTSH-105-XX-X-DV.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)

View File

@ -0,0 +1,36 @@
(module SI4425DDY-Vishay-C-06527-09_2006-I-0 (layer F.Cu) (tedit 60D040E5)
(fp_text reference REF** (at -1.65 -3.3) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify left))
)
(fp_text value Val** (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.15)))
)
(fp_line (start -2 2.5) (end -2 -2.5) (layer F.Fab) (width 0.15))
(fp_line (start -2 -2.5) (end 2 -2.5) (layer F.Fab) (width 0.15))
(fp_line (start 2 -2.5) (end 2 2.5) (layer F.Fab) (width 0.15))
(fp_line (start 2 2.5) (end -2 2.5) (layer F.Fab) (width 0.15))
(fp_line (start -1.4654 2.5) (end -1.4654 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start -1.4654 -2.5) (end 1.4654 -2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.4654 -2.5) (end 1.4654 2.5) (layer F.SilkS) (width 0.15))
(fp_line (start 1.4654 2.5) (end -1.4654 2.5) (layer F.SilkS) (width 0.15))
(fp_circle (center -0.725 -1.75) (end -0.475 -1.75) (layer F.SilkS) (width 0.5))
(fp_circle (center -2.6 -2.75) (end -2.475 -2.75) (layer F.SilkS) (width 0.25))
(fp_line (start 3.1492 -2.525) (end 3.1492 -2.525) (layer F.CrtYd) (width 0.15))
(fp_line (start 3.1492 -2.525) (end -3.1492 -2.525) (layer F.CrtYd) (width 0.15))
(fp_line (start -3.1492 -2.525) (end -3.1492 2.525) (layer F.CrtYd) (width 0.15))
(fp_line (start -3.1492 2.525) (end 3.1492 2.525) (layer F.CrtYd) (width 0.15))
(fp_line (start 3.1492 2.525) (end 3.1492 -2.525) (layer F.CrtYd) (width 0.15))
(pad 1 smd rect (at -2.5273 -1.905) (size 1.1938 0.5588) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -2.5273 -0.635) (size 1.1938 0.5588) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at -2.5273 0.635) (size 1.1938 0.5588) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at -2.5273 1.905) (size 1.1938 0.5588) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 2.5273 1.905) (size 1.1938 0.5588) (layers F.Cu F.Paste F.Mask))
(pad 6 smd rect (at 2.5273 0.635) (size 1.1938 0.5588) (layers F.Cu F.Paste F.Mask))
(pad 7 smd rect (at 2.5273 -0.635) (size 1.1938 0.5588) (layers F.Cu F.Paste F.Mask))
(pad 8 smd rect (at 2.5273 -1.905) (size 1.1938 0.5588) (layers F.Cu F.Paste F.Mask))
(model eec.models/Vishay_-_SI4425DDY-T1-GE3.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,37 @@
(module Silvertel-AG5300-0-0-MFG (layer F.Cu) (tedit 5EF1530A)
(fp_text reference REF** (at -28.65 -9.8) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify left))
)
(fp_text value "" (at 0 0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.15)))
)
(fp_line (start 28.675 9.025) (end 28.675 -9.025) (layer F.CrtYd) (width 0.15))
(fp_line (start -28.675 9.025) (end 28.675 9.025) (layer F.CrtYd) (width 0.15))
(fp_line (start -28.675 -9.025) (end -28.675 9.025) (layer F.CrtYd) (width 0.15))
(fp_line (start 28.675 -9.025) (end -28.675 -9.025) (layer F.CrtYd) (width 0.15))
(fp_line (start 28.675 -9.025) (end 28.675 -9.025) (layer F.CrtYd) (width 0.15))
(fp_circle (center -29.25 -1.7) (end -29.125 -1.7) (layer F.SilkS) (width 0.25))
(fp_line (start -28.65 9) (end -28.65 -9) (layer F.SilkS) (width 0.15))
(fp_line (start -28.65 9) (end 28.65 9) (layer F.SilkS) (width 0.15))
(fp_line (start 28.65 9) (end 28.65 -9) (layer F.SilkS) (width 0.15))
(fp_line (start -28.65 -9) (end 28.65 -9) (layer F.SilkS) (width 0.15))
(fp_line (start 28.65 9) (end -28.65 9) (layer F.Fab) (width 0.15))
(fp_line (start 28.65 -9) (end 28.65 9) (layer F.Fab) (width 0.15))
(fp_line (start -28.65 -9) (end 28.65 -9) (layer F.Fab) (width 0.15))
(fp_line (start -28.65 9) (end -28.65 -9) (layer F.Fab) (width 0.15))
(pad 1 thru_hole rect (at -23.54 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 2 thru_hole circle (at -21 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 3 thru_hole circle (at -18.46 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 4 thru_hole circle (at -15.92 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 5 thru_hole circle (at -13.38 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 7 thru_hole circle (at 19.64 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 8 thru_hole circle (at 22.18 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 9 thru_hole circle (at 24.72 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 10 thru_hole circle (at 27.26 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(pad 6 thru_hole circle (at -10.84 -1.7) (size 1.7 1.7) (drill 1.1) (layers *.Cu))
(model eec.models/Silvertel_-_AG5300.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,283 @@
(module iCE40-BGA256C80P16X16_1400X1400X170 (layer F.Cu) (tedit 60D04091)
(fp_text reference REF** (at -3.559775 -8.646615) (layer F.SilkS)
(effects (font (size 1.001346 1.001346) (thickness 0.015)))
)
(fp_text value BGA256C80P16X16_1400X1400X170 (at 11.703105 8.648415) (layer F.Fab)
(effects (font (size 1.001551 1.001551) (thickness 0.015)))
)
(fp_circle (center -7.5 -6) (end -7.4 -6) (layer F.SilkS) (width 0.2))
(fp_circle (center -6 -6) (end -5.9 -6) (layer F.Fab) (width 0.2))
(fp_line (start 7 7) (end -7 7) (layer F.Fab) (width 0.127))
(fp_line (start 7 -7) (end -7 -7) (layer F.Fab) (width 0.127))
(fp_line (start 7 7) (end -7 7) (layer F.SilkS) (width 0.127))
(fp_line (start 7 -7) (end -7 -7) (layer F.SilkS) (width 0.127))
(fp_line (start 7 7) (end 7 -7) (layer F.Fab) (width 0.127))
(fp_line (start -7 7) (end -7 -7) (layer F.Fab) (width 0.127))
(fp_line (start 7 7) (end 7 -7) (layer F.SilkS) (width 0.127))
(fp_line (start -7 7) (end -7 -7) (layer F.SilkS) (width 0.127))
(fp_line (start -7.25 7.25) (end 7.25 7.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -7.25 -7.25) (end 7.25 -7.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -7.25 7.25) (end -7.25 -7.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 7.25 7.25) (end 7.25 -7.25) (layer F.CrtYd) (width 0.05))
(pad T16 smd circle (at 6 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T15 smd circle (at 5.2 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T14 smd circle (at 4.4 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T13 smd circle (at 3.6 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T12 smd circle (at 2.8 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T11 smd circle (at 2 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T10 smd circle (at 1.2 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T9 smd circle (at 0.4 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T8 smd circle (at -0.4 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T7 smd circle (at -1.2 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T6 smd circle (at -2 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T5 smd circle (at -2.8 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T4 smd circle (at -3.6 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T3 smd circle (at -4.4 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T2 smd circle (at -5.2 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad T1 smd circle (at -6 6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R16 smd circle (at 6 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R15 smd circle (at 5.2 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R14 smd circle (at 4.4 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R13 smd circle (at 3.6 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R12 smd circle (at 2.8 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R11 smd circle (at 2 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R10 smd circle (at 1.2 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R9 smd circle (at 0.4 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R8 smd circle (at -0.4 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R7 smd circle (at -1.2 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R6 smd circle (at -2 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R5 smd circle (at -2.8 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R4 smd circle (at -3.6 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R3 smd circle (at -4.4 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R2 smd circle (at -5.2 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad R1 smd circle (at -6 5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P16 smd circle (at 6 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P15 smd circle (at 5.2 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P14 smd circle (at 4.4 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P13 smd circle (at 3.6 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P12 smd circle (at 2.8 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P11 smd circle (at 2 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P10 smd circle (at 1.2 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P9 smd circle (at 0.4 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P8 smd circle (at -0.4 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P7 smd circle (at -1.2 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P6 smd circle (at -2 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P5 smd circle (at -2.8 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P4 smd circle (at -3.6 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P3 smd circle (at -4.4 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P2 smd circle (at -5.2 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad P1 smd circle (at -6 4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N16 smd circle (at 6 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N15 smd circle (at 5.2 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N14 smd circle (at 4.4 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N13 smd circle (at 3.6 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N12 smd circle (at 2.8 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N11 smd circle (at 2 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N10 smd circle (at 1.2 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N9 smd circle (at 0.4 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N8 smd circle (at -0.4 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N7 smd circle (at -1.2 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N6 smd circle (at -2 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N5 smd circle (at -2.8 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N4 smd circle (at -3.6 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N3 smd circle (at -4.4 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N2 smd circle (at -5.2 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad N1 smd circle (at -6 3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M16 smd circle (at 6 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M15 smd circle (at 5.2 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M14 smd circle (at 4.4 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M13 smd circle (at 3.6 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M12 smd circle (at 2.8 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M11 smd circle (at 2 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M10 smd circle (at 1.2 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M9 smd circle (at 0.4 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M8 smd circle (at -0.4 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M7 smd circle (at -1.2 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M6 smd circle (at -2 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M5 smd circle (at -2.8 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M4 smd circle (at -3.6 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M3 smd circle (at -4.4 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M2 smd circle (at -5.2 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad M1 smd circle (at -6 2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L16 smd circle (at 6 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L15 smd circle (at 5.2 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L14 smd circle (at 4.4 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L13 smd circle (at 3.6 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L12 smd circle (at 2.8 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L11 smd circle (at 2 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L10 smd circle (at 1.2 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L9 smd circle (at 0.4 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L8 smd circle (at -0.4 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L7 smd circle (at -1.2 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L6 smd circle (at -2 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L5 smd circle (at -2.8 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L4 smd circle (at -3.6 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L3 smd circle (at -4.4 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L2 smd circle (at -5.2 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad L1 smd circle (at -6 2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K16 smd circle (at 6 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K15 smd circle (at 5.2 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K14 smd circle (at 4.4 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K13 smd circle (at 3.6 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K12 smd circle (at 2.8 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K11 smd circle (at 2 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K10 smd circle (at 1.2 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K9 smd circle (at 0.4 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K8 smd circle (at -0.4 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K7 smd circle (at -1.2 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K6 smd circle (at -2 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K5 smd circle (at -2.8 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K4 smd circle (at -3.6 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K3 smd circle (at -4.4 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K2 smd circle (at -5.2 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad K1 smd circle (at -6 1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J16 smd circle (at 6 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J15 smd circle (at 5.2 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J14 smd circle (at 4.4 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J13 smd circle (at 3.6 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J12 smd circle (at 2.8 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J11 smd circle (at 2 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J10 smd circle (at 1.2 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J9 smd circle (at 0.4 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J8 smd circle (at -0.4 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J7 smd circle (at -1.2 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J6 smd circle (at -2 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J5 smd circle (at -2.8 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J4 smd circle (at -3.6 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J3 smd circle (at -4.4 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J2 smd circle (at -5.2 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad J1 smd circle (at -6 0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H16 smd circle (at 6 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H15 smd circle (at 5.2 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H14 smd circle (at 4.4 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H13 smd circle (at 3.6 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H12 smd circle (at 2.8 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H11 smd circle (at 2 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H10 smd circle (at 1.2 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H9 smd circle (at 0.4 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H8 smd circle (at -0.4 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H7 smd circle (at -1.2 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H6 smd circle (at -2 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H5 smd circle (at -2.8 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H4 smd circle (at -3.6 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H3 smd circle (at -4.4 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H2 smd circle (at -5.2 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad H1 smd circle (at -6 -0.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G16 smd circle (at 6 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G15 smd circle (at 5.2 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G14 smd circle (at 4.4 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G13 smd circle (at 3.6 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G12 smd circle (at 2.8 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G11 smd circle (at 2 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G10 smd circle (at 1.2 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G9 smd circle (at 0.4 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G8 smd circle (at -0.4 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G7 smd circle (at -1.2 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G6 smd circle (at -2 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G5 smd circle (at -2.8 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G4 smd circle (at -3.6 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G3 smd circle (at -4.4 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G2 smd circle (at -5.2 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad G1 smd circle (at -6 -1.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F16 smd circle (at 6 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F15 smd circle (at 5.2 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F14 smd circle (at 4.4 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F13 smd circle (at 3.6 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F12 smd circle (at 2.8 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F11 smd circle (at 2 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F10 smd circle (at 1.2 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F9 smd circle (at 0.4 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F8 smd circle (at -0.4 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F7 smd circle (at -1.2 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F6 smd circle (at -2 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F5 smd circle (at -2.8 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F4 smd circle (at -3.6 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F3 smd circle (at -4.4 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F2 smd circle (at -5.2 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad F1 smd circle (at -6 -2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E16 smd circle (at 6 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E15 smd circle (at 5.2 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E14 smd circle (at 4.4 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E13 smd circle (at 3.6 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E12 smd circle (at 2.8 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E11 smd circle (at 2 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E10 smd circle (at 1.2 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E9 smd circle (at 0.4 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E8 smd circle (at -0.4 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E7 smd circle (at -1.2 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E6 smd circle (at -2 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E5 smd circle (at -2.8 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E4 smd circle (at -3.6 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E3 smd circle (at -4.4 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E2 smd circle (at -5.2 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad E1 smd circle (at -6 -2.8) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D16 smd circle (at 6 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D15 smd circle (at 5.2 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D14 smd circle (at 4.4 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D13 smd circle (at 3.6 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D12 smd circle (at 2.8 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D11 smd circle (at 2 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D10 smd circle (at 1.2 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D9 smd circle (at 0.4 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D8 smd circle (at -0.4 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D7 smd circle (at -1.2 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D6 smd circle (at -2 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D5 smd circle (at -2.8 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D4 smd circle (at -3.6 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D3 smd circle (at -4.4 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D2 smd circle (at -5.2 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad D1 smd circle (at -6 -3.6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C16 smd circle (at 6 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C15 smd circle (at 5.2 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C14 smd circle (at 4.4 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C13 smd circle (at 3.6 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C12 smd circle (at 2.8 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C11 smd circle (at 2 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C10 smd circle (at 1.2 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C9 smd circle (at 0.4 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C8 smd circle (at -0.4 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C7 smd circle (at -1.2 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C6 smd circle (at -2 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C5 smd circle (at -2.8 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C4 smd circle (at -3.6 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C3 smd circle (at -4.4 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C2 smd circle (at -5.2 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad C1 smd circle (at -6 -4.4) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B16 smd circle (at 6 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B15 smd circle (at 5.2 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B14 smd circle (at 4.4 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B13 smd circle (at 3.6 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B12 smd circle (at 2.8 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B11 smd circle (at 2 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B10 smd circle (at 1.2 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B9 smd circle (at 0.4 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B8 smd circle (at -0.4 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B7 smd circle (at -1.2 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B6 smd circle (at -2 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B5 smd circle (at -2.8 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B4 smd circle (at -3.6 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B3 smd circle (at -4.4 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B2 smd circle (at -5.2 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad B1 smd circle (at -6 -5.2) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A16 smd circle (at 6 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A15 smd circle (at 5.2 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A14 smd circle (at 4.4 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A13 smd circle (at 3.6 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A12 smd circle (at 2.8 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A11 smd circle (at 2 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A10 smd circle (at 1.2 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A9 smd circle (at 0.4 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A8 smd circle (at -0.4 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A7 smd circle (at -1.2 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A6 smd circle (at -2 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A5 smd circle (at -2.8 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A4 smd circle (at -3.6 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A3 smd circle (at -4.4 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A2 smd circle (at -5.2 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(pad A1 smd circle (at -6 -6) (size 0.41 0.41) (layers F.Cu F.Paste F.Mask))
(model ${KIPRJMOD}/libs/iCE40HX8K/ICE40HX8K-CT256.step
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)

View File

@ -1,4 +1,4 @@
update=Wed Jun 16 14:37:00 2021
update=Fri Jun 18 17:38:22 2021
version=1
last_client=kicad
[general]

File diff suppressed because it is too large Load Diff

3
fp-lib-table Normal file
View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name TestAutomation)(type KiCad)(uri ${KIPRJMOD}/TestAutomation.pretty)(options "")(descr ""))
)

View File

@ -0,0 +1,28 @@
(module SOT65P210X110-6N (layer F.Cu) (tedit 60D02F8C)
(descr "")
(fp_text reference REF** (at 0.04 -2.008 0) (layer F.SilkS)
(effects (font (size 0.8 0.8) (thickness 0.015)))
)
(fp_text value SOT65P210X110-6N (at 5.628 2.008 0) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.015)))
)
(fp_line (start -0.625 1.0) (end 0.625 1.0) (layer F.Fab) (width 0.127))
(fp_line (start 0.625 1.0) (end 0.625 -1.0) (layer F.Fab) (width 0.127))
(fp_line (start 0.625 -1.0) (end -0.625 -1.0) (layer F.Fab) (width 0.127))
(fp_line (start -0.625 -1.0) (end -0.625 1.0) (layer F.Fab) (width 0.127))
(fp_line (start -0.625 -1.17) (end 0.625 -1.17) (layer F.SilkS) (width 0.127))
(fp_line (start -0.625 1.17) (end 0.625 1.17) (layer F.SilkS) (width 0.127))
(fp_line (start -1.805 1.25) (end 1.805 1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.805 1.25) (end 1.805 -1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.805 -1.25) (end -1.805 -1.25) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.805 -1.25) (end -1.805 1.25) (layer F.CrtYd) (width 0.05))
(fp_circle (center -2.1 -0.802) (end -2.0 -0.802) (layer F.SilkS) (width 0.2))
(fp_circle (center -2.1 -0.802) (end -2.0 -0.802) (layer F.Fab) (width 0.2))
(pad 1 smd rect (at -0.96 -0.65) (size 1.19 0.4) (layers F.Cu F.Mask F.Paste))
(pad 2 smd rect (at -0.96 0.0) (size 1.19 0.4) (layers F.Cu F.Mask F.Paste))
(pad 3 smd rect (at -0.96 0.65) (size 1.19 0.4) (layers F.Cu F.Mask F.Paste))
(pad 4 smd rect (at 0.96 0.65) (size 1.19 0.4) (layers F.Cu F.Mask F.Paste))
(pad 5 smd rect (at 0.96 0.0) (size 1.19 0.4) (layers F.Cu F.Mask F.Paste))
(pad 6 smd rect (at 0.96 -0.65) (size 1.19 0.4) (layers F.Cu F.Mask F.Paste))
)

File diff suppressed because it is too large Load Diff

3
sym-lib-table Normal file
View File

@ -0,0 +1,3 @@
(sym_lib_table
(lib (name TestAutomation)(type Legacy)(uri ${KIPRJMOD}/TestAutomation.lib)(options "")(descr ""))
)