diff --git a/sias2.json b/sias2.json new file mode 100644 index 0000000..206ac79 --- /dev/null +++ b/sias2.json @@ -0,0 +1,50 @@ +{ + "target": "kasli", + "min_artiq_version": "6.0", + "variant": "sias2", + "hw_rev": "v2.0", + "base": "standalone", + "core_addr": "192.168.1.75", + "peripherals": [ + { + "type": "dio", + "board": "DIO_SMA", + "ports": [0], + "edge_counter": true, + "bank_direction_low": "input", + "bank_direction_high": "output" + }, + { + "type": "dio", + "board": "DIO_SMA", + "ports": [1], + "bank_direction_low": "output", + "bank_direction_high": "output" + }, + { + "type": "dio", + "board": "DIO_SMA", + "ports": [2], + "bank_direction_low": "output", + "bank_direction_high": "output" + }, + { + "type": "dio", + "board": "DIO_SMA", + "ports": [3], + "bank_direction_low": "output", + "bank_direction_high": "output" + }, + { + "type": "fastino", + "ports": [4] + }, + { + "type": "suservo", + "sampler_ports": [10, 11], + "urukul0_ports": [6, 7], + "urukul1_ports": [8, 9], + "clk_sel": 2 + } + ] +} \ No newline at end of file diff --git a/siassatellite.json b/siassatellite.json index a93b67d..a75c888 100644 --- a/siassatellite.json +++ b/siassatellite.json @@ -7,43 +7,32 @@ "peripherals": [ { "type": "dio", - "board": "DIO_SMA", "ports": [0], - "edge_counter": true, "bank_direction_low": "input", "bank_direction_high": "output" }, { "type": "dio", - "board": "DIO_SMA", "ports": [1], "bank_direction_low": "output", "bank_direction_high": "output" }, { - "type": "dio", - "board": "DIO_SMA", - "ports": [2], - "bank_direction_low": "output", - "bank_direction_high": "output" + "type": "suservo", + "sampler_ports": [2, 3], + "urukul0_ports": [4, 5], + "urukul1_ports": [6, 7], + "clk_sel": 2 }, { - "type": "dio", - "board": "DIO_SMA", - "ports": [3], - "bank_direction_low": "output", - "bank_direction_high": "output" + "type": "urukul", + "dds": "ad9910", + "ports": [8, 9], + "clk_sel": 2 }, { "type": "fastino", - "ports": [4] - }, - { - "type": "suservo", - "sampler_ports": [10, 11], - "urukul0_ports": [6, 7], - "urukul1_ports": [8, 9], - "clk_sel": 2 + "ports": [10] } - ] -} \ No newline at end of file + ] +}