From 76164b30c2cac17ee8fd4d2c858a5e34c2d86a10 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Robert=20J=C3=B6rdens?= Date: Thu, 8 Aug 2019 20:49:38 +0200 Subject: [PATCH] opticlock: add --- opticlock.json | 48 ++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 48 insertions(+) create mode 100644 opticlock.json diff --git a/opticlock.json b/opticlock.json new file mode 100644 index 0000000..f4f16d9 --- /dev/null +++ b/opticlock.json @@ -0,0 +1,48 @@ +{ + "target": "kasli", + "variant": "opticlock", + "hw_rev": "v1.0", + "base": "standalone", + "ext_ref_frequency": 100e6, + "peripherals": [ + { + "type": "dio", + "ports": [0], + "bank_direction_low": "input", + "bank_direction_high": "output" + }, + { + "type": "dio", + "ports": [1], + "bank_direction_low": "output", + "bank_direction_high": "output" + }, + { + "type": "dio", + "ports": [2], + "bank_direction_low": "output", + "bank_direction_high": "output" + }, + { + "type": "novogorny", + "ports": [3] + }, + { + "type": "urukul", + "ports": [5, 4], + "dds": "ad9912", + "ref_frequency": 100e6, + "clk_sel": 0 + }, + { + "type": "urukul", + "ports": [6], + "ref_frequency": 100e6, + "clk_sel": 0 + }, + { + "type": "zotino", + "ports": [7] + } + ] +}