from nmigen import * from ..core import * from nmigen.test.utils import * from ....checks.reg_check import * class RegSpec(Elaboratable): def elaborate(self, platform): m = Module() m.submodules.cpu = cpu = Minerva(with_rvfi=True) m.submodules.reg_spec = reg_spec = RegCheck(RISCV_FORMAL_XLEN=32) m.d.comb += reg_spec.reset.eq(0) m.d.comb += reg_spec.check.eq(1) m.d.comb += reg_spec.rvfi_valid.eq(cpu.rvfi.valid) m.d.comb += reg_spec.rvfi_order.eq(cpu.rvfi.order) m.d.comb += reg_spec.rvfi_rs1_addr.eq(cpu.rvfi.rs1_addr) m.d.comb += reg_spec.rvfi_rs1_rdata.eq(cpu.rvfi.rs1_rdata) m.d.comb += reg_spec.rvfi_rs2_addr.eq(cpu.rvfi.rs2_addr) m.d.comb += reg_spec.rvfi_rs2_rdata.eq(cpu.rvfi.rs2_rdata) m.d.comb += reg_spec.rvfi_rd_addr.eq(cpu.rvfi.rd_addr) m.d.comb += reg_spec.rvfi_rd_wdata.eq(cpu.rvfi.rd_wdata) return m class RegTestCase(FHDLTestCase): def verify(self): self.assertFormal(RegSpec(), mode="bmc", depth=12, engine="smtbmc --nopresat")