diff --git a/build.rs b/build.rs index b07ed3a..54a1a41 100644 --- a/build.rs +++ b/build.rs @@ -2,9 +2,9 @@ use std::process::Command; fn main() { Command::new("python3") - .arg("migen/fpga_config.py") + .arg("fpga/fpga_config.py") .spawn() .expect("FPGA bitstream file cannot be built!"); - println!("cargo:rerun-if-changed=migen/fpga_config.py") + println!("cargo:rerun-if-changed=fpga/fpga_config.py") } diff --git a/migen/fpga_config.py b/fpga/fpga_config.py similarity index 100% rename from migen/fpga_config.py rename to fpga/fpga_config.py