humpback: added eem1 resources

pull/4/head
occheung 2020-08-07 21:12:15 +08:00
parent f92384d649
commit 0a790b815c
3 changed files with 18 additions and 5 deletions

View File

@ -1,5 +1,5 @@
[target.thumbv7em-none-eabihf]
runner = "gdb -q -x gdb_config/openocd.gdb"
runner = "gdb -q -x gdb_config/fpga_config.gdb"
rustflags = [
"-C", "link-arg=-Tlink.x",
]

View File

@ -295,6 +295,19 @@ class HumpbackPlatform(LatticeICE40Platform):
}),
]
eem_to_urukul = [
Resource("eem", 1,
Subsignal("sclk", DiffPairs("L6", "L3", dir="o", conn=("eem", 1))),
Subsignal("mosi", DiffPairs("H6", "F1", dir="o", conn=("eem", 1))),
Subsignal("miso", DiffPairs("H4", "G2", dir="i", conn=("eem", 1)),
Attrs(IO_STANDARD="SB_LVDS_INPUT")),
Subsignal("cs", DiffPairs("J4 J2 K1", "H2 J1 K3", dir="o", conn=("eem", 1))),
Subsignal("io_update", DiffPairs("L4", "L1", dir="o", conn=("eem", 1))),
Subsignal("sync_out", DiffPairs("K4", "M1", dir="o", conn=("emm", 1))),
Attrs(IO_STANDARD="SB_LVCMOS")
)
]
# tool chain setup, using default ICE40 HX8K evaluation code
def toolchain_program(self, products, name):
iceprog = os.environ.get("ICEPROG", "iceprog")
@ -302,7 +315,8 @@ class HumpbackPlatform(LatticeICE40Platform):
subprocess.check_call([iceprog, "-S", bitstream_filename])
if __name__ == "__main__":
from nmigen_boards.test.blinky import *
HumpbackPlatform().build(Blinky(), do_program=False)
from nmigen_boards.test.blinky import *
platform = HumpbackPlatform()
platform.add_resources(platform.eem_to_urukul)
platform.build(Blinky(), do_program=False)

View File

@ -71,7 +71,6 @@ in
name = "nix-shell";
buildInputs = with rustPlatform.rust; [
(pkgs.python3.withPackages(ps: [nmigen nmigen-boards]))
pkgs.python3Packages.pandas
pkgs.yosys
pkgs.nextpnr
pkgs.icestorm