From e8c09a84f837ad15c06561bda55d5b6c60928ada Mon Sep 17 00:00:00 2001 From: Lokathor Date: Fri, 21 Dec 2018 18:10:45 -0700 Subject: [PATCH] trying a test case for clzsi2 --- testcrate/build.rs | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/testcrate/build.rs b/testcrate/build.rs index d862e0d..08c14fd 100644 --- a/testcrate/build.rs +++ b/testcrate/build.rs @@ -775,6 +775,12 @@ fn main() { (builtins::int::udiv::__udivmodti4(a, b, Some(&mut r)), r) }"); } + + // count leading zeros + gen(|(a): (usize)| { + Some(a.leading_zeros()) + }, + "builtins::int::__clzsi2(a)"); } macro_rules! gen_float {