trying a test case for clzsi2

master
Lokathor 2018-12-21 18:10:45 -07:00
parent 4e3fc640df
commit e8c09a84f8
1 changed files with 6 additions and 0 deletions

View File

@ -775,6 +775,12 @@ fn main() {
(builtins::int::udiv::__udivmodti4(a, b, Some(&mut r)), r)
}");
}
// count leading zeros
gen(|(a): (usize)| {
Some(a.leading_zeros())
},
"builtins::int::__clzsi2(a)");
}
macro_rules! gen_float {