forgot the little `.0` part

master
Lokathor 2018-12-21 18:36:26 -07:00
parent 1e8904e424
commit 2afedce8cb
1 changed files with 1 additions and 1 deletions

View File

@ -778,7 +778,7 @@ fn main() {
// count leading zeros
gen(|a: MyU64| {
Some((a as usize).leading_zeros())
Some((a.0 as usize).leading_zeros())
},
"{
builtins::int::__clzsi2(a as usize)