artiq/soc
Sebastien Bourdeauducq 601f593ac4 targets/kc705: do not depend on particular Migen generated signal names 2015-04-11 21:46:57 +08:00
..
runtime soc/targets: use mem_map, fix addressing conflict on UP between ethernet and dds 2015-04-11 21:32:11 +08:00
targets targets/kc705: do not depend on particular Migen generated signal names 2015-04-11 21:46:57 +08:00