From e0c35cabab9b5d99f7b48592139f078cd8180aba Mon Sep 17 00:00:00 2001 From: Sebastien Bourdeauducq Date: Sat, 22 Aug 2015 09:56:40 +0800 Subject: [PATCH] runtime: fix onehot selection in ddstest_one --- soc/runtime/test_mode.c | 3 +++ 1 file changed, 3 insertions(+) diff --git a/soc/runtime/test_mode.c b/soc/runtime/test_mode.c index 3c0ce02f0..5083f84d7 100644 --- a/soc/runtime/test_mode.c +++ b/soc/runtime/test_mode.c @@ -257,6 +257,9 @@ static void ddstest_one(unsigned int i) }; unsigned int f, g, j; +#ifdef DDS_ONEHOT_SEL + i = 1 << i; +#endif brg_ddssel(i); ddsinit();