diff --git a/artiq/gateware/soc.py b/artiq/gateware/soc.py index 8c0ea5fc3..a074da772 100644 --- a/artiq/gateware/soc.py +++ b/artiq/gateware/soc.py @@ -1,5 +1,5 @@ from misoclib.soc import mem_decoder -from misoclib.cpu.peripherals import timer +from misoclib.cpu import timer from artiq.gateware import amp diff --git a/soc/targets/artiq_kc705.py b/soc/targets/artiq_kc705.py index 1c5cbafdf..94fe5fc80 100644 --- a/soc/targets/artiq_kc705.py +++ b/soc/targets/artiq_kc705.py @@ -6,7 +6,6 @@ from mibuild.xilinx.vivado import XilinxVivadoToolchain from misoclib.com import gpio from misoclib.soc import mem_decoder -from misoclib.cpu.peripherals import timer from targets.kc705 import MiniSoC from artiq.gateware.soc import AMPSoC