diff --git a/soc/targets/artiq_ppro.py b/soc/targets/artiq_ppro.py index b6168fc82..867a84796 100644 --- a/soc/targets/artiq_ppro.py +++ b/soc/targets/artiq_ppro.py @@ -64,7 +64,7 @@ class UP(BaseSoC): "rtio": 0x20000000, # (shadow @0xa0000000) "dds": 0x50000000, # (shadow @0xd0000000) } - mem_map.update(MiniSoC.mem_map) + mem_map.update(BaseSoC.mem_map) def __init__(self, platform, cpu_type="or1k", with_test_gen=False, **kwargs):