suservo: fix restart counter assertion

c.f. #788
pull/1046/head
Robert Jördens 2018-05-31 15:56:11 +00:00
parent 58f71e34fc
commit 9b5a46dffd
1 changed files with 1 additions and 1 deletions

View File

@ -26,7 +26,7 @@ class Servo(Module):
self.start = Signal()
t_restart = t_cycle - t_adc + 1
assert t_restart > 0
assert t_restart > 1
cnt = Signal(max=t_restart)
cnt_done = Signal()
active = Signal(3)