diff --git a/artiq/gateware/rtio/phy/ttl_serdes_7series.py b/artiq/gateware/rtio/phy/ttl_serdes_7series.py index f91e7584c..0c5b89c9b 100644 --- a/artiq/gateware/rtio/phy/ttl_serdes_7series.py +++ b/artiq/gateware/rtio/phy/ttl_serdes_7series.py @@ -26,10 +26,15 @@ class _OSERDESE2_8X(Module): if pad_n is None: self.comb += pad.eq(pad_o) else: - self.specials += Instance("OBUFDS", + self.specials += Instance("IOBUFDS_INTERMDISABLE", + p_DIFF_TERM="FALSE", + p_IBUF_LOW_PWR="TRUE", + p_USE_IBUFDISABLE="TRUE", + i_IBUFDISABLE=1, + i_INTERMDISABLE=1, i_I=pad_o, - o_O=pad, o_OB=pad_n) - + i_T=self.t_out, + io_IO=pad, io_IOB=pad_n) class _ISERDESE2_8X(Module): def __init__(self, pad, pad_n=None): @@ -54,7 +59,14 @@ class _ISERDESE2_8X(Module): if pad_n is None: self.comb += pad_i.eq(pad) else: - self.specials += Instance("IBUFDS", o_O=pad_i, i_I=pad, i_IB=pad_n) + self.specials += Instance("IBUFDS_INTERMDISABLE", + p_DIFF_TERM="TRUE", + p_IBUF_LOW_PWR="TRUE", + p_USE_IBUFDISABLE="TRUE", + i_IBUFDISABLE=0, + i_INTERMDISABLE=0, + o_O=pad_i, + io_IO=pad, io_IOB=pad_n) class _IOSERDESE2_8X(Module):