Minor cleanup

This commit is contained in:
Sebastien Bourdeauducq 2014-07-04 17:50:35 +02:00
parent 6072f0c42f
commit 10db450098
2 changed files with 1 additions and 3 deletions

View File

@ -48,8 +48,6 @@ def _interleave_timelines(timelines):
while current_stmts:
dt = min(stmt.delay for stmt in current_stmts)
print("\n".join("{} -> {}".format(ast.dump(stmt.stmt), stmt.delay) for stmt in current_stmts))
print("")
if dt < 0:
# contains statement(s) with indeterminate duration
return None

View File

@ -381,7 +381,7 @@ index 8f260f0..1771bce 100644
namespace {
/// OR1K Code Generator Pass Configuration Options.
In llvmpy, edit core.h and replace line 177:
In llvmpy, edit core.py and replace line 177:
if llvm.version <= (3, 3):
OPCODE_ICMP = 45