RELEASE_NOTES: fix formatting

pull/1849/head
Sebastien Bourdeauducq 2022-02-11 14:23:56 +08:00
parent a0070d4396
commit 0e178e40ac
1 changed files with 1 additions and 1 deletions

View File

@ -23,7 +23,7 @@ Highlights:
* On Kasli, the number of FIFO lanes in the scalable events dispatcher (SED) can now be configured in
the JSON hardware description file.
* ``artiq_ddb_template`` generates edge-counter keys that start with the key of the corresponding
TTL device (e.g. ``"ttl_0_counter"`` for the edge counter on TTL device``"ttl_0"``)
TTL device (e.g. ``ttl_0_counter`` for the edge counter on TTL device ``ttl_0``).
* ``artiq_master`` now has an ``--experiment-subdir`` option to scan only a subdirectory of the
repository when building the list of experiments.
* The configuration entry ``rtio_clock`` supports multiple clocking settings, deprecating the usage