disable servo in init

pull/1933/head
SingularitySurfer 2022-06-21 07:49:29 +00:00
parent 751af3144e
commit 0388161754
1 changed files with 3 additions and 0 deletions

View File

@ -320,6 +320,9 @@ class Phaser:
delay(.1*ms)
channel.set_att_mu(0x00) # minimum attenuation
# disable servo, set iir profile to 0 and disable iir hold
channel.set_servo(0, 1, 0)
# test oscillators and DUC
for i in range(len(channel.oscillator)):
oscillator = channel.oscillator[i]