HeavyX/eda
Sebastien Bourdeauducq ca293ee7cc nmigen-boards: bump 2019-07-03 18:04:50 +08:00
..
nmigen-boards.nix nmigen-boards: bump 2019-07-03 18:04:50 +08:00
nmigen.nix nmigen: bump 2019-07-03 11:27:46 +08:00
scala-spinalhdl.nix Update to SpinalHDL 1.3.3 VexRiscv 1.1 2019-04-28 20:49:45 +08:00
symbiflow.nix symbiflow: 100MHz timing (HACK) 2019-06-09 00:06:17 +08:00
vivado.nix cleanup 2019-04-26 17:43:31 +08:00
yosys.nix yosys: update version number 2019-04-27 14:59:16 +08:00
yosys_726.patch reorganize 2019-04-01 11:05:08 +08:00