HeavyX/eda
Sebastien Bourdeauducq 92671d534c nmigen-boards: add 2019-06-11 22:53:03 +08:00
..
nmigen-boards.nix nmigen-boards: add 2019-06-11 22:53:03 +08:00
nmigen.nix nmigen: bump 2019-06-11 22:52:43 +08:00
scala-spinalhdl.nix Update to SpinalHDL 1.3.3 VexRiscv 1.1 2019-04-28 20:49:45 +08:00
symbiflow.nix symbiflow: 100MHz timing (HACK) 2019-06-09 00:06:17 +08:00
vivado.nix cleanup 2019-04-26 17:43:31 +08:00
yosys.nix yosys: update version number 2019-04-27 14:59:16 +08:00
yosys_726.patch reorganize 2019-04-01 11:05:08 +08:00