diff --git a/default.nix b/default.nix index af931f4..acca276 100644 --- a/default.nix +++ b/default.nix @@ -1,4 +1,4 @@ -{ pkgs ? import {}}: +{ pkgs }: (import ./derivations.nix { inherit pkgs; }) // { vivado = import ./eda/vivado.nix { inherit pkgs; }; } diff --git a/eda/vivado.nix b/eda/vivado.nix index ec31ba5..3addc1d 100644 --- a/eda/vivado.nix +++ b/eda/vivado.nix @@ -33,5 +33,5 @@ in mkdir $out cp *.dcp *.rpt *.bit $out ''; - }; + }; } diff --git a/examples/helloworld_kintex7.nix b/examples/helloworld_kintex7.nix index a3faf5e..8965304 100644 --- a/examples/helloworld_kintex7.nix +++ b/examples/helloworld_kintex7.nix @@ -2,7 +2,7 @@ , hx ? import ../default.nix { inherit pkgs; }}: let - vivadoInput = pkgs.runCommand "test-vivado-input" { + vivadoInput = pkgs.runCommand "helloworld-vivado-input" { buildInputs = [ (pkgs.python3.withPackages(ps: [hx.nmigen hx.heavycomps])) hx.yosys ]; } '' @@ -43,6 +43,6 @@ let ''; in hx.vivado.buildBitstream { - name = "test-design"; + name = "helloworld-bitstream"; src = vivadoInput; }